A1: Solar Cell: From Research to Manufacture
Session Chairs
Tuesday PM, April 06, 2010
Room 3009 (Moscone West)
9:30 AM - **A1.1
Advances in Thin Film Amorphous and Nanocrystalline Silicon Photovoltaic.
Subhendu Guha 1 , Jeffrey Yang 1
1 , United Solar Ovonic, Auburn Hills, Michigan, United States
Show AbstractThe last decade has witnessed tremendous progress in the science and technology of amorphous and nanocrystalline silicon photovoltaic. Advances in the understanding of materials and devices have led manufacturers to expand production capacity. The shipment of solar panels using this technology exceeded 250 MW in 2008. Based on recent announcements, a ten-fold increase in capacity is expected to be implemented in the next three years.In this paper, we shall review the optimum materials and cell designs to obtain high efficiency, manufacturing processes and products and applications. We shall also discuss the key issues that need to be addressed in order for this technology to achieve a dominant position in the photovoltaic market.
10:00 AM - **A1.2
From R&D to Large-area Modules at Oerlikon Solar.
Johannes Meier 1 , U. Kroll 1 , S. Benagli 1 , J. Bailat 1 , E. Vallat-Sauvain 1 , D. Borrello 1 , J. Steinhauser 1 , J. Hoetzel 1 , L. Castens 1 , J. Orhan 1 , Y. Djeridane 1 , K. Ufert 1
1 , Oerlikon Solar-Lab SA, Neuchâtel Switzerland
Show AbstractIn recent years massive investments have been spent for thin film silicon photovoltaic which bears in principle a much higher cost reduction potential than conventional wafer-based PV. The challenge of thin film PV technology for the coming years will be the improvement of module performance towards crystalline technology, leading the path to low-cost photovoltaic electricity generation. While at Oerlikon Solar’s customers sites several thin film lines based on amorphous and Micromorph (a-Si / μc-Si tandem) cells have now been built up, the need for higher efficiencies is the major interest beside cost reduction. Therefore, Oerlikon Solar is concentrating in its R&D to challenge higher efficiencies. In this paper we report on our recent results in thin film devices based on amorphous and microcrystalline silicon using industrial PECVD KAI equipments and in-house developed LPCVD ZnO as TCO technology. As light-trapping is one of the most important key to improve performance, special care on the development of LPCVD ZnO tailored to amorphous and Micromorph tandem devices have been taken. In this study an overview of Oerlikon’s R&D activities regarding improved efficiencies of amorphous silicon and Micromorph technology from cells to modules will be presented and, finally, how these results have been successfully transferred into production sofar.
10:30 AM - A1.3
Implementation of Protocrystalline Silicon in Micromorph Tandem Cells on Gen 5 Size.
Gijs van Elzakker 1 , Daniel Sixtensson 1 , Niklas Papathanasiou 1 , Klaus Neubeck 1 , Roland Sillmann 1
1 , Inventux Technologies AG, Berlin Germany
Show AbstractWe discuss the influence of the Staebler-Wronski effect (SWE) on the design of the Micromorph tandem solar cell. A micromorph cell consists of a microcrystalline silicon (μc-Si:H) bottom cell and an amorphous silicon (a-Si:H) top cell. Although the bottom cell is hardly affected by light-soaking, the top cell, which generates roughly 2/3rd of the total power, is strongly susceptible to SWE. An optimization of the stabilized output was realized for two different absorber materials in the top cell; not only for standard a-Si:H but also for protocrystalline silicon (pc-Si:H).Hydrogen dilution of Silane in the PECVD deposition of a-Si:H leads to an enhanced stability against SWE. The a-Si:H deposited under these conditions is called protocrystalline silicon (pc-Si:H). It has been shown that by using pc-Si:H the light-induced degradation can be reduced to 10% for a single-junction cell with a 300-nm thick absorber [1].The optical bandgap of pc-Si is larger than that of standard a-Si:H. Therefore, a pc-Si absorber of the same thickness as a standard a-Si:H absorber would generate less current and would shift the current balance in a tandem towards top cell limitation. Therefore, a new cell design with respect to the top cell and bottom cell thicknesses is needed. We have used numerical calculations to guide the optimization of the cell design. Inputs for the calculations include measurement data of the optical gap and the defect density obtained from single layers on glass.A drawback of hydrogen dilution during PECVD growth is the reduced deposition rate. By using a very high frequency (VHF) plasma it is possible to reach higher growth rates compared to standard rf-powered plasmas. Also when growing with a large hydrogen-to-silane ratio, VHF can reach growth rates that are 4 times larger than those in rf-PECVD [2]. All solar cells and individual films used in our study are therefore deposited using a 40 Mhz VHF plasma in a KAI PlasmaBox reactor. The substrate size is 1.3 m x 1.1 m (Gen 5). The micromorph solar cells are grown on a LPCVD ZnO front contact and are provided with a white paint back reflector. To verify the numerical calculations regarding the stabilized output, the stabilized efficiency was measured on mini-modules (6 x 6 cm2, 10 cells in series) after light-soaking with an irradiance of 100 mW/cm2 at a temperature of 50 °C. A stabilized efficiency of 9.5% was obtained.References [1] M. Zeman, G. van Elzakker, F. D. Tichelaar and P. Sutta, Philosophical Magazine 89 (28), 2435 (2009). [2] R. Platz, C. Hof, B. Rech, et al., Proceedings of MRS spring meeting 1998
10:45 AM - A1.4
Uniformity and Quality of Monocrystalline Silicon Passivation by Thin Intrinsic Amorphous Silicon in a New Generation Plasma-enhanced Chemical Vapor Deposition Reactor.
Benjamin Strahm 1 , D. Lachenal 1 , C. Guerin 1 , G. Wahli 1 , T. Schulze 2 , J. Mai 2 , A. Buechel 1
1 , Roth & Rau Switzerland SA, Neuchatel Switzerland, 2 , Roth & Rau AG, Hohenstein-Ernstthal Germany
Show AbstractSilicon thin films have shown great interest for low cost photovoltaic applications when layers in the micrometer range are deposited on low cost substrates such as glass, polymer or metal sheets. However, these devices show only limited light conversion efficiencies in the range of 10 %. Recently, the deposition of very thin (a few nanometers) amorphous silicon layers on mono-crystalline silicon (hetero-junction) has proven that conversion efficiencies as high as 23 % could be achieved, compensating for the higher cost of the substrates. This cross-over technology between standard silicon thin film and crystalline silicon technologies is therefore of great interest for the mass-production of high efficiency cells by combining the high quality of the crystalline absorber and the low temperature processing of silicon thin films.Roth & Rau AG is therefore making silicon hetero-junction a high priority topic and in collaboration with the Photovoltaic and Thin Film Laboratory of Neuchâtel in Switzerland is developing this technology. A new generation of large area plasma-enhanced chemical vapor deposition (PECVD) reactor has been developed for the production of hetero-junction solar cells. This so-called S-cube (S3) reactor shows impressive performances with respect to thickness and properties uniformity of the deposited layers over a deposition area as large as 45x45 cm2. Using the S3, layers with thickness uniformity lower than 3 % are achieved. Surface passivation with very thin (≈ 10 nm) intrinsic amorphous silicon layers with carrier life-time up to 5 ms are demonstrated on n-type low resistivity silicon wafers. So far, a 17 % efficient cell has been achieved with screen printed front contact on flat wafers using only low temperature processes.Both together, the S3 concept and this baseline process make an excellent starting point for the turn-key production in larger reactors of improved hetero-junction solar cells using textured substrate and tailored processes.
A2: Solar Cell: Nanocrystalline Si
Session Chairs
Tuesday PM, April 06, 2010
Room 3009 (Moscone West)
11:30 AM - A2.1
High-efficiency Large-area Nanocrystalline Silicon Solar Cells Using MVHF Technology.
Xixiang Xu 1 , Tining Su 1 , Scott Ehlert 1 , Dave Beglau 1 , Ginger Pietka 1 , Yang Li 1 , Jinyan Zhang 1 , Guozhen Yue 1 , Baojie Yan 1 , Greg DeMaggio 1 , Chris Worrel 1 , Ken Lord 1 , Arindam Banerjee 1 , Jeff Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic, Troy, Michigan, United States
Show AbstractHydrogenated nanocrystalline silicon (nc Si:H), with its superior long-wavelength response and light-soaking stability, has become a very promising candidate to replace hydrogenated amorphous silicon germanium alloy (a-SiGe:H) in multi-junction thin-film silicon solar cells [1,2]. However, the main challenges for using nc-Si:H in thin-film PV manufacturing are attaining high deposition rates and achieving homogenous properties over a large deposition area [3]. In this work, we present the progress made in attaining over 11% stabilized large-area (≥400 cm2) encapsulated nc-Si:H based multi-junction cells using Modified Very High Frequency (MVHF) technology. We have focused our effort on two areas: 1) improving spatial uniformity and homogeneous properties for nc-Si:H deposition, and 2) optimizing plasma process and selecting species to deposit nc-Si:H with superior properties. In order to improve uniform thickness and properties, we modified cathode configuration and power coupling based on extensive modeling and simulation. In addition, we conducted systematic optimization of multi-junction cell structures and plasma process parameters, such as cathode-to-substrate spacing, chamber pressure, applied MVHF power, substrate temperature, hydrogen dilution ratio and profile. Increasing throughput by shortening the total deposition time is a key factor in reducing cost for thin-film silicon PV manufacturing. We have conducted a comparative study of double-junction and triple-junction cell structures and investigated the cell performance as a function of total thickness of absorber layers in the range of 1-6 µm. We also investigated light-induced degradation on the large-area (≥400 cm2) encapsulated solar cells by conducting both indoor and outdoor tests. With improved large-area nc-Si:H deposition and optimized component cells, we have obtained stabilized efficiency of 11.2% for an a-Si:H/nc-Si:H/nc-Si:H triple-junction cell structure after 1000 hour indoor light soaking condition (100 mW/cm2 white light, open-circuit, and 50 centigrade). The correlation between material properties, such as hydrogen content and bonding configuration, and defect density, and nc-Si:H solar cell performance will be presented.[1]J. Meier, R. Flückiger, H. Keppner, and A. Shah, Appl. Phys. Lett. 65, 860 (1994).[2]B. Yan, G. Yue, and S. Guha, Mat. Res. Soc. Symp. Proc. 989, 335 (2007).[3]X. Xu, Y. Li, S. Ehlert, T. Su, D. Beglau, D. Bobela, G. Yue, B. Yan, J. Zhang, A. Banerjee, J. Yang, and S. Guha, Mat. Res. Soc. Symp. Proc. Vol. 1153 (2009).
11:45 AM - A2.2
Thin Film Solar Cells Prepared on Polycrystalline Seed Layers Using Low Temperatures.
C. Jaeger 1 , T. Matsui 2 , M. Takeuchi 2 , M. Karasawa 2 , M. Kondo 2 , M. Stutzmann 1
1 , Walter Schottky Institut, Technische Universität München, Am Coulombwall 3, 85748 Garching Germany, 2 , Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 Japan
Show AbstractHigh costs and large material consumption are the main drawbacks of single crystalline Si wafer-based solar cells. Therefore, alternative methods using thin films are heavily investigated today. Considerable interest has been attracted by a concept which exploits the beneficial effect of a seed layer on the nucleation and film growth. Here, a polycrystalline Si film is prepared on a glass substrate, which then serves as a substrate for subsequent overgrowth.In this work, we present data from solar cells with PECVD-Si as the absorber material prepared on polycrystalline seed layers. For the seed layer preparation, the reverse aluminum-induced layer exchange (R-ALILE) process is used. In a typical R-ALILE process, a substrate/amorphous silicon/oxide/Al layer stack is annealed at temperatures below the eutectic temperature of the Al-Si system (577°C), resulting in a layer exchange and the crystallization of the silicon. After the layer exchange is completed, a substrate/Al (+Si)/oxide/polycrystalline silicon film structure is formed. In contrast to the usual “normal” ALILE process, the R-ALILE results in a smooth top surface of the polycrystalline silicon and the intrinsic formation of an Al-back contact, which both are beneficial for solar cell preparation.We report that the proper treatment of the seed layers prior to the absorber layer deposition is crucial for a good solar cell performance. Here we studied different wet chemical methods (HF-solution, Al-etch) and the influence of an hydrogen plasma treatment. Furthermore, we investigated the influence of an additional Ag/ITO-back contact on the solar cell performance. We find that solar cell efficiencies over 5% can be obtained using the presented seed layer concept. On top of that, we see room for further improvement especially for the open circuit voltage, which was demonstrated by solar cells prepared on very thin seed layers of only 30nm.
12:00 PM - A2.3
Oxygenated Protocrystalline Silicon Thin Films for Wide Bandgap Solar Cells.
Ruud E. Schropp 1 , Jan Willem Schuettauf 1 , C.(Karine) H. van der Werf 1
1 Fac. of Physics and Astronomy, Utrecht University, Utrecht Netherlands
Show AbstractFor tandem and triple junction thin film silicon solar cells a wide bandgap intrinsic absorber material is required that has sufficient photostability to warrant highly stable performance. Common ways to increase the band gap of hydrogenated amorphous silicon are the use of high hydrogen dilution and/or the addition of C or N containing gases during growth. Generally, the introduction of oxygen is avoided as it is known to lead to larger defect density, doping effects, and eventually also larger light-induced instability. Using high hydrogen dilution in PECVD, or, alternatively, using high atomic H production from pure silane in HWCVD however results in protocrystalline material, which is characterized by an enhanced medium range order (MRO). We show that this material can accommodate percentage-level concentrations of oxygen without deleterious effects. The advantage of protocrystalline SiO:H is not only that it has an increased band gap, but also that the increased open circuit voltage of solar cells incorporating this material as the intrinsic absorber layer has a reduced temperature coefficientand that the cells have a reduced susceptibility to light-induced defect creation. We present the unique result in the PV field that oxygenated protocrystalline silicon solar cells have an efficiency temperature coefficient (TCE) that is virtually zero (TCE is between -0.08%/°C and 0.0/°C). It is beneficial to make the top cell in multibandgap cells the current limiting cell because of the improved annual energy yield, provided that this cell has sufficient stability. The very low temperature coefficient of the current limiting cell makes this design even more attractive. We speculate that the reason for being able to incorporate O in protocrystalline silicon up to a concentration of ~1 at.-% is that O can more readily be built in according to its valency, in compact Si-O-Si bonds, without introducing additional dangling bonds.
12:15 PM - A2.4
Critical Concentrations of Atmospheric Contaminants in a-Si:H and µc-Si:H Based Solar Cells.
Tsvetelina Merdzhanova 1 , Jan Woerdenweber 1 , Thilo Kilper 1 , Wolfhard Beyer 1 2 , Helmut Stiebig 2 , Aad Gordijn 1
1 IEF5-Photovoltaik, Forschungszentrum Juelich, Juelich Germany, 2 , Malibu GmbH & Co. KG, Bielefeld Germany
Show AbstractIn thin film silicon solar cell technology, the critical concentration of contaminants defines the minimum impurity concentration which causes a deterioration of solar cell performance. Here we report on a direct comparison of the effect of the atmospheric contaminants oxygen and nitrogen on a-Si:H and µc-Si:H absorber layers and solar cells which were deposited by plasma-enhanced chemical vapor deposition (PECVD) at an excitation frequency of 13.56 MHz. Gas mixtures of silane and hydrogen were used as process gases. The impurities were inserted either directly into the plasma through a controllable leak at the deposition chamber wall or into the process gas supply line. By inserting oxygen and nitrogen impurities directly into the plasma we observed for a-Si:H and µc-Si:H similar critical oxygen and nitrogen concentrations of around 1.2-2x1019 cm-3 and 4-6x1018 cm-3, respectively. The influence of oxygen and nitrogen contamination on the electrical and optical properties and in case of µc-Si:H also the structural properties was also investigated. The results suggest that the inserted impurities are partially in a doping configuration, shifting the Fermi level towards the conduction band, with the result of a distorted electric field over the i-layer in solar cells. The efficiency losses in solar cells above the critical contamination levels are due to fill factor decreases, especially under red light illumination and to reduce external quantum efficiency at long wavelengths (> 500 nm).Interestingly, application of the gas pipe leak leads to an increased a critical concentration level of 2x1020cm-3 for oxygen in a-Si:H while for nitrogen no changes compared to the chamber wall leak are observed. We explain this difference by a model taking into account the much lower reactivity of nitrogen (as compared to oxygen) with silane and hydrogen.
12:30 PM - **A2.5
High-performance Silicon-TFT Technologies that Achieve Valuable Functions.
Mutsuko Hatano 1 , Makoto Ohkura 2
1 Central Research Laboratory, Hitachi Ltd, Kokubunji-shi Japan, 2 , Hitachi Displays, Ltd., Tokyo Japan
Show AbstractSystem in displays have several advantages: a high resolution, fewer connections, simplified modules, high reliability, and added valuable functions. It is necessary to integrate high-performance TFTs for high-speed with low-voltage circuits, and high-voltage endurable pixel TFTs on the same substrate. To meet this requirement, we have developed a selectively enlarging laser-crystallization (SELAX) technique [1, 2], which can transfer an excimer-laser- crystallized (ELC) poly-Si to a large-grained flat poly-Si film at “selective regions”. In this paper, we describe high reliability and performance TFTs for display back-plane technology. Moreover, new functions are introduced as a technology in the future, (a)Wireless transmission of display information and the electric power with high performance TFTs [4], (b)Flexible TFT on heat resistant transparent polymer with 320°C TFT process [5]. Reliability of the Poly-Si TFTs is a critical issue and the degradation properties of n- and p-channel TFTs under dc and ac stress have been investigated [3]. In n-channel TFTs, drain-avalanche-hot-carrier (DAHC) stress is found as the worst stress condition. To improve the reliability, we developed high-immunity gate- overlapped LDD TFT structure. As for p-channel TFTs, gm degradation is due to the trap states produced by repetition between on-state stress (hole injection) and sub-threshold state stress (electron injection). The degradation is strongly dependent on the number of trapped holes. The rapid gm degradation at high temperature is caused by an increase in the number of trapped holes, to which the NBT (Negative-bias temperature) stress significantly contributes. For higher reliability, we proposed a dual layered structure, i.e., thin interfacial layer of high quality CVD-SiO2 and conventional one. As the interfacial layer, a new process C-DOP (Cyclic Deposition with O2 Plasma treatment) was applied [6].References[1] M.hatano et.al., Tech. Dig.SID, 23-4L (2002).[2] M. Tai et.al., IEEE Trans. Electron Devices, vol. 51, P934 (2004).[3] Y. Toyota et al., IEEE Trans. Electron Devices, vol. 51, P927 (2004).[4] F. Furuta et.al., Tech. Dig.SID, 62 1L (2008).[5] T. Hattori et.al., , ECS transactions, Vol.16, No.9, P39, (2008).[6] H. Hamamura et al., IDW’06 Tech. Dig., P987 (2005).
A3: Solar Cells: Light Trapping
Session Chairs
Tuesday PM, April 06, 2010
Room 3009 (Moscone West)
2:30 PM - A3.1
Light Trapping Limits in Thin-film Silicon Solar Cells.
Ivaylo Vasilev 1 , Rahul Dewan 1 , Darin Madzharov 1 , Dietmar Knipp 1
1 School of Engineering and Science, Electronic Devices and Nanophotonics Laboratory, Jacobs University Bremen, Bremen Germany
Show AbstractThe optics of microcrystalline silicon thin-film solar cells with integrated light trapping structures was investigated. A triangular surface texture was integrated in microcrystalline silicon thin-film solar cells and the influence of the profile dimensions and absorber layer thickness on the short circuit current and quantum efficiencies was analyzed. In this study the optical wave propagation of solar cells with periodic triangular gratings was determined by rigorously solving the Maxwell’s equations using a Finite Difference Time Domain approach. The short circuit current of the solar cells on smooth substrates (without texturing) is used as a reference to investigate the effect of the surface textures on the optical properties of the solar cell. Enhancement of the short circuit current due to texturing can be explained by two effects. The short circuit current in the blue part of the spectrum (300 nm to 500 nm) can be increased by 0.5 mA/cm2 from 3 to 3.5 mA/cm2 for periods smaller than 200 nm, whereas the gain in the red and infrared part of the spectrum (700 nm to 1100nm) is maximized when the texture periods are comparable to the optical wavelength. The short circuit current was increased by 200 % up to 7 mA/cm2. Optimal dimensions of the device structures will be discussed in terms of the short circuit current and the quantum efficiency. Furthermore, the influence of the absorber thickness on the upper limit of the short circuit current was investigated. For thin absorber with a thickness of 500 nm the texturing of the front contact leads to a distinct increase of the short circuit current by 72 %, whereas for thicker absorbers with thicknesses of up to 3500 nm a small increase of 12 % was obtained. The simulations will be compared with optimum geometrical light trapping structures based on Lambertian scatterers.
2:45 PM - A3.2
Plasmonic Light-trapping and Quantum Efficiency Measurements On Nanocrystalline Silicon Solar Cells and Silicon-On-Insulator Devices.
Hui Zhao 1 , Birol Ozturk 1 , Eric Schiff 1 , Baojie Yan 2 , Jeff Yang 2 , Subhendu Guha 2
1 physics, syracuse university, Syracuse, New York, United States, 2 , United Solar Ovinic LLC, Troy, Michigan, United States
Show AbstractQuantum efficiency measurements in nc-Si:H solar cells deposited onto textured substrates indicate that these cells are close to the "stochastic light-trapping limit" proposed by Yablonovitch in the 1980s [1]. An interesting alternative to texturing is "plasmonic" light-trapping based on specular cells and using an overlayer of metallic nanoparticles to produce light-trapping. While this type of light-trapping has not yet been demonstrated for nc-Si:H solar cells, significant photocurrent enhancements have been reported on silicon-on-insulator devices with similar optical properties to nc-Si:H [2,3].Here we report our work to measure quantum efficiencies in nc-Si:H solar cells and in SOI devices with and without silver nanoparticle layers. As was done previously, the silver nanoparticles were created by thermal annealing of evaporated silver thin films. Since these devices are not deposited onto textured substrates, they exhibit prominent interference fringes in their quantum efficiencies. An important effect that we have found in both nc-Si:H and SOI is a shift of the interference fringes that is induced by the nanoparticle layer. This shift requires a reinterpretation of the photocurrent enhancement ratios that have been reported previously. We find that the quantum efficiency for the SOI devices is not yet close to the stochastic light-trapping limit, despite photocurrent enhancements of about 20.For nc-Si:H solar cells, we have not yet observed significant improvement of the quantum efficiency due to a silver nanoparticle overlayer. This isn't altogether surprising, since we deposited the overlayer onto the fairly thick transparent conducting oxide (TCO) top contact used for these cells. Experiments with thinner TCO layers are underway.This work is supported by U. S. Department of Energy through the Solar America Initiative (DE FC36-07 GO 17053). Additional support was received from the Empire State Development Corporation through the Syracuse Center of Excellence in Environmental and Energy Systems.1. B. Yan, et al., Phys. Stat. Solidi (in press).2. H.R Stuart and D.G Hall , Appl. Phys. Lett 69, 2327—2329 (1996)3. S. Pillai etc, Journal of Applied Physics, 101 093105 (2007)
3:00 PM - **A3.3
Advanced Light Trapping in Thin-film Silicon Solar Cells.
Miro Zeman 1 , Olindo Isabella 1 , Klaus Jaeger 1 , Serge Solntsev 1 , Renrong Liang 1 , Rudi Santbergen 1 , Janez Krc 2
1 , Delft University of Technology, Delft Netherlands, 2 , University of Ljubljana, Ljubljana Slovenia
Show AbstractThin-film silicon solar cell technology is one of the promising photovoltaic technologies for delivering low-cost solar electricity. A drawback of thin-film silicon PV technology is a relatively low stabilized efficiency of modules that varies between 5 to 10%. Photon management plays a crucial role in increasing the performance of thin-film silicon solar cells. Light trapping is an important part of the photon management. Application of light trapping techniques in thin-film silicon solar cells increases the optical thickness of the absorber layers resulting in an enhanced absorption especially in the long wavelength region. Several novel approaches for light trapping in thin-film silicon solar cells have been introduced recently such as:i) periodic surface textures and modulated surface textures for efficient light scattering, ii) white paint and 1-D photonic crystals as back reflectors for enhanced reflection and suppression of parasitic optical losses at the back metal contact and iii) plasmon scattering using metal nanoparticles. Application of these novel approaches in amorphous silicon solar cells will be presented. Modeling of novel light trapping approaches can strongly contribute to the optimization of surface textures or size and shape of metal nanoparticles. 2-D and 3-D simulations of structures with periodic interface textures and metallic nanoparticles will be presented. An important step for simulating the enhancement of light absorption in thin-film silicon solar cells with rough interfaces is the development of the scattering model that can calculate the angular intensity distribution of scattered light based on the characterization of a rough surface using Atomic Force Microscopy. A good agreement between the experimental and calculated angular intensity distribution of scattered light for four transparent conductive oxide films with different surface textures will be demonstrated.
3:30 PM - A3.4
A New Approach to Light Scattering from Nanotextured Interfaces For Silicon Thin-film Solar Cells.
Corsin Battaglia 1 , Didier Domine 1 2 , Franz-Josef Haug 1 , Karin Soederstroem 1 , Jordi Escarre 1 , Andrea Feltrin 1 , Christophe Ballif 1
1 IMT PV-Lab, EPFL, Neuchatel Switzerland, 2 ISAAC, SUPSI, Canobbio Switzerland
Show AbstractAdvanced light management concepts are crucial to further improve conversion efficiencies of silicon thin-film solar cells, as the absorption coefficient of silicon is small in the near infrared region. The most common approach to improve optical performance is by means of light scattering at randomly textured interfaces. However, neither the ideal interface morphology, respecting restrictions imposed by the growth of the silicon layers [1], nor the ideal scattering characteristics, which maximizes the photo-induced current in the cell, have been identified to date.Here we present a new methodology, we recently introduced [2], to investigate the angular and spectral dependence of light diffusely scattered across nanotextured interfaces. We analyze, both experimentally and theoretically, the influence of interface morphology and refractive index contrast on the scattering properties of nanotextured surfaces, which serve as front contact for silicon thin-film solar cells. For this we compare the measured and simulated angle-resolved scattering intensity and its spectral dependence (haze) of naturally textured ZnO surfaces and their replicas. ZnO surfaces with randomly oriented pyramidal features of different size, were grown via low-pressure chemical vapor deposition [3]. Replicated surfaces, exhibiting a different refractive index, but similar morphology as their ZnO masters, were fabricated via ultraviolet nanoimprint lithography [4].Our model makes use of a slightly modified Rayleigh-Sommerfeld diffraction integral and requires only measured surface profile data and the refractive index as input [2]. Our treatment does not require the usual restriction that the structure size of the texture should be much smaller than the wavelength. The scattered light intensity at the point of observation is obtained by superimposing spherical waves emitted from the scattering surface, taking into account the phase shifts that light accumulates on its passage through the measured profile.We further present experimental results on microcrystalline thin-film solar cells deposited via plasma-enhanced chemical vapor deposition on as grown and replicated ZnO surfaces in the superstrate (p-i-n) configuration and discuss correlations between the morphology of the nanotextured front contact, its scattering properties and impact on the photo-generated current in the cell. [1] M. Python, E. Vallat-Sauvain, J. Bailat, D. Dominé, L. Fesquet, A. Shah, and C. Ballif, J. Non-Crystalline Solids 354, 2258 (2008)[2] D. Dominé, F.-J. Haug, C. Battaglia, and C. Ballif, submitted to J. Appl. Phys. (2009)[3] J. Steinhauser, S. Faÿ, N. Oliveira, E. Vallat-Sauvain, and C. Ballif, Appl. Phys. Lett. 90, 142107 (2007)[4] K. Söderström, J. Escarré, O. Cubero, F.-J. Haug, V. Terrazzoni-Daudrix and C. Ballif, in preparation
3:45 PM - A3.5
Efficiency Enhancement by Light Trapping in Thin Film Microcrystalline Silicon Solar Cells.
Xing Sheng 1 , Jifeng Liu 1 , Inna Kozinsky 2 , Anuradha Agarwal 1 , Jurgen Michel 1 , Lionel Kimerling 1
1 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Research and Technology Center, Robert Bosch LLC, Palo Alto, California, United States
Show AbstractThe efficiency of thin film silicon solar cells critically depends on optical absorption in the Si layer since crystalline, amorphous, and microcrystalline silicon have low absorption coefficients in the red and near-infrared wavelength ranges. Traditional light trapping schemes such as textured TCO and metal reflector have several disadvantages such as enhanced surface recombination, parasitic loss at the TCO/metal interface, and the lack of ability to control and optimize the texturing. Previously, we developed a textured photonic crystal (TPC) by lithography as a back reflector and demonstrated its light trapping effect for thin film Si cells. However, it is challenging to scale up this technique to large area production at low cost. In this work, we propose to integrate a self-assembled submicron grating and a distributed Bragg reflector (DBR) as a light trapping structure on the backside of 1.5 um microcrystalline silicon solar cells. The grating diffracts the incident light into oblique angles to significantly enhance the optical path length, while the DBR works as a one-dimensional photonic crystal to obtain almost 100% reflectivity. We fabricated gratings by using self-assembled porous anodic alumina. Experimentally, the external quantum efficiency (EQE) spectra clearly demonstrate that photon absorption is greatly enhanced by introducing our designed back structure in the wavelength range of 600-900 nm. Correspondingly, a 23% relative efficiency enhancement is achieved in microcrystalline Si thin film cells. Numerical calculations predict that by optimizing the feature sizes of the grating and DBR, up to 31% relative efficiency increase can be obtained, compared to the bare thin film Si. The effect of the photonic structure on the cell performance is also compared to that of a metal back reflector. These results indicate that this self-assembled grating structure is a promising low-cost technology approach for efficiency enhancement in Si thin film solar cells.
A4: Low Gap Materials
Session Chairs
Tuesday PM, April 06, 2010
Room 3009 (Moscone West)
4:30 PM - **A4.1
Deposition of Poly-SiGe Thin Films by Reactive Thermal CVD and Their TFT Applications.
Jun-ichi Hanna 1 , Cheol-hyun Lim 1
1 Imaging Science and Engineering Laboratory, Tokyo Institute of Technology, Yokohama Japan
Show AbstractBecause of a small mobility of 0.5-1cm2/Vs, amorphous silicon thin film transistor (TFT) comes to hardly meet a new demand of high frequency operations in active matrixes for 3D and high definition LCDs. It cannot meet a new requirement of high current operation in active matrixes for OLED displays neither. Therefore, a new TFT material for post amorphous silicon becomes a real issue in industrial application of TFTs, although ELA poly-Si TFTs are available for limited applications. We have challenged to develop a new CVD technique for poly-Si thin films that meet the requirements for the post amorphous silicon TFTs, and proposed a new thermal CVD technique for poly-Si and SiGe, i.e., Reactive Thermal CVD featuring a set of reactive source materials such as disilane (Si2H6) and either fluorine (F2) or germanium tetrafluoride (GeF4), respectively. We have succeeded in deposition of poly-Si and SiGe thin films at 450oC on glass substrates by the reactive thermal CVD. Thanks to high crystallinity at initial film growth on the substrates, the resulting films exhibit high crystallinity even in a very thin films less than 200nm suitable for TFT applications. In fact, we could fabricate n-and p-channel bottom-gate TFTs with very thin poly-SiGe films of 30nm on SiO2/Si -substrates, which showed high mobility of 8-9 cm2/Vs.In this talk, we repot the state-of-art of this CVD technique and the recent results on fabrication of TFTs including top-gate TFTs, and discuss key issues in the nucleation and film growth for high crystallinity of the films.
5:00 PM - A4.2
Influence of Hydrogen on the Ge Incorporation in a-Si1-xGex:H for Thin-film Solar Cell Application.
Chien-Ming Wang 1 , Yen-Tang Huang 1 , Hung-Jung Hsu 1 , Hsiao-Wen Zan 1 , Chuang-Chuang Tsai 1
1 Department of Photonics , National Chiao Tung University, Hsinchu Taiwan
Show Abstract Improving the quality of amorphous silicon-germanium (a-Si1-xGex:H) film is a key topic for achieving high efficiency tandem or multi-junction solar cells. This is because a-Si1-xGex:H, with its bandgap of 1.1~1.7ev[1], can enhance optical absorption in the near-infrared spectral range. However, the Ge incorporation always introduces defects in the material[2], which affects the cell performance and limits the amount of Ge incorporation in a-Si1-xGex:H alloys. In this work, we examined the Ge incorporation and the accompanied defect formation during PECVD of a-Si1-xGex:H. We also studied the effect of H2 on film growth, defect formation, Ge and Si incorporation efficiencies, and the H-bonding configuration. A number of analytical tools were employed, including FTIR, XPS, optical absorption, photoconductivity, etc. We found that Ge gets preferentially incorporated into the film during PECVD of a-Si1-xGex:H. For example, a GeH4 content in the reactant gas (Xg) of 3.5, 8.3 and 15.4% resulted in a film Ge content (x) of 8, 18 and 30 atomic %, respectively, corresponding to an enhancement factor of 2.3, 2.2 and 1.9, respectively. Also, adding Ge increased the film growth rate while reducing the photoconductivity. Adding H2 while maintaining the same reactant gas flows further enhanced incorporation of Ge at the expense of Si, resulting in a reduced bandgap. At a fixed Xg of 8.3%, as the H2 flow was increased from 0 to 2 times the reactant gas flow, the film Ge content rose from 18 to 24 atomic %. Surprisingly this was accompanied by a rapid decrease in the GeH2 and SiH2 bonding configurations and an increase in the photoconductivity, indicating an improved material quality. Contrary to the general expectation that adding Ge brings more defects, we found that adding hydrogen to the plasma resulted in higher Ge content and better quality in the a-Si1-xGex:H films. Meanwhile, reduced growth rates of the a-Si1-xGex:H films were observed at the presence of the extra hydrogen in the plasma, which indicated an enhanced “hydrogen etching” effect of the defective configuration, and a preferential etching of Si over Ge. The possible film growth mechanisms will be further discussed. We have also investigated the performances of the a-Si1-xGex:H single-junction solar cells with different hydrogen dilution and Xg. We found a larger Xg increased the short-circuit current (Jsc), but reduced the fill factor (F.F.) and the open-circuit voltage (Voc). The effect of the H2 dilution during the growth of the a-Si1-xGex:H absorber layer on the solar cell performance will be discussed. This work was sponsored by the Center for Green Energy Technology at the National Chiao Tung University. Reference[1] P. Wickboldt, D. Pang, W. Paul, J.H. Chen, F. Zhong, C.C. Chen, J.D. Cohen and D.L. Williamson, J. Appl. Phys., 81, 6252 (1997).[2] D.L. Williamson, A.H. Mahan, B.P. Nelson and R.S. Crandall, J. Non-Cryst. Solids, 114, 226 (1989).
5:15 PM - A4.3
High Quality, Low Bandgap a-Si Films and Devices Produced Using Chemical Annealing.
Vikram Dalal 1 , Ashutosh Shyam 1
1 Elec. and Comp. Engineering, Iowa State University, Ames, Iowa, United States
Show AbstractWe report on the growth and properties of a-Si films and devices produced using chemical annealing using silane and Argon. Chemical annealing is a layer-by-layer growth technique whereby a thin layer of a-Si (a few nm thick) is subjected to ion bombardment by streams of either H, He or Ar. The ion bombardment causes a change in the structure of the film, in particular, to a reduction in dihydride bonding and a lowering of the bandgap. The cycle is repeated many times to produce the necessary total thickness. Previous work in this field using Ar chemical annealing from Professor Shimizu’s group was done using standard RF PECVD techniques. In contrast, we have used VHF plasma at 50 MHz to produce high quality films and devices with significantly lower bandgaps (Tauc gap of ~1.6-1.65 eV) . VHF plasma has a lower ion energy than RF plasma, and therefore, leads to significantly lower ion damage to the film by Ar. As a result, we have been able to produce films with a low bandgap, low Urbach energy (~45 meV) and devices with very good fill factors (~70%). Results on both single junction and tandem junction solar cells made using this technique will be reported. Electron and Hole mobility-lifetime products and H content have been measured as a function of bandgap and these results will also be reported.
5:30 PM - A4.4
Microstructure Effects in Amorphous and Microcrystalline Ge:H Films.
Wolfhard Beyer 1 2 , Florian Einsele 1 , Michio Kondo 3 , Takuya Matsui 3 , Frank Pennartz 1
1 IEF5-Photovoltaik, Forschungszentrum Jülich, Jülich Germany, 2 , Malibu GmbH &Co.KG, Bielefeld Germany, 3 , National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba Japan
Show AbstractAmorphous and microcrystalline germanium (Ge:H) films as well as alloys of germanium with silicon are of interest for application in thin film silicon solar cells. Various series of (undoped) Ge:H films were grown by plasma enhanced chemical vapour deposition (PECVD) using gas mixtures of GeH4 and H2 as process gases. The crystallinity was studied by Raman scattering; the infrared absorption and effusion of implanted He /1/ was used for void-related microstructure characterization. The hydrogen concentration was measured by both infrared absorption and hydrogen effusion. The crystallinity is high at high flow ratio (FR) of hydrogen to GeH4 and decreases as FR is decreasing. Crystallinity increases with rising substrate temperature. The hydrogen content decreases with increasing substrate temperature and with increasing crystallinity. For the fully amorphous Ge:H films prepared with zero or low hydrogen dilution, the infrared microstructure parameter (determined from the Ge-H stretching modes near 1970 and 1880 cm-1) is high at substrate temperatures of 150 °C and below, and decreases at higher substrate temperatures to rather small values. The results indicate the growth of a rather compact material at Ts > 200°C and of a more void-rich material at lower Ts. The data for highly crystalline material show a similar tendency. The data for the helium effusion temperature T(He) show a mirror like behaviour to the infrared microstructure parameter with T(He) highest at highest Ts. Thus, the microstructure information from He effusion supports the infrared absorption data. For the highly microcrystalline material, the results indicate a high concentration of isolated voids. The data are compared with results of Si:H films which show a similar dependence of microstructure on substrate temperature. Possible reasons for the appearance of void-related microstructure under the various deposition conditions will be discussed. 1. W. Beyer, Phys. Status Solidi C1 (2004) 1144
5:45 PM - A4.5
Lateral Growth of High-quality Si and Ge on Amorphous and Lattice-mismatched Substrates Using Metal-catalyzed Growth.
Nate Quitoriano 1
1 Mining and Materials Engineering, McGill University, Montreal, Quebec, Canada
Show AbstractA high-quality, high-throughput, direct-growth approach to the integration of semiconductors on lattice-mismatched and amorphous substrates would revolutionize large-area and cost-sensitive technologies such as solar cells. Here, we report the growth of high-quality Si and Ge on amorphous and lattice-mismatched materials using metal-catalyzed growth at the nano-scale. This high-quality material is grown laterally over the substrate, either amorphous or crystalline, from a single seed ensuring that the material is single crystalline and has low dislocation densities. The lateral growth of films and engineering of one nucleation site is enabled by the use of guided, selective, metal-catalyzed growth. In this growth process, which is reminiscent of the Bridgman crystal growth process, it is likely important that the catalyst is a liquid at the growth temperature. When growing Ge on Si, instead of nucleating dislocations from the surface, which is done in thin film growth, dislocations can form as the growth front moves laterally and accommodate the lattice mismatch. In this manner, dislocations reside solely at the interface between the film and substrate extending from one end of the film to the other. We discuss our results at the nanoscale and describe a method to scale up this technology towards the wafer-scale and beyond. Successful growth of high-quality, single-crystalline, semiconductor films on cheap, possibly amorphous, substrates would lead to the most efficient solar cells on cheap and large substrates and enable economies of scale.
A5: Poster Session: Crystallization
Session Chairs
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - A5.1
Formation of Silicon Microstructure From KrF Excimer Laser Crystallization of Hydrogenated Amorphous Silicon on Glass and Metal Coated Glass Substrates.
Mohd Halim 1 , Amin Abdolvand 1 , Yonchang Fan 1 , Saydulla Persheyev 1 , Mervyn Rose 1
1 Electronics Engineering and Physics, University of Dundee, Dundee United Kingdom
Show AbstractTo study formation of silicon microstructures under excimer laser processing in air atmosphere two types of hydrogenated amorphous silicon (a-Si:H) film samples have been prepared: on glass substrate and on molybdenum coated glass substrate. The KrF excimer laser with the pulse duration 20 ns and 248 nm wavelength has been employed to crystallize hydrogenated amorphous silicon films. For the irradiation process, laser fluence was set within the range of 93.8 mJ/cm2 to 443.8 mJ/cm2. The SEM images reveal the formation of random spikes feature for the fluence greater than 131.3 mJ/cm2. The smallest feature size observed was ~0.6 µm and the highest feature was ~3 µm varies accordingly with the laser fluence. The thermal modelling software base on “COMSOL FEMLAB3” was used to study the effect of laser pulse towards the temperature profile of the films and substrates layer. The computer simulation and obtained experimental results discussed and compared, mechanism of microstructure formation has been suggested.
6:00 PM - A5.10
Hot-wire CVD Silicon Layers on Wafers from 500 to 800 °C: Epitaxy, Dislocations and Photovoltaic Devices.
Charles Teplin 1 , Kirstin Alberi 1 , Manuel Romero 1 , Robert Reedy 1 , David Young 1 , Ina Martin 1 , Maxim Shub 1 , Eugene Iwaniczko 1 , Carolyn Beall 1 , Paul Stradins 1 , Howard Branz 1
1 , NREL, Golden, Colorado, United States
Show AbstractWe determine the growth phase and correlate epitaxial film quality and PV device performance for silicon films grown on (100) wafers between 500 and 800 °C using hot-wire chemical vapor deposition (HWCVD). Film crystal silicon photovoltaic devices composed of epitaxial c-Si layers 2-10 microns thick, deposited on high-quality seed layers on foreign substrates, are a promising alternative to wafer-based PV. However, 15%-efficient devices will require excellent Si electronic properties. In studies of a variety of gas chemistry conditions, we find the silicon phase diagram depends primarily on deposition temperature (T), with growth rate playing a secondary role. Below 620 °C, epitaxy fails to amorphous or polycrystalline silicon. Above 620 °C, we find stable epitaxial growth. Dislocation densities, measured with electron-beam-induced current, are also found to be primarily sensitive to growth temperature, with fewer dislocations at higher T. Above 700 °C, we obtain dislocation densities as low as 1x105 cm-2 (corresponding to dislocations ~30 microns apart), suitable for efficient devices more than 5 microns thick.Modeling in PC1D shows that film silicon devices can tolerate dramatically higher impurity and dislocation densities than wafer silicon devices. We have confirmed this tolerance for defects with a series of demonstration devices on electronically dead wafers. Device performance is found to correlate with film dislocation densities; efficient devices require a dislocation spacing about 4 times the absorber layer thickness. In the best devices, a VOC of 568 mV is achieved for a 2-micron thick epitaxial film finished with a heterojunction emitter. We have also fabricated our first epitaxial devices on display glass substrates, using layer-transferred silicon templates.This work was supported by the U.S. Department of Energy under Contract No. DE-AC36-99GO10337.
6:00 PM - A5.11
Anomalous Recrystallization of a-Si Layer by He Implantation.
Ming Xu 1 2 , Esidor Ntsoenzok 1 , Gabrielle Regula 2 , Bernard Pichaud 2
1 , CNRS-CEMHTI, Orleans France, 2 , IM2NP CNRS , Marseille France
Show AbstractAmorphous Si has many applications and can be formed by various processes. Heavy ion implantation is a common way to create amorphous layers in Si. These layers gained a large interest with the need of shallow or ultra-shallow junctions in semiconductor technology. These layers are commonly achieved by Ge or Si implantation and are used to prevent boron channeling. In this study, Si and and He were co-implanted in Si in order to avoid transient enhanced diffusion (TED) and then ensure the formation of ultra-shallow junctions. 1MeV Si at 1016 Si cm-2 was first implanted. Si dose was chosen above the Si amorphization threshold, and its energy was tuned to create either embedded or superficial a-Si layer. He at 5×101 cm-2 was then implanted. Two He energies were chosen: 10 keV and 50 keV in order to have a shallower and a deeper He locations inside amorphous Si. Finally a co-implantation of 300keV Si and 10 keV He was performed at 1016 Si cm-2 and 5×1016 He cm-2 respectively. The most unexpected result was the partial recrystallization of the amorphous layer when He is implanted after 1MeV Si. Amorphous layer formed by 300 keV didn’t provide such a re-crystallization after He implantation. It is worth to remind that recrystallization of amorphous layer is known to occur after a thermal annealing at temperatures higher or equal to 500°C. In addition under He implantation, sample temperature is assumed to remain lower than 100°C. For a better insight on the role of He implantation on this phenomenon, Si mono-implantations were also carried out. TEM and Positron annihilation spectroscopy (PAS) analyses were performed on as implanted and annealed samples. Coupling TEM, PAS and TRIM simulations results in stepping forward possible mechanisms involved.
6:00 PM - A5.2
Controlled Crystallization of Hydrogenated Amorphous Silicon Thin Films by Nanocrystallite Seeding.
Jason Trask 1 , Lin Cui 1 , Andrew Wagner 2 1 , Uwe Kortshagen 1
1 mechanical engineering, university of minnesota, Minneapolis, Minnesota, United States, 2 chemical engineering and material science, university of minnesota, Minneapolis, Minnesota, United States
Show AbstractMicrocrystalline silicon thin films have attracted much attention in recent years in active matrix-liquid-crystal displays and photo-voltaic solar cells. This is due primarily to their superior transport over amorphous alternatives while maintaining a significantly lower manufacturing cost over conventional wafer-grown silicon. The general goal of current microcrystalline development efforts is to achieve further enhanced transport properties by creating films with larger grains. Recently, numerous studies have shown that the highest quality microcrystalline thin films are obtained from solid-phase-crystallization of hydrogenated amorphous thin films at sub-melting point temperatures. Control of final grain structure is often attempted through control of the nucleation rate; however, since nucleation rate is sensitive to several factors, reproducible grain structures through annealing of pure amorphous films can often be difficult. In this paper, we discuss a new method for more effectively controlling the crystallization of hydrogenated amorphous films, through seeding of the bulk matrix with nanocrystallites. Films were deposited through PECVD methods using a system in which two plasmas were operated to produce crystallites and amorphous films separately. Unlike previous methods in which both plasmas have been run simultaneously to produce a somewhat continuous crystallite distribution throughout the amorphous film, the current method utilizes a multi-stage process in which particle and film plasmas are run at separate times. This layered approach allows for separate conditions to be present in each plasma, thus allowing for greater control of particle size, shape, and concentration within the film. The resulting films consist of a structure in which a single layer of crystallites exists between two layers of hydrogenated amorphous film. Several single “seed-layer” films were deposited with varying concentrations of cubic structured seed crystallites with sizes ranging between 20-30nm in edge length. Samples were subsequently annealed, along with unseeded control samples, in a quartz furnace under nitrogen flow for extended time periods, and crystallization kinetics were monitored through Raman spectroscopy. Results showed that all seeded samples showed substantially reduced crystallization compared to non-seeded samples, with crystallization onset in seeded samples monotonically decreasing with increasing seed density. Furthermore, films crystallized from seeded structures exhibited a monotonic decrease in electronic transport with increasing initial seed density, suggesting that final grain size is controlled by seed concentration.This work was supported by the Xcel Renewable Development Fund under grant RD-3-25 and by NSF under grant DMR-0705675,
6:00 PM - A5.3
Relative Crystallite Sizes for Thermally Annealed a-Si:H Films With and Without a Sub-threshold Laser Fluence.
Matthew Dabney 1 , Phil Parilla 1 , Kim Jones 1 , Helio Moutinho 1 , A. Mahan 1 , David Ginley 1
1 NCPV, NREL, Golden, Colorado, United States
Show AbstractThe interaction of a sub-threshold laser fluence with a-Si:H thin films has been actively investigated (1). When the films are subsequently thermally annealed in order to induce crystallization, the film incubation periods are seen to decrease, with the amount of this decrease directly related to the initial a-Si:H film H content. For films containing H contents greater than 25 at.%, it is seen that the crystallization of the laser illuminated samples is almost completed before the native (no laser illumination) crystallization sites are detected. In previous work, XRD has been the primary characterization tool, and evidence for complete crystallization has been taken to be the saturation of the Si(111) XRD amplitude with anneal time. The decrease in the film incubation period upon laser annealing has been tentatively explained in terms of multivacancy annihilation, in the context of a recently developed model for a nucleation center (2). Missing to date has been any examination of the relative crystallite sizes with and without laser illumination. In this work, we report data on the relative crystallite sizes for both as grown and laser illuminated films as obtained from EBSD and TEM measurements. The EBSD results are for fully crystallized films, while the TEM measurements are for fully crystallized as well as partially crystallized films. For the latter, the films are annealed in situ on the XRD hot stage, and annealing is terminated when the Si(111) XRD amplitude reaches a pre-determined fraction of that for the fully annealed film. (1)M.S. Dabney, P.A. Parilla, A.H. Mahan, and D.S. Ginley, Appl. Phys. Lett. (2009) in press.(2)A.H. Mahan, T. Su, D.L. Williamson, L.M. Gedvilas, S.P. Ahrenkiel, P.A. Parilla, Y. Xu, and D.S. Ginley, Adv. Funct. Mat. 19 (2009) 6728.
6:00 PM - A5.4
Influence of Hydrogen Dilution on the Crystallization of Amorphous Silicon Films by Aluminium-induced Crystallization.
Prathap Pathi 1 , Ozge Tuzun 1 , Stephane Roques 1 , Schmitt Sebastien 1 , Abdelillah Slaoui 1
1 , InESS-UdS-CNRS, Strasbourg France
Show AbstractAmorphous silicon (a-Si) is the leading electronic material for large-area applications, particularly in solar photovoltaics, using which crystalline silicon can be produced by several low temperature methods. However, the local environment of a-Si influences the nucleation and hence the crystallization kinetics enormously. One of the proven potential methods to convert a-Si into polycrystalline thin films is Aluminium induced crystallization (AIC) [1]. This method has been successfully used to produce large grains polycrystalline silicon (poly-Si) thin films on glass and ceramic substrates [2]. In this process, a thin amorphous Si layer on top of an aluminium layer crystallizes at temperatures well below the eutectic temperature of the Al/Si system (Teu= 577 °C). In the present study, the effects of hydrogen dilution in amorphous silicon on the crystallization kinetics have been studied using AIC. The a-Si films were deposited at different ratios of H2/(H2+SiH4) using PECVD on glass-ceramic substrates. The thicknesses of aluminium and a-Si films were 0.20 μm and 0. 37 μm, respectively. The bi-layers were annealed in a tube furnace at 475°C for 8 hours in a nitrogen atmosphere. Structural analyses were carried out by optical and scanning electron microscopy (SEM) to check the surface morphology of the formed layers. The degree of crystallinity was monitored by Raman and reflectance spectroscopy. The Electron Back Scattering Diffraction (EBSD) method was used to determine the grain size, grains orientation and the type of intra-grain defects.The results indicated that as the hydrogen concentration increases in a-Si films from 0 % to 82 %, the poly-Si films are more stressed compressively, while the full width at half maximum of Raman peak increased from 6.7 cm-1 to 8.6 cm-1. It was found that the initiation of crystallization temperature depended on the hydrogen content in a-Si films. In addition, morphology of poly-Si films was dramatically influenced by the hydrogen content in a-Si films.1. A. Slaoui, P. Siffert, in “Silicon: Evolution and Future of a Technology”, Springer Verlag Ed., edited by P. Siffert, E.F. Krimmel, (2004), pp.45-65.2. A. Slaoui, E. Pihan and A. Focsa, Solar Energy Materials and Solar Cells, 90 (10), 2006, p.1542-1552.
6:00 PM - A5.5
Rapid Thermal Annealing of Amorphous Silicon Thin Films Grown by Electron Cyclotron Resonance Chemical Vapor Deposition.
Pei-Yi Lin 1 , Ping-Jung Wu 1 , I-Chen Chen 1
1 Institute of Materials Science and Engineering, National Central University, Jhong-li Taiwan
Show AbstractAmong various growth methods of silicon thin films, electron cyclotron resonance chemical vapor deposition (ECR-CVD) is a promising approach to achieve high deposition rate and expected to be a potential equipment for the next generation of Si thin film solar cell production since ECR plasma can generate high electron density, low energy ions and highly active species. Thermal annealing treatments could enhance the grain growth and crystallinity, and reduce the defects in the absorption layer of as-grown hydrogenated amorphous Si (a-Si:H) and hydrogenated nanocrystalline Si (nc-Si:H) solar cells, thus they could highly improve the solar cell performance and photon-to-electron conversion efficiency. However, there has been little work done on investigation of annealing effects on ECRCVD-grown films. In this study, a 600-nm-thick a-Si:H film was deposited by ECR-CVD on a Si substrate covered with the SiO2 capping layer, and then rapid thermal annealing (RTA) treatments were applied to the as-grown films in nitrogen atmosphere. The temperature range of annealing is varied from 500 to 1000 °C. The grain size, crystalline fraction and microstructure of annealed films were investigated through Raman spectroscopy, X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM). Raman spectroscopy measurements indicate that the crystalline fraction of a-Si:H films is gradually raising up to more than 80% with the higher process temperature. From the XRD spectra, a larger grain size up to 20 nm could be obtained from the RTA treatment at 1000 °C. The detailed relationship between the annealing temperature and the film structure/crystallinity were also investigated. The grain size and crystalline fraction of the annealed films could be controlled by selecting an appropriate annealing temperature and time.
6:00 PM - A5.6
Origin of Twin Formation in Silicon Growth From the Melt: A Molecular Dynamics Approach.
Johan Pohl 1 , Michael Mueller 2 , Albrecht Seidl 2 , Karsten Albe 1
1 Institute for Materials Science, TU Darmstadt, Darmstadt Germany, 2 , Wacker-Schott Solar GmbH, Alzenau Germany
Show AbstractIn polycrystalline silicon obtained by directional solidification or etch-defined film-fed growth (111) twin boundaries are frequently present and can also occur in Czochralski grown crystals. Since there is no widely accepted picture about the conditions promoting twin formation in silicon growing from the melt, we have studied this issue by molecular dynamics simulations. For a moderate undercooling of 25 K, we find that twins do not nucleate on (111) microfacets in the perfect crystal, but exclusively occur in the vicinity of grain boundaries. Only at an undercooling of 150 K, we observe the formation of metastable twin bounded loops with incoherent interfaces to the matrix consisting of coherency and anticoherency dislocations. In conclusion, the nucleation of stable twins in silicon growth requires the presence of a grain boundary or more general of a three-phase boundary, but is unlikely to occur on ideal (111) facets because of the excess energy of the interfacial area between matrix and twinned crystal.
6:00 PM - A5.7
Mixed-phase Solidification of Thin Si Films via Xenon-Arc Flash-Lamp Annealing.
Monica Deep 1 , G. Ganot 1 , K. Omori 1 , U. Chung 1 , A. Limanov 1 , A. Chitu 1 , James Im 1
1 Program in Materials Science and Engineering, Columbia University, New York, New York, United States
Show AbstractMixed-phase solidification (MPS) is a newly developed beam-induced solidification method that can produce large-grained and highly (100)-surface textured polycrystalline Si films on SiO2 [Van der Wilt et al., Proc. of SPIE Vol. 6106 (2006)]. The grains resulting from the MPS method, which was conceived based on the phenomenon of coexisting solid-liquid regions in radiatively melted Si films [Bosch and Lemons, Phys. Rev. Lett. 47, 1151 (1981)], are found to be essentially and remarkably devoid of intragrain defects. Such singular material characteristics qualify these films, among other applications, (1) as the best precursor material for generating location- and surface-orientation-controlled SOI-quality single-crystal regions via the hybrid sequential lateral solidification (SLS) method for high-performance large-area electronics and 3-dimensional integrated circuits, and (2) as an ideal seed layer, with or without SLS, for subsequently epitaxially fabricating high performance devices (e.g., Si film-based solar cells on low-cost and large-area substrates).Previously, we have utilized a cw-laser system to develop and demonstrate the effectiveness of the MPS method. Recognizing that it requires neither the laser nor the scanning of highly localized beam, we demonstrate in this paper how the MPS method can be effectively executed using the incoherent light from xenon-arc flash lamps. Specifically, the way in which spatially distributed melting and solidification transpires in a massively parallel manner at the grain boundaries during MPS – when induced by a radiative heat source – means that MPS can be performed via, for instance, the “flood” irradiation of a sample using an incoherent light source. Doing so, in turn and in contrast to zone-melting recrystallization (ZMR), liberates the MPS method from the challenges and constraints that are associated with such technical elements. We have chosen to utilize a xenon-arc flash-lamp-based approach as it represents a potentially cost-effective and scalable option. These lamps possess established capability to deliver prodigious amounts of optical power over a wide range of MPS-suitable pulse durations. The present work (conducted using the pulses with less than ~50μsec to over ~10msec in duration) substantiates that the approach is indeed well suited for single- and multi-MPS processing of thin Si films (50nm to 200nm) on SiO2.
6:00 PM - A5.9
Low Temperature Polycrystalline Silicon Thin Film Transistor Crystallized by Metal Induced Crystallization Using Ni Catalyst Deposited by Metal Organic Chemical Vapor Deposition.
SeWan Son 1 , Chang Woo Byun 1 , Hun Hee Lee 1 , Hyung Jung Lee 1 , Eun Ae Lee 1 , Sk Joo 1
1 , Seoul National University, Seoul Korea (the Republic of)
Show AbstractMetal Induced Crystallization(MIC) seems very promising technology for fabrication of thin film transistor due to its low cost and short processing time. However metal contamination which is used as a catalyst for crystallization can cause severe effect on the electrical properties of Thin Film Transistor such as high leakage current and therefore the decrease in On/Off ratio. It was reported that Ni organic source such as (MeCp)2Ni and acac2Ni can be used as a Ni precursor for metallic chemical vapor deposition of ultra thin Ni layer which can drastically reduce the residual Ni in the crystallized poly silicon thin film. In this study we prepared the poly silicon thin film using Metal Organic Chemical Vapor Deposition(MOCVD)of Ni as a catalyst for crystallization of amorphous silicon. The layers of amorphous silicon and Nickel were deposited on glass substrate by means of PECVD and MOCVD respectively. The thickness of the amorphous silicon layer was fixed at 1000Å and the thickness of the Ni layers were varied as the process time for the MOCVD were changed between 1 to 10 min. Post annealing was then carried out in a tube furnace in hydrogen atmosphere at different temperatures(450-600°C) for different times (1-5hours) in order to crystallize the a-Si layer. It was found that Ni deposited a-si films can be crystallized below 500°C. A Polycrystalline silicon Thin film Transistor, the active layer of which was crystallized by MIC using MOCVD as a Depositing method of Ni catalyst, was fabricated. The post annealing process for the MIC of TFT was performed at 550°C in hydrogen ambient for 2hours. The TFT shows the Vth of -8.5V, swing of 0.78 and the On/Off ratio of 4.5E4. The effects of the thickness of the Ni Layer on the grain size of the poly silicon film will be discussed in detail and the effect of the grain size of poly silicon thin films on the electric characteristics of TFTs will be investigated.
A6: Poster Session: Nanostructured Silicon
Session Chairs
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - A6.1
Growth Morphology of Hydrogenated Amorphous Silicon Solar Cells on Nanowire Substrates.
Ting Liu 1 , Wook Jun Nam 2 , Stephen Fonash 2 , Lin Han 1 , Sigurd Wagner 1
1 Department of Electrical Engineering and Princeton Institute for the Science and Technology of Materials, Princeton University, Princeton, New Jersey, United States, 2 , Solarity LLC, State College, Pennsylvania, United States
Show AbstractThe principal purpose of solar cells made in the form of nanowire pillars is to combine long optical absorption length with short electrical collection length. Light is absorbed along the axis of the pillar, and the photogenerated charge is collected radially. A key aspect of such nanowire pillar structures is the translation of the planar p-n or p-i-n layer geometry of a conventional solar cell to a cylinder. This translation requires that layer growth is mapped from a 1-D geometry to a 2-D and possibly even 3-D geometry. Yet uniform thicknesses of the cell layers, particularly of the photoactive layer, must be retained. We have been conducting experiments on growing and analyzing layers of hydrogenated amorphous silicon (a-Si:H) on pillars of nanowires made of several materials. a-Si:H is particularly attractive for such experiments because it is grown at low temperatures that are compatible with many substrate and pillar materials. Furthermore a-Si:H can be deposited in both physical and chemical vapor deposition modes, i.e., at short or long surface diffusion lengths of the growth species, by varying the conditions of plasma-enhanced chemical vapor deposition. Opto-electronic and scanning microscopy analysis of the resulting solar cells shows that a very wide range of growth geometries can be obtained, going from conformal coating of pillars to the burying of pillars under an overlayer. Our results suggest that an enormous range of solar cell geometries can be produced on the sub-micrometer scale. We believe that combining nanopillar substrates with a-Si:H cell layers can produce structures with sophisticated designs that, beyond being optically long and electrically short, will allow close control of the subwavelength photonic properties of the solar cell.
6:00 PM - A6.2
Electroluminescence Characteristics of Silicon Nanocrystals Embedded in Silicon Nitride Films Deposited at Low Temperature (<200 °C).
Kyoung-Min Lee 1 , Jae-Dam Hwang 2 , Youn-Jin Lee 2 , Kil-Sun No 2 , Wan-Shick Hong 1 2
1 Nano Engineering, University of Seoul, Seoul Korea (the Republic of), 2 Nano Science and technology, Univesity of Seoul, Seoul Korea (the Republic of)
Show AbstractSilicon nanocrystals embedded in silicon nitride films prepared by catalytic chemical vapor deposition (Cat-CVD) at low tempearature (<200 °C). The density and size of silicon nanocrystals were controlled by variation of H2/SiH4 and NH3/SiH4 flow rate ratios, respectively [1, 2]. We attempt excimer laser annealing and hydrogen annealing inside the Cat-CVD chamber to increase the density of silicon nanocrystals. The density of silicon nanocrystals was analyzed by change of photoluminescence (PL) intensity. The increments of PL intensity were lagest at the excimer laser power of 59 mJ/cm2 in excimer laser annealing and increased with hydrogen annealing times. Metal insulator semiconductor (MIS) and p-i-n structures were fabricated for electroluminescence (EL) measurements. N-type amorphous silicon film deposited by Cat-CVD and p-type silicon wafer were used in p-i-n structure. Aluminum zinc oxide (AZO) films was emploied as electrodes. The change of EL spectra was observed by the thickness of silicon nitride film. Fowler-Nordheim (F-N) tunneling behavior was observed in current-voltage (I-V) curve. Phosphorus doping in silicon nitride films was attempted to incraese the electric currents of EL devices. The change of these currents and EL spectra were observed by the doping concentrations. [1] Kyoung-Min Lee, Tae-Hwan Kim and Wan-Shick Hong, Scripta Materialia, 59, (2008) pp. 1190-1192 [2] Kyoung-Min Lee, Tae-Hwan Kim and Wan-Shick Hong et al. Scripta Materialia, 60, (2009) pp. 703-705
6:00 PM - A6.3
On Optical, Structural, and Electrical Properties of Ultra-thin and Very-thin SiO2/Si Structures Prepared by Chemical Wet Methods.
Martin Kopani 1 , Hikaru Kobayashi 2 , Pavel Vojtek 3 , Ludovit Malinovsky 4 , Masao Takahashi 2 , Milan Mikula 5 , Kentarou Imamura 6 , Monika Aranyosiova 7 , Matej Jergel 4 , Woo-Byoung Kim 2 , Emil Pincik 4
1 , IoPA of Comenius University, Bratislava Slovakia, 2 , Institute of Scientific and Industrial Research, Osaka University and CREST, Japan Science and Technology Organization, 8-1, Mihogaoka, Ibaraki, , Osaka Japan, 3 , Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Bratislava Slovakia, 4 , Institute of Physics of Slovsk Academy of Sciences, Bratislava Slovakia, 5 , Department of Graphic Art Technology and Applied Photochemistry, Faculty of Chemical and Food Technology, Slovak University of Technology, Bratislava Slovakia, 6 , DTDG, Sharp Corporation, Ichinomoto-cho, Tenri, Nara 632-8567, Nara Japan, 7 , International Laser Center, Bratislava Slovakia
Show AbstractSiO2 thin films still belong to extensively studied material because if they are prepared in the form of high quality ultrathin and very thin oxides, they can be used in different applications, e.g. as gate oxides in the formation of VLSI as well as in the LCD production. We analyzed properties of very-thin SiO2 layer structure with thickness of 3 nm and 5 nm formed on moderately doped n-type Si (100) wafers, which were cleaned before formation of oxide layers using the standard RCA method and consequently they were annealed in nitrogen and parts of samples were passivated in aqueous HCN solutions.FTIR spectroscopy was used for obtaining information on different types of bonds in the structure. In passivated and non-passivated samples were identified the longitudinal optical (LO) and transverse optical (TO) modes, respectively, of the Si–O–Si asymmetric stretching vibration for SiO2. It was found that TO mode position (~1107 cm-1) and amplitude are independent on thickness of the sample. On the other hand, LO mode position varied from ~1230 cm-1 (thickness ~1.5 nm) to ~1244 cm-1 (thickness ~4.5 nm). From FTIR peakshifts we were able to suggest inhomogeneity in ultrathin and very thin SiOx based structures. Detailed deconvolution of FTIR spectra was performed to obtain relevant information. Atomic composition of the samples was investigated by SIMS method. Surprisingly there were identified also NH bonds of different amounts in dependence on technological conditions. Structural properties, densities of layers, roughnesses of surfaces and corresponding interfaces were determined, also, by original method based on theoretical treatment of data recorded of samples by X-ray reflectivity. The results are compared and discussed with ones obtained by spectral ellipsometry and AFM.By charge version of deep level transient spectroscopy we have confirmed strong passivation influence of HCN solutions on the SiO2/Si interface density of states. After passivation procedure we have registered, on negligible density level, also newly formed interface deep defect traps. Their origin we relate with just formed NH atomic couples introduced to the interface region by passivation procedure.
A7: Poster Session: Solar Cells
Session Chairs
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - A7.1
Effect of Deposition Temperature on Hydrogenated Nanocrystalline Silicon Solar Cell Performance Studied by Hydrogen Effusion.
Tining Su 1 , David Bobela 2 , Xixiang Xu 1 , Scott Ehlert 1 , Dave Beglau 1 , Guozhen Yue 1 , Baojie Yan 1 , Arindam Banerjee 1 , Jeff Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic, Troy, Michigan, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractSolar cells based on hydrogenated nanocrystalline silicon (nc-Si:H) thin films have attracted increasing interests due to their excellent stability against light-induced degradation and superior long wavelength response [1]. In nc-Si:H thin films, the grain boundaries play a particularly important role in determining electronic properties of the material. These grain boundaries could provide an easy path for oxygen and moisture to penetrate into the film, forming oxygen related complex at the grain boundaries, and cause degradation of the solar cell performance under ambient conditions (ambient degradation). In addition, in nc-Si:H thin films, most of the defects are located at the grain boundaries [2], and these defects are believed to contribute to the reduction of the open-circuit voltage (Voc) in solar cells. It has been proposed that improving hydrogenation of the grain boundaries can reduce the defect density at the grain boundaries, and improve the solar cell performance [3]. Full hydrogenation of grain boundaries could also reduce the sites available for forming oxygen-related defects. It has been reported that depositing nc-Si:H films at lower temperatures can reduce carrier density in i-layer and improve Voc [3]. However, it is difficult to determine quantitatively the degree of hydrogenation of the grain boundaries, and direct evidence of its impact on the solar cell performance is not clear.We investigated correlation between the nc-Si:H solar cell performance and hydrogenation of grain boundaries using hydrogen effusion technique (H-effusion). We studied the H-effusion spectra in large-area nc-Si:H and hydrogenated amorphous silicon (a-Si:H) i-layers deposited using Modified Very High Frequency (MVHF) technique [4]. The H-effusion spectra of nc-Si:H films have a distinct peak near 350 °C, which is significantly different from hydrogenated amorphous silicon thin films (a-Si:H). This peak is attributed to the silicon dihydride and polyhydride sites that are most likely located at the grain boundaries in nc-Si:H. We studied the thickness dependence of the linewidth of this peak in nc-Si:H films deposited at the same temperature. We also compared the shape of this low temperature peak in nc-Si:H films deposited at different temperatures. H-effusion spectra for films that are both stable and unstable against ambient degradation have been analyzed. Details of the results and their potential correlation with the hydrogenation of the grain boundaries will be discussed.[1] J. Meier, R. Flückiger, H. Keppner, and A. Shah, Appl. Phys. Lett. 65, 860 (1994).[2] T. Su, Tong Ju, Baojie Yan, Jeffery Yang, Subhendu Guha, and P. Craig Taylor, J. Non-Cryst. Solids, 354, 2231 (2008).[3] M. Kondo, et al., Proceedings of 31st IEEE PVSC (IEEE, New York, 2005), p. 1377.[4] X. Xu, et al., Proc. 34th IEEE PVEC, (2009) in press.
6:00 PM - A7.10
Thin-film Silicon Solar Cell Structures with Low-temperature Epitaxial Absorber Layers and Microcrystalline Emitters.
Michael Deceglie 1 , Krista Langeland 1 , Harry Atwater 1
1 Engineering and Applied Science, California Institute of Technology, Pasadena, California, United States
Show AbstractSolar cell absorbers based on epitaxial crystalline silicon grown by hot-wire chemical vapor deposition (HWCVD) at low substrate temperature can incorporate the device physics advantages of single crystal material with the reduced processing and materials costs of thin-film materials. However, cells with both absorber and emitter deposited by HWCVD exhibit unstable performance attributed to the porous and rough structure of the HWCVD films. We investigate the use of microcrystalline Si deposited by plasma enhanced chemical vapor deposition (PECVD) to form a high quality junction and function as an emitter on an epitaxial HWCVD absorber. We report solar cells with epitaxial Si absorber layers grown at substrate temperatures below 500°C by HWCVD where epitaxial growth can be achieved by introducing hydrogen during growth. Atomic hydrogen preferentially suppresses amorphous Si deposition in favor of the crystalline phase. These processing temperatures are compatible with low-cost glasses, such as soda lime glass, and allow hydrogen to be incorporated during growth for bulk passivation. We have grow n-type crystalline Si by low-temperature epitaxial HWCVD on (100) n++ c-Si template wafers. Growth was from silane with dilute phosphine using a 0.5 mm diameter tungsten wire heated to between 1600-1900° C, and was performed under H2/SiH4 flow ratios from 50-200, pressures from 50-120 mTorr, and substrate temperatures from 250-500°C. The epitaxial HWCVD films grown at these low temperatures exhibit complex structure. Crystallographic twinning, surface roughening, and voids are observed in these films and depend on the deposition conditions and film thickness. We have studied these features obtained under varying growth conditions by cross sectional TEM, SEM, and XRD to identify conditions for dense epitaxial films suitable for solar cells.The solar cell emitter was formed by PECVD of p+ microcrystalline Si from silane and hydrogen, grown at a substrate temperature of 300°C. We have used Raman spectroscopy and Hall measurements on these films to guide tuning of the hydrogen dilution and other growth conditions to achieve microcrystalline deposition of films with carrier concentrations ~1019 cm-3. We first demonstrated the performance of the PECVD emitters deposited on n-type Si wafers, using a sputtered transparent conducting oxide (TCO) as a top contact, and observed open circuit voltages exceeding 600 mV under AM1.5G illumination. We then deposited the p+ Si PECVD emitter on the n Si epitaxial HWCVD film, and deposited a TCO as a top contact to form a solar cell.The effect of film structure on device performance, focusing on both the bulk properties of the HWCVD layer, and the properties of the HWCVD/PECVD interface, will be discussed. We also evaluate the performance of the HWCVD absorbers by comparing the HWCVD/PECVD cells to control cells fabricated from crystalline wafers with the PECVD emitter.
6:00 PM - A7.11
The Study of Optical and Electrical Properties of a-SiC:H for Multi-junction Si Thin Film Solar Cell.
Jenny H. Shim 1 , Dong-Ju You 1 , Seh-Won Ahn 1 , Heon-Min Lee 1
1 , LG Electronics, Seoul Korea (the Republic of)
Show AbstractIn a multi-junction cell, the use of wide bandgap materials as the top cell is necessary to achieve high efficiency [1]. However, achieving a good quality of wide bandgap materials as thin films with low defect densities is a big challenge. Among the many available wide band gap materials, we have here prepared intrinsic hydrogenated amorphous silicon carbide (a-SiC:H) films. The films were deposited by plasma-enhanced chemical vapour deposition (PECVD) using a gas mixture of silane, methane, and hydrogen. The gas ratios, methane:silane and hydrogen:silane, were varied for various power and pressure conditions. The microstructural and photoelectronic properties of a-SiC:H films were analyzed by adopting Ellipsometry (SE), Fourier transformed infrared spectra (FT-IR), Raman spectra, XPS and other techniques. We observed that the phase of the film changes from amorphous to microcrystalline with increasing power and the energy bandgap increased sensitively with methane:silane gas ratio. For the various conditions, the defect density of a-SiC:H was calculated using constant photocurrent measurement (CPM) technique. These measurements indicate that the defect density of the film can be controlled by using appropriate power and pressure conditions. In this meeting, the results on single cells for various conditions will be presented.Reference[1]. Ihsanul Afdi, Kenji Hashizume, Shinsuke Miyajima, Akira Yamada, and Makoto Konagai, Solar Energy Materials & Solar cells 93, 1056 (2000).
6:00 PM - A7.12
Partial Polycrystalline Silicon Solar Cell by Metal-induced Lateral Crystallization.
Eunae Yoon 1 , Seungki Joo 1 , Sewan Son 1
1 , School of Materials Science and Engineering, Seoul national university, Seoul Korea (the Republic of)
Show AbstractAmorphous silicon (a-Si) is the best developed thin film material and has been in commercial production since 1980. It has the advantages of relatively cheap, low temperature deposition and the possibility of growing on a variety of substrates. Adsorption of visible light is better than for crystalline silicon (c-Si). Polycrystalline silicon has higher mobility than c-Si. Therefore the partial thin film polycrystalline silicon (PPS) solar cells have been invented to get all advantages of them. We designed the cell which is partially crystallized by metal induced laterally crystallization (MILC). Ni was used as a catalyst and the Ni gap was from 30μm to 60μm. n type and i type a-Si were deposited on the glass by PECVD. And then Si patterns were formed by conventional lithography and 50Å Ni was deposited on the a-Si by sputter. The p layer was doped by an ion mass doping system(IMDS) using B2H6 source gas. And then samples were annealed at 580 degrees centigrade for 2 hours in hydrogen ambient. About 20μm polycrystalline Si was grown laterally and there were both a-Si and polycrystalline Si on the one cell.
6:00 PM - A7.15
P-layer Optimization in High Performance a-Si:H Solar Cells.
Yueqin Xu 1 , Bill Nemeth 1 , LuSheng Hong 2 , Falah Hassoon 1 , Jonghun Lyou 3 , Qi Wang 1
1 , NREL, Golden, Colorado, United States, 2 , National Taiwan University of Science and Technology, Taipei Taiwan, 3 , Korea University, Seoul Korea (the Republic of)
Show AbstractWe are reporting our progress toward high performance hydrogenated amorphous silicon (a-Si:H) solar cells fabricated in our newly installed multi-chamber film Si deposition system. Hydrogenated amorphous silicon is made by standard RF-PECVD. This system has produced over 10% initial efficiency a-Si:H p-i-n single junction devices on Asahi U-type TCO glass. The importance of the p-layer to the cell is identified and will play a critical role to further improve the cell performance. We characterized the occurrence of an S-shape I-V in our cells leading to a low fill factor (FF). By changing p-layer parameters such as dopant levels, band gap, and thickness in cells, we concluded that the S-shape is attributed primarily to the poor electrical contact between TCO and p-layer. With the optimization of the contact, the S-shape was eliminated, and the FF of our cells improved to as high as 72%. We also use AMPS to simulate the effect of p-layer on the cell performance.
6:00 PM - A7.16
Ultrathin Si Photovoltaic Films With Enhanced Absorption.
Jeremy Strader 1 2 3 , Ritesh Sachan 2 3 , Yueying Wu 2 3 , Alexander Paradies 2 , Nozomi Shirato 2 3 , Gerd Duscher 2 3 , Philip Rack 2 3 , Hernando Garcia 4 , Ramki Kalyanaraman 1 2 3
1 Chemical and Biomolecular Engineering, University of Tennessee, Knoxville, Tennessee, United States, 2 Sustainable Energy Education and Research Center (SEERC), University of Tennessee, Knoxville, Tennessee, United States, 3 Materials Science & Engineering, University of Tennessee, Knoxville, Tennessee, United States, 4 Dept. of Physics, Southern Illinois University, Edwardsville, Illinois, United States
Show AbstractCost-effective, lightweight, and flexible solar panels with superior energy conversion are presently not feasible because relevant thin film photovoltaic materials, such as Si, do not absorb visible light efficiently. This necessitates the use of thick film or bulk material to construct effective solar cells. In this work, we outline two methods that may be used to improve the efficiency of thin film photovoltaics. First, traditional surface texturing methods that are used to increase the light trapping efficiency of thick solar cells are impractical for ultrathin films because surface texturing amplitudes are of the order of few microns; however, this texturing may be accomplished for ultrathin solar cells films by utilizing rough metallic contact layers. Second, by making use of optical homogenization models, that can accurately model the effective dielectric behavior of composite materials, we have found that specific categories of embedded metallic nanoparticles are excellent candidates for enhancing absorption in the visible as well as at energies below the Si band gap. This has been confirmed experimentally by optical characterization of composite thin films.
6:00 PM - A7.17
Optoelectronic Properties of Microcrystalline Silicon Pin Solar Cells Prepared Over a Wide Range of Absorber Layer Compositions.
Steve Reynolds 1 , Vlad Smirnov 2
1 Electronic Engineering and Physics, University of Dundee, Dundee United Kingdom, 2 IEF-5 Photovoltaik, Forschungszentrum Juelich, Juelich Germany
Show AbstractCurrent-voltage (J-V) data for nominally 4 um thick microcrystalline silicon pin solar cells prepared using PECVD, measured in the dark and under simulated AM1.5 illumination, are presented. The deposition series studied spans a wide range of absorber layer compositions, obtained by varying the process gas mixture between 5% and 15% silane concentration in hydrogen, and monitored by Raman measurements. Earlier, we reported measurements made on the same series using the photocarrier time-of-flight technique, that indicated a significant enhancement in hole mobility, and a possible decrease in electron mobility, at low crystalline composition, when compared with amorphous silicon [1, 2]. Two approaches have been taken when analyzing the J-V data: (i) Materials-based computer models, specified in terms of transport parameters such as mobilities, band tails and defect densities; (ii) Empirical ‘two-diode’ electrical models, specified in terms of currents generated and/or absorbed in each circuit element, in proportion to the crystalline composition. Materials-based models are able to reproduce the main features of the J-V characteristics, but are less successful when accounting for variations in, for example, photovoltaic efficiency and fill-factor with absorber-layer composition. Empirical models give less insight into device physics, but within certain assumptions they may be used successfully to predict compositional dependence of solar cell performance, as demonstrated recently by the United Solar group [3, 4]. Here we have extended this approach by translating the electrical model into a PSPICE circuit simulation, which reproduces two significant features of compositional dependence, namely that (i) a small proportion of microcrystalline character results in a significant reduction in open-circuit voltage, (ii) the photovoltaic efficiency vs. composition curve exhibits a minimum at around 10% microcrystalline. This approach is contrasted with adjustment of material parameters in a transport model.[1] T. Dylla, S. Reynolds, R. Carius, F. Finger, J. Non-Cryst. Solids 352, 1093 (2006).[2] S. Reynolds, R. Carius, F. Finger, V. Smirnov, Thin Solid Films 517, 6392 (2009).[3] B. Yan et al, Proc. Third World Conference on PV Energy Conversion, Vols. A-C (2003), p. 1627.[4] B. Yan et al, J. Appl. Phys. 101, 033712 (2007).
6:00 PM - A7.18
Nanocrystalline Superlattice Solar Cells.
Vikram Dalal 1 , Nayan Chakravarty 1
1 Elec. and Comp. Engineering, Iowa State University, Ames, Iowa, United States
Show AbstractWe report on a new type of nanocrystalline Si based superlattice solar cell. The base i layer of the p+in+ junction solar cell is made from multiple stacks of a superlattice comprising alternating layers of nanocrystalline Si:H and amorphous (Si,Ge):H. The thickness of each of the layers comprising the superlattice can be individually varied. The objective of using a-(Si,Ge) is to increase light absorption in the cell. We show that using such layers, we can increase the quantum efficiency in the infrared regions of the spectrum and the total current in the solar cell, since the electron-hole pairs generated in the amorphous layer are efficiently collected by the surrounding nanocrystalline layers. We have made measurements of the Raman crystallinity of the cell and show that the presence of the amorphous layer preserves a uniform degree of crystallinity throughout the thickness of the film. We will report on the structural and electronic properties of the materials and devices including defect density, quantum efficiency, minority carrier lifetimes and effective diffusion lengths of holes.
6:00 PM - A7.19
Semi-transparent Amorphous Silicon Solar Cells for Building Integrated Applications.
Ehsanollah Fathi 1 , Andrei Sazonov 1
1 ECE Dept., University of Waterloo, Waterloo, Ontario, Canada
Show AbstractRecently we proposed using Distributed Bragg Reflectors (DBR) at the back of single junction amorphous silicon solar cells fabricated on glass and plastic substrates [1]. DBR is designed to be transparent in the visible range and highly reflective in the infrared part of the light spectrum. Here, we present our results on design and fabrication of semi-transparent amorphous silicon solar cells on glass and plastic substrates for building integrated photovoltaic applications. In this paper, we have investigated the effect of undoped layer thickness on the transmittance and spectral response of the fabricated cells. Using optimized doped nanocrystalline silicon and undoped protocrystalline silicon layers, p-i-n structures were fabricated on glass and PEN substrates. To comply with plastic substrates, all layers are deposited at substrate temperatures not exceeding 150oC. In order to further enhance the light absorption by multiple photon reflection and achieve higher conversion efficiencies, we used textured Transparent Conductive Oxide (TCO) layer as the back electrode.Our measurements show that with a 340nm i-layer thickness and a smooth back TCO layer, efficiencies of 5.1% and 4.4% for single junction solar cells fabricated on glass and Polyethylene Naphtalate (PEN) substrates were achieved. At this i-layer thickness the light transmittance for the wavelength range of 500-600 nm is about 76%. While decreasing i-layer thickness improves the visible light transmittance through the solar cell, it reduces the conversion efficiency. Consequently, there is an optimum i-layer thickness which represents a trade-off between maximum possible efficiency and transparency at the same time. The obtained results and the performance comparison of the fabricated cell with smooth and textured back TCO layer will be discussed in details.[1] E. Fathi, A. Sazonov, "Efficiency enhancement of thin film silicon solar cells on plastic substrates using distributed Bragg reflectors," Proc. SPIE 7409, 74090D (2009).
6:00 PM - A7.20
Amorphous Silicon Solar Cells With Silver Nanoparticles Embedded Inside the Absorber Layer.
Rudi Santbergen 1 , Renrong Liang 1 , Miro Zeman 1
1 , Delft University of Technology, Delft Netherlands
Show AbstractThin-film hydrogenated amorphous silicon (a-Si:H) solar cells have the potential to provide large-scale solar electricity at low cost. To keep the inherent light-induced degradation and the production costs of the a-Si:H solar cell low, the thickness of its absorber layer has to be kept as thin as possible. Reducing the thickness of the absorber layer will reduce the absorption especially in the near infrared part of the solar spectrum. To compensate for this, light-trapping techniques are implemented to significantly increase the path length of weakly absorbed light. The standard techniques are based on light scattering by textured surfaces and reflection of light at the back contact.A novel light-trapping technique, which is potentially more effective for near infrared light, is based on light scattering by metal nanoparticles through excitation of localized surface plasmons. Solar cells having metal nanoparticles either at the front or rear of the absorber layer were thus far considered. In this study, the effect of metal nanoparticles embedded inside the absorber layer was investigated. The advantage of having the nanoparticles inside the absorber layer is that both forward and backward scattered light remains in the absorber layer where it can be trapped and absorbed.Silver nanoparticles were fabricated by depositing a thin film of silver, followed by an annealing step in which the silver film breaks up into silver islands due to surface tension. Silver particles formed equally well on glass and glass/a-Si:H substrates. The size distribution, shape and surface coverage of the particles were analyzed by scanning electron microscopy.We integrated the silver nanoparticles at different depths in the absorber layer of a-Si:H solar cells. This was done by depositing the desired thickness of a-Si:H absorber layer, then fabricating the silver nanoparticles and finishing the deposition of the absorber layer and the rest of cell. Cross-sectional transmission electron microscopy confirmed that the silver nanoparticles were formed in the absorber layer and allowed us to analyze their cross-sectional shape.The performance of the a-Si:H solar cells with embedded silver nanoparticles was characterized by current voltage and quantum efficiency measurements. It was observed that the embedding silver nanoparticles divide the cell into a top cell and bottom cell. However, the integration of the silver nanoparticles in the absorber layer reduced the performance of the solar cell significantly.By detailed analysis of the quantum efficiency curves we attributed the reduced performance mainly to recombination of electrons and holes caused by the presence of silver nanoparticles and to a lesser extent to parasitical optical absorption. These factors outweigh the beneficial effect of light scattering induced by localized surface plasmons. Finally approaches to avoid recombination and minimize parasitic absorption are proposed.
6:00 PM - A7.21
Photonic Crystal Back Reflectors for Enhanced Absorption in Amorphous Silicon Solar Cells.
Ben Curtin 2 , Rana Biswas 1 2 , Vikram Dalal 2
2 Microelectronics Research Center and Electrical & Computer Engineering, Iowa State University, Ames, Iowa, United States, 1 Physics & Astronomy; Ames Laboratory, Iowa State University, Ames, Iowa, United States
Show AbstractPhotonic crystal back-reflectors offer enhanced optical absorption in thin-film solar cells, without undesirable losses. Extensive rigorous simulations of photonic crystal back reflectors predicted maximized light absorption in amorphous silicon solar cells for a pitch of 700-800 nm. Simulations predict that for typical 250 nm i-layer cells, the periodic photonic crystal back reflector can improve over the ideal randomly roughened back reflector (or the ‘4n**2 limit’) at wavelengths near the band edge. The PC back reflector provides even higher enhancement than roughened back reflectors for cells with even thinner i-layers. Using these simulated designs we fabricated metallic photonic crystal back-reflectors using photolithography and reactive-ion etching and conformally deposited a-Si:H solar cells on periodically patterned surfaces. The photonic crystal has a pitch of 760 nm and triangular lattice symmetry. Scanning electron microscopy demonstrates excellent long range periodicity and conformal a-Si:H growth. The average light absorption increases by 7-8 %, relative to a flat reference device, with an enhancement factor approaching 6 at near-infrared wavelengths. We have studied the dependence of the enhancement on the depth of the photonic crystal. These results will be compared to experimental results on randomly roughened Ag/ZnO back reflectors. Enhancement with nc-Si will be discussed. The photonic crystal back reflector strongly diffracts light and increases optical path lengths of solar photons.Supported by Iowa PowerFund and NSF.
6:00 PM - A7.22
Enhanced Absorption Beyond Surface Texturing with Nanostructured Plasmonic Back Reflectors.
V. Ferry 1 , H.b. Li 2 , M. Verschuuren 3 , C. h. van der Werf 2 , R.e. Schropp 2 , H. Atwater 1 , A. Polman 4
1 , California Institute of Technology, Pasadena, California, United States, 2 , Utrecht University, Utrecht Netherlands, 3 , Philips Research, Eindhoven Netherlands, 4 , FOM Institute AMOLF, Amsterdam Netherlands
Show AbstractAlthough roughened back reflectors for a-Si:H solar cells have been an integral part of commercial solar cell production for decades, back contacts incorporating plasmonic nanostructures are attractive candidates for enhancing optical absorption in thin films due to their ability to confine and guide light in nanoscale dimensions. Relative to rough surface texturing, plasmonic back contacts can enhance absorption via controlled coupling of incident light into localized resonant modes and propagating guided wave modes. Moreover, the reduced surface topography of a nano-patterned rear contact improves film conformality and semiconductor electronic quality.Using design principles from electromagnetic simulation, we have fabricated n-i-p a-Si:H cells with arrays of hemispherical nanoparticles on the back Ag contact. Particle size and pitch were systematically varied, and two reference back textures were studied: a flat film of Ag, and Ag coated ASAHI glass, a standard roughened glass used in textured thin film solar cells. The regular patterns are made using substrate conformal imprint lithography (SCIL), a technique which allows for large area, high fidelity patterning and is amenable to scaled-up photovoltaic production. The patterned substrates and the ASAHI sample are processed simultaneously to minimize deposition variation, and multiple cells of each pattern are measured. The cells are standard n-i-p a-Si:H grown by 13.56 MHz PECVD, with a 100 nm ZnO:Al spacer layer between the Ag back contact and the a-Si:H, and an 80 nm ITO top contact that also serves as an AR coating. We find that while the 150 nm thick ASAHI sample has a short circuit current density (Jsc) of 10.6 mA/cm2, patterned cells with 150 nm diameter hemispheres at 500 nm pitch exhibit a higher Jsc, of 11.5 mA/cm2. Spectral response measurements show that the enhancement is mainly in the 500 – 700 nm region of the spectrum. No decrease in photocurrent is observed at other wavelengths. We find that the enhancement is not sensitive to exact particle diameter in the 150 – 225 nm range. Importantly, the yield of cells on the patterned substrate is improved compared to the ASAHI substrate.We quantitatively calculate carrier generation rates (Gopt) in thin film a-Si:H cells using full field electromagnetic simulation. In a conventional thick solar cell the Gopt profile follows exponential absorption, but in the cells incorporating scattering structures the distribution of Gopt is inhomogeneous. Our model uses measured complex refractive index data and the experimental thickness values. We calculate the photocurrent spectra by integrating the optical field distribution over the cell geometry, and find good agreement with the experiment for different diameters and pitches. In summary, we have demonstrated efficient light trapping in extremely thin a-Si:H solar cells that exceeds that obtained using a standard textured substrate, and results in cell fabrication at a higher yield.
6:00 PM - A7.3
Material Properties of a-SiGe:H Solar Cells as a Function of Growth Rate.
Peter Hugger 1 , Jinwoo Lee 1 , J. David Cohen 1 , Guozhen Yue 2 , Xixiang Xu 2 , Baojie Yan 2 , Jeff Yang 2 , Subhendu Guha 2
1 Physics, University of Oregon, Eugene, Oregon, United States, 2 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractHydrogenated amorphous silicon germanium alloys (a-SiGe:H) have been critical materials in the development of multi-junction thin film photovoltaics. However, despite this success and the easily tunable optical bandgap to energies from 1.7 eV to 1.3 eV, a substantial challenge remains in the deposition of high quality a-SiGe:H films at rates above ~3 Å/s using PECVD glow discharge techniques. Typically, as deposition rates increase cell performance parameters and carrier mobility-lifetimes drop while, simultaneously, deep defect densities increase. We examine a series of these materials deposited using both RF and VHF glow discharge deposition methods in two configurations: SS/n+/i (a-SiGe:H)/p+/ITO nip devices and SS/n+/i (a-SiGe:H)/Pd Schottky contact devices, over a range of deposition rates from below 1Å/s to nearly 1nm/s. We use drive-level capacitance profiling (DLCP), modulated photocurrent (MPC), transient photo-capacitance (TPC), and transient photo-current (TPI) measurement methods to characterize the a-Si,Ge:H electronic properties. This allows us to address issues such as which material properties, for example, deep defect density, structural disorder, and carrier mobility lifetimes, truly affect device quality. The DLCP profiles show that the defect density is quite low (mid 10
15 cm
-3 to low 10
16 cm
-3 depending on the Ge alloy fraction) for the low rate RF deposited a-SiGe:H materials, and the defect density generally increases dramatically with deposition rate. However, the VHF deposited a-SiGe:H materials do not show nearly as rapid increases of defect density with the deposition rate. This result correlates to solar cell performance very well. The MPC results obtained for various a-SiGe:H devices are analyzed using the Brüggemann [1] and Hattori [2] models, allowing us to deduce conduction band widths and defect state distributions. The materials with good device performance show a narrow conduction band width and a low defect density. In addition, comparisons of TPC and TPI spectra allow characterization of carrier collection efficiency, yielding carrier mobility-lifetimes. The correlation of these material properties and solar cell performance will be discussed in detail.
[1]R. Brüggemann, C. Main, J. Berkin and S. Reynolds (1990). Phil. Mag. B 62 p.29-45[2]K. Hattori, Y. Niwano, H. Okamoto, Y. Hamakawa. (1991). J. Non-Cryst. Solids 137-138, p.363
6:00 PM - A7.4
Textured Back Reflector Structures for Thin Film Silicon Solar Cells Obtained by UV Embossing.
Jordi Escarre 1 , Karin Soederstroem 1 , Oscar Cubero 1 , Franz -J. Haug 1 , Stephanie Perregaux 1 , Christophe Ballif 1
1 Institute of Microengineering (IMT), Photovoltaics and Thin Film Electronics Laboratory, Ecole Polytechnique Fédérale de Laussane (EPFL), Neuchatel Switzerland
Show AbstractThe use of plastic foils as substrates for thin film amorphous silicon solar cells is an interesting approach to reduce manufacturing costs by means of roll to roll deposition. In these devices, optical confinement techniques play a crucial role in order to reduce the thickness of the intrinsic layer leading to solar cells with better carrier collection and higher stable efficiencies. The light trapping is achieved by texturing some of the layers or the substrate itself. In n-i-p configuration, the texture is usually obtained on the back reflector by growing rough metallic or transparent conducting oxides layers at high temperatures. These temperatures make plastics as PET or PEN not suitable to be used as substrates. In order to avoid substrate limitations, in this contribution we study the controlled transfer of a roughness on to another substrate by means of an “in house” ultra violet nano imprinting lithography (UVNIL) system easily up scalable instead of using plastic substrates already textured by other partners as in previous works [1]. As grown textured boron doped zinc oxide deposited by low-pressure chemical vapor deposition (LPCVD-ZnO) and as grown silver deposited at high temperature (~ 400 °C) by sputtering have been used as masters in the replication process. The replicas have been obtained on glass and PEN. The quality of the replication has been evaluated by comparing morphologically and optically both master and replica surfaces. Single and tandem a-Si:H solar cells have also been grown by plasma enhanced chemical vapor deposition (PECVD) obtaining similar stabilized efficiencies around 8 % for tandem cells on masters and PEN replicas. [1] F.-J. Haug, T. Söderström,, M. Python, V. Terrazzoni-Daudrix, X. Niquille and C. Ballif, Solar Energy Materials and Solar Cells 93, 884-887 (2009)
6:00 PM - A7.5
Tungsten Oxide as a Novel Buffer Layer Inserted at the TCO/p-a-SiC Interface of Amorphous Silicon Solar Cells.
Liang Fang 1 , Koeng Su Lim 1 , Seung Hyup Yoo 1 , Seung Jae Baik 1 , Seung Chan Han 1 , Sang Jung Kang 1
1 School of Electrical Engineering and Computer Science, korean advanced insititute of science and technology, Daejeon Korea (the Republic of)
Show AbstractOne of the strategies to improve the efficiency of a-Si solar cells is to insert an interfacial buffer layer between active layers and electrodes. With a suitable material and configuration, the buffer layer can benefit a-Si solar cells by preventing undesired recombination loss at p/i interface, or enhancing the hole collection by low down surface potential barrier. The carbon-alloy graded or constant band gap a-SiC:H buffer layer, and hydrogen plasma treatment or photo-assisted hydrogen radicals treatment at the interface have been proved to be effective in improving the open circuit voltage (Voc) and the short wavelength response. Recently amorphous tungsten oxides (WO3) have been successfully used as an interfacial buffer layer for organic solar cell, and organic light emitting diodes (OLED). Here, this amorphous WO3 was adapted as the buffer layer of p-i-n a-Si solar cell, since it possesses a wide optical energy band gap up to 3.5eV, and also a high dark conductivity of 6×10-6 S/cm, and with a refractive index around 2.0 at 550nm. The thermally evaporated amorphous tungsten oxide (WO3) films were introduced as a novel buffer layer at the TCO/p-a-SiC interface of amorphous silicon (a-Si) solar cell. Using the Schottky barrier model, it is shown WO3 buffer layer lowering the Schottky barrier height, which enhanced the open circuit voltage (Voc) and the blue response of the cell compared to the bufferless cell. Consequently, the short circuit current density (Jsc) increased from 12.01 to 12.92mA/cm2, and conversion efficiency enhanced from 6.25% to 6.94% by inserting a 2nm thick WO3 layer between the TCO and 4nm thick p-a-SiC. In conclusion, WO3 can be effectively used as a buffer layer of a-Si solar cell.
6:00 PM - A7.6
Amorphous Silicon and Carbon Nanotube-based Solar Cells.
Justin Nguyen 1 2 , Alec Manfre 1 2 , Jack Flicker 1 2 , Jud Ready 2
1 , Georgia Institute of Technology, Atlanta, Georgia, United States, 2 , Georgia Tech Research Institute, Atlanta, Georgia, United States
Show AbstractThree-dimensional geometry provided by vertically-aligned carbon nanotube (CNT) arrays provide a considerable advantage in photovoltaic applications by significantly increasing light trapping absorption and reducing costs by allowing for less material to be used without sacrificing efficiency. In this study, thermally-enhanced chemical vapor deposition (TECVD) and plasma-enhanced chemical vapor deposition (PECVD) techniques are examined to create an efficient amorphous silicon (a-Si) CNT-based photovoltaic cell. In order to minimize the Staebler-Wronski Effect, a-Si p-i-n photodiodes are deposited on top of vertically-aligned CNTs without any hydrogen dilution. The results of this study portray superior morphology of uniform and evenly distributed layers of doped a-Si on a substrate of CNT arrays, with no visible hillocks or bulk defects. The morphology of a-Si on various CNT-based substrates and resulting electrical characterization are also revealed.
6:00 PM - A7.7
Preparation of Narrow-gap a-Si:H Solar Cells by VHF-PECVD Technique.
Do Yun Kim 1 , Ihsanul Afdi Yunaz 1 , Shinsuke Miyajima 1 , Makoto Konagai 1 2
1 Physical electronics, Tokyo Institute of Technology, Tokyo Japan, 2 Photovoltaic Research Center (PVREC), Tokyo Institute of Technology, Tokyo Japan
Show AbstractHydrogenated amorphous silicon (a-Si:H) based solar cells have attracted much attention as a low-cost alternative energy source. However, one of the recent challenges is to use wider energy range of photons of solar spectrum more efficiently. Accordingly, band gap engineering of absorber layers have become a key issue. In our research group, it has been already suggested by theoretical approach that controlling optical band gap of absorber layers in each unit cells and arranging them appropriately were critical for high efficiency triple junction solar cells [1]. Furthermore, it is much more important to develop high quality narrow-gap materials in order to achieve high efficiency multi-junction solar cells [2].In this study, we investigated narrow-gap a-Si:H thin films and solar cells using silane and hydrogen in a very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) reactor under various hydrogen dilution (R = H2/SiH4), substrate temperature and chamber pressure. The distance between substrate and electrode was kept constant at 2 cm with VHF power of 1 W during deposition process. The solar cells prepared in this study have the structure of Glass/SnO2:F/p-a-SiC:H/buffer/i-a-Si:H/n-a-Si:H/ZnO:B/Ag/Al. In this work, we succefully controlled optical band gap of a-Si:H by varying hydrogen dilution and substrate temperature, and fabricated high quality narrow-gap a-Si:H thin films and solar cells under low hydrogen dilution of 2 and high substrate temperature of 330. We found that the chamber pressure significantly affected on optical band gap of a-Si:H. Under low hydrogen dilution of 2 and high substrate temperature of 330, optical band gap of a-Si:H tended to gradually decrease from 1.67 to 1.63 eV with increasing chamber pressure from 50 to 100 Pa and they still showed low defect density and high photosensitivity. After that, we applied the narrow-gap a-Si:H thin films as an absorber in p-i-n structured solar cells with i-layer thickness of 300 nm. From the measurement of solar cell performance, it was also clearly observed that spectral response in long wavelengh was enhanced as optical band gap of absorber layers decreases. As a result, short cirtuit current as high as 16.34 mA/cm2 was achieved, and open circuit voltage, fill factor, and conversion efficiency were 0.66 V, 0.57, and 6.13%, respectively.Reference[1] I. A. Yunaz, A. Yamada, and M. Konagai, Jpn. J. Appl. Phys. 46 (2007) L1152-L1154[2] S. Guha, J. Yang, A. Pawlikiewicz, T. Glatfelter, R. Ross, and S. R. Ovshinsky, Appl. Phys. Lett. 54 (1989) 2330-2332
6:00 PM - A7.8
Large Area Applicable Thin Film Schottky Solar Cells Grown by Plasma-enhanced Chemical Vapor Deposition.
Joondong Kim 1 , Ju-Hyung Yun 2 , Chang-Soo Han 1 , Yun Chang Park 3
1 Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Daejeon Korea (the Republic of), 2 Electrical Engineering, University at Buffalo, State University of New York, Buffalo, New York, United States, 3 Measurement and Analysis Division, National Nanofab Center , Daejeon Korea (the Republic of)
Show AbstractAlternative energy sources, which may resolve the long term dependence on the conventional energy sources, such as oil, gas, and coal, have taken a resurgent interest due to the shortage of energy resources and the general concern on the global warming mainly caused by CO2 emission. The solar energy has a high potential to release the concerns by abundant solar energy radiation on the earth surface. The cost is the most important issue to realize the solar energy to be a prime energy source. In terms of the cost, the thin film solar cell is one of the candidates. The large area process possible thin film solar cell has shown the feasibility of the low cost electric power production with much less use of semiconducting materials than the wafer type. The conventional thin film solar cell requires the doping processes to form photodiode, which may be a bottle neck of the cost reduction. Design of the Schottky metal spontaneously forms a diode without doping processes [1,2].We herein present a thin film Schottky solar cell. The thin film Schottky solar cells were fabricated by the growth of a Si film above a metal substrate by plasma-enhanced chemical vapor deposition method. It shows the relatively uniform quality of a Si film on a 2 in. substrate. By controlling the deposition condition, the Si films may form a amorphous type or a mixing of amorphous and crystalline type. We discuss the performance of the solar cells and the film growth mechanism. References [1] J. Kim, C.-S. Han, Y. C. Park, and Wayne A. Anderson, Appl. Phys. Lett. 92, 043501 (2008).[2] J. Kim, W. A. Anderson, Sol. Energy Mater. Sol. Cells 91, 534 (2007).
6:00 PM - A7.9
Nanoimprinting for Diffractive Light Trapping.
Dirk Weiss 1 , Hao-Chih Yuan 2 , Benjamin Lee 2 , Howard Branz 2 , Stephen Meyers 3 , Andrew Grenville 3 , Douglas Keszler 4
1 , Washington Technology Center, Seattle, Washington, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States, 3 , Inpria Corporation, Corvallis, Oregon, United States, 4 , Oregon State University, Corvallis, Oregon, United States
Show AbstractFilm crystal-silicon photovoltaics have the potential to combine the advantages of wafer-based silicon solar cells with those of amorphous and nanocrystalline silicon thin-film solar cells. The technology promises high efficiency and inexpensive fabrication of monolithically-integrated modules from safe, inexpensive and abundant raw materials. However, poor absorption of red and infrared light in indirect-bandgap silicon represents a major challenge. We investigate a low-cost manufacturing approach – direct nanoimprinting of dielectric precursor films – to achieve sub-wavelength photonic structures that enable the trapping of non-absorbed light by coupling into waveguide modes. Using a water-based aluminum-phosphate-oxide precursor as resist and a modified thermal nanoimprinting routine, we fabricate diffraction gratings on the backside of ultrathin Si wafers and on Si films on glass. The optical absorption enhancement is assessed through hemispherical reflectance measurements using samples with grating reflectors and comparing to reference flat reflectors. For 25-micron thick Si wafers, we found absorption enhancements (photons in AM 1.5 standard solar spectrum absorbed) of up to 15% with respect to wafers without light trapping for both square and sinusoidal imprinted line gratings. These results are consistent with electromagnetic rigorous coupled-wave analyses we performed in parallel to the experiments. The extrapolated absorption enhancement for 2-micron thick Si films is in the range of 50–80% for one-dimensional and two-dimensional gratings. Preliminary experimental and modeling results for Si films are also presented. Lastly, the effects of light polarization in a grating configuration, losses through surface-plasmon polaritons, and the challenges of integrating imprinted dielectric layers in film crystal-Si devices in both superstrate and substrate configuration are discussed.
A8: Novel Devices
Session Chairs
Wednesday AM, April 07, 2010
Room 3009 (Moscone West)
9:30 AM - A8.1
A Highly Sensitive Integrated a-Si:H Fluorescence Detector for Microfluidic Devices.
Toshihiro Kamei 1 , Kenjiro Matsuhiro 1 , Amane Shikanai 1
1 , National Institute of Advanced Indusrial Science and Technology, Tsukuba, Ibaraki Japan
Show AbstractMiniaturization and integration of laser-induced fluorescence detection system is prerequisite to realize point-of-care microfluidic lab-on-a-chip biochemical analysis devices. Coaxial configuration of excitation source and detector that we have proposed is advantageous for miniaturization and scalable to a fluorescence detection array when combined with a vertical cavity surface emitting laser (VCSEL) diode. Moreover, hydrogenated amorphous Si (a-Si:H) PIN photodiode is ideally suited for a fluorescence detector. It is, however, fundamental challenge to reduce limit of detection (LOD) in our current optical configuration where laser light passes through the detector because high background (BG) photocurrent due to laser light scattering, limiting the LOD. In this work, we have successfully reduced the BG photocurrent by nearly one order magnitude compared to our previous work with 7 nM of LOD for fluorescein solution, significantly improving a LOD. The detection platform comprises a microlens and the annular fluorescence detector where a thick SiO2/Ta2O5 multilayer optical interference filter (>6 micrometer) is monolithically integrated on an a-Si:H pin photodiode. With a microfluidic capillary electrophoresis (CE) chip mounted on the platform, incident laser light from an optically pumped frequency doubled VCSEL (488 nm) was introduced normal to the microfluidic CE through the detector and loosely focused on a CE channel. Fluorescence was collected and collimated by the microlens, and detected after spectral filtering (511-615 nm). The system is used to separate and detect DNA restriction fragment digests as well as 2 nM of fluorescein-labeled oligomer. First, we have carried out the microfluidic separation and detection of HaeIII-digested bacteriophage DNA with the integrated fluorescence detector. In our previous work, DNA solution was diluted with water, causing stacking to increase signal intensities. With stacking, however, separation is lack of reproducibility. In this work, the DNA solution was diluted with 1×TAE buffer, the same as a run buffer, causing no stacking. Nevertheless, all 11 peaks of the DNA fragments could be successfully detected with sufficient S/N ratios and high reproducibility. An average LOD for each fragment was 58 pg/microL, corresponding 5X10^5 in the case of 100 bp DNA fragments. When combined with polymerase chain reaction, single DNA molecule could be detected with the integrated fluorescence detector. The number of theoretical plates for the longest fragment was 28,000 while 95,000 on average for other fragments. Second, we have performed the microfluidic separation and detection of 2 nM fluorescein-labeled oligomer. With stacking, the oligomer has been detected with LOD of 240 pM, demonstrating feasibility of DNA sequencing with the integrated fluorescence detector.
9:45 AM - **A8.2
Reviewing Photo-sensing Devices Using a-Si Based Materials.
Manuela Vieira 1 2 , Miguel Fernandes 1 2 , Paula Louro 1 2 , Alessandro Fantoni 1 2 , Manuel Vieira 1 2 , Joao Costa 1 2
1 DEETC, ISEL, Lisbon Portugal, 2 CTS, UNINOVA, Monte da Caparica Portugal
Show AbstractAmorphous Si/SiC photodiodes working as photo-sensing or wavelength sensitive devices have been widely studied. In this paper single and stacked a-SiC:H p-i-n devices, in different geometries and configurations, are reviewed. Several readout techniques, depending on the desired applications (image sensor, color sensor, wavelength division multiplexer/demultiplexer device, fluorescent protein detector) are proposed. Physical models are presented and supported by electrical and numerical simulations of the output characteristics of the sensors. Light filtering properties, namely light wavelength discrimination, depend on the structure of the sensor (layers composition and thickness) and on the selected sequence of cells in the multilayer structure. Several two terminal heterojunctions are analyzed, ranging from p-i(a-Si:H)/í’(a-SiC:H)-n to p-i'(a-SiC:H)-n / p-i(a-Si:H)-n configurations. Single and stacked p-i-n sensing elements for image recognition and color extraction applications are presented. The imagers utilise self- field induced depletion layers for light detection and a modulated laser beam for sequential readout. The effect of the sensing element structure, cell configurations (single or tandem), and light source properties (intensity and wavelength) are correlated with the sensor output characteristics (light-to-dark sensivity, spatial resolution, linearity and S/N ratio). Different designs based on stacked p-i’-n-p-i-n heterojunctions are presented and compared with the single p-i-n sensing structures. The readout frequency is optimized showing that scans speeds up to 104 lines per second can be achieved without degradation in the resolution. By sampling, at appropriated voltages, it is possible to extract separately the RGB integrated information with good rejection ratio allowing continuous and fast color recognition and image detection. The effect of the applied voltage on the color selectivity is discussed.Multilayered p-i’-n-p-i-n heterostructures can also be used as wavelength-division multiplexing /demultiplexing devices in the visible range. Here the sensor element faces the modulated light from different input color channels, each one with a specific wavelength and transmission rate. By reading out the photocurrent at appropriated applied bias, the information is multiplexed or demultiplexed and can be transmitted or recovered again. These optical colour sensors act as voltage controlled optical filters and when coupled with a biosensor can detect, with high sensitivity, the change of fluorescence between reactive cyan and the yellow fluorophores.Electrical models are present to support the sensing methodologies. Experimental and simulated results show that the tandem devices act as charge transfer systems. They filter, store and transport the photogenerated carriers, keeping its memory (color, intensity and frequency) without adding any optical pre-amplifier or optical filter as in the standard p-i-n cells.
10:15 AM - A8.3
Synthesis of Thin Film Mesoporous Silicon and its Capability as a Lithium Storage Material.
Chris Kang 1 , Joe Nemanick 1 , Sarah Tolbert 1
1 , University of California, Los Angeles, Los Angeles, California, United States
Show AbstractThis work describes the process of making ordered mesoporous silicon thin films and its initial application as an anode material for lithium ion battery. The process begins with ordered mesoporous silica thin films that are produced via evaporation induced self-assembly using sol-gel silica precursors that organize with a diblock copolymer template. This results in a film with a cubic lattice of 15 nm diameter pores and 10 nm thick walls. The silicon is produced through reduction of the silica thin films in a magnesium vapor at elevated temperature. Magnesium reduction preserves the ordered pore-solid architecture but replaces the dense silica walls with 10-17 nm silicon crystallites. The mesoporous silicon was tested for lithium intercalation, and showed both high intercalation rate and good cycleability compared to data reported for silicon nanowires. These materials may thus have interesting potential for use as anode materials for lithium ion battery.
10:30 AM - A8.4
Micro-Channel Plate Detectors Based on Hydrogenated Amorphous Silicon.
Nicolas Wyrsch 1 , Francois Powolny 2 , Despeisse Matthieu 1 , Sylvain Dunand 1 , Pierre Jarron 2 , Christophe Ballif 1
1 Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne (EPFL), Neuchâtel Switzerland, 2 , CERN, Genève Switzerland
Show AbstractAs an alternative to particle detection using diodes, micro-channel plates (MCP) detectors are used for high-time resolution detection. Avalanche mechanisms taking place in the micro-channels lead to an amplification of the signal and are commonly used for image intensifying device [1]. In order to overcome some critical limitations of current MCPs. we have proposed a very innovative type using a-Si:H technology. Such detectors could also be vertically integrated, greatly simplifying the cumbersome construction of usual MCPs, broadening the range of application including particle detection and imaging.First a-Si:H based MCP prototypes have fabricated on oxidized Si wafer. These devices comprise channels with a diameter of 3-5 μm drilled by deep reactive ion etching (DRIE) into 80-100 μm thick a-Si:H layers. Deposition of these thick a Si:H layers by VHF PE-CVD requires a careful optimization in order to achieve high deposition rate with reasonable material quality while maintaining a low internal mechanical stress. Signal amplification through avalanches in the micro-channels could be recorded upon exposure of these devices to an electron beam.In this paper, we will describe and discuss the processes and issues related the fabrication of such a-Si:H based MCPs. First results on electron detection obtained using an electron beam induced current apparatus will be presented, demonstrating avalanche mechanisms in the micro-channels. Present performances, limitations and possible improvements will be discussed.[1] J. L. Wiza, Nucl. Instr. and Meth. 162, 1979, 587-601.
10:45 AM - A8.5
Electromigration of Liquid Silicon in Nanocrystalline Silicon Microwires During Phase-change Oscillations.
Adam Cywar 1 , Gokhan Bakan 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical and Computer Engineering, University of Connecticut, Storrs, Connecticut, United States
Show AbstractThin film silicon technology is an integral part of large-area electronics. Amorphous silicon (a-Si) is typically used in the fabrication of thin film devices due to its uniformity despite its low carrier mobility. a-Si can be annealed by voltage pulses to achieve polycrystalline Si [1] which offers the advantage of local heating, compatible with low-temperature substrates. During our annealing experiments on nanocrystalline silicon (nc-Si) microwires, we observed that wires can melt with a ~1 μs, ~20 V pulse and crystallize via growth from melt upon resolidification. Excessive power or pulse duration can result in breaking of the wires. However, this annealing process can become self-terminated if a load resistor is introduced in series with the wire to limit power. Furthermore, this melting and resolidification process is capable of repeating itself in cycles when a capacitor is introduced in parallel with the wire, allowing for phase-change oscillations [2].Wires used in the experiments are fabricated on a thin film (~120 nm) of heavily boron-doped nc-Si (~13 mΩ-cm @ room temperature, ~75 µΩ-cm @ liquid phase [3]) that rests on a 590 nm thick layer of SiO2. Photolithography and reactive ion etching are used to define wires with widths ~200 nm and lengths from ~2.5 μm, as well as 6 x 6 μm2 contact pads with 4 μm tapered extensions to the wire. Photolithography, metal evaporation, and lift-off processes are used to form Ti/Ni metal contact pads and extensions to the tapered regions of the Si contact pads [2,3].SEM images of wires which experienced phase-change oscillations show significant electromigration of Si from one Si contact pad to the other. This can be attributed to the drift of Si+ ions in liquid state due to the presence of a high electric field. One Si contact pad shows a large basin, and the other shows significant accumulation of Si as hillocks. The basin and hillocks are adjacent to the ends of the metal extensions. In some cases Si hillocks are formed on the wire, and in other cases wire dimensions remain relatively unchanged despite the significant amount of Si that is transported across the wire. In wires that experienced hundreds of oscillation cycles, the volume of silicon that is transported across the wire is greater than the volume of the wire itself (~0.06 µm3), and the basin in one contact pad is deep enough to reveal the underlying SiO2.[1] K. Kato, T. Ono and Y. Amemiya, "A physical mechanism of current-induced resistance decrease in heavily doped polysilicon resistors," Electron Devices, IEEE Transactions on, vol. 29, pp. 1156-1161, 1982.[2] A. Cywar, G. Bakan, C. Boztug, H. Silva and A. Gokirmak, "Phase-change oscillations in silicon microwires," Appl. Phys. Lett., vol. 94, pp. 072111, 2009.[3] G. Bakan, A. Cywar, H. Silva and A. Gokirmak, "Melting and crystallization of nanocrystalline silicon microwires through rapid self-heating," Appl. Phys. Lett., 2009.
A9: Nanostructured Silicon
Session Chairs
Wednesday PM, April 07, 2010
Room 3009 (Moscone West)
11:30 AM - A9.1
Silyl Effusion and Photoluminescence From Plasma-produced Silicon Nanocrystals.
Rebecca Anthony 1 , Uwe Kortshagen 1
1 Department of Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractThe quantum confinement effect produces efficient and size-tunable emission characteristics from nanoscale silicon. Here we report on correlations between surface hydrogen and photoluminescence of silicon nanocrystals synthesized in a nonthermal plasma reactor. To achieve the most efficient optical properties for these nanocrystals, we use a thermal hydrosilylation step to surface-functionalize the nanocrystals, partially replacing the native hydride surface species with organic carbon-chain ligands. This process passivates dangling bonds and surface defects and enhances the nanocrystals’ dispersal in non-polar solvents. The resulting nanocrystal colloids can exhibit quantum yields higher than 50%, an improvement of 5-10 times over the quantum yields of as-produced nanocrystals. However, the native hydrogen-terminated surface also plays a role in the photoluminescence (PL) of both unfunctionalized and post-processed nanocrystals. We see that the hydride surface of the nanocrystals produced in our reactor is influenced by post-synthesis thermal treatment. The as-produced silicon nanocrystals exhibit low PL intensity, with quantum yields on the order of several percent. However, heating these nanocrystals to 150-220°C in non-polar solvents or inert gas atmosphere increases their PL intensity. Concurrently, the surface hydrogen structure of the nanocrystals changes to reflect a reduction in silicon trihydride bonds, leaving a higher density of di- and monohydrides after these heating steps, as studied using FTIR spectroscopy. Heating as high as 350°C eliminates trihydrides and quenches photoluminescence. Using a residual gas analyzer (RGA) and temperature-programmed desorption experiments, we attempted to monitor the hydrogen evolution from the nanocrystal surfaces. Instead of a reorganization of hydrides at the surface or an effusion of hydrogen gas upon heating, the analysis showed desorption of silyl groups from the nanocrystal surfaces. To further investigate the effect of this silyl removal on nanocrystal photoluminescence, we also hydrosilylated nanocrystal samples that had been pre-processed to remove some or all of the silyl radicals. The nanocrystals without prior surface alteration exhibited the highest quantum yields, and those that had been heated to 150-250°C were functionalized but had diminished PL intensity. The samples for which all silyls had been removed by heating to 350°C did not successfully undergo functionalization, and exhibited little or no photoluminescence. We also report on experiments to reattach hydrogen and silyl groups to thermally surface-altered silicon nanocrystals, to examine whether high-efficiency PL can be restored by replacing the removed surface groups. This work was supported by NSF under under MRSEC grant DMR-0819885.
11:45 AM - A9.2
Optical Absorption in Si Wire Arrays.
Michael Kelzenberg 1 , Shannon Boettcher 1 , Jan Petykiewicz 1 , Morgan Putnam 1 , Daniel Turner-Evans 1 , Joshua Spurgeon 1 , Emily Warren 1 , Nathan Lewis 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractArrays of CVD-grown Si nano- or micro-wires show great promise for thin-film photovoltaic applications. The wire array geometry combines advantages of crystalline Si photovoltaics, such as high carrier mobility and stable interface passivation, with advantages of amorphous- or micro-cryastalline Si photovoltaics, such as ease of deposition and production of flexible cells. Arrays of mono-crystalline Si wires can be rapidly (>5 µm/min) grown by the VLS CVD technique.[1] We have produced single-wire, radial p-n junction solar cells by this technique, which exhibit up to 8% efficiency and Voc up to 550 mV. We have shown that their minority-carrier diffusion length exceeds 20 µm, and have achieved SRVs below 1000 cm/s by passivating the wire surfaces with a-Si:H. Based upon these measurements, we have predicted that large-area Si wire-array solar cells can exceed 17% efficiency.[2] To achieve this, however, they must effectively absorb most above-bandgap incident sunlight, over a broad range of wavelength and incidence angle.We have performed a thorough study of optical absorption in Si wire arrays to determine the optimal geometry for sunlight absorption, including considerations of wire diameter and length, array pattern and density, light scattering elements, back-reflectors, and anti-reflective layers. Substrate-free optical properties were measured using a peel-off technique, in which the wire arrays were embedded into a transparent polymer and peeled in-tact from the growth wafer, yielding a flexible film of mono-crystalline Si wires, while enabling re-use of the growth substrate. We have found that arrays with less than 5% packing fraction that can absorb 85% of day-integrated, above-bandgap direct sunlight. This absorption is par with that of a 280 µm-thick commercial polycrystalline Si solar cell (87%), made from 100x more Si - and thus represents a substantial optical concentration of absorption within the Si wires. In fact, the wire array absorption exceeds that possible by ideal light-trapping within an equivalent volume of planar Si. We have also shown that the reported absorption is useful (i.e. non-parasitic), by comparing the external quantum efficiency of a wire array photoelectrochemical device to the measured absorption of the peeled-off wire array. This comparison reveals a near-unity internal collection quantum efficiency throughout much of the solar spectrum (400 – 900 nm), in good agreement with radial junction theory.We will present the results of these studies, and comment on the implications of optical concentration in wire array solar cells. We have also developed a full-field-electromagnetic model of optical absorption in Si wire arrays. We will discuss the computer-aided optimization of the wire array geometry, and comment on the theoretical limits of optical concentration in Si wire arrays. [1] Kayes, B. M. et al. APL. 91, 103110-103113 (2007).[2] Kelzenberg, M. D. et al. PVSC '08. 33rd IEEE.
12:00 PM - A9.3
Optical Absorption in Co-deposited Mixed-phase Hydrogenated Amorphous/Nanocrystalline Silicon Thin Films.
Lee Wienkes 1 , Aaron Besaw 1 , Curtis Anderson 2 , Paul Stradins 3 , Uwe Kortshagen 2 , James Kakalios 1
1 Physics and Astronomy, University of Minnesota, Minneapolis, Minnesota, United States, 2 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractWe investigate the optical properties of Si nanoparticles embedded in an a-Si:H matrix and interpret them in terms of midgap defects as well as asymmetrically confined hole and electron states within the bandgap of a-Si:H. Mixed-phase thin films consisting of hydrogenated amorphous silicon in which silicon nanocrystallites are embedded (a/nc-Si:H) has attracted considerable interest owing to reports of improved opto-electronic properties combined with an enhanced resistance to the Staebler-Wronski effect(1) The mixed-phase a/nc-Si:H films investigated here are synthesized in a two-chamber co-deposition system, in which the nanoparticles are grown in a separate plasma and then entrained by an inert carrier gas and injected into a second PECVD chamber where a-Si:H is deposited. The silicon nanocrystals are then incorporated into a growing amorphous film. The particle concentration in the a-Si:H matrix is sensitive to the substrate’s location relative to the inlet of the particle injection tube, allowing a series of films to be deposited at the same time under identical conditions with varying concentration of nanocrystallites. Measurements of the optical absorption coefficient using the Constant Photocurrent Method (CPM) find that the Urbach slope, reflecting the density of strained Si-Si bonds increases with the nanocrystalline content. The Tauc gap, a measure of the optical gap of the films, is observed to decrease with increasing nanocrystalline concentration. Surprisingly, the lowest mid-gap absorption is found for films containing approximately 2 – 4% crystal fraction, as determined by Raman spectroscopy measurements, while films with higher or lower nanocrystalline concentrations have higher mid-gap absorption coefficients. The optical response in the subgap region might be affected by a possible asymmetry of the conduction (CB) and valence band (VB) offsets resulting in strong and weak quantum confinement for the holes and electrons, respectively. The confined VB states may modify the Urbach slope, scavenging electrons from midgap defects and reducing their contribution to CPM. The optical absorption spectra of a/nc-Si:H films measured using CPM are compared to those obtained using Photothermal Deflection Spectroscopy. In addition, Electron Spin Resonance data, providing another measure of the defect state density, will be presented and discussed in relation to the optical absorption spectra. This work was partially supported by NSF grants DMR-0705675, NER-DMI-0403887, the NINN Characterization Facility, the Xcel Energy grant under RDF contract #RD3-25, NREL subcontract No. XEA-9-99012-01 and the University of Minnesota. (1) T. Kamei, P. Stradins. A. Matsuda, APL 74 1707 (1999)
12:15 PM - A9.4
Methods for Controlling the Morphology of Porous Nanocrystalline Silicon Membranes.
David Fang 1 , Christopher Striemer 2 , James McGrath 3 , Philippe Fauchet 1
1 Electrical and Computer Engineering, University of Rochester, Rochester, New York, United States, 2 , SiMPore, Inc., Henrietta, New York, United States, 3 Biomedical Engineering, University of Rochester, Rochester, New York, United States
Show AbstractPorous nanocrystalline silicon (pnc-Si) membranes are a novel material and are promising for a wide range of applications from biofiltration to use as a platform for electron imaging and spectroscopy. Because the thickness of the membrane is only 15 nm, on the order of molecules to be separated, mass transport through the membrane is greatly enhanced. These structures are fabricated by depositing a 20 nm silicon dioxide / 15 nm amorphous silicon / 20 nm silicon dioxide film stack onto a silicon substrate by rf magnetron sputtering. A rapid thermal treatment is used to induce void formation in the thin silicon film, which is subsequently released over a thicker silicon scaffold using an anisotropic etchant.The crystallization of the amorphous silicon film is a crucial step in the pore formation process. We have found that an amorphous silicon film yields no voids in the membrane. However, if we crystallize the thin film we find that Si nanocrystals form with pores in the membrane. We attribute the pore formation to a stress relaxation in the silicon film as thermal energy is used to arrange the Si atoms into ordered nanocrystals. This re-ordering of material involves a volume contraction in the film that contributes to the formation of pores.It has been reported that amorphous silicon makes the phase transition to polycrystalline at annealing temperatures as low as 550 C. We should note that the majority of prior work has focused on much thicker films (50 nm – 200 nm) since much of the field has been driven by the potential use of polycrystalline silicon in semiconductor devices. In this study, we focus our silicon thickness to < 30 nm, thus confining crystal growth to a single layer. As a result, the crystals that emerge are nanocrystalline (< 100 nm). We have observed that the onset of crystallization in a 15 nm thick silicon film occurs at around 700 C in the RTP. Interestingly, at this temperature we observe the formation of nanocrystalline “islands” that are surrounded by an amorphous matrix. Voids seem to preferentially form along the amorphous/crystalline interfaces thus creating a “necklace” pattern of pores. Annealing at a higher temperature (1000 C) completely crystallizes the film and a high density (>%5) of pores (5 nm - 80 nm) can be achieved. The same "necklace" pattern of pores is observed in the fully crystallized film.For applications involving filtration, it is crucial that a membrane that will pass certain species while rejecting others. One manner in which this can be done is change the size and density of the pores. In this study, we find that membranes can be tailored to specific applications by varying the thin film deposition parameters and thermal treatments.
12:30 PM - A9.5
Synthesis of Crystalline Si Embedded Semi-insulating a-Si:H Film by He Carried SiH4 in LT-PECVD.
Chih-Hsien Cheng 1 , Yi-Hao Pai 1 , Gong-Ru Lin 1
1 Graduate Institute of Photonics and Optoelectronics, National Taiwan University , Taipei Taiwan
Show AbstractHydrogenated amorphous silicon (a-Si:H) is a promising material applied in thin-film solar cells and thin-film MOS transistors, which is also an alternative to the poly-Si film with limited deposition temperature at 550-650oC not applicable for flexible substrate. To prevent the use of dangerous hydrogen carried pure SiH4 mixture as the forming gas for a-Si:H, we demonstrate the deposition of semi-insulating a-Si:H film with buried crystalline Si by using He carried SiH4 under extremely low RF plasma power in a low-temperature plasma enhance chemical-vapor deposition (LT-PECVD) system. Both the comparable and the suppressed oxidation level of the semi-insulating a-Si:H film are reported. The crystalline Si embedded a-Si:H film was grown on quartz substrate at 350oC for 10 min by using LT-PECVD at chamber pressure of 67 Pa and He/SiH4 fluence ratio of 23:2 with constant SiH4 fluence of 10 sccm. There were five a-Si:H samples deposited under RF plasma power varying from 20 to 100 W at increment of 20 W. The a-Si:H thickness is increased linearly from 120 nm to 190 nm as the RF plasma power linearly increases, in which the peak intensity of band-edge photoluminescence (PL) gradually decreases from 340 to 250 count at peak PL wavelength of 420 nm. The PL is due to the radiative recombination of weak oxygen bond (WOB) defect, which is attenuated by enlarging RF plasma power to suppress the level of the oxidation in a-Si:H film. The FTIR absorption at 845 cm-1 relates to the wagging and scissors modes of SiH2 and anotherone at 2000 cm-1 denotes the Si-H stretching mode, which all diminish at RF plasma power <20 W due to the failure of SiH4 decomposition in such weak plasma. In contrast, the absorption at 2170 cm-1 is higher at RF power <20W since the Si-H stretching vibration of H-(SiH2)n and H-Si-(Si3-nOn) become dominant in this case. Both the enhanced decomposition and the suppressed oxidation of SiHx in a-Si film are obtained with stronger RF plasma. The EDS analysis reveals that O/Si composition ratio is as low as 0.05 at RF plasma power of 100 W. The Raman scattering result indicates a weak spectrum at 518 cm-1 with improving peak intensity for the a-Si:H film when growing with RF plasma power higher than 60 W. In particular, the transverse optical (TO) vibration mode related peak at 520 cm-1 denotes the presence of nano-crystalline Si in a-Si:H film at RF plasma power of 100W, which is confirmed by several diffraction SAD rings obtained and the crystalline Si image in HRTEM image. The corresponding optical bandgap is decreased from 1.75 eV to 1.5 eV with RF plasma power increasing from 20 to 100 W, while the nc-Si embedded semi-insulating a-Si film enhances its mobility to 0.5 cm2/V●s and preserve its resistivity at 7.2×105 Ω●cm, respectively, due to the phase transfer of embedded Si from amorphous to nano-crystalline.
12:45 PM - A9.6
Synthesis of Silicon Nano-particles for Thin Film Electrodes Preparation.
David Munao 1 , Jan van Erven 1 , Mario Valvo 1 , Vincent Vons 1 , Erik Kelder 1
1 Delft Chem Tech, TUDelft, Delft Netherlands
Show AbstractSilicon is an interesting material for applications in novel devices for energy storage and conversion. In particular, Si-based negative electrodes for Li-Ion batteries are attractive due to their enhanced energy storage capacity and limited impact on the environment. However, coated electrodes made up of bulk Si powders suffer from extremely poor cycle life. This drawback represents the main challenge for Si, which undergoes a severe volume change (up to 350%) upon alloying/de-alloying with lithium. The mechanical stability of the Si powder can be improved by reducing the particle size. In this respect, Si nano-particles are perfect candidates for application in novel negative electrodes because they combine their reduced size with high surface areas and small diffusion lengths for the charge carriers.Here Si nano-particles are synthesized via two aerosol routes: Laser assisted Chemical Vapour Pyrolysis (LaCVP) and Spark Discharge Generation (SDG).LaCVP is a gas-phase process with which highly pure nanoparticles can be produced. A newly designed, up scaled, LaCVP reactor is employed for producing the silicon nanoparticles. The reactor comprises a new reaction zone, which is characterized by an intersection of a rectangular gas flow profile and a rectangular laser beam profile. The geometric matching as employed in this study ensures the same time-temperature history for each gas element taking part in the thermal decomposition reaction. This results in a uniform heating along the reaction zone and therefore in a mono-dispersed particles. Besides, the particles produced in this way show a narrow size distribution and high purity, which are important for further applications in devices. Moreover, it is possible to tune the particle size within the nanometer range (i.e. 10-100 nm) by adjusting experimental parameters. Currently the production rates span up to 100g/h, remarkably higher compared to the current 20 g/h.Particles produced via SDG also have a narrow size distribution but the mean particle size of 2-5nm (TEM) is smaller compared to LaCVP particles. A drawback of this system is that the production rates are severely limited, in the range of mg per day. The particles produced by the two techniques were characterized by TEM, XRD, FTIR and TGA analyses.With these materials thin film electrodes are prepared. Nano-structured thin-film electrodes allow fast kinetics for both electron and ion transfer. Besides, auxiliary materials like carbon black and polymeric binders used in conventional electrodes are no longer needed. Novel thin film synthesis techniques are currently under investigation and some promising results will be presented.Thin film morphologies, together with the influence of Li alloying/de-alloying processes on the film structure, were studied by AFM and SEM analyses. Electrodes performances were tested by galvanostatic procedures in order to check the Li storage capacity and the reversibility of the synthesized material.
A10: Film and Growth
Session Chairs
Wednesday PM, April 07, 2010
Room 3009 (Moscone West)
2:30 PM - **A10.1
Chemical Transport Deposition of Purified Poly-Si Films from Metallurgical-grade Si Using Subatmospheric-pressure H2 Plasma.
Kiyoshi Yasutake 1 2 3 , Hiromasa Ohmi 1 2 3 , Hiroaki Kakiuchi 1 3
1 Department of Precision Science and Technology, Graduate School of Engineering, Osaka University, Suita, Osaka, Japan, 2 Research Center for Ultra-Precision Science and Technology, Osaka University, Suita, Osaka, Japan, 3 , JST, CREST, Chiyoda-ku, Tokyo, Japan
Show Abstract The recent explosive increase in photovoltaic (PV) market causes the shortage of high-purity Si material for solar cell production. To solve this problem and make Si solar cells less expensive, reducing the production cost of polycrystalline (poly) Si continues to be a high priority issue in PV industries. In this study, a new method to prepare poly-Si films at low temperatures with high deposition rate directly from low-purity metallurgical-grade (MG) Si feedstock has been proposed. In our proposed method, high-pressure (200–760 Torr) stable glow plasma of pure hydrogen was generated by a 150 MHz very high frequency (VHF) power between the two parallel electrodes less than 2 mm apart. One of the electrodes is composed of the cooled Si solid source and the other the heated substrate (200–400°C). According to the temperature dependence of hydrogen etching rate of Si, SiHx species are mainly generated at the cooled Si solid source by H atoms. These species are again decomposed in the plasma, transported to the substrate to form Si films. In the present experiments on poly-Si film formation, a high deposition rate of 11 nm/s was achieved at 200°C, and a nearly ideal recovery (> 93%) of Si solid source was realized in every condition. Si grains formed on a (001) Si substrate revealed anisotropic morphology elongated along <110> directions, and most of them had epitaxial columnar structures grown to the thickness of 2 μm even at 200°C. By using MG-Si as the cooled Si solid source, purified Si films have been prepared on glass substrate by the present method. The purification mechanism is based on the different hydrogenation behaviors of the various impurity elements in MG-Si. When MG-Si is immersed in H2 plasma, Si is etched according to the reaction; Si + 4H → SiH4. On the other hand, most of the metallic elements have no stable hydrogen compound, or if present, its vapor pressure is negligibly small. Therefore, metallic impurities in MG-Si remain in the raw material while Si atoms are selectively etched by H. The prepared Si films clearly had fewer metallic impurities (Fe, Al, Ti, Cr, Mn, etc.) than those in MG-Si. In particular, Fe concentration was drastically reduced from 6900 mass ppm to less than 0.1 mass ppm by one time chemical transport. Moreover, metallic impurity concentrations were further reduced by repeating the chemical transport deposition.
3:00 PM - A10.2
High-rate Deposition of Microcrystalline Silicon in a Large-area PECVD Reactor: Correlation Between Plasma Dynamics, Microstructure and Dependence on SiH4 Concentration.
Gaetano Parascandolo 1 , Richard Bartlome 1 , Benjamin Strahm 1 , Andrea Feltrin 1 , Christophe Ballif 1
1 Photovoltaics and thin film electronics laboratory, Ecole Polytechnique Fédérale de Lausanne - EPFL, Neuchâtel, Neuchâtel, Switzerland
Show AbstractMicrocrystalline silicon (µc-Si:H) absorbs sunlight in the red and near-infrared part of the spectrum. Combined with amorphous silicon in a tandem solar cell, it allows achieving light absorption over a wide spectral range. However, the µc-Si:H bandgap is indirect and a thick absorber layer (~2 µm) is needed for efficient absorption of the red-infrared light. Thus, high-rate deposition of µc-Si:H is mandatory in view of low-cost manufacturing of silicon based thin-film solar cells. High-rate deposition can be achieved by SiH4-H2 glow discharge under high-pressure-depletion (HPD) conditions. In this work, we study the relation between the plasma dynamics and the material properties of µc-Si:H films deposited at 1 nm/s in a semi-industrial large-area PECVD reactor operated at 40 MHz, using a HPD process. We study the influence of the SiH4 input concentration, c, on the plasma and material properties, by varying the H2 flow. The feed-in power density is adjusted to obtain films with comparable Raman crystalline fraction. Infrared laser-based monitoring of the SiH4 dissociation, optical emission spectroscopy (OES) and a setup for powder detection by laser light scattering are used to characterize in-situ the plasma dynamics. By infrared laser monitoring, we measure a monotonous increase of the SiH4 dissociation rate, D, with increasing c. This increase is related to the longer residence time of the SiH4 gas, when the total gas flow is smaller. SiH4 concentrations in the plasma, cp = c(1-D), is directly monitored by the same setup, and the measured values correspond to the deposition of µc-Si:H material at the amorphous-to-microcrystalline transition. We are then working on fine tuning of device-grade µc-Si:H, in an optimal deposition regime. Both OES and laser light scattering reveal an increase of the powder formation with increasing c. Small total gas flow, in fact, results in long gas residence time favoring powder formation and preventing the efficient ejection of it. The deposition rate is almost constant, because the additive fraction of SiH4 that is dissociated at high c is lost in powder formation. Material quality is studied via X-ray diffractometry (XRD). XRD spectra show a monotonous increase of the intensity ratio of the (220) to (111) crystallographic orientation peaks with increasing c. Preferential (220) orientation is associated to the growth of dense material at the amorphous-to-microcrystalline transition and to better cell performances. Consistently, all device parameters of p-i-n µc-Si:H solar cells, whose i-layers is co-deposited with the XRD measured samples, improve with increasing c although powder formation is enhanced. Consequently, conversion efficiency increases from 5.6% to 7.1%. The role of powders in the production of device-grade material is a controversial subject. Our results suggest that, depending on process, powder formation is beneficial to the deposition of device-grade µc-Si:H material.
3:15 PM - A10.3
Initial Transient Plasma Effects During Nanocrystalline Silicon Deposition at High Growth Rate.
Jatindra Rath 1 , Arjan Verkerk 1 , Ruud Schropp 1
1 Physics and Astronomy, Nanophotonics-Physics of Devices, Utrecht University, Utrecht Netherlands
Show AbstractHigh deposition rate is one of the demands for the use of nanocrystalline silicon (nc-Si) as i-layer in solar cells, especially as the bottom cell in a multijunction configuration. However, it has been observed that the efficiency of the solar cell decreases substantially with an increase in deposition rate; 10% efficiency at 0.5 nm/s, which we call “low rate” and 6.7% at 4.5 nm/s, which we call “high rate”. Whereas this lowering of efficiency can be attributed to an increase in defect density almost by one order of magnitude, other aspects, namely interface effects are also suspected to contribute to this lower performance of cells at high rate. A comparison between the evolution of the structure of the i-layer on the nc-Si p-layer revealed that, whereas in case of “low rate” there is immediate evolution of the crystalline phase, however, the Raman crystalline ratio saturating at 0.55 at a thickness of 300 nm, in case of “high rate’’ films there is a thick amorphous incubation phase of 200 nm after which the crystalline ratio monotonously grows beyond 300 nm thickness to reach values as high as 0.7. This phenomenon is predominantly attributed to growth under deep silane depletion condition, which gives rise to back diffusion of silane from the passive region of the reactor into the discharge zone due to which a transient plasma condition occurs. The depletion of the source gas was observed via the variation of the deposition rate with the flow rate, and via the optical emission from the discharge. The length scales for the diffusion of silane into the reactor zone at “high rate” of 4.5 nm/s and low rate of 0.5 nm/s were calculated using a simple model for the gas flow, using realistic gas flow rates and other deposition parameters relevant to these deposition regimes. The time period of the stabilization of the initial transient plasma is estimated for both these cases. It was found that the stabilization period of gas diffusion for the “low rate” case is 15 seconds whereas for the “high rate” it is actually lower, only 7 seconds, however, due to the 10 times higher deposition rate a thicker incubation phase of 200 nm is observed in the latter case. By employing a plasma with pure hydrogen (which we call “hydrogen start”) prior to the film deposition which occur once the silane flows in, the incubation phase is completely removed and the resulting films show a smoother surface, which we attribute to a high nucleation density. The optimum crystalline ratio in the nc-Si layer (for solar cell application), deposited with hydrogen start, can be achieved by adapting the silane flow and using the silane profiling technique.
3:30 PM - **A10.4
Ultrafast Deposition of Crystalline Si Films Using a High Density Microwave Plasma.
Haijun Jia 1 , Michio Kondo 1
1 Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba, Ibaraki Japan
Show AbstractCrystalline silicon thin films have been attracting more attention as a promising photovoltaic material due to their strong stability and wide-range spectral sensitivities. To realize cost-effective product of thin film solar cells, high rate synthesis of high quality crystalline Si film is of significant importance. In this study, a microwave induced plasma source is newly applied to achieve the fast deposition of crystalline silicon thin films. In particular, this plasma can be generated at both atmospheric pressure and reduced pressure conditions. Diagnoses of the plasma source at atmospheric pressure demonstrate that the plasma source has a high electron density larger than 10^15 cm^-3 and a low electron temperature smaller than 1 eV over wide plasma conditions. By using this plasma source, highly crystallized microcrystalline silicon films can be directly deposited from SiH4+He plasma even without using H2 dilution and substrate heating techniques.Systematic studies of Si film deposition from SiH4+He plasma are performed as functions of input microwave power and SiH4 gas flow rate. Results show that deposited Si film transforms from amorphous to microcrystalline at a certain power region, and the film crystallinity improves with increasing the input power. The film deposition rate also increases significantly with input power. On the other hand, the film deposition rate increases while film crystallinity decreases with SiH4 flow rate, and finally the deposited Si film becomes amorphous. Detailed analyses demonstrate that for achieving high rate synthesis of highly crystallized Si films, proper balance between the input microwave power and source gas flow rate is required. By optimizing these two parameters, deposition of a highly crystallized (Ic/Ia>20) Si film is realized at an ultrafast deposition rate higher than 1000 nm/s by using a SiH4 gas flow rate of 50 sccm and a microwave power of 110 W. Moreover, we investigate the evolution of film microstructure during the high-rate deposition process to get insight into the film deposition process. A possible mechanism, the annealing assisted chemical vapor deposition, is proposed to describe the observed results. Optical emission spectroscopy showed that the discharging gas had a relatively high temperature, which may be the important parameter responsible for the annealing effect.
4:30 PM - A10.5
Time-resolved SiH4 Density Inside a Chemical Vapor Deposition System: Gas Flow Dynamics and Glow Discharge Control.
Richard Bartlome 1 , Antoine Descoeudres 1 , Benjamin Strahm 1 , Andrea Feltrin 1 , Stefaan De Wolf 1 , Christophe Ballif 1
1 IMT, EPFL, Neuchatel Switzerland
Show AbstractDespite the widespread use of silane (SiH4), little is known about the temporal evolution of its density inside chemical vapor deposition (CVD) reactors. Often reduced to a painstaking trial-and-error approach, process engineering could greatly benefit from in-situ diagnostic tools, but appropriate instrumentation is still lacking. In the past, SiH4 has been monitored in CVD systems with Fourier-transform infrared and mass spectrometers. While the former are bulky and intrusive, the latter lack sensitivity and good time resolution. With the assumption of a plasma model, the SiH4 density can be indirectly derived from optical emission measurements, but the latter are reduced to certain process conditions after ignition of a plasma. To the best of our knowledge, direct, time-resolved SiH4 measurements inside the reactor itself of an industrial CVD system have never been reported before.Recently, we have shown that a compact infrared laser spectrometer could monitor SiH4 in the pumping line of a CVD system. Despite the low pressure in the pumping line (lower than the 1–6 mbars maintained in the reactor), the SiH4 density was sufficient to be measured in a single-pass arrangement with a Peltier-cooled quantum cascade laser emitting around 4.6 μm. Following these results, we have acquired time-resolved SiH4 density measurements simultaneously in the reactor itself, and the chamber of an industrial plasma-enhanced CVD system. The chamber volume surrounds the reactor and is separately pumped. We verify the basic assumption that the gas density is confined to the reactor volume in the plasma-box concept.Without igniting a plasma, we measure the SiH4 stabilization time under various process conditions. In particular, we show the effect of a mass flow controller and of a gas purifier on gas stabilization times. These effects are the source of transients that appear when input flows are changed during deposition of Si thin films. By measuring the line intensity ratio of two Doppler-resolved SiH4 absorption lines, we monitor the gas temperature. Such measurements are interesting to investigate, e.g., plasma heating effects. Furthermore, we study plasma ignitions by monitoring the SiH4 density throughout the ignition of H2-diluted SiH4 plasmas with a resolution of 40 ms, which is less than the time needed to deposit a monolayer of Si. We optimize the ignition to get a sharp transition that takes less than a second to reach its steady state value. In particular, we show the effect of non-optimal initial capacitance values of the matching box, and the effect of plasma dry cleaning of the reactors walls prior to Si deposition. All these findings have immediate applications throughout thin-film Si technologies, of which a few will be discussed here in more detail. Finally, in the context of our research activities on heterojunction solar cells, we show how a-Si:H/c-Si heterointerfaces can be optimized with time-resolved SiH4 density measurements.
4:45 PM - A10.6
Structure of Amorphous Silicon On and Off an Si (100) Substrate.
Ruobing Xie 1 , Gabrielle Long 1 , Simon Moss 2 , Steven Weigand 3 , Sjoerd Roorda 4 , Jan Ilavsky 1 , Pete Jemian 5
1 X-Ray Science Division, Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States, 2 Physics Department, University of Houston, Houston, Texas, United States, 3 DND-CAT Synchrotron Research Center, Northwestern University, Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States, 4 Département de Physique, Université de Montréal, Montréal, Quebec, Canada, 5 APS Engineering Support Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractThe possibility of preferred structural orientation in amorphous or glassy materials is a topic of some recent interest. Here we investigate the structure of amorphous silicon films both on and off a silicon wafer. The two samples are well-characterized [1] amorphous silicon films prepared by means of self-implantation of silicon ions into a (100) silicon wafer substrate at 77 K[2]. A 5-mm-diameter area on the back of the wafer was etched away, leaving a 10 µm thick edge-supported amorphous film with density very close to c-Si. Before etching, one of the samples was annealed under vacuum at 600°C for 1 hour to induce structural relaxation. The other sample is as implanted. The two samples were measured by means of ultra-small, small and wide angle X-ray scattering. USAXS and SAXS measurements covered the q range from 0.0001 to 0.1 Å-1. An analysis of this data indicates the presence of a small volume of large voids in the samples: < 0.3% in the as implanted and < 0.1% in the relaxed sample. WAXS measurements in the q range from approximately 1 - 5Å-1 were conducted at different locations in the membranes. The WAXS data indicates that there are regions of nanocrystalline nucleation as well as nanocrystal free regions in both samples. By avoiding scattering volumes showing scattering patterns including tiny sharp peaks, amorphous data could be measured. The diffraction intensity of the first sharp diffraction peak for the film as a function of azimuth showed a maximum value in the direction of the substrate [110] orientations. This result is similar to results from an amorphous SiO2 film thermally grown on crystalline Si (001)[3]. In addition, however, we find that the freestanding a-Si film also possesses preferred orientation along the substrate [110] directions.1.Laaziri, K., Kycia, S., Roorda, S., Chicoine, M., Robertson, J.L., Wang, J., Moss, S.C., Phys. Rev. Lett. 82, 3460 (1999); Laaziri, K., Kycia, S., Roorda, S., Chicoine, M., Robertson, J.L.,Wang, J., Moss, S.C., Phys. Rev. B 60, 13520 (1999)2.Roorda, S., Laaziri, K., Gujrathi, S.C., Nucl. Instrum. & Meth. Phys. Res. B 148 360 (1999)]3.Castro-Colin, M., Donner, W., Moss, S. C., Islam, Z., Sinha, S. K., Nemanitch R., Metzger, H. T., Bosecke, P. and Shulli, T., Phys. Rev. B 71, 045310 (2005)
5:00 PM - A10.7
Precursor-flux Dependent Microstructure of Thin-film Silicon Prepared by a Plasma Enhanced CVD Process.
Shota Nunomura 1 , Michio Kondo 1
1 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractWe have studied the relationship between the thin-film silicon microstructure and film precursor fluxes in a plasma enhance chemical vapor deposition process [1]. The hydrogenated microcrystalline silicon film was prepared by a capacitively coupled 60MHz VHF discharge at a substrate temperature of 458K. The film microstructure was characterized by Raman spectroscopy, XRD, ESR and FTIR. The plasma process was diagnosed using standard process diagnostics [2,3], and the film precursor and hydrogen atom fluxes were deduced. The results of the film characterization and process diagnostics indicate that the film microstructure is highly dependent on the hydrogen atom flux to silicon deposition flux ratio. A transition from amorphous to microcrystalline film growth takes place at a particular flux ratio. In microcrystalline film growth, the crystalline orientation changes from <1 1 0> to <1 1 1>, depending on this flux ratio. The film crystallinity increases with this flux ratio, and then the defect density and post-deposition oxidation are increased. [1] S. Nunomura and M. Kondo, J. Phys. D 42, 185210 (2009). [2] S. Nunomura and M. Kondo, Appl. Phys. Lett 93, 231502 (2008). [3] S. Nunomura, I. Yoshida and M. Kondo, Appl. Phys. Lett 94, 071502 (2009).
A11: Flexible Electronics
Session Chairs
Wednesday PM, April 07, 2010
Room 3009 (Moscone West)
5:15 PM - A11.1
Performance of Amorphous-Silicon Thin-film Transistors Under Very High Tensile Strain.
Katherine Song 1 , Lin Han 1 , Sigurd Wagner 1
1 Electrical Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractAn ability to withstand bending and folding without incurring permanent damage is as important in the manufacture of flexible a-Si:H TFT circuits as during their use. Their electrical performance during use, however, is of special interest because the TFT performance is known to vary with mechanical strain. Through design of geometry and application of new materials, amorphous-silicon thin-film transistors (a-Si:H TFTs) have become highly flexible: bending radii of the order of 1 millimeter have been demonstrated. However, the study of their electrical performance during bending has been hampered by the difficulty of combining precise electrical current measurements of sharply-bent three-terminal devices in a controlled atmosphere. Here we report a new series of experiments that seek to perform electrical evaluation during sharp bending. We use a-Si:H TFTs on Kapton polyimide foil that are made particularly flexible by replacing all silicon nitride (SiNx) layers with a new insulator that has the electrical properties of SiO2 but is not brittle. We apply this insulator as substrate passivation, gate dielectric, and backchannel passivation. In separate experiments, such TFTs have electron field-effect mobility as high as 2 cm2/(V-s). In our experiments, we are reaching the limit of reproducible bending, which is set by the transistor and its contact pads extending over the entire surface that can be bent to a controlled radius and accessed with electrical probes. We have found that the TFTs with the new insulator material can be strained at least twice as much as SiNx-based TFTs. At present, we are evaluating TFTs designed for even higher critical strain. At the symposium we will report a new record.This research is supported by the Universal Display Corporation.
5:30 PM - A11.2
The Ion-cut Transfer of Silicon with Adhesives for Flexible Applications.
David Govea 1 , Wayne Chen 1 , S. Lau 1
1 Electrical & Computer Engineering, University of California, San Diego, La Jolla, California, United States
Show AbstractIon-cut layer transfer of Si is a well-established technology, utilizing hydrophilic wafer bonding to transfer thin layers of ion implanted silicon for SOI applications. In this work, we develop processing techniques which enable the transfer of silicon layers onto glass or sapphire substrates using adhesives. The use of an adhesive rather than a hydrophilic bond opens many avenues for integration of single-crystalline silicon layers with flexible substrates. In previous studies, a “double-flip” layer transfer technique was developed for ion-cut InP, allowing the layer to be removed from a temporary substrate and transferred to a final substrate of choice. This process may facilitate the transfer of silicon onto flexible or other substrates.Hydrophilic bonding is commonly used in ion cutting due to the high temperature stability of the bonding interface in layer exfoliation. We found that implanted silicon can be pressure-bonded and transferred onto glass/sapphire substrates at temperatures as low as 250 °C using SU-8, a common photoresist used in MEMS applications. By allowing the SU-8 to harden slowly and uniformly at temperatures below 100 °C, the adhesive layer provides an adequately rigid surface for the thermal exfoliation of silicon. Thermal degradation of the SU-8 during the annealing process can be mitigated by using a heatsink to dissipate heat from the bonding substrate. The significant thermal gradient produced by this procedure allows the adhesive to maintain a strong bond while the ion implanted silicon is annealed for exfoliation.It has been shown that the ion-induced damage in implanted layers can be reduced or eliminated by annealing at temperatures as high as 1000 °C. Most adhesive bonds will not survive at such high temperatures; however, several solutions to this issue have been explored in our laboratory. Various temperature-resistant adhesives have been evaluated, and the transfer of selectively implanted silicon layers is also being investigated. We found that the transfer of selectively implanted silicon is difficult to achieve using conventional hydrophilic bonding procedures. Following implantation, bombarded regions exhibit volume expansion, resulting in a discontinuous surface height profile. However, by using an adhesive bond, the entire surface can achieve satisfactory bond strength due to the filling of surface steps by the reflow of adhesive. The resulting silicon layers will have regions without ion-induced damage and will be suitable for device fabrication. The adhesive transfer processes and the characterization of the transferred layers will be presented in this work.
5:45 PM - A11.3
Float Foil Growth of Si-Foils for Solar Cell Applications.
Uri Cohen 1 , Michael Roitberg 1
1 , Ribbon Technology LLC, Palo Alto, California, United States
Show AbstractA new Float Foil Growth (FFG) technique has been demonstrated for growing thin Si-foils from molten metal solvent, such as molten indium (In) or tin (Sn), at temperatures below 1,000°C. Si-source is first dissolved to saturation in a molten metal bath (or solvent) at a temperature T2 (T2 ≤ 1,000°C), and the molten bath is then cooled to T1, where T2 » T1. Due to lower solubility of Si at T1 than at T2, Si separates (or precipitates) out of solution and, due to its much lower density than the density of the molten metal, it floats to the top of the melt to form a thin Si-foil. The thickness of the Si-foil is determined by T2, the dissolution temperature (i.e., Si solubility), and the volume (or depth) of the molten bath. Preliminary experiments demonstrated flat thin Si-foils with thickness range of 50-200µm from molten In bath. The obtained Si-foils were multicrystalline with crystalline (or grain) size of several millimeters, with preferred <111> orientation. The Si-foils were extremely pure, with In (solvent) content as low as 14ppb, all other metallic impurities below 0.1ppm, oxygen content about 1.8ppm, and carbon content below 50ppb. Due to lack of interfacial stress during growth between the floating Si-foil and the liquid molten bath, the Si-foil can grow with essentially no dislocations. Anticipated production rate of a single manufacturing line is about 10-50 square meters of Si-foil per hour. Si material cost savings is estimated at > 80% (compared with conventional sliced Si wafers). Furthermore, due to extremely small segregation coefficients of most impurities, the FFG process is inherently self purifying. As such, it is expected that lower grade Si-source (such as metallurgical Si) could be used in FFG Si-foil growth, thereby providing further significant cost reducion of the Si material for solar cell applications.
A12: Thin Film Transistors and Materials
Session Chairs
Thursday AM, April 08, 2010
Room 3009 (Moscone West)
9:30 AM - **A12.1
Influence of Embedded a-Si:H Layer Location on Floating-gate a-Si:H TFT Memory Functions.
Yue Kuo 1 , Mary Coan 1
1 Thin Film Nano & Microelectronics Research Lab, Texas A&M University, College Station , Texas, United States
Show AbstractRecently, it has been reported that the floating-gate a-Si:H TFT is an effective nonvolatile memory device (1,2). A large number of charges can be stored and retained in the transistor for an extensive period of time. They can also be detrapped with the application of proper negative gate voltage. In this kind of device, the gate dielectric film is composed of three layers, i.e., a bottom dielectric layer (adjacent to the a-Si:H channel layer), a thin embedded a-Si:H layer, and a top dielectric layer (adjacent to the gate electrode). The complete TFT can be fabricated using the same materials and process as those of the conventional TFT. The TFT’s charge trapping mechanism and capacity are affected by the structure of the gate dielectric and the operation condition. In this paper, authors investigated the influence of the location of the embedded a-Si:H layer in the gate dielectric film on the charge trapping mechanism. Two sets of experiments have been carried out. First, the total gate dielectric thickness is fixed and the charge trapping capacity with respect to the bottom gate dielectric layer thickness is studied. The result shows that based on the same gate bias voltage, the thinner the bottom gate dielectric layer is, the easier charges are injected into the gate dielectric structure. The short charge tunneling (transfer) distance is responsible for the large trapping density. Second, the gate sweep voltage in the forward sweep direction is varied to study the TFT’s transfer characteristics and the charge storage capacity. Under a large negative gate bias condition, a hole-rich inversion layer is formed and holes are injected into the gate dielectric structure. These trapped charges influence the shape of the transfer characteristics due to the Coulomb blockade phenomena. The distortion of the forward transfer characteristics is especially obvious when the bottom gate dielectric layer is thin. However, the backward transfer characteristics, i.e., the gate voltage swept from the positive-to-negative direction, is independent of the magnitude of the original negative gate sweep voltage. Therefore, holes trapped to the embedded a-Si:H layer can be totally removed or neutralized by electrons injected at the high positive gate voltage. The result shows it is much easier to inject and trap electrons than holes. The thin bottom gate dielectric layer is not favorable for the memory function when a large negative gate bias voltage is applied in the forward sweep due to the cross of the hysteresis curves. This work is partially support by DHS Grant No. 2008-DN-077-ARIO18-02. Authors acknowledge Alou C.-H. Lin for sputter deposition of metal electrodes. 1. Y. Kuo and H. Nominanda, Appl. Phys. Letts., 89, 173503 (2006). 2. Y. Kuo and H. Nominanda, MRS Proc. 1066-A08-02 (2008).
10:00 AM - A12.2
High Performance Amorphous Silicon Thin Film Transistors for Powering Active-matrix Organic Light-emitting Diodes Displays.
Lin Han 1 , Sigurd Wagner 1
1 Electrical Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractOne thin-film transistor (TFT) in each pixel of an active-matrix organic light-emitting-diodes (AMOLEDs) display must supply enough current to drive the OLED. We discovered that a new SiO2-silicone hybrid gate dielectric raises the transistor current to 4 times that of an otherwise identical a-Si:H/SiNx TFT. The dielectric is deposited by plasma-enhanced chemical vapor deposition at nominal room temperature. It has a dielectric breakdown field Ebd = 8 MV/cm and a relative dielectric constant of 4.0. When used in a thickness of ~100 nm, which is only 1/3 of the usable thickness of the conventional 300-nm thick SiNx dielectric, the hybrid has ~ 1.5 times the gate capacitance of SiNx. The new dielectric also raises the effective field-effect electron mobility to ~ 2 cm2/V●s, while conventional a-Si:H/SiNx TFTs have electron mobility of μ = 1cm2/V●s. Together, the high gate capacitance and mobility raise the transistor current by a factor of 4. Its low deposition temperature and high TFT current suggest that the new hybrid TFT is a promising candidate for the power transistor in AMOLEDs. We conclude that a-Si TFTs are becoming very appealing for AMOLED applications, because a-Si technology is mature, low-cost, and already widely used. We will describe the deposition and electrical properties of the hybrid dielectric, and the electrical characteristics of a-Si TFTs that use it.We thank Universal Display Corporation for supporting this research
10:15 AM - A12.3
On The Mechanism of Nucleation in Pulsed-laser Quenched Si Films on SiO2.
Yikang Deng 1 , Qiongying Hu 1 , Ui-Jin Chung 1 , Adrian Chitu 1 , Alexander Limanov 1 , James Im 1
1 Applied Physics and Applied Mathematics, Columbia University, New York, New York, United States
Show AbstractWhen thin Si films on SiO2 are completely melted using a short-duration laser pulse, the molten films solidify via nucleation and growth [Stiffler, Thompson, and Peercy, Phys. Rev. Lett. 60, 2519 (1988)]. As regards the mechanism of nucleation that follows deep supercooling of liquid Si, it was advocated by the authors, and accepted in the technical community over the years, that it is homogeneous nucleation, which must be intrinsic and which is manifested.This paper focuses on reexamining the fundamental issue regarding the mechanism of nucleation in laser-quenched Si films on SiO2. Previously neglected experimental steps were taken to eliminate extrinsic influences that can interfere with generating the intrinsic behavior. The experimental and computational results obtained in the present work lead us to conclude that, in fact, it is the heterogeneous mechanism that corresponds to the intrinsic mechanism of nucleation. The experimental procedure adopted in this work included (1) removing the native surface oxide layer by BHF solution, and (2) transferring and irradiating the samples within a vacuum chamber. Single-pulse laser irradiation and in situ transient reflectance (TR) analysis were performed through quartz windows on the vacuum chamber. The samples consisted of 50nm to 300nm amorphous, polycrystalline, and single-crystal Si films (prepared using various methods) on SiO2-layer covered glass, quartz, or Si-wafer substrates. An excimer-laser-based system (308nm) was employed to irradiate the films at various pulse durations (30nsec ~ 250nsec) and at various energy densities within the complete melting regime. Microstructural characterization of the irradiated samples was conducted using both cross-sectional and planar transmission electron microscopy. Numerical analysis was also performed using a nonequilibrium 3-D model capable of accounting for the stochastic nature of nucleation and interfacial undercooling [Leonard and Im, Appl. Phys. Lett. 78, 3454 (2001)].When the films were pre-BHF-etched and irradiated in vacuum, solid nucleation was found to consistently take place heterogeneously at, and only at, the bottom liquid-oxide interface. This was observed to be the case for all samples that were examined in this work. We argue that this particular scenario (i.e., heterogeneous nucleation of solid Si at the bottom interface) is intrinsic (at least for chemically non-contaminated Si films), and, as such, homogeneous nucleation of solids cannot (under similar or at lower cooling rates) transpire within deeply supercooled liquid Si films on SiO2. We also discuss how a number of previous experimental observations, including those made by Stiffler et al., can be accounted for by essentially simultaneous heterogeneous nucleation of solids, which can reproducibly participate at the top interface of the film when the films are irradiated in the air and/or do not undergo the surface-treatment step.
10:30 AM - **A12.4
Nano Imprint Technology for Metal Induced Crystallization of Silicon and TFT Application.
Tanemasa Asano 1
1 Dept. of Electronics, Kyushu University, Fukuoka Japan
Show Abstract Nano imprint using metal (Ni) covered silicon tips is applied not to indent the surface of a-Si film surface but to transfer extremely small amount of metal to the film surface. When the amount of metal transferred is small, it enhances local nucleation at the nano imprinted sites and creates a single nucleus which grows to be a single grain during the subsequent crystallization in solid phase. This technique has been applied to form an array of single grains of polycrystalline Si whose size is several to 10 micrometers. The technology has been developed to create single crystal grains at designed sites on the substrate and applied to fabricate CMOS circuits composed of TFTs made on single crystal grains. The single-grain CMOS transistors thus fabricated have shown higher carrier mobility and better threshold voltage control than those fabricated on simple solid-phase crystallized films. The nano imprint technology is also applied to low-temperature polycrystalline Si using excimer laser annealing, where it provides single-crystal seeds for the recrystallization.
A13: Characterization
Session Chairs
Thursday PM, April 08, 2010
Room 3009 (Moscone West)
11:30 AM - A13.1
Investigation of Near-IR Emission From nc-Si/a-Si:H Mixtures: The Oxygen Defect Band.
Jeremy Fields 1 , P. Taylor 2 , B. Gorman 1 , M. Romanes 3 , B. Yan 4 , G. Yue 4
1 Materials Science Department, Colorado School of Mines, Golden, Colorado, United States, 2 Physics Department, Colorado School of Mines, Golden, Colorado, United States, 3 Materials Science and Engineering Department, University of North Texas, Denton, Texas, United States, 4 , United Solar Ovanic LLC, Troy, Michigan, United States
Show AbstractHydrogenated microcrystalline/amorphous silicon mixtures, polycrystalline silicon, and Czokralski grown crystalline silicon systems demonstrate a photoluminescence band centered at ~ 0.7 eV, dependent on the amount of thermal energy provided to the material. Several authors tentatively assign this band to oxygen related defects. We now also detect 0.7 eV PL in annealed nanocrystalline/amorphous silicon mixtures and believe the behavior to be analogous. However, scarce understanding of the nature of hypothesized silicon–oxygen precipitates in nc-Si/a-Si:H mixtures continues to drive investigation seeking verification of their existence, formation motivators, and implications for nc-Si/a-Si:H incorporating devices. The 0.7 eV PL we observe emerges in response to annealing near 200 °C or higher, and appears more prominently in samples containing higher crystalline volume fraction. In a sequential annealing experiment the onset of this emission occurred at lower temperature for a sample characteristic of a bad device than for a good device counterpart, suggesting a possible link between performance and the defects. An onset temperature of ~ 200 °C for 0.7 eV PL correlates well with effusion and absorption spectroscopy studies on annealed μc-Si/a-Si:H, which show a clear trend between hydrogen evolution and increased silicon-oxygen bonding*. Secondary ion mass spectrometry reveals a considerably high oxygen concentration, ~ 2 x 1019 /cm3, in the nc-Si/a-Si:H films initially, which redistributes and nearly doubles in response to a 30 minute 350 °C anneal. It appears that thermal energy promotes oxygen and/or water diffusion throughout the network during heat treatments, giving rise to oxidation of c-Si surfaces in the nc-Si/a-Si:H mixture. We attribute 0.7 eV PL in nc-Si/a-Si:H to deep defect states related to these proposed oxygen precipitates. Cross-section TEM analysis made on samples which demonstrate 0.7 eV PL provides additional perspective for understanding these processes.Reference:* P.C.P. Bronsveld, H.J. Van der Wagt, J.K. Rath, R.E.I. Schropp, and W. Beyer, Thin Solid Films 515 (2007) 7495–7498 Acknowledgments:1. NSF funding under grant, DMR-0073004, and cooperative agreement through the Renewable Energy MRSEC at Colorado School of Mines2. DOE funding under the Solar America Initiative, program contract No. DE-FC36-07 GO 170533. Evans Analytical Group for SIMS characterization
11:45 AM - A13.2
An SAXS Study of Hydrogenated Nanocrystalline Silicon Thin Films.
Kristin Kiriluk 1 , Don Williamson 1 , David Bobela 2 , P. Craig Taylor 1 , Baojie Yan 3 , Jeffrey Yang 3 , Subhendu Guha 3
1 , Colorado School of Mines, Golden, Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States, 3 , United Solar Ovonic, LLC, Troy, Michigan, United States
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) is increasingly being used in multi-junction solar cells in tandem with hydrogenated amorphous silicon (a-Si:H). In order to better understand its growth and unique optoelectronic properties, we have used small-angle x-ray scattering (SAXS) in conjunction with x-ray diffraction (XRD) to study its nanostructure. XRD was used to determine a crystallite size of approximately 20 nm in the growth direction with a preferred orientation in the (2 2 0) direction. Fitting the SAXS scattering intensity shows that the scattering derives from electron density fluctuations of both voids in the amorphous phase and H-rich clusters in the film, perhaps at the crystallite interfaces. These results indicate ellipsoidal shaped crystallites of 6 nm perpendicular to the growth direction. This elongated shape probably does not come from the voids because past studies of high-quality a-Si:H made by PECVD do not show a large volume fraction of voids, but do show isotropic SAXS intensities indicating spherical voids1. TEM images corroborate these results. To further understand the structural evolution of the nc-Si:H nanostructure, annealing experiments have been performed. An increase in SAXS intensities is observed after annealing at 350oC.1D. L. Williamson, Mat. Res. Soc. Symp. Proc., Vol. 377, 251 1995
12:00 PM - A13.3
Unique Insight into the Structural and Mechanical Property Correlations of a Range of Thin a-Si Films Using a Powerful Combination of Microscopy and Mechanical Testing.
Bianca Haberl 1 , Jodie Bradby 1 , Simon Ruffell 1 , Jim Williams 1 , Stephanie Bogle 2 , Tian Li 2 , John Abelson 2
1 Department of Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia, 2 Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractAmorphous silicon (a-Si) has a plethora of technologically important applications including thin-film-transistor display panels, position sensitive detectors, and thin-film photovoltaics. Despite this widespread use and decades of research, there is still much to understand in terms of the structure and structural stability that affect optical, electrical and mechanical properties. This study substantially enhances understanding of the structure of various forms of a-Si and correlations with their mechanical properties.Films of pure a-Si can be created by various methods, such as self-ion implantation, ultra-high-vacuum deposition, laser-quenching or a pressure-induced structural collapse. These films are well known to undergo structural relaxation upon thermal annealing to a ‘state’ which might be the closest experimentally attainable to that of a continuous random network. This structural relaxation is attributed to removal of point defects and defect complexes and has been studied extensively using a range of techniques such as differential scanning calorimetry, Raman microspectroscopy and diffraction techniques. However, although these techniques are able to distinguish between the unrelaxed and the relaxed states, little difference is observed between the various unrelaxed films. Additionally, it remains yet unclear whether a-Si films prepared by industrially deposition techniques undergo a similar structural relaxation. Therefore, this study addresses these issues.A powerful combination of mechanical testing (nanoindentation) and fluctuation electron microscopy was employed. Mechanical testing is very capable in distinguishing between unrelaxed and relaxed as only structurally relaxed films will undergo phase transformations to crystalline phases upon pressure release. Additionally, fluctuation electron microscopy gives a unique insight into the nm scale order of the amorphous network. In order to investigate the influence of the preparation method on the structural and mechanical properties three different films of a-Si were studied in detail, two pure films prepared by ion-implantation and pressurization of crystalline silicon and one deposited film prepared by magnetron-sputtering.All three as-prepared films are observed to exhibit structural differences on the nm scale reflecting the different preparation techniques. Although, all these films are observed to undergo similar short-range ordering upon thermal annealing, only the pure films are observed to approach the structure of a continuous random network (i.e. structurally relax), whereas the sputtered film is not. Moreover, only relaxed pure films phase transform under the application of indentation pressure, whereas the annealed sputtered film does not. This implies that sputtered films cannot undergo structural relaxation. Thus, for the first time it is shown that the ability to structurally relax a-Si can be directly correlated with the ability of the films to phase transform.
12:15 PM - A13.4
On the Effect of the Microstructure of Amorphous Silicon on the Grain Size of Solid Phase Crystallized Poly-crystalline Silicon Films.
Kashish Sharma 1 , Annalisa Branca 1 , Andrea Illiberi 1 , Mcm van de Sanden 1 , Maria adriana Creatore 1
1 applied physics, eindhoven university of technology, Eindhoven Netherlands
Show AbstractThin-film photovoltaics massively reduces the silicon content of the finished product, with 150-200 times less material used than in conventional Si wafer based solar cells, resulting in less expansible, though less efficient (up to 13%) solar cells. The application of polycrystalline Silicon (poly-Si) is considered to be promising1, since it allows coupling the advantage of using the crystalline Si technology with thin film manufacturing techniques.Poly-Si thin films on foreign supporting materials are particularly appealing: one of the most promising substrate for low cost photovoltaics is glass as it is inexpensive, transparent (enabling the superstrate configuration and /or bifacial modules), long-term stable, and readily available on large scale. The drawback is the poor thermal stability above 650 C2. Large grains characterized by a size in the range of the film thickness (~1 µm) have been already achieved on glass substrates under high growth rate conditions (8 nm /s) for hydrogenated amorphous silicon (a-Si:H) deposited by means of an expanding thermal plasma (ETP) and annealed at 650 C in less than 10 hours3.The knowledge of the dependence of the grain size of polycrystalline silicon on the microstructure (Si-Hn bonding) of the a-Si:H layer can lead to a significant improvement of polycrystalline silicon based solar cells. Therefore, in this contribution we address this issue by investigating the role of the microstructure parameter R* at constant hydrogen content in a-Si:H, in affecting the properties of the crystallized layer. The microstructure parameter has been varied in the range of 0.05-0.63 by tuning the plasma parameters. Upon annealing, fully crystallized polycrystalline silicon has been reported by means of Raman spectroscopy, X-ray diffraction (XRD) and the grain size has been determined by means of cross- section transmission electron microscopy (XTEM). The increase in structural disorder in a-Si:H (i.e. an increase in the R*) leads to development of large grain sizes (i.e. up to 700-1100 nm). Furthermore, a more disordered amorphous layer leads to a broader grain size distribution in the poly-Si film.Finally, it has been observed that the crystallization process proceeds unhindered also in the case of highly disordered a-Si:H layers and grown at very high growth rate (10-60 nm/s), potentially appealing from an industrial point of view. References:[1] M. A. Green, Solar energy 74, 181-192 (2003).[2] Z. Shi, S.R. Wenham, Prog. Photovolt. 2, 153 (1994).[3] A. Illiberi, K. Sharma, M. Creatore, M. C. M. van de Sanden, Materials letters 63, 1817-1819 (2009).
12:30 PM - A13.5
Assignment of High Wavenumber Absorption and Raman Scattering Peaks in Microcrystalline Silicon.
Erik Johnson 1 , Laurent Kroely 1 , Pere Roca i Cabarrocas 1
1 , LPICM-CNRS, Palaiseau France
Show AbstractIn the optimization of high-growth rate hydrogenated microcrystalline silicon (μc-Si:H) for photovoltaics, the observation of the infrared (IR) absorption peaks around 2000 cm-1 - which originate from silicon hydrogen (Si-Hx) bonds in the film bulk - can provide useful information about the properties of the film. If the films are deposited on IR transparent substrates, Fourier Transform infrared (FTIR) spectroscopy is the most commonly used technique to measure the absorption in this regime. The rich spectrum of Si-Hx peaks that can be observed in this wavenumber region describes the distribution of the bonded hydrogen amongst the various possible SiHx configurations, and as well, the presence of a pair of narrow, twin peaks at 2085 and 2100 cm-1 is indicative of material that is porous and prone to oxidation upon exposure to air. Many of these high wavenumber peaks are also observable using Raman scattering spectroscopy, allowing one to observe films on substrates that are not IR transparent. As well, the different absorption/scattering cross sections of the two techniques provide a useful tool to understand the origins of the various peaks, and in particular, those of the twin narrow peaks. In this work, we examine the dynamics upon exposure to atmosphere of these twin peaks in μc-Si:H grown by Matrix Distributed Electron Cyclotron Resonance (MD-ECR) PECVD. This deposition technique is an extremely promising one for growing μc-Si:H thin films at high deposition rates, and has been demonstrated μc-Si:H film deposition at up to 28Å/s. By using both FTIR and Raman spectroscopy to characterize these films, we examine the differences in the dynamics of each of the twin peaks according to the technique used, and according to whether the film was stored in atmosphere or in a N2 environment. In addition, secondary ion mass spectrometry (SIMS) measurements on the films show the physical distribution of oxygen in the films after five months of air exposure. Using these observations and the accompanying differences in the broader SiHx absorption and scattering peaks that do not change with time, we assign these features to specific configurations of Si-Hx within the film.
12:45 PM - A13.6
Electrical Characterization of Multi-junction Thin-film Photovoltaic Modules: Spectral Mismatch Correction to Standard Conditions and Comparison With Outdoor Measurements.
Mauro Pravettoni 1 2 , Georgios Tzamalis 1 , Alessandro Virtuani 3 , Harald Muellejans 1
1 DG Joint Research Centre, European Commission, Ispra, Varese, Italy, 2 Blackett Laboratory, Imperial College London, London United Kingdom, 3 , Scuola Universitaria della Svizzera Italiana, Manno Switzerland
Show AbstractMulti-junction photovoltaic (PV) cells consist of a stack of two or more semi-conductive layers (“junctions”), each with different characteristic band-gap energies, so that the whole device absorbs a greater quantity of photons in the solar spectrum and converts them into electric power, limiting electrons thermalization and improving cell open-circuit voltage and efficiency. High theoretical limiting efficiencies have been calculated for devices with various number of junctions, thus increasing the interest in the development and characterization of them since the 1970s. The world record solar cell, reaching under concentration the efficiency limit of 40.7% as measured in 2007, is indeed a triple-junction PV cell of the III-V group (GaInP/GaInAs/Ge).Thin-film technologies due to its low cost and manufacturing ease has emerged as a promising technology for PV modules: furthermore, multi-junction thin-film modules have shown higher efficiencies and better long term performance than single-junction ones, suggesting their viability in the PV market. Since 2003, researchers of the European Solar Test Installation (ESTI) have turned their interest towards the investigation on the long-term stability of multi-junction amorphous silicon thin-film modules such as a-Si/a-Si and a-Si/μc-Si. Indoor characterization of single-junction thin-film modules has also entered to be routinely performed at ESTI. In view of the evaluation of the spectral mismatch correction to the standard AM1.5g spectrum, the spectral responsivity measurement experimental setup has been developed for PV multi-junction modules, which requires the usage of advanced experimental techniques. When the area of the monochromatic light spot is not sufficiently large to irradiate the entire active area, supplemental bias light ensures that the cells not being measured do not limit the output current. Coloured bias light is provided to saturate the junction not being measured of the multi-junction cell under measurement in the module. The whole procedure is repeated on a selection of cells in the module to avoid errors due to non-uniformity.Results on spectral responsivity measurements and spectral mismatch correction calculation of a set of various multi-junction thin-film devices are shown. Data are then compared with outdoor measurements at standard irradiance conditions, where a data acquisition system is capable of measuring the incident irradiance and the electrical performance of the device. The sweep times has also been varied within a couple of order of magnitude, allowing complete comparison with the results from the indoor pulsed solar simulator.
A14: Defects and Metastability
Session Chairs
Thursday PM, April 08, 2010
Room 3009 (Moscone West)
2:30 PM - **A14.1
A New Perspective on an Old Problem: The Staebler-Wronski Effect.
Hellmut Fritzsche 1
1 Physics, University of Chicago, retired, Tucson, Arizona, United States
Show AbstractIn 1977 Staebler and Wronski discovered that band gap light produces metastable defects in a-Si:H which diminish the photo-carrier lifetimes and thus the solar cell efficiency. These defects are unsatisfied Si bonds (3fold coordinated Si atoms). These light-induced defects saturate near a concentration of 2x1017/cc. They are removed by annealing at elevated temperatures. Photo-induced structural changes and defect creation are common phenomena in a large variety of polymeric and non-crystalline semiconductors and insulators. The photo-induced degradation of a-Si:H and its alloys belongs to a special category with quite unique features, which so far has resisted an explanation. Part of the problem is that the near 4-fold coordinated network does not naturally form an amorphous material. It is over constrained and stress releases by forming a voided microstructure which is essentially fixed by the deposition conditions. In the network exists a mostly bonded hydrogen medium which again is not uniform but divided into at least two but perhaps three subgroups of bonded hydrogen depending on details of the microstructure of the silicon network. Moreover, even though the photo-induced metastable defects all have the g=2.0055 signature of dangling Si bonds, they fall into groups with very different electron capture cross sections and anneal temperatures.While reviewing the experimental evidence of light-induced degradation I will argue that some of our commonly held views or assumptions regarding the underlying mechanisms of the Staebler-Wronski effect may have to be changed. First, non-radiative bimolecular recombinations of photo carriers do not seem to be the driving force of the photo- degradation. Second, the internal surfaces of the voided microstructure seem to be the principal locations of the photo-structural changes. Alternative pathways for the photo-induced processes will be suggested.
3:00 PM - A14.2
The Staebler-Wronski Effect: New Physical Approaches and Insights as a Route to Reveal its Origin.
Arno Smets 2 1 , Christopher Wronski 3 , Miro Zeman 4 , Richard van de Sanden 2
2 Department of Applied Physics, Eindhoven University of Technology, Eindhoven Netherlands, 1 Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan, 3 , the Pennsylvania State University, University Park, Pennsylvania, United States, 4 , Delft University of Technology, Delft Netherlands
Show AbstractRecent experimental studies have revealed two crucial features of the Staebler-Wronski effect (SWE) which up to now did not receive any attention in the models proposed to explain its mechanism. First, using Q-DLTS [Nadazdy PRB 2004] and DBP analysis [Niu Thesis 2006], it has been shown that hydrogenated amorphous silicon (a-Si:H) has at least three native gap states related to defects. The density of these defect states increase in time under light-soaking, while the timescales on which these effects are observed differ between the three states. Secondly, Wronski and co-workers [Deng MRS 2006] showed that it is far from straightforward to reveal the correct kinetics of the SWE based on the analysis of defect states evolution during light-soaking. Their detailed study on films and cells showed that the total initial defect density obscures the real appearance of the SWE during the time scales of typical light-soaking experiments. After correction for the dominance of the initial defects, the meta-stable defect states show a scaling of ~Gt1/2 (with G generation rate and t time) in contrary to the commonly reported ~G2/3t1/3. The universality of the ~t1/2 time scaling on earlier reported SWE data with supposedly ~ G2/3t1/3 kinetics [Stutzmann PRB 1985] will be demonstrated, by taking the dominance of initial defects into account.In view of these two important experimental observations, we have to conclude that even the most advanced model describing the SWE [Branz PRB 1999] does not reveal the correct kinetics or excludes the presence of more than one meta-stable defect state. One of the reasons for this is that the micro-structural view on the a-Si:H network is limited to a treatment as a continuously random network in which the isolated dangling bond and the randomly distributed isolated hydrogen are the only entities considered as defect site and hydrogen emission sites, respectively. This approach neglects the fact that many studies have shown that molecular hydrogen or divacancies are the dominant entities in a-Si:H [Norberg JNCS 1994,Smets PRB 2007]. In this contribution we will first discuss that the relative position between the defects in the gap favors the defects to be described as not-fully-hydrogen-passivated divacancies in line with its striking agreement with defects states known in crystalline silicon. Secondly, we consider SWE as a redistribution of an extremely small fraction of the bonded hydrogen. The complex reaction system can be described by a general mathematical model in which all possible reactions for hydrogen emission and capture, with and without charge recombination involved, are considered. When solving the model three possible sets of reactions are capable to describe the correct scaling of ~Gt1/2. We will discuss which solution is in our view the most plausible one and argue that the molecular hydrogen residing at divacancies and nano-sized voids plays a crucial role in the mechanism of the SWE.
3:15 PM - **A14.3
Interaction Between Hydrogen and Paramagnetic Defects in Thin Film Silicon.
Oleksandr Astakhov 1 2 , Reinhard Carius 1 , Yuri Petrusenko 2 , Valerij Borysenko 2 , Dmitro Barankov 2 , Friedhelm Finger 1
1 IEF-5, Forschungszentrum Jülich, Jülich Germany, 2 “Cyclotron” Science & Research Establishment, National Science Center - Kharkov Institute of Physics & Technology, Kharkov Ukraine
Show AbstractHydrogen in amorphous and microcrystalline hydrogenated silicon (a-Si:H and µc-Si:H) is crucial for the electronic quality of the material. H passivates the non-saturated Si bonds and therefore improves the semiconductor quality of a-Si:H and µc-Si:H. On the other hand metastability of thin film silicon such as the increase of the density of silicon dangling bonds (db) in a-Si:H during light exposure (Staebler-Wronski effect) was discussed to be accompanied by migration of H in the material [1]. As a consequence one could expect H to be located close to newly created metastable db’s. A tool to investigate possible interaction between db and H is electron spin resonance (ESR) which is sensitive to the paramagnetic, singly occupied db’s. The hydrogen has a nuclear magnetic moment of spin ½. In close vicinity of the paramagnetic electron (few Å) this nucleus should induce additional splitting of the electronic levels that can be detected with ESR as a hyperfine (HF) splitting of the resonance line. But such HF patterns were not observed so far. In a work performed with electron spin echo envelope modulation (ESEEM) Isoya et.al [2] concluded that the closest H atom should be not closer than 4.2 Å to db. The absence of any indication for HF interaction challenges the explanation of the H-involved creation of db and questions the existence of H-related paramagnetic complexes.It is therefore of great interest that we have found such indication for a hydrogen related hyperfine pattern in a-Si:H and µc-Si:H after 2 MeV electron bombardment. These results will be presented here. The identification of the H-related paramagnetic center is done with the help of isotope exchange samples i.e. a-Si:D and µc-Si:DThe samples deposited with PECVD from the mixture of SiH4 and H2 and mixture of SiD4 and D2 were irradiated with 2 MeV electrons at 100K up to a dose of 1.1*10^18e*cm^-2. Samples are measured after irradiation and after stepwise annealing. At an annealing temperature of 160°C all irradiation-created defects can be healed out. ESR measured in the X-band on a-Si:H and µc-Si:H show strong satellite-like features on the wings of the db resonance after the electron bombardment. The “satellites” observed in hydrogenated samples after irradiation do not appear in the deuterated material prepared and irradiated at the same conditions. The absence of the satellites in µc-Si:D and a-Si:D gives a strong evidence for the interpretation of the “satellites” as a hyperfine doublet induced by H nuclei i.e. during irradiation paramagnetic states are formed with H in its close vicinity.The properties of the hyperfine pattern observed in the study are compared with several known H-related centers in crystalline Si with the help of powder pattern simulations. In particular the relation of the resonances to a hydrogen-db pair and H at a Si-Si bond center site is discussed.[1] P. V. Santos et al., Phys. Rev. Letters 67 (1991).[2] J. Isoya et al., Phys. Rev. B 47 (1993).
3:45 PM - A14.4
Creation and Thermal Stability of Staebler-Wronski Defect Spins: Stable, Unstable, and H-effused Amorphous Silicon.
David Bobela 1 , Howard Branz 1 , Paul Stradins 1 , Baojie Yan 2 , Xixiang Xu 2
1 , National Renewable Energy Laboratory, Golden, Colorado, United States, 2 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractWe observe a considerable reduction in the metastable defect (MSD) creation after partial H effusion from hydrogenated amorphous silicon (a-Si:H) and study the distribution of MSD annealing energies in a-Si:H grown by a variety of techniques. These Staebler-Wronski defects limit the performance of a-Si:H based solar cells but are still poorly understood; previous investigations suggest the hydrogen microstructure may play an important role [1,2]. To probe the influence of H bonding on the creation of metastable defects, we first annealed a variety of a-Si:H films at high temperatures to rearrange and effuse hydrogen and then used electron spin resonance (ESR) to study the pulsed-laser degradation and its subsequent annealing. After 10% of the total hydrogen was removed at 425°C, we observed a 2 to 4 times reduction of the rate of metastable defect creation. This reduction persists across more than an order of magnitude in defect density while the effusion increased the native defect concentration by only a factor of two. The low-temperature hydrogen effusion most likely influences mainly the clustered hydrogen phase; our results suggest these hydrogen sites play an important role in the creation mechanism. Stable solar cell performance has been associated with production of defects unstable against low-T annealing; harder-to-anneal defects are associated with more rapid performance degradation [1]. In as-grown samples, we therefore tracked the ESR active dangling bond concentrations during a series of isochronal anneals of MSD from room temperature to 200 oC. We observed that a-Si:H films optimized for solar cell devices have thermally unstable defects that anneal completely at about 160 oC. In contrast, un-optimized plasma-enhanced CVD films grown from pure silane have a 2-peak distribution, with some defects stable against annealing up to about 200 oC. This is the first observation of a 2-peak annealing distribution by ESR. Interestingly, we find that as the sample undergoes a few cycles of this laser-exposure and anneal sequence, the accumulation rate of the more stable defects during light-soaking is decreased. Implications of our results for models of the Staebler-Wronski effects are discussed. This work is supported by the U.S. DOE under Contract DE-AC36-08GO28308, and by United Solar Ovonic subcontract from their DOE Solar America Initiative Contract DE-FC36-07GO17053.1. B. Yan, J. Yang, K. Lord, S. Guha. MRS Symp. Proc. vol. 664, (2001) A25.2.1.2. P. Stradins, M. Kondo, A. Matsuda. J. of Non-Cryst Sols. 354 (2008).
A15: Carrier Transport
Session Chairs
Thursday PM, April 08, 2010
Room 3009 (Moscone West)
4:30 PM - **A15.1
Understanding the Electronic Properties of Hydrogenated Nanocrystalline Silicon: Insights and Challenges.
J. Cohen 1 , Peter Hugger 1 , Guozhen Yue 2 , Jeffrey Yang 2 , Subhendu Guha 2
1 Department of Physics , University of Oregon, Eugene, Oregon, United States, 2 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) is a complex mixed-phase material containing regions of silicon nanocrystallites interspersed with amorphous silicon. It is also an important material in efforts to advance the production of more economical multi-junction thin-film silicon based photovoltaic technology. Over the past several years we have been applying junction capacitance and junction photocurrent methods in efforts to understand its fundamental electronic properties. Our measurements include transient photocapacitance and photocurrent spectroscopies, drive-level capacitance profiling, and modulated photocurrent spectroscopy. These methods have enabled us to deduce deep defect densities, their thermal and optical transition energies, as well as characteristic band-tail (Urbach) energies. Those deduced electronic properties have been compared with measurements of the crystallite/amorphous volume fractions determined by Raman spectroscopy, and with impurity concentrations, particularly oxygen levels, obtained by SIMS measurements. Moreover, because most of our measurements have been carried out on working p-i-n solar cell devices, we have been able to correlate these results directly with cell performance parameters. Significant and surprising differences have been observed between the deduced electronic properties of the annealed and light-soaked states for these nc-Si:H samples depending on the amorphous-to-crystallite volume fractions. We have been developing a microscopic model that can account for most of these differences.
5:00 PM - A15.2
Nature of Doped a-Si:H / c-Si Interface Recombination.
Stefaan De Wolf 1 , Antoine Descoeudres 1 , Gregory Choong 1 , Christophe Ballif 1
1 IMT, EPFL, Neuchatel Switzerland
Show AbstractSemiconducting heterostructures increasingly attract attention for electronic junction formation in crystalline silicon (c-Si) wafer-based solar cells. A key point of such a device is the displacement of highly recombination-active (ohmic) contacts from the crystalline surface by insertion of a film with wide bandgap. To reach the full device potential, the heterointerface state density should be minimal. Practically, hydrogenated amorphous silicon (a-Si:H) films of only a few nanometer thin are appealing candidates for this: Their bandgap is wider than that of c-Si and, when intrinsic, such films can reduce the c-Si surface state density by hydrogenation. In addition, these films can be doped relatively easily, either n- or p-type, allowing for the fabrication of electronically abrupt p-n and low-high heterojunctions (HJ).For such films, however, to simultaneously fulfill both the surface-passivation and the doping requirements has been proven to be challenging. Hence, typically, a few-nanometer thin intrinsic buffer layer is inserted between the c-Si surface and the doped a-Si:H films for device fabrication. In this presentation, we focus on the role that such buffer layer plays for the interface passivation. For this, post-deposition annealing offers in a straightforward way a single parameter to vary both electronic and material properties of the samples under study. Previously, we argued that for atomically sharp interfaces, low-temperature annealing may be beneficial for the passivation properties of thin intrinsic a-Si:H films [1]. Here, we show that in case the intrinsic buffer layer is covered by a doped a-Si:H overlayer, such annealing may however be detrimental to the interface passivation. Indeed, although doped films may yield a field effect at the interface, their electronic passivation properties are often found to be inferior, compared to those of their intrinsic counterparts. To explain this, we argue that the dependency of the electronic surface passivation on the a-Si:H film doping is linked to Fermi-energy dependent Si–H bond rupture in such films [2]. The latter phenomenon is attributed to the position of the Fermi-level within the bandgap, influencing the generation of (native) compensation defects in the semiconductor, counteracting intentional doping. Furthermore, the presence of such a doped a Si:H overlayer on an intrinsic a-Si:H buffer layer may also shift the Fermi-energy in the latter film. Hence, the energy required for Si-H bond rupture likely is lowered slightly in the underlying intrinsic buffer layer as well. Principally, this may be the reason why the presence of a doped overlayer can be detrimental to the a-Si:H(i) / c-Si interface passivation during annealing.[1] S. De Wolf, S. Olibet, and C. Ballif, Appl. Phys. Lett. 93, 032101 (2008).[2] S. De Wolf and M. Kondo, J. Appl. Phys. 105, 103707 (2009).
5:15 PM - A15.3
Probing Silicon Heterojunction Photovoltaic Interfaces With Optical Second Harmonic Generation.
Long He 1 , Charles Rogers 1 , Howard Branz 2 , Charles Teplin 2
1 Department of Physics, University of Colorado at Boulder, Boulder, Colorado, United States, 2 , National Renewable Energy Lab, Golden, Colorado, United States
Show AbstractWe demonstrate that optical second harmonic generation (SHG) is extremely sensitive to interface electrostatics in Si heterojunction (SHJ) solar cells by monitoring devices under varied bias and by studying the symmetry of the measurements. For the p-polarized laser and p-polarized SHG geometry, we observe a large and continuous change in the SHG signal as the device is swept from forward bias through short circuit and into open circuit conditions. In SHJ PVs, the amorphous-crystalline silicon (a Si:H/c-Si) interface is the critical aspect of the device to optimize for high efficiency. Despite this importance and the proven high efficiency of SHJ devices, an understanding of defects and transport at the a-Si:H/c-Si junction has been slow to develop due to a dearth of optoelectronic measurements able to distinguish the unique interface physics from effects in the bulk a-Si:H and c-Si volumes. In SHG experiments, interfaces and surfaces can be probed selectively: One focuses a pulsed laser beam (frequency ω) onto the sample and detects second harmonic light (frequency 2ω) generated at optically accessible surfaces and interfaces in the sample. SHG elucidates the important interface properties because the bulk “background” is mostly forbidden by symmetry in cubic and amorphous materials, leaving only interface contributions. Previously, Gielis et al. [Phys. Rev. B 77, 205329 (2008)] used in-situ SHG to monitor SHJ growth and correlated the signal to changes in bonding at the wafer surface. We have examined 15% efficient silicon heterojunction devices on both p and n type wafers using a ~1.45 eV laser. In these devices, the dominant SHG signal arises from the c-Si/a-Si:H interface. In one type of experiment, the device is swept through a standard J-V curve and both the photocurrent (generated by the laser) and the SHG are measured. For SHJ devices fabricated from n-type wafers, there is a 5X increase in the number of SHG photons produced in open circuit (VOC) compared to short circuit (VSC). For devices based on p-type wafers, the change in SHG is smaller, with ~3X more photons detected at VOC than at VSC. Measurements for different sample and polarization geometries allow us to estimate contributions from the bulk c-Si and from the a-Si:H/c-Si interface, enabling us to confirm that SHG is primarily sensitive to the interface. Our measurements under varied bias demonstrate that SHG is sensitive to the interface charge distribution in the device. We are exploiting this sensitivity via time-dependent measurements of the SHG signal after the sample bias or illumination are altered. These measurements provide a probe of deep energy levels and densities at the critical interface, as they are sensitive mainly to carrier trapping and emission at the interface.
5:30 PM - A15.4
FDTD Simulation of Light Propagation Inside a-Si:H Structures.
Alessandro Fantoni 1 2 , Pedro Pinho 1 , Nuno Porteira 1
1 DEETC, ISEL, Lisbon Portugal, 2 CTS, UNINOVA, Caparica Portugal
Show AbstractFinite Differences Time Domain (FDTD) is a computational algorithm, based on the differential formulation of the Maxwell equations, widely used for simulation of electromagnetic waves propagation, mainly for radio wave propagation and simulations in the telecommunication domain. We have developed a computer program based on the FDTD algorithm able to simulate the propagation of electromagnetic waves with wavelengths in the range of the visible spectrum within a a-Si:H p-i-n structure. Understanding of light transmission, reflection and propagation inside semiconductor structures is crucial for development of photovoltaic devices. Permitting 1D analysis over time evolution of light propagation, our software produces results in well agreement with experimental values of the absorption coefficient. It shows the light absorption process together with light reflection effects at the incident surface as well as at the semiconductor interfaces. While the effects of surface reflections are easily taken into account by the algorithm, light absorption represents a more critical point, because of its non-linear dependence from conductivity. Doping density, density of states and photoconductivity calculation are therefore crucial parameters for a correct description of the light absorption-transmission phenomena through a light propagation model.The results presented in this paper demonstrate that is possible to describe the effect of the light-semiconductor interaction through the application of the FDTD model to a a-Si:H solar cell. A more general application of the model to 2D geometries will permit the analysis of the influence of surface and interface roughness on the photovoltaic efficiency of the device.
5:45 PM - A15.5
Impurity-free Seeded Crystallization of Amorphous Silicon by Nanoindentation.
Simon Ruffell 1 , Jim Williams 1
1 Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractNanoindentation of silicon using a diamond indenter tip allows formation of nano- to microscale sub-surface zones of phase-transformed silicon. During loading and subsequent unloading diamond-cubic Si (Si-I) undergoes pressure-induced phase transformations resulting in zones composed of either amorphous Si (a-Si) or polycrystalline high pressure phases (Si-III and Si-XII). These forms of Si are interesting in their own right but also offer a novel means to control the formation of polycrystalline Si during annealing of a-Si films. In this study we investigate enhanced solid phase crystallization of thin film a-Si by nanoindentation prior to annealing. We show that nanoindents, down to ~20 nm in dimension, act as nucleation sites for crystallization and eliminate the incubation time during subsequent thermal annealing. By controlling indentation patterns, indentation size, and post-indent annealing temperature, crystallization of thin films can completed with a reduced thermal budget compared to that in the unindented regions. In addition, this allows a lithography-free method for site specific crystallization. We have used X-ray diffraction and electrical carrier transport measurements to reveal enhanced grain size and thus carrier mobility in these crystallized regions. Previously, a similar effect was observed using a Ni imprint technique [1]. However, the nanoindentation process in this study does not require the transfer of a metallic species such as Ni to seed the crystallization but rather relies on nanoscale pressure-induced phase transformations. We describe a series of experiments to elucidate the enhanced crystallization mechanism and suggest that either nanocrystals of pressure-induced phases (Si-III/Si-XII) or the pressure-induced a-Si (which has differing structure to the surrounding matrix) act as seeds for enhanced crystallization. [1]. Kenji Makihira, Tanemasa Asano, Applied Physics Letters 76(25), (2000), 3774.
A16: Poster Session: Film and Growth
Session Chairs
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - A16.1
Initial Transient State in a Plasma Enhanced CVD Process of Thin-film Silicon.
Shota Nunomura 1 , Michio Kondo 1
1 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractWe have studied the gas phase kinetics in an initial transient state of a plasma enhance chemical vapor deposition process [1]. The silane/hydrogen plasma was generated by a 60 MHz VHF capacitively-coupled glow discharge. The initial transient behavior of the plasma process was diagnosed using standard diagnostic tools: mass spectrometry, optical emission spectroscopy, Langmuir probe method and laser light scattering technique [2]. The temporal evolutions of the gas phase species, concentration and plasma parameters were obtained during deposition of microcrystalline silicon at a high rate. The diagnostic results indicate that the various gas phase kinetics such as electron collision, chemical reactions and particle transport play important roles in determining the properties of the initial transient state. The characteristic times of the gas decomposition and depletion, diffusive transport and nanoparticle growth and drift in the initial transient state are determined [3]. [1] S. Nunomura, I. Yoshida and M. Kondo, Appl. Phys. Lett 94, 071502 (2009). [2] S. Nunomura and M. Kondo, Appl. Phys. Lett 93, 231502 (2008). [3] S. Nunomura, I. Yoshida and M. Kondo, submitted to J. Appl. Phys.
9:00 PM - A16.11
New Studies of Short Carrier Lifetimes in Hydrogenated Nanocrystalline Silicon by the Novel Method of Transmission Modulated Photoconductive Decay.
Brian Simonds 1 , Ari Feldmann 2 , D. Dunlavy 2 , Baojie Yan 3 , R. Ahrenkiel 2 , P. Taylor 1
1 Physics, Colorado School of Mines, Golden, Colorado, United States, 2 Department of Metallurgical and Materials Engineering, Colorado School of Mines, Golden, Colorado, United States, 3 , United Solar Ovonic, Troy, Michigan, United States
Show AbstractWe are presenting results from a novel technique used to measure extremely short carrier lifetimes on a series of hydrogenated nanocrystalline silicon (nx-Si:H) thin films. Transmission modulated photoconductive decay (TMPCD), developed at Colorado School of Mines, appears to have high enough sensitivity and the time resolution necessary to measure the extremely short carrier lifetimes on the order of single nanoseconds. The nx-Si:H films being studied have crystalline volume fractions ranging from 59% to 98% as verified by Raman spectroscopy. The data we have obtained shows that as the crystallinity increases over this range the carrier lifetime decreases by a factor of 2. In addition to this novel technique we have used two more common methods to measure the transient photoconductive decay. The first is the well-known technique of microwave photoconductive decay (μ-PCD) that has sufficient resolution to measure to very short lifetimes (~2 to 3 nanoseconds) in these films. However, we find that μ-PCD is somewhat lacking in sensitivity, compared to the other techniques. Next we have employed resonant coupled photoconductive decay (RCPCD) that is more sensitive but limited to time resolutions of 20 to 30 ns. RCPCD was, however, able to show that the carrier mobility increases with the crystalline-volume fraction. In comparison with these standard techniques, the novel method of TMPCD appears to combine the increased sensitivity of RCPCD with the time resolution of mPCD. This new measurement technique thus seems to be very promising to the thin-film solar cell industry where carrier lifetime has been difficult to measure because of exceedingly short lifetimes.
9:00 PM - A16.12
Hot Wire Chemical Vapor Deposition: A Proven Growth Technique to Produce Exotic Thin Films on Glass Substrate.
Abdul Middya 1
1 , Silicon Solar Inc., California, California, United States
Show AbstractHot wire chemical vapor deposition (hot-wire CVD) has been investigated world-wide to develop thin-film semiconductor, insulator and polymer for the application in photovoltaic and microelectronic devices. In hot-wire CVD technology, the source gases either silicon based or carbon based are decomposed by catalytic effect of wire elevated at a temperature of 1000C or higher. The distinct difference of this technology from thermal CVD is photon emitted from hot filament instead of gases are decomposed at heated metal surface. This difference also reflects in the film properties of polycrystalline silicon (poly-Si) thin-film of thermal CVD versus hot-wire CVD. This report reviews the results reported in the literature in the field of amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) thin films produced by hot-wire CVD technique and outlines the future potential of this technology to advance silicon based semiconductor. The hot-wire CVD technology came to the attention of world-wide scientific community for first time when stable intrinsic amorphous silicon (a-Si) was reported in 1991 by NREL group. Few years later, landmark results were reported by the researchers of Ecole Polytechnique, France on high growth rate (> 1 nm/s) crystalline silicon thin films on glass substrate. The important results of this report were highest doping efficiency in poly-Si ever reported before and Hall mobility of p-type poly-Si films is higher than that of n-type poly-Si films. We also observed hot-wire CVD generated atomic hydrogen (H) improves carrier mobility (µ) rather than carrier lifetime. The hydrogen passivation result confirms that the catalytic dissociation at heated filament produces different atomic H than produced by plasma-enhanced chemical vapor deposition (RF PECVD and ECR PECVD) technique. In this report, the effect of metallic impurities arising from catalyzer (W versus Ta) and their influence on properties of films as well as solar cells (tandem-junction) devices performances will be discussed. We also discuss recent breakthrough results in silicon thin-film, i.e. “five-fold” symmetry has been observed within “six-fold” symmetry at the surface topography, similar to Pen Rose tiling on silicon surface. This is a naturally grown textured surface of silicon semiconductor. A perfect “benzene ring” structure has been also observed on the surface of silicon thin films. We also observed silicon buckyball decorated by “three-fold”, “four-fold”, “five-fold’ and “six-fold” at the surface of the ball, this is an example of platonic solid. This results show the strong proof that photons make the difference in hot-wire CVD growth technique besides hot wire generated atomic hydrogen (H) from hydrogen molecule (H2).
9:00 PM - A16.13
Molecular Dynamics Modeling of Stress and Orientation Dependence of Solid Phase Epitaxial Regrowth.
Haoyu Lai 1 , Stephen Cea 2 , Harold Kennel 2 , Scott Dunham 1
1 Electrical Engineering, University of Washington, Seattle, Washington, United States, 2 , Intel, Portland, Oregon, United States
Show AbstractSolid Phase Epitaxial Regrowth (SPER) is of great technological importance in modern VLSI front-end processing. It is used to recrystallize the amorphous silicon and repair the damage after ion implantation. It is also used to incorporate and activate dopants, even beyond their solubility. However, this process also generates defects such as stacking faults and micro twins which can severely degrade device performance. In addition, dopants diffuse differently in crystalline Si, amorphous Si and amorphous/crystal interface. As a result, the final dopant profile, which is very critical to nanoscale device such as ultra-shallow junction, can also be affected by this process. Therefore a better understanding of SPER and its modeling are vital to the design of the fabrication process and the device performance. Molecular Dynamics (MD) is a powerful computer simulation tool that can be used for this purpose. MD with empirical potential allows system size up to tens of millions atoms and simulation time up to milliseconds. It can be used to study the dynamics of the SPER in atomistic detail without difficult and expensive experiments. It also can provide insight and parameters for higher level modeling. However, the accuracy of the MD results mostly depends on the ability of the empirical potential to reproduce the behavior of the system. In this paper, the Tersoff potential was investigated to see if it is suitable for SPER modeling. The Tersoff potential is chosen because it was shown to give the best agreement with experimental results on activation energy and growth rate in [001] direction [1]. Extensive MD simulations were conducted to study the dependence of SPER rate on temperature, growth orientation, hydrostatic pressure and uniaxial stress. The simulation data were fitted to empirical formulas and the results were compared with experimental data. It was concluded that Tersoff potential can qualitively describe the SPER process, but exhibits some quantitative discrepancies with experiment. We are using a combination of experimental observations and DFT calculations to develop an improved potential that will be capable of quantitively accurate atomistic modeling of SPER of silicon and alloys.[1] C. Krzeminski, Q. Brulin, V. Cuny, E. Lecat, E. Lampin and F. Cleri, “ Molecular dynamics simulation of the recrystallization of amorphous Si layers: Comprehensive study of the dependence of the recrystallization velocity on the interatomic potential”, J. Appl. Phys. 101, 123506 (2007)
9:00 PM - A16.14
Mechanistic Studies of a-CNx Deposition for Improved Material Performance.
Josh Stillahn 1 , Ellen Fisher 1
1 Chemistry, Colorado State University, Fort Collins, Colorado, United States
Show AbstractThis work details our efforts to explore the plasma-enhanced chemical vapor deposition of amorphous carbon nitride (a-CNx) materials, which exhibit a variety of interesting tribological, optical, and electronic properties. These properties depend critically on the manner and extent to which nitrogen is substituted into the amorphous film network, but the mechanisms responsible for nitrogen incorporation are often obscured by the complexities of mixed-precursor systems (e.g., CH4/NH3) that are frequently used to study a-CNx deposition. Single-source precursors (e.g., CH3CN, BrCN) help to simplify the gas phase chemistry, and in this work we have employed such precursors to learn about nitrogen incorporation mechanisms in more complex systems. The CN radical is examined with particular interest as it exhibits near-unity surface reaction probabilities under all conditions, providing a stark contrast with other N-containing plasma species such as the less-reactive NH radical. Interestingly, this difference in surface reactivity is echoed by disparities in the molecules’ internal energies, which are characterized in this work by the rotational temperature. The contributions of the CN radical become especially evident with the use of BrCN plasmas, yielding films that delaminate and buckle upon exposure to atmosphere. This behavior may reflect a high concentration of terminating groups in the film, leading to a porous material that is compressively stressed as it absorbs water. Data such as these can be used to form a more complete understanding of the chemical mechanisms that lead to a-CNx deposition.
9:00 PM - A16.16
Local Versus Global Buckling of Thin Films on Elastomeric Substrates.
Shuodao Wang 1 , Jizhou Song 2 , Dae-Hyeong Kim 3 , Yonggang Huang 1 6 , John Rogers 3 4 5
1 Mechanical Engineering, Northwestern University, Evanston, Illinois, United States, 2 Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 3 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 6 Civil and Environmental Engineering, Northwestern University, Evanston, Illinois, United States, 4 Beckman Institute, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 5 Frederick Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractRealization of electronics with performance equal to established technologies that use rigid semiconductor wafers (e.g., silicon), but in flexible formats would enable many new application possibilities. Thin films on elastomeric substrates are one of the important forms for electronics systems that require flexility, such as flexible displays,[1] electronic eye camera,[2] conformable skin sensors, smart surgical gloves, and structural health monitoring devices. Other emerging applications include micro- and nano-electro-mechanical systems, tunable phase optics, force spectroscopy in cells, biocompatible topographic matrices for cell alignment, high precision micro- and nanometrology methods, and pattern formation for micro- and nano-fabrication.This paper introduces one method for integrating thin films of high quality, yet brittle and rigid electronic materials with elastomeric substrates for flexible electronics. Thin ribbons of single crystal silicon are bonded to flat, prestrained elastomeric substrates of poly(dimethylsiloxane) (PDMS). The release of prestrain leads to compressive strains in the ribbons that generate either local (small and localized wave length) buckling or global (Euler) buckling. Local buckling can form microcorrugations in thin films on elastomeric substrates, to yield an effective type of mechanical flexility in otherwise rigid, brittle materials, with many application possibilities. For large area films or relatively thin substrates, however, another buckling mode, namely, the global buckling has been observed in experiments for cases where the substrate is relatively thin. Although global buckling can be eliminated by adding thin layers of substrate material on top of the system, an understanding of the physics is important for this emerging field of technology.We present in this paper analytically the mechanics of local and global buckling of one-dimensional thin films or two-dimensional thin membranes on elastomeric substrates. The critical condition separating these two buckling modes is obtained analytically. The results agree well with experiments and numerical simulations, and provide engineering design guidelines for further work. The compatibility of the method with well developed silicon based semiconductor technologies suggests its great advantages and potential in applications of flexible electronics or optoelectronics and other areas of application.[1] S. Park et al., Science 325, 5943, 977-981 (2009)[2] H. C. Ko et al., Nature 454, 748-753 (2008)[3] T. Someya et al., PNAS U.S.A. 101, 9966 (2004)
9:00 PM - A16.17
Direct Atomistic Simulation of Brittle-to-Ductile Transition in Silicon Single Crystals.
Dipanjan Sen 1 2 , Christian Thaulow 3 1 , Stella Schieffer 1 , Markus Buehler 1
1 Laboratory for Atomistic and Molecular Mechanics, Civil and Environmental Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Department of Engineering Design and Materials, The Norwegian University of Science and Technology, Trondheim Norway
Show AbstractAt low temperatures, silicon is a highly brittle material that shatters catastrophically like glass once the applied load exceeds a threshold value. At elevated temperatures, however, the behavior of silicon changes drastically over a very narrow temperature range and suddenly becomes ductile, facilitating large permanent deformation without breaking. This brittle-to-ductile transition (BDT) has been observed in several experimental studies of single crystals of silicon. However, the mechanisms that lead to this unexpected change in behavior remain questionable, and the atomic-scale phenomena are unknown. Here we report for the first time the direct fully atomistic simulation of BDT, using large-scale atomistic simulation with the first principles based ReaxFF force field. By solely raising the temperature in a series of computational experiments with otherwise identical boundary conditions, we observe a sudden change from brittle to ductile behavior between 880 K and 890 K, drastically changing the material in a very narrow ≈10 K temperature regime. Our studies elucidate a cascade of atomic mechanism that control the occurrence of the BDT. We find that at elevated temperatures, the formation of a small amorphous region at an atomically sharp crack tip creates a cleavage ledge at the crack tip, inducing local mode II (shear) stresses at the crack tip, which in turns leads to dislocation emission. Our results provide a fundamental understanding of the link between the crack tip geometry, associated structural changes under temperature variations, and the overall mechanical behavior of a solid.
9:00 PM - A16.18
Self-catalyzed Tritium Incorporation in Amorphous and Crystalline.
Baojun Liu 1 , Nazir Kherani 2 , Stefan Zukotynski 2 , Kevin Chen 1
1 Department of Electrical and Computer Engineering, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Department of Electrical and Computer Engineering, University of Toronto, Toronto, Ontario, Canada
Show AbstractTritiated hydrogenated amorphous silicon (a-Si:H:T) is a candidate nuclear fuel for chip-scale radioisotope micropower source (RIMS). The preparation of a-Si:H:T was previously attained by introducing tritium gas in a silane glow discharge PECVD, and more recently using a simple and versatile method where both hydrogenated amorphous silicon (a-Si:H) and crystalline silicon (c-Si) were tritiated through direct exposure to tritium gas (T2). In this paper, we report a comprehensive study of the post-tritiation process of both amorphous and crystalline silicon, and further clarify the tritiation mechanism. Amorphous silicon films were deposited on c-Si substrates, at various substrate temperatures, using the dc saddle-field PECVD technique. Crystalline silicon samples included planar and textured silicon. The samples were exposed to tritium gas at pressures of 2-120 atm and temperatures of 70-250 oC for up to 3.5 days. Thermal effusion, FTIR, SIMS and surface activity measurements were carried out to characterize the tritiated samples.Effusion measurements show the presence of stably bonded tritium in crystalline silicon. The peak tritium evolution temperature in the effusion spectra is ~400 oC. IR absorption spectra indicate an Si-T stretching mode at 1218 cm-1, corroborating stable bonding of tritium in silicon. The concentration of tritium occluded in planar and textured c-Si is linearly dependent on the total surface area. SIMS measurements show that the penetration depth of tritium in c-Si is 10 nm, hence, the concentration of tritium locked in c-Si is 4 at.%. In contrast, in a-Si:H the penetration depth is 50 nm while the concentration is 20 at.%. These results indicate that tritium incorporation is a function of the structure of the material. The level of hydrogen/tritium concentration attained here is higher than that reported in post-hydrogenation processes. The distinguishing condition, tritium beta self-catalysis appears to play an important role in the tritiation of the samples. Two effects are expected to occur due to beta radiation. One, the combination of tritium decay and beta-induced ionizations result in the formation of reactive species of tritium (tritium atoms, radicals, and ions) that readily adsorb on silicon. Two, electron bombardment of the silicon surface and sub-surface is expected to render it chemically active thereby promoting surface adsorption and sub-surface diffusion of tritium, thus leading to tritium occlusion in the silicon matrix.
9:00 PM - A16.19
Generation Lifetime in Si(1-y)C(y) (y < 0.01) Epitaxial Layers.
Byung-il Kwak 1 , Mehmet Ozturk 1
1 Electrical and Computer Engineering, NCSU, Raleigh, North Carolina, United States
Show AbstractThe recessed Si:C source/drain junctions have been attracting considerable attention for achieving uniaxial tensile strain in the NMOS channel. To achieve low-leakage junctions, epitaxial layers that possess reasonably high generation lifetimes are needed. This is due to the fact that the generation current typically dominates the leakage current of silicon pn-junctions at room temperature. In this study, the effect of substitutional and interstitial carbon on the generation lifetime of Si(1-y)C(y) (y < 0.01) epitaxial layers grown on (100) Si wafers was investigated. The epitaxial layers were grown by ultra high vacuum rapid thermal chemical vapor deposition using the precursors disilane, trimethylsilane and phosphine. The generation lifetime was determined using the Zerbst C-t technique from metal-oxide-semiconductor (MOS) capacitors fabricated on Si(1-y)C(y) epitaxial layers. The generation lifetime was found to decrease with increasing carbon in the alloy from hundreds of microseconds obtained for Si epitaxial layers to nanoseconds. The total and substitutional carbon concentrations in the epitaxial layers were measured by secondary ion mass spectroscopy and high resolution x-ray diffraction respectively. Epitaxial layers with 0.5% total carbon were annealed in the temperature range of 750 - 950°C . It was found that high temperature annealing did not result in a significant drop in the generation lifetime in spite of the fact that the interstitial carbon concentration increased with annealing temperature. The experimental results suggest that the generation lifetime could be correlated to the total carbon level instead of just the interstitial concentration in the alloy. The poor generation lifetimes obtained with high carbon levels (1%) may have a significant impact on the leakage current of the source/drain junctions.
9:00 PM - A16.2
A Thermodynamic Model for the Laser Fluence Ablation Threshold of PECVD SiO2 on Thin a-Si:H Films Deposited on Crystalline Silicon.
Krister Mangersnes 1 , Sean Foss 1
1 Solar Energy Department, institute for Energy Technology, Skedsmo, Akershus, Norway
Show AbstractWe have used a Q-switched Nd:YVO4, diode pumped, 532 nm laser with pulse duration in the nanosecond range, and a spot diameter of 20 μm to locally ablate a double layer of plasma enhanced chemical vapor deposited SiO2 and a-Si:H on Si with single laser pulses. This was done with the aim of making local contact openings on back-junction silicon solar cells. We have recently shown that the a-Si:H layer makes it possible to ablate the SiO2, and thus make local contact, with laser fluences five times lower than that needed to ablate SiO2 on crystalline silicon. This low laser fluence was not enough to induce any visual or measureable damage to the silicon lattice. In addition, the a-Si:H layer serves as an excellent surface passivation layer for both n- and p-type silicon. In this work, we have developed a thermodynamic model for the laser fluence ablation threshold of SiO2 on thin a-Si:H films deposited on crystalline silicon as a function of the thickness of the a-Si:H layer. The input parameters to the model are the optical data, evaporation enthalpy, and thermal diffusivity of both the Si substrate and a-Si:H film. The thermal diffusivity of the a-Si:H layer was used to make a best possible fit to the experimental data. We found that for films thinner than 40 nm, the optical absorption length of the a-Si:H film, the fluence ablation threshold decreases exponentially with increasing film thickness. From 40 nm, the fluence ablation threshold decreases linearly with increasing film thickness up to almost 400 nm, which corresponds to the thermal penetration depth of the a-Si:H film. Beyond this film thickness, the fluence ablation threshold remains constant. We found a reasonably good agreement between the model and the experimental data.
9:00 PM - A16.5
Various Types of Cavities Induced by Si and He Co-implantation in a-Si.
Gabrielle Regula 1 , Ming Xu 1 2 , Marica Canino 1 , Esidor Ntsoenzok 2 , Bernard Pichaud 1 , Marie France Barthe 2
1 , IM2NP CNRS , Marseille France, 2 , CNRS-CEMHTI, Orleans France
Show AbstractSi and He co-implantations and mono-implantations were carried out at energy ranges of (0.3-1.0) MeV or (10-50) keV, respectively, with doses of 1016 Si cm-2 and 5×1016 He cm-2, in the frame of process elaboration for USJ manufacturing in Cz Si. The Si dose was chosen above the Si amorphisation threshold, and its implantation energy was picked up, thanks to TRIM simulations, to create either embedded or surfacial a-Si layer. Then the samples were annealed at 900°C-1000°C for 20s. They were studied by transmission electron microscopy (TEM), positron annihilation spectroscopy (PAS) and Rutherford backscattering (RBS). Cavities or bubbles were surprisingly observed at the Si projection range (Rp(Si)) in the embedded a-Si only, where a polycrystalline Si is formed, and between the He projection range (Rp(He)) and the sample surface. Of course, cavities were always observed, as expected, at the Rp(He). But they are not present after implantation in the superficial a-Si where a further annealing gives rise to monocristalline Si. The results are discussed in terms of self interstitial consumption via micro-twins formation.
9:00 PM - A16.6
An Evaluation of Atmospheric-pressure Plasma for the Cost-effective Deposition of Antireflection Coatings.
Rob Sailer 1 , Guruvenket Srinivasan 1 , Kyle Johnson 1 , Douglas Schulz 1
1 Center for Nanoscale Science and Engineering, North Dakota State University, Fargo, North Dakota, United States
Show AbstractAtmospheric-pressure plasma deposition (APPD) has previously been used to deposit various functional materials including polymeric surface modification layers, transparent conducting oxides, and photo catalytic materials. For many plasma polymerized coatings, reaction occurs via free radical mechanism where the high energy electrons from the plasma activate the olefinic carbon-carbon double bonds – a typical functional group in such precursors. Metal oxide coatings have been prepared by APPD using any number of metal-organic precursors that contain O-based ligands such as diketonates or alkoxides. The precursors for such systems are typically inexpensive and readily available and have been used in vacuum PECVD previously. The deposition of SiN-type materials under atmospheric conditions has also been demonstrated using hexamethyldisilazane as a precursor. These developments indicate that it may be possible to deposit antireflection coatings via atmospheric pressure plasma deposition methods. We are currently investigating APPD routes to a number of inorganic materials. The subject of this presentation is the deposition of Si-based materials which may have tunable refractive indices for anti-reflection applications. The refractive indices of SiO2 (1.4), SiNx (2.0) and SiC (2.5) offer great flexibility in tuning the film / optical interface. Barrier discharge APPD has resulted in the deposition of SiOCN coatings which exhibit various stiochiometry depending on the plasma parameters and chemical precursor selected. The chemical, mechanical, and optical properties of several experimental matrices based on Design of Experiment (DOE) principals will be presented.
9:00 PM - A16.7
The Grain Size Distribution in Crystallization Processes With Anisotropic Growth Rate.
Kimberly Lokovic 1 , Andreas Bill 1 , Ralf Bergmann 2
1 Physics & Astronomy, California State University Long Beach, Long Beach, California, United States, 2 , Institute for Applied Beam Technology (BIAS), Bremen Germany
Show AbstractThe grain size distribution (GSD) allows characterizing quantitatively the microstructure of an amorphous solid undergoing crystallization. We extend the theory previously established for spherical grains, to the case where grains have ellipsoidal shape. This requires an anisotropic growth rate. We examine various realistic cases and compare the results to those obtained analytically for spherical grains. We also discuss how the time dependence of the effective growth rate affects the time evolution of the GSD during crystallization and once full crystallization has been obtained.This work is supported by the Research Corporation and SCAC at CSU Long Beach.
9:00 PM - A16.9
Low Temperature Dopant Activation Using Variable Frequency Microwave Annealing.
Terry Alford 1 , Iftikhar Ahmad 2 , R. Hubbard 2 , N. Theodore 3 , K. Sivaramakrishnan 1
1 Flexible Display Center at ASU, Arizona State University, Tempe, Arizona, United States, 2 , Lambda Technologies, Morrisville, North Carolina, United States, 3 Silicon Technology Solutions, Freescale Semiconductor Inc., Tempe, Arizona, United States
Show AbstractVariable frequency microwaves (VFM) and rapid thermal annealing (RTA) were used to activate ion implanted dopants and re-grow the damaged silicon. Four-point-probe measurements were used to determine the extent of dopant activation and revealed comparable resistivities for 30 seconds of RTA anneal at 900 °C and 6-9 minutes of VFM anneal at 540 °C. Ion channeling analysis spectra revealed that microwave heating removes the Si damage from arsenic ion implantation to an extent comparable to RTA. Cross-section transmission electron microscopy demonstrates that the silicon lattice regains nearly all of its crystallinity after microwave processing of arsenic implanted silicon. Secondary ion mass spectroscopy shows limited diffusion of dopants in VFM processed samples when compared to rapid thermal annealing. Our results establish that VFM is an effective means of low-temperature dopant activation in ion-implanted Si.
A17: Poster Session: Characterization
Session Chairs
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - A17.1
Fundamental Understanding of the Structural and Electronic Properties of Hydrogenated Amorphous Silicon.
Chin-Lung Kuo 1 , Chen-Wei He 1
1 Materials Science and Engineering, National Taiwan University , Taipei Taiwan
Show AbstractHydrogenated amorphous silicon has long been a subject of great interest mainly due to its important role in the fabrication of large area electronic devices such as photovoltaic cells and liquid-crystal displays. Nevertheless, many of its important properties, such as the structure of defects and the local bonding environments of hydrogen, are still not fully understood yet. Furthermore, there is no satisfactory theoretical treatment has been established at present regarding the influence of the Si-H bonding on the electronic structures of amorphous silicon though it has been well known that its band gap increases with the hydrogen content. In this study, we employ first principles molecular dynamic simulations to prepare various realistic structural models of amorphous silicon via different procedures with hydrogen content ranging from zero to 20 atom%. The generalized Kohn-Sham screened-exchange local density approximation scheme (sX-LDA) is used to calculate the electronic density of states and to obtain better prediction for the band gap of amorphous silicon. This presentation will mainly focus on the evolution of the structure and the electronic properties of amorphous silicon with increasing the hydrogen content. The local order of the amorphous structures, including the radial distribution function, dihedral angle distribution, and bond angle distribution will be addressed; the structures of the coordination defect and the local bonding environment of hydrogen will also be presented. In particular, the evolution of the medium range order, band gap, and the density and strain energy of amorphous silicon with the hydrogen content will be demonstrated.
9:00 PM - A17.10
Thermoelectric Properties of Doped and Mixed-phase Hydrogenated Amorphous Silicon Thin Films.
Yves Adjallah 1 , Charlie Blackwell 1 , James Kakalios 1
1 Physics, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractThe power factor, defined as the product of the square of the Seebeck coefficient and the dark conductivity, is an important figure of merit for thermoelectric device applications. The electrical properties of hydrogenated amorphous silicon can be tuned with different doping profiles or in mixed-phase thin films consisting of an amorphous silicon matrix in which silicon nanocrystallites are embedded. Doped hydrogenated amorphous silicon (a-Si:H) films were synthesized in a capacitively-coupled Plasma Enhanced Chemical Vapor Deposition (PECVD) system. Doping is achieved by dynamically mixing the reactive gases silane (SiH4) and phosphine (PH3). Mixed-phase amorphous/nanocrystalline silicon thin films (a/nc-Si:H) are synthesized in a dual chamber co-deposition system. Nanocrystalline silicon particles (3-5 nm diameter) are produced in a PECVD flow-through reactor, and entrained by an inert carrier gas. The nanoparticles are then injected into a separate capacitively-coupled plasma (CCP) chamber in which hydrogenated amorphous silicon is deposited. The structural and electronic properties of these mixed-phase materials are investigated as a function of the silicon nanocrystal concentration. Comparisons of the temperature dependence of the dark conductivity to the thermopower find a larger activation energy difference in undoped a-Si:H than observed in heavily doped a-Si:H. As the doping concentration in a-Si:H films is increased, the dark conductivity increases while the thermopower value decreases, owing to the smaller energy transported per charge carrier as a result of a thermal gradient. The power factor for n-type doped thin film hydrogenated amorphous silicon is found to be largest in heavily doped films when the n-type doped a-Si:H are deposited at the edge of silicon-phosphorus alloy formation. The power factor in doped a-Si:H is significantly higher than in undoped a-Si:H as well as mixed-phase a/nc-Si:H films as a function of silicon nanocrystals concentration. This work was partially supported by NSF grants DMR-0705675, the NINN Characterization Facility, the Xcel Energy grant under RDF contract #RD3-25, and the University of Minnesota.
9:00 PM - A17.2
Accuracy of the Fatigue Lifetime of Polysilicon Predicted from its Strength Distribution.
Huy Vu 1 , Joao Gaspar 2 , Oliver Paul 2 , Shoji Kamiya 1
1 Department of Mechanical Engineering, Nagoya Institute of Technology, Nagoya Japan, 2 Department of Microsystems Engineering (IMTEK), University of Freiburg, Freiburg Germany
Show Abstract This paper discusses the accuracy of the distribution of the fatigue lifetime of polysilicon thin films predicted from the strength distribution. On the basis of the authors' previous studies, where the fatigue process determining the lifetime was formulated using Paris' well-known fatigue crack extension law with two material parameters n and C, prediction error ranges for polysilicon specimens with different levels of strength are determined. The two parameters in Paris' law were fit to the results of the tensile fatigue tests performed on three groups of specimens fabricated using three different conditions leading to different etching damage. The tensile test structure developed by the authors was utilized for the experiments, performing both static tensile tests and fatigue tests. The static strength distributions were fit to Weibull distribution defined as F=1−exp[−(σ/σο)m], which represented probability of a sample to fracture at a single load event with the stress σ. The raw data distribution of fatigue tests of the three groups showed that the fatigue lifetime is inversely correlated with Weibull moduli m. This fact suggests that fatigue lifetime is intimately correlated to the static strength. Weibull distribution is easily generalized to formulate the probability of a sample to fracture after N load events cycling the stress of the sample between 0 and σ. By applying Paris' law for fatigue crack extension to the equivalent initial crack distribution, which determines the static strength distribution, the cumulative fracture probabilities F under cyclic fatigue load is formulated as F=1−exp[−(σ/σο)m[1+C(n/2−1)(βσπ1/2/KIc)2N]m/(n−2)]. The two unknown parameters n and C in Paris' law were evaluated by fitting the equation to the results of a separate fatigue tests. The cumulative fracture probabilities F for cyclic fatigue were calculated for the three specimen groups by using the individual optimum values of n and C, and also by using the common optimum which were obtained by optimizing for all the three groups at the same time. Calculated distributions of fatigue lifetime with the individual optima represent the experimental results indeed quite well for all the three groups. However, even when the fatigue lifetime distributions of the three groups were predicted from the common optimum of the parameters, the errors of the predicted fatigue lifetime defined as |log10Nexperiment−log10Npredicted| were found to be less than 1 in the range of F between 0.1 and 0.9. This error level was comparable to that obtained with individual optima. Therefore we conclude that, based on measured Paris law parameters of polysilicon, the fatigue lifetimes of different polysilicon thin film structures are practically predicted from their strength distributions with errors of roughly 10% in the logarithmic scale.
9:00 PM - A17.3
Atomistic Modeling of Grain Boundaries in Multicrystalline Silicon.
Hiroshi Mizuseki 1 , Ambigapathy Suvitha 1 , Ryoji Sahara 1 , Yoshiyuki Kawazoe 1
1 , Institute for Materials Research, Tohoku Univ., Sendai, Miyagi, Japan
Show AbstractMulticrystalline silicon (mc-Si) is widely used as a solar cell material because of its low production cost, even though the energy conversion efficiency of mc-Si solar cells is lower than that of single-crystalline Si solar cells due to the random orientations of the crystal grains in the former. Optimization of the grain-boundary structures of mc-Si is a key issue to achieving high efficiency, because these regions act as recombination centers for carriers in solar cell materials. Multicrystalline Si with artificially-controlled grain orientations has been proposed as a means of reducing the number of electrically-active grain boundaries that lead to undesirable carrier recombination[1]. In the present study, we evaluate the ‘grain-boundary-energies’ at the grain boundaries between <100>, <110>, <111> and <112>-oriented grains by using the Tersoff potential[2]. First, we prepare two silicon crystals which have the same crystal orientations. Then, we independently rotate each crystal about the same direction, such as the <110>-oriented direction. Finally, we combine both crystals to form a grain boundary in a spherical sample, in which one hemisphere of the sphere model corresponds to the first crystal and the other hemisphere corresponds to the second crystal. Moreover, we used DFT method to understand relationship between sigma value and impurity precipitation. First we study the dopant position and the nature of interaction between the GB and transition metal. Finally we have studied the electronic changes that occurred up on doping the transition metal impurities in the GB regions using \Sigma 3, \Sigma 9 grain boundary of polycrystalline silicon. \Sigma 3, \Sigma 9 grain boundary of silicon were constructed using GB studio [3]. The calculations were performed with monkhorst-pack of 4x4x4, using projector augmented wave (PAW) pseudopotentials with a wave cutoff of 240 eV. The Perdew - Wang (PW91) functional is used for the generalized gradient approximation (GGA) as implemented in VASP code. Periodic boundary condition was applied along x and y axis of the super cell containing 96, 288 atoms for \Sigma 3, \Sigma 9 GB respectively. To validate our studies we used copper, iron, nickel and chromium as dopants and compare our results with the experimental findings. This work was partially supported by New Energy and Industrial Technology Development Organization (NEDO) of Japan.[1] N. Usami et al., Jpn. J. Appl. Phys. 45 (2006) 1734. [2] J. Tersoff, Phys. Rev. B39 (1989) 5566. [3] H. Ogawa, Mater. Trans. 47 (2006) 2706.
9:00 PM - A17.4
Sub-gap Photoconductivity in Germanium-silicon Films Deposited by Low Frequency Plasma.
Andrey Kosarev 1 , Francisco Avila 1
1 Electronics, Institute National for Astrophysics, Optics and Electronics, Puebla, Mexico, Mexico
Show AbstractGexSi1-x:H) films are of much interest for many device applications because of narrow band gap and compatibility with films deposited by plasma. However, electronic properties of GexSi1-x:H films for high Ge content x>0.5 have been studied less than those of Si films. In this work we present a study of sub-gap photoconductivity (σpc) in GexSi1-x:H films for x=1 and x=0.97 deposited by low frequency plasma enhanced chemical vapor deposition (LF PE CVD) with both various H-dilution (RH) during growth (non-doped films) and incorporation of boron films. The films were deposited by LF PE CVD at the frequency f=110 kHz, temperature Ts=300 oC, and H-dilution RH in the range of RH=20 to 80. Spectral dependences of photoconductivity σpc(hν) were measured in the photon energy range of hν = 0.6 to 1.8 eV, optical gap of the films was Eg ≈ 1.1 eV [1]. σpc(hν) spectra were normalized to constant intensity based on the measurements of photoconductivity on intensity for hν used. For hν < Eg two regions in σpc(hν) can be distinguished: “A”, where σpc is related to transitions between tail and extended states, and “B”, where photoconductivity is due to defect states. σpc(hν) in ”A” showed exponential behavior that could be described by some characteristic energy EUPC similar to Urbach energy EU in spectral dependence of optical absorption α(hν) reported in refs.[1, 2] for the same films. EUPC> EU was observed in all the films studied. This together with higher relative values (i.e. normalized by the maximum value at hν = Eg ) for photoconductivity comparing with α means that mobility-lifetime product(μτ)depends on photon energy μτ = f(hν) that was determined from α(hν)and σpc(hν). μτ(hν)increases by factor of 20 to 40 depending on the sample with reducing hν from 1.1 to 0.7 eV. In some samples this dependence was monotonous, while in others demonstrated maxima related to both interference and density of states. Effects of both RH and boron incorporation have been found and are discussed. Maximum observed value of photoconductivity reduced to light intensity I0 = 100 mW/cm2 was σpc=3.4*10-4Ohm-1cm-1 for Ge films, and σpc = 8.4*10-4 Ohm-1cm-1 for Ge0.97Si0.03:H film. The latter is slightly higher than the value (also reduced to I0= 100 mW/cm2) σpc = 7.8 *10 -4 Ohm-1cm-1 reported in ref. [3] for Ge films deposited in glow discharge.Acknowledgement. This work was supported by the CONACyT project No.48454 F. F.Avila acknowledges CONACyT scholarship. References:1.L.Sanchez, A.Kosarev, A.Torres, A.Ilinskii, Y.Kudriavtsev, R.Asomoza, P.Roca I Cabarrocas, A. Abramov. Thin Solid Films, 515, 7603 (2007).2.A.Kosarev, A.Torres, A.D.Checa, Y.Kudriavtsev, R.Asomoza, S.G.Hernandez. Mater.Res.Symp. Proc. 1066, 1066-A05-04 (2008).3.B.Ebersberger, W.Kruelhler. Appl.Phys. Lett., 65(13), 1683 (1994).
9:00 PM - A17.5
Structural and Electrical Properties of Thin Film nc-Si:H Deposited by Pulsed PECVD at 150°C.
K M Azizur Rahman 1 , Andrei Sazonov 1
1 Electrical Engineering, University of Waterloo, Toronto, Ontario, Canada
Show AbstractIn recent years, Pulsed – Plasma Enhanced Chemical Vapor Deposition (P-PECVD) has attracted significant research interest, due to its ability to enhance the structural and electrical properties of nc-Si:H and a-Si:H for substrate temperatures above 200°C compared to Continuous Wave (CW) PECVD. We performed a series of experiments to compare the structural and electrical properties of thin film nc-Si:H deposited via CW and P-PECVD for implementation as the absorber layer in thin film solar cells for 150°C substrate temperature. The nc-Si:H thin films were characterized by depositing 300nm films on Eagle 2000 glass substrates using conventional 13.56 MHz CW – PECVD. The crystallinity of the films was measured using Raman Spectroscopy and XRD, whereas the conductivity measurements and data collection were performed using SIGNATONE S-1060R probe station and Agilent 4155C respectively. Out of six nc-Si:H thin films deposited with varying hydrogen dilution, between 98% to 99%, the film with 98.57% hydrogen dilution demonstrated the highest crystallinity, peak height ratio [I(220)/I(111)], dark and photo – conductivity, and photo and dark conductivity ratio of 71.8 ± 0.2 %, 0.48 ± 0.01, 5.4E-04 ± 4E-05 S/cm, 1.20E-03 ± 3E-05 S/cm, and 2.23 ± 0.15 respectively with a deposition rate of 0.234 ± 0.004 A/s. Thereafter, the P-PECVD was performed using the aforementioned CW recipe. The excitation frequency was modulated with a pulsing frequency ranging from 0.2 KHz to 50 KHz at 50% duty cycle. The study revealed that the deposition rate decreased compared to CW, for all frequencies, to 0.198 ± 0.005 A/s and the crystallinity, the peak height ratio and the dark conductivity of the nc-Si:H thin films increased to 80.8 ± 0.2 % and 0.58 ± 0.03, and 10.0E-04 ± 2E-05 S/cm respectively at 5KHz, while the photo conductivity, and photo and dark conductivity ratio decreased to 1.40E-03 ± 3E-05 S/cm, and 1.39 ± 0.2 respectively. Consequently, the samples were annealed for approximately 6 hours at 150°C and it was observed that the photo conductivity and photo and dark conductivity ratio increased to 2.2E-03 ± 2E-05 S/cm and 2.04 ± 0.25 respectively while the dark conductivity remained the same at 10.7 E-04 ± 3E-05 S/cm. It is speculated that the presence of oxygen impurity, along with other possible device phenomena, such as surface trap induced Fermi level pinning, is responsible for high dark current. This hypothesis is further confirmed by the low activation energy of the films, 0.16 ± 0.01 eV. Thus, P-PECVD can be used to improve nc-Si:H crystallinity and crystal orientation, which along dopant layer passivation can increase the solar cell performance compared to cells fabricated using CW PECVD, which will be tested further via I-V and External Quantum Efficiency measurements.
9:00 PM - A17.6
Probing the Electronic Structure of Chalcogen Hyper-doped Silicon.
Joseph Sullivan 1 , Bonna Newman 1 , Aurore Said 2 , Mark Winkler 2 , Meng-Ju Sher 2 , Eric Mazur 2 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Harvard University, Cambridge, Massachusetts, United States
Show AbstractPhotons with energy below the bandgap of silicon comprise nearly one-fifth of the solar radiation spectrum incident on the Earth’s surface. Absorption of the lower energy wavelengths of light may enable increased photocurrent and efficiency of silicon-based solar cells. After doping a surface layer of silicon beyond the room temperature solubility limit with chalcogen atoms using femtosecond laser pulses, we observe enhanced broadband optical absorption. Greater than 90% of incident photons, with energies between 0.5 and 5 eV, are absorbed in this thin(~200nm), highly-doped region. The enhanced absorption property makes this material very attractive for photovoltaic applications. However, optimal device manufacturing requires understanding absorption and the band structure of this novel material.Chalcogen impurity atoms in silicon have been shown to introduce deep energy levels within the bandgap (H.G. Grimmeiss, J. Appl. Phys. 51, 4212(1980)). Absorption coupled to these defect levels could account for the enhanced sub-bandgap absorption. We will report on the methods and preliminary results of measuring the absorption coefficient in the isolated S-doped layer. Additionally, we use spectrally resolved photoconductivity to probe the electronic properties of the material, and to understand the specific role that dopant states play in enhanced absorption.
9:00 PM - A17.7
The Electronic and Chemical Structure Properties of Amorphous Silicon Carbide Thin Films Using PE-CVD for Triple-junction Thin-film Solar Cell Application.
Minho Joo 1 , Jongkwon Choi 1 , Hyunja Shim 1 , Kyuho Park 1
1 Devices & Materials Laboratory, LG Electronics Advanced Research Institute, Seoul Korea (the Republic of)
Show AbstractSilicon-based solar cells are of great interest for photovoltaic applications, such as bulk type, thin film type, and heterojunction type. Recently amorphous silicon based thin film solar cells have attracted much attention from researchers and engineers because of low consumption of raw materials and low temperature deposition. However, the conversion efficiency of these solar cells is still very poor compared to other types of solar cells. In silicon-based solar cells, a remarkable conversion efficiency improvement is therefore needed for a practical use. In triple-junction approach, photovoltaic devices are composed of top, middle, and bottom cells for the best band gap combination. Hydrogenated amorphous silicon carbide (a-SiC:H) alloys are promising candidates to improve the conversion efficiency because band gap is tunable from 1.7 eV to more 2 eV. However, the working mechanism that enhances the photovoltaic performance by insertion of top layer is not completely understood yet. In this work, we report on the electronic and chemical structure properties of a-SiC:H thin films using plasma-enhanced chemical deposition (PE-CVD) for triple-junction solar cell application. a-SiC:H films used as top cell were prepared with PE-CVD from mixture of silane (SiH4) with CH4. The chemical and electronic structure changes with the deposition conditions were examined using x-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and reflective electron energy loss spectroscopy (REELS). We also investigated the hydrogenated structure of a-SiC:H with Fourier transform infrared spectroscopy (FTIR). The constant photocurrent method (CPM) spectra show the defect related absorption behaviors.
9:00 PM - A17.9
Temperature Dependent Electrical Characterization and Crystallization of Nanocrystalline Silicon.
Nicholas Williams 1 , Emmanuel Carpena 1 , Kadir Cil 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical and Computer Engineering, University of Connecticut, Storrs, Connecticut, United States
Show Abstract Understanding the effects of heat-induced transitions from amorphous (a-Si) to polycrystalline (poly-Si) silicon is of interest for crystallization of silicon. Improved methods of crystallization could be utilized for existing large area electronics technology, which widely relies on a-Si despite its low mobility. We have observed switching resistivity and temperature coefficient of resistivity (TCR) behavior for highly-doped nanocrystalline micro structures during resistance trimming experiments using consecutive voltage sweeps.
The microstructures used in the experiments are ~6–7 µm in length and ~300-600 nm in width with five contacts, two at each end of the wire and three distributed along the length of the wire with equal spacing, formed on a Boron doped nc-Si film that is deposited on SiO2 in a low-pressure chemical vapor deposition system. Ti/Ni metal line extensions are used to contact silicon contact areas extending ~3–4 µm away from the multi-contact wire.
Recurring resistance switching in multi-contact nano-crystalline micro-structures is studied through repeated DC or AC voltage sweeps. AC and DC resistances extracted from measurements show similar resistance switching behavior. Voltage sweeps were applied on the same structure with a gradual increase in the maximum DC bias for each consecutive sweep or increasing AC amplitude, until the structure melts and breaks. As-fabricated wires show a negative TCR for a low voltage range. At a certain voltage range, resistance peaks before it sharply decreases as wires start melting. After wires are completely melted and re-solidified, a distinctly different behavior is observed. This can be attributed to a complete phase change to polycrystalline. The consecutive voltage sweeps can decrease room temperature wire resistance up to ten times. Electrical characteristics during and after the current annealing process and the sudden switching behavior will be presented.
A18: Poster Session: Novel Devices
Session Chairs
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - A18.1
Optimization of the a-SiC p-layer in a-Si:H-based n-i-p Photodiodes.
Yuri Vygranenko 1 2 , A. Sazonov 3 , M. Vieira 1 2 , G. Heiler 4 , T. Tredwell 4 , A. Nathan 5
1 Electronics, Telecommunications and Computer Engineering Department, ISEL, Lisbon Portugal, 2 , CTS-UNINOVA, Caparica Portugal, 3 Electrical and Computer Engineering Department, University of Waterloo, Waterloo, Ontario, Canada, 4 , Carestream Health, Inc., Rochester, New York, United States, 5 London Centre for Nanotechnology, UCL, London United Kingdom
Show AbstractHydrogenated amorphous silicon (a-Si:H) p-i-n photodiodes are commonly used as pixel sensors in digital radiographic flat-panel imaging detectors. Photodiode performance is one of the factors limiting signal-to-noise ratio and image quality. Our work is aimed at enhancing the external quantum efficiency (EQE) of n-i-p photodiodes by reducing the absorption losses in the p-layer and the recombination losses in the p-i interface. We studied the electrical and optical properties of boron-doped and undoped hydrogenated amorphous silicon-carbon alloy (a-SiC:H) grown in hydrogen-diluted, silane-methane plasma and applied this material to both the p-layer and undoped buffer layer, thus tailoring the p-i interface. The current-voltage, capacitance-voltage, and spectral-response characteristics of fabricated photodiodes were measured and correlated with the doping level, optical band gap, and deposition conditions for a-SiC:H layers. The optimized device, with a 20 nm thick p-layer and a ZnO:Al top electrode, exhibited a leakage current of about 200 pA/cm2 at the reverse bias of 5 V and a peak value of 88% EQE. The achieved quantum efficiency of 58% at a 400 nm wavelength was almost double that for the reference photodiode with a-SiC layers deposited without hydrogen dilution. Device modelling showed that observed short-wavelength sensitivity enhancement can be attributed to improved separation of electron-hole pairs in the p-layer depletion region.
9:00 PM - A18.2
Pressure-dependent Frequency of Resonant Micromechanics.
Darren Southworth 1 , Harold Craighead 2 , Jeevak Parpia 3
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 3 Physics, Cornell University, Ithaca, New York, United States
Show AbstractWe examine the relationship between resonance frequency and squeeze film effects in polysilicon micromechanical resonators. In high-frequency devices fabricated with narrow air gaps, ambient gas is shown to be trapped between the resonant structure and the substrate by squeeze film effects and an increase in resonant frequency is shown to result from an increased restoring force due to compression of the gas from motion of the resonator. The magnitude of the frequency shift with increasing gas pressure varies inversely with the height of the air gap. Selection of proper device geometry yields a linear resonance frequency shift in radio frequency structures, and in devices optimized for maximal linear frequency sensitivity the mechanical resonance frequency is shown to increase by more than 15% between vacuum and atmosphere. These finding have implication for design in MEMS-based sensor technology intended for ambient sensing. Sound radiation is shown to be the primary mechanical energy loss mechanism near and above atmospheric pressure, and linearity is demonstrated from below 10 Torr up to several atmospheres of pressure.
9:00 PM - A18.3
Self-aligned p-Si/Ge Waveguide Photodetector on SOI Wafer Grown by RPCVD.
Sang Hoon Kim 1 , In Gyoo Kim 1 , Jiho Joo 1 , Kiseok Jang 1 , Gyungock Kim 1
1 , Electronics and Telecommunications Research Institute, Daejeon Korea (the Republic of)
Show AbstractIn order to break through the interconnect bottlenecks in telecommunications and on-chip interconnects, it requires the development of high speed (≧10 GHz), reliable and compact optical terminal photodetectors compatible with the telecommunication wavelengths. Pure Ge grown directly onto Si, due to its high absorption in the near-infrared (NIR) up to 1.55μm and its good transport properties (higher carrier and hole mobility with respect to silicon) is a promising candidate for such photodetecters.Therefore, we report a waveguide Germanium photodetector fabricated using SOI wafers with a 1μm-thick buried oxide and a Si thickness of 650nm. The resulting Ge-on-SOI photodetector leads to the high absorption coefficient of Ge, and by eliminating the collection of photo-generated carriers in the substrate, provides a path to higher bandwidth-efficiency products compared to Ge-on-bulk Si.The brief process of waveguide Ge photodetector is illustrated as followed. Before the waveguides were patterned on SOI wafer, the substrate was locally implanted with phosphorous. The Si rib waveguides were then formed by dry etching process. Ge absorption region defined along the Si waveguide with the same width. After oxide deposition and patterning, pure Ge epitaxial layer was selectively grown using an ASM “Epsilon One” AP/RPCVD system. The impact of the growth conditions on the surface roughness, the relaxation degree, and crystalline quality was discussed for forming of the high-quality selective Ge growth. The optimal condition for selective Ge growth was found to consist of low temperature Ge seed layer at 400°C followed by Ge cap layer grown at 650°C.In general process of the Ge waveguide photodetector, the Ge film was intentionally grown thicker than the final target thickness due to need for planarization of Ge with a chemical-mechanical polishing (CMP), and vertical p-i-n junction was formed by implantation into the top of the Ge film. However, we present an efficient growth technique to obtain Ge layer of the final target thickness without CMP process. And we also show that an in-situ boron doped poly-Si was selectively deposited on selectively grown Ge absorption region to form a vertical p-i-n junction at the same time. This would be very attractive process for manufacturing of the Ge waveguide photodetector due to the shorter process step and the prevention from the generation of defects caused by implantation into the top Ge layer.
9:00 PM - A18.4
Silicon Germanuim Oxide (SixGe1-xOy) for Uncooled Infrared Microbolometer.
Qi Cheng 1 , Muhammad Hai 1 , Truc Bui 1 , Kalyani Upendram 1 , Mahmoud Almasri 1
1 Electrical and Computer Engineering, University of Missouri, Columbia, Missouri, United States
Show AbstractThis paper presents the formation and the characterization of silicon germanium oxide (SixGe1-xOy) thin film as infrared sensitive material for uncooled microbolometer. The material is grown by RF magnetron sputtering, by depositing Si and Ge thin film simultaneously from two deposition targets in an oxygen and argon environment at both room temperature and 400°C. The temperature coefficient of resistance (TCR) and resistivity are measured in response to the elements variation in thin film. The highest achieved TCRs and the corresponding resistivities at room temperature were -4.86 %/K and -6.43 %/K, and 2.45×10E2 ohm cm and 3.34×10E2 ohm cm using Si0.195Ge0.706O0.099and Si0.127Ge0.835O0.038 for films deposited at room temperature and at 400 oC, respectively. The results demonstrate that the present fabrication method of SixGe1-xOy at 400°C is able to increase TCR significantly. The composition was measured using EDX, and TCR was measured using four point probe. The effect of Si and O on thin film’s electrical property is also discussed. The Ge concentration was fixed at several percentage, e.x., 70%, 75%, 80%, 85% and 90% and TCR and resistivity was measured as a function of changing O and Si. The results provide clear behavior of Si-Ge-O. Noise of the deposited films is characterized. This includes 1/f noise and Johnson noise.
9:00 PM - A18.5
Demultiplexer/Photodetector Integrated System Based on a-SiC:H Multilayered Structures.
P. Louro 1 2 , M. Vieira 1 3 , M. Fernandes 1 , J. Costa 1 2 , A. Fantoni 1 2 , M. Barata 1 2 , M. Vieira 1 2
1 DEETC, ISEL, Lisbon Portugal, 2 CTS, FCT-UNL, Lisbon Portugal, 3 Traffic Dept, CML, Lisbon Portugal
Show AbstractIn this paper we present results on the use of multilayered a-SiC:H heterostructures as an integrated device for simultaneous wavelength-division demultiplexing and measurement of optical signals. These devices are useful in optical communications applications that use the wavelength division multiplexing technique to encode multiple signals into the same transmission medium. The device is composed of two stacked p-i-n photodiodes, both optimized for the selective collection of photo generated carriers. Band gap engineering was used to adjust the photogeneration and recombination rates profiles of the intrinsic absorber regions of each photodiode to short and long wavelength absorption and carrier collection in the visible spectrum.Previous results show that the use of three different optical channels covering the long (626 nm), the medium (525 nm) and the short (470 nm) wavelengths of the visible range allow a correct demultiplexing operation, from which results the recover of the encoded optical signal of each channel. In this paper it is investigated the possibility of channel enlargement in order to increase the channel transmission capacity and consequently the bandwidth. Intermediate wavelengths (580 nm and 400 nm) were used in this research task.The devices were characterized under different experimental conditions using either electric bias (-10 V up to +3 V) and different optical sources (400 nm, 470 nm, 525 nm, 580 nm, 626 nm) at different modulation frequencies (150 Hz to 20 KHz). ac photocurrent-voltage characteristics were measured using the described experimental conditions with monochromatic, dual, triple or multiple wavelengths combinations. Results show that the photocurrent generated by a modulated optical signal is strongly dependent on the length of the input optical source wavelength, as under long wavelength illumination the collection efficiency is independent on the applied voltage and under short/medium wavelengths irradiation it slowly increases as the applied voltage changes from forward to reverse. The generated photocurrent signal using different input optical channels was also analyzed at different transmission frequencies and using different input optical power for frequency and linearity analysis.An electrical model of the WDM device is presented and supported by the solution of the respective circuit equations. Digital home appliance interfaces, home and car network and traffic control applications are foreseen due to the low cost associated to the amorphous a-SiC:H technology.
9:00 PM - A18.6
Light-triggered Silicon-carbon Pi’npin Devices for Optical Communications: Theoretical and Electrical Approaches.
Manuel Vieira 1 2 , Manuela Vieira 1 2 , Joao Costa 1 2 , Paula Louro 1 2 , Miguel Fernandes 1 2 , Alessandro Fantoni 1 2
1 DEETC, ISEL, Lisbon Portugal, 2 CTS, UNINOVA, Monte da Caparica Portugal
Show AbstractThe current need for communication demands the transmission of huge amounts of information. To increase the capacity of transmission and allow bidirectional communication over one strand fiber, wavelength-division multipexing (WDM) is used. This technique multiplexes multiple optical signals on a single optical fiber using different wavelengths (colors) of the light source to encode different signals. A WDM system uses a multiplexer at the transmitter to join the signals together and a demultiplexer at the receiver to split them apart. In this paper a light-activated multiplexer/demultiplexer silicon-carbon device is analysed. An electrical model for the device is defined for simulation purposes and to compare output signals with experimental data. An algorithm that takes into account the voltage controlled sensitivity is presented.The device is a double pi’n/pin a-SiC:H heterostructure with two optical gate connections for light triggering in different spectral regions. Multiple monochromatic pulsed communication channels, in the visible range, were transmitted together, each one with a specific bit sequence. The combined optical signal was analyzed by reading out, under different applied voltages, the generated photocurrent across the device. Results show that the output multiplexed signal has a strong nonlinear dependence on the light absorption profile, i.e. on the incident light wavelength, bit rate and intensity due to the self biasing of the junctions under unbalanced light generation of carriers. By switching between positive and negative voltages the input channels can be recovered or removed. Operation is explained in terms of the compound connected pi’np/npin front/back phototransistor equivalent model. This thyristor-like model explains the difference between the conduction mechanisms, under positive and negative bias, helping to understand the signal decoding algorithm. When the pi’npin device is negatively biased, the base-emitter junction of both transistors are inversely polarized and conceived as phototransistors, taking, so, advantage of the amplifier action of neighbouring collector junctions which are polarized directly. This results in a current gain proportional to the ratio between both collector currents. Under positive bias the internal junction becomes always reverse-biased. If not triggered ON it is nonconducting, when turned ON by light it conducts like a photodiode, for one polarity of current. Due to the time dependent nature of the input channels, charging currents across the junctions have to be considered.Experimental and simulated results show that the device acts as a charge transfer system. It filters, stores and transports the minority carriers generated by the current pulses, keeping memory of the input channels (color, intensity and bit rate) without adding any optical pre-amplifier or optical filter, which is an advantage when compared with standard p-i-n cells.
A19: Poster Session: Thin Film Transistors
Session Chairs
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - A19.1
In-situ Hydrogen Annealing of Silicon Nitride Gate Dielectric Layers Prepared by Catalytic CVD for Minimizing Hysteresis.
Youn-Jin Lee 1 , Kyung-Min Lee 2 , Jae-Dam Hwang 1 , Kil-Sun No 1 , Wan-Shick Hong 1 2
1 Nano Science&Technology, University of Seoul, Seoul Korea (the Republic of), 2 Nano Engineering, University of Seoul, Seoul Korea (the Republic of)
Show AbstractIn-situ hydrogen annealing of low temperature silicon nitride (SiNx) was performed to minimize the threshold voltage (Vth) shift of a nanocrystalline silicon (nc-Si) TFT. The tri-layer of the bottom-gate TFT was deposited continuously without breaking the vacuum inside the Cat-CVD reactor. A 20-minute hydrogen annealing step was inserted between the SiNx and nc-Si deposition process. The substrate temperature remained at 200 °C during the entire process. The n+-Si layer for ohmic contact between active layer and source/drain electrode was deposited with a PH3/SiH4 mixing ratio of 3 %. The hydrogen annealing decreased the Vth shift from 5V to 3V. Also, the breakdown voltage (Vb) of the SiNx film was measured to be increased from 5.5 MV/cm to 6.6 MV/cm due to the hydrogen annealing. The in-situ hydrogen annealing was demonstrated to be effective in reducing the hysteresis in the C-V characteristics and in enhancing the breakdown voltage by passivating the defects inside the low temperature Cat-CVD SiNx film.
9:00 PM - A19.2
Threshold Voltage Shift Variation of a-Si:H TFTs With Anneal Time.
Anil Indluru 1 2 , Sameer Venugopal 2 , David Allee 1 2 , Terry Alford 1 2
1 , Arizona State University, Tempe, Arizona, United States, 2 , Flexible Display Center, Tempe, Arizona, United States
Show AbstractHydrogenated amorphous silicon (a-Si:H) thin-film transistors (TFTs) are widely used in many areas and the most important application is in active matrix liquid crystal display. However, the instability of the a-Si:H TFTs constrains their usability. These TFTs have been annealed at higher temperatures in hope of improving their electrical performance. But, higher anneal temperatures become a constraint when the TFTs are grown on polymer-based flexible substrates. This study investigates the effect of anneal time on the performance of the a-Si:H TFTs on PEN. They are annealed at different anneal times (4 h, 24 h and 48 h) and were stressed under different bias conditions. Sub-threshold slope and the off-current improved with anneal time. Off-current reduced by two orders of magnitude for 48 hours annealed TFT and sub-threshold slope become steeper with longer annealing. At positive gate-bias-stress (20 V), for all anneal times except 48 h anneal time, threshold voltage shift (ΔVt) values are positive and exhibit a power-law time dependence. However for the 48 h annealed TFTs, turnaround phenomenon is observed at longer stress times. At negative gate-bias-stress (-20 V), TFTs annealed for more than 4 h possess a smaller positive ΔVt and does not follow a power law dependence. The observed ΔVt is explained in terms of the shift in the electron and hole transfer characteristics.
9:00 PM - A19.3
Threshold Voltage Shift in Dual-gate a-Si:H TFTs.
Salman Kabir 1 , Reza Chaji 2 , Andrei Sazonov 1
1 , University of Waterloo, Waterloo, Ontario, Canada, 2 , Ignis Innovations, Kitchener, Ontario, Canada
Show AbstractHydrogenated amorphous silicon (a-Si:H) thin film transistors (TFTs) used in backplanes for emerging active matrix organic light emitting diode (AMOLED) displays are required to be stable current drivers supplying up to 15 uA throughout its lifetime of 75 thousand hours. Drain current degradation have been reported to be a major issue in a-Si:H TFTs due to two instability mechanisms: (1) charge trapping in the gate dielectric, and (2) defect state creation in the active channel. To compensate the aforementioned degradation, compensation circuits are employed. However, compensation circuits require extra TFTs thus reducing pixel aperture ratio and limiting the development of higher resolution a-Si:H AMOLED displays. Furthermore, light emitted from OLEDs can penetrate the TFT channel, causing drain current degradation due to Staebler-Wronski effect. This degradation can be prevented using a layer of metal shield above the channel. Biasing this shield and using it as a second gate creates a top channel in addition to the conventional bottom gate channel leading to a dual gate TFT. The top metal shield is required to prevent light-induced degradation making it a necessary layer addition for preventing light induced degradation. As a result, the dual-gate transistors require minimal industrial process modification and thus provide added TFT lifetime with low cost.We designed and fabricated dual gate TFTs and studied the threshold voltage shift under constant current stress in comparison with the conventional single bottom gate TFTs fabricated in the same batch. A non-saturating power law time dependence has been observed in the dual-gate TFT similar to the conventional bottom gate TFT but with a lower curve slope. The stress tests have been carried out in two different time durations: 10 hours and 60 hours. For the 10 hour constant current stress test, constant current values of 5 uA, 10 uA and 50 uA were maintained, in which the dual gate TFT demonstrated Vt shifts of 0.31 V, 0.32 V, 0.84 V compared to the single gate TFT Vt shifts of 0.21 V, 0.39 V 1.1 V, respectively, where both TFTs have been fabricated in the same run. For the 60 hour constant current stress test, constant current values of 5 uA and 50 uA were used, in which the dual gate demonstrated Vt shifts of 0.32 V and 2.1 V compared to Vt shift of 0.31 V and 3.35 V demonstrated in the single-gate TFT. These results suggested that the Vt shift variance between the dual gate and single gate depend on the drain current value and the stress duration. At high drain current (50 uA), the dual gate TFT shows maximum Vt shift improvement suggesting dual-gate devices to be good contenders for drive TFTs in OLED displays. To attribute observed changes to specific degradation mechanisms, Vt shift modeling will be shown for various levels of stress current along with its temperature dependencies.
9:00 PM - A19.4
The Crystallization of Amorphous-silicon by MIVC and its Polycrystalline-silicon Thin Film Transistors.
BongKwan Shin 1 , MinKyu Lee 1 , YongWoo Lee 1 , Chulkyun Seok 1 , Hunhee Lee 1 , SeungKi Joo 1
1 , School of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractThere has been tremendous effort aimed at developing high performance thin film transistors (TFTs) at low temperatures for display applications due to the higher mobility of charge carriers, 10-100cm2/Vs, compared to that of the conventional amorphous silicon (a-Si) based transistors, only 1 cm2/Vs. The polycrystalline Si (poly-Si) based transistors allow a wide range of applications including liquid crystal displays (LCDs), organic light-emitting diodes (OLEDs), systems-on glass (SOG), etc., in terms of switching and even driving circuits. The combination with OLEDs or SOG requires a stringent control of the transistor parameters such as threshold voltages, mobilities of the charge carriers, S slopes, and leakage currents. The success of Low-temperature poly-Si (LTPS) transistors relies to a large extent on the poly-Si channels adjacent to the gate dielectrics. The crystallization of a-Si thin films is extremely significant in determining the device characteristics of the LTPS transistors. Various approaches have been reported with the aim of achieving a large grain size, including solid phase crystallization (SPC), excimer laser annealing (ELA) and metal-induced crystallization (MIC). ELA has initiated the commercialization of LTPS transistors in spite of high manufacturing cost and poor uniformity. MIC has been suggested as a technique for lowering the manufacturing cost and obtaining and adequate transistor yield, but suffers from high leakage current due to the inability to control the metal agents, typically a high level of Ni contaminations. In order to reduce the Ni content, metal-induced-lateral crystallization (MILC) has been introduced. The MILC process enables crystallization of a-Si thin films with less metal contamination. However, there still remain some problems that need to be solved such as the complicate process steps and the long annealing time to crystallize a-Si.In this work, we propose a noble crystallization method of a-Si:H films by metal-induced vertical crystallization (MIVC) to simplify the process and reduce processing time using a seed layer and we could crystallize the a-Si thin film in shorter time. Our method is similar to the previous approaches of using metals, but it differs in the aspect that we are using silicide seed to crystallize a-Si:H films. We also fabricated poly-Si TFTs to confirm the quality of the poly-Si that was crystallized using the novel method, and transistor parameters were measured. The poly-Si TFTs fabricated crystallized by MIVC exhibited the filed effect mobility of 58 cm2/Vs, and the on/off ratio of 1.9×106 V/dec, these value are similar to those of the poly-Si TFTs by conventional MILC process.
9:00 PM - A19.5
Electrical Stress Effect on MILC TFT.
Chulkyun Seok 1 , Yongwoo Lee 1 , Minkyu Lee 1 , Bongkwan Shin 1 , Seungki Joo 1
1 , Materials Science and Engineering of Seoul National University, Seoul Korea (the Republic of)
Show AbstractAmorphous silicon(a-Si) and polycrystalline silicon(poly-Si) films have received much attention in the field of thin film transistors (TFTs). Especially, the application of a-Si TFTs or poly-Si TFTs to large-area active-matrix liquid crystal displays (AMLCDs) is one of the greatest interests in microelectronics. The conventional hydrogenated amorphous silicon (a-Si:H) TFTs have shortcomings such as low field-effect mobility. The higher field-effect mobility as well as stability can be obtained by employing poly-Si TFTs. The most common fabrication process for poly-Si film is the deposition of a-Si films and their crystallization by post-annealing such as solid phase crystallization ( SPC ) , excimer laser annealing ( ELA ) and metal induced lateral crystallization ( MILC ). SPC has many advantages over ELA such as uniformity, large-area capability, etc. But the high crystallization temperature over 600 degree of celsius precludes SPC for commercial application. The fabrication of poly-Si films at low-temperature and short processing time is inevitable for the AMLCD where glass is used as a substrate. The crystallization annealing temperature of a-Si thin film could be lowered 500 degree of celsius by the addition of some metals. However, in spite of the low thermal budget, the poly-Si thin films crystallized by metal induced crystallization ( MIC ) have serious problems for the application to the poly-Si TFTs because of metal contamination into channel layers. Metal contamination in the channel layer can cause degradation of field effect mobility and anonymous leakage current. The reliable quality of TFTs, the leakage current should be suppressed. In this work, the electrical properties of poly-Si TFTs fabricated by the MILC method were observed, and then electrical stress effect for reduction of leakage current was discussed. The electrical stress was effective method for electrical property stabilization of p-type MILC TFT. When electrical stress was induced at positive gate voltage (off-state), the electrical stress effect was more definite than at negative gate voltage (on-state). Leakage current at off state was generated when trapped holes at negative sites were dissociated by applied gate voltage. Electrical stress caused improvement of various electrical properties of p-type MILC TFT. After electrical stressing, MILC TFTs showed a leakage current of , a swing of 0.81 , a threshold voltage of -4.9899V, an on/off ratio of , and a mobility of 60. These electrical properties were much better than that obtained before stressing.
9:00 PM - A19.6
A Full p-Type Poly-Si TFT Shift Register for Active Matrix Displays.
Myoung-Hoon Jung 1 , Hoon-Ju Chung 2 , Young-Ju Park 1 , Ohyun Kim 1
1 , Pohang University of Science and Technology, Pohang Korea (the Republic of), 2 , Kumoh National Institute of Technology, Gumi Korea (the Republic of)
Show Abstract Low temperature poly-silicon (LTPS) technology has potential applications as the backplanes for active-matrix organic light emitting diode (AMOLED) displays and active-matrix liquid crystal displays (AMLCDs). The high mobility of the LTPS thin-film transistor (TFT) enables on-panel integration of peripheral driving circuits. This allows slim, compact, and high-resolution displays. For peripheral driving circuits using LTPS TFTs, only pMOS technology is more attractive than CMOS technology because the pMOS logic has lower cost, higher production yield, and higher reliability than the CMOS logic. However, pMOS circuit design is more difficult than the conventional CMOS circuit design. Many studies have evaluated integrated gate drivers using only p-type poly-Si TFTs. However, these shift registers have functional difference with the general shift register, since they are optimized so as to shift single pulse for driving scan lines. A new general-purpose shift register using p-type poly-Si TFTs is proposed for not only scan drivers but also logic circuits of system-on-a-panel (SOP) active matrix displays. This register uses only p-type TFTs to simplify the fabrication process, and is composed of two conventional p-type TFT scan drivers and a new output driver component. The proposed shift register uses bootstrapping to provide time-shifted output signals with a voltage swing from VSS to VDD. It is also designed to have high immunity to output signal distortion that can be caused by output load capacitance; this immunity is achieved by separating the output from carry. Simulation and measurement results show that the proposed shift register successfully performs the shift operation and has stable output characteristics. Assuming that the holding time is an elapsed time until the output voltage is shifted by 1 % of its amplitude (15 V), the proposed shift register shows sufficient hold time of 280 ms. The measured rise and fall times were 3.2 μs and 5.0 μs, respectively. The proposed shift register can be used in numerous applications ranging from logic circuits for SOP active matrix displays, to special-purpose scan drivers with wide overlapping scans, multi-purpose light emitting control drivers for high image quality AMOLED displays and so on.
9:00 PM - A19.7
High Mobility a-Si:H TFT Fabricated by Hot Wire Chemical Vapor Deposition.
Chun-Yuan Hsueh 1 , Chieh-Hung Yang 1 , Si-Chen Lee 1
1 Department of Electrical Engineering & Graduate Institute of Electronics Engineering, National Taiwan University , Taipei Taiwan
Show AbstractThe hydrogenated amorphous silicon (a-Si:H) thin film transistors (TFTs) having a very high field-effect mobility of 1.76 cm^2/V-s and a low threshold voltage of 2.43 V have been fabricated successfully using the hot wire chemical vapor deposition (HWCVD). The TFT has a high ON/OFF-current ratio of more than 10^6 and sharp subthreshold slope 0.11 V/decade. The detailed process flow are as follows: First, 75 nm thick Cr is evaporated and patterned as abottom gate. Next, 300 nm thick SiNx insulator, 40 nm thick undoped a-Si:H, and 20 nm thick n+ a-Si:H layer are deposited sequentially by HWCVD. For undoped a-Si:H deposition, the gas flow rate of H2 and SiH4 are 2 and 3 sccm, respectively, at a process pressure of 0.05 torr. The tungsten filament is kept at 1400 °C. The filament-substrate distance is 6 cm. Substrate temperature is around 300°C. For n+ a-Si:H deposition, the gas flow rate is 1(H2), 3(SiH4) and 1(1.5% PH3 in H2) with[PH3] to [PH3+ H2 + SiH4] gas ratio of 3000 ppm. The device is patterned and mesa etched by RIE to define the active region. After opening the bottom gate contact window, 200 nm thick Al is evaporated and patterned to define the source, gate and drain electrodes. Finally, after the patterned n+ a-Si:H layer was etched by RIE to define the channel region, the TFT is completed.
9:00 PM - A19.8
Lithographic Misalignment Reduction for TFT Backplane Fabrication on Transparent Plastic Substrates.
Maryam Moradi 1 , Minoli Pathirane 2 , Arokia Nathan 3 , Andrei Sazonov 2
1 , IGNIS Innovation Inc., Kitchener, Ontario, Canada, 2 Electrical and Computer Eng., Univ. of Waterloo, Waterloo, Ontario, Canada, 3 London Centre for Nanotechnology, University College London, London United Kingdom
Show AbstractElectronics on plastic substrates have attracted significant interests for its flexibility, light weight, low-cost and mechanically robustness. Despite this, fabrication on plastic is hindered by several critical issues associated with dimensional stability, which serve to degrade device functionality and overall fabrication yield. One of the main challenges arising throughout the fabrication process is the poor overlay alignment of thin films owing to the considerable mismatch in thermal expansion coefficient of the substrate with that of the thin semiconductor and metal layers. Although several approaches have been proposed to alleviate this problem (1,2), what is needed is a techniques that is simple and compatible with large area panel production. Here, we present such a solution by means of attaching the flexible poly-ethylene-napthalate (PEN) substrate to a rigid glass carrier using a Kapton tape with a double-sided coating of heat resistant silicone adhesive material. This method minimizes the shrinkage of the PEN substrate to improve the overlay alignment and to ensure uniformity of the deposited thin films over the PEN substrate. A high yield in backplane fabrication is achieved, while the deposition and patterning processes are kept intact.The paper will report on the electrical and physical characteristics of the conventional a-Si:H bottom gate TFT on PEN flexible substrate, attached to a glass wafer during the fabrication process. Preliminary results indicate a reduction in the misalignment of 5 consecutive lithographic steps from the conventional 19 µm down to 5 µm or less. Measurements were carried out employing an optical microscope on 4 corner-most edges of several 3” wafers while the centre is aligned with +/- 0.5 µm accuracy. Post fabrication adhesive removal, to detach the PEN substrate from the glass carrier, is simply accomplished by sample immersion in an acetone bath with no adverse effects or harm to the fabricated circuitry. The results demonstrate a significant increase in fabrication yield through prevention of erroneous lithography misalignment so as to ultimately enhance the resolution of the large area flexible electronics. [1] F. Lemmi, W. Chung, S. Lin, P. M. Smith, T. Sasagawa, B. C. Drews, A. Hua, J. R. Stern, and J. Y. Chen, IEEE Electron Device Lett., vol. 25, p. 486, 2004.[2] W. S. Wong, K. E. Paul, and R. A. Street, J. Non-Cryst. Sol., vol. 338–340, p. 710, 2004.
9:00 PM - A19.9
Microcrystalline Silicon Thin-film Transistors Operating at Very High Frequencies.
Elias Hashem 1 , Kah-Yoong Chan 1 2 3 , Aad Gordijn 2 , Helmut Stiebig 2 4 , Dietmar Knipp 1
1 School of Engineering and Science, Jacobs University Bremen, Bremen Germany, 2 IEF5-Photovoltaics, Research Center Jülich, Jülich Germany, 3 Faculty of Engineering, Multimedia University, Cyberjaya, Selangor Darul Ehsan, Malaysia, 4 , Malibu GmbH & Co. KG, Bielefeld Germany
Show AbstractThe switching behavior of hydrogenated microcrystalline silicon (µc-Si:H) thin-film transistors (TFTs) was examined and switching frequencies exceeding 20 MHz were measured for short channel devices. The microcrystalline silicon TFTs were prepared by plasma-enhanced chemical vapor deposition at temperatures below 200 °C. The realized microcrystalline silicon transistors exhibit high electron and hole charge carrier mobilities of 30-50 cm2/Vs and 10-15 cm2/Vs, respectively. The switching behavior was measured for different device geometries. The switching frequency is limited by the drain and source contact resistance and the overlap capacitance between the gate and the drain/source electrodes. We have developed a simple electrical model of the microcrystalline thin film transistor that allows for describing the switching frequency as a function of the device geometry. The model takes the influence of the contact resistance and the parasitic capacitance into account. Switching frequencies of more than 20 MHz were measured for transistors with a channel length of 5 μm. The high switching frequencies facilitates the realization of radio frequency identification tags (RFID tags) operating at 13.56 MHz.
A20: Crystalline Si Film
Session Chairs
Friday AM, April 09, 2010
Room 3009 (Moscone West)
9:30 AM - A20.1
Crystallization Kinetics in High-rate Electron Beam Evaporated Poly-Si Thin Film Solar Cells on ZnO:Al.
Tobias Sontheimer 1 , Christiane Becker 1 , Stefan Gall 1 , Bernd Rech 1
1 Silicon Photovoltaics, Helmholtz Zentrum Berlin fuer Materialien und Energie, Berlin Germany
Show AbstractPolycrystalline silicon (poly-Si) thin film solar cells based on high-rate electron beam (e-beam) evaporation of amorphous Si and a subsequent solid phase crystallization (SPC) process at approximately 600 ○C feature the potential for high conversion efficiencies at considerably low production costs. A conversion efficiency record of 6.7% was recently achieved for poly-Si mini-modules on planar SiN-coated glass fabricated by e-beam evaporation with a deposition rate of 600 nm/min [1]. The additional implementation of temperature stable ZnO:Al as a front contact layer into this device on a glass superstrate allows for a simple contacting scheme by laser scribing and incorporation of light trapping structures. The material quality of the crystallized Si on ZnO:Al is significantly influenced by the grain size and thus the kinetics of the solid phase crystallization process. This study addresses a detailed investigation of the dynamics of the amorphous to crystalline phase transition of Si on ZnO:Al-coated glass. The crystallization kinetics in amorphous Si on ZnO:Al-coated glass was systematically studied by employing optical microscopy and transmission electron microscopy. For comparison, the analysis was carried out on SiN-coated glass which serves as an anti-reflection coating and a diffusion barrier. A time and temperature dependent analysis in the temperature regime of 560 to 600 ○C allowed the individual investigation of the crystal growth velocity and nucleation rate. While the growth velocity with an activation barrier of 2.5 eV was found to be identical in both configurations, the nucleation rate on ZnO:Al has shown to be up to two orders of magnitude higher. Si on ZnO:Al exhibited a significantly low activation energy of the steady state nucleation rate EIss of 2.9 eV, whereas SiN showed a EIss of 5.0 eV [2]. However, the nucleation rate of Si on ZnO:Al was revealed to be very sensitive to the variation of the deposition parameters of the amorphous Si. The nucleation rate dropped more than an order of magnitude and the time-lag increased significantly when the deposition parameters were systematically altered, resulting in larger grains with a feature size of several micrometers. This peculiar crystallization behavior opens up possibilities for an accurate control of the crystallization process and tailoring of the microstructure of the poly-Si material on ZnO:Al. [1] T. Sontheimer et al, Proc. of 24th European Photovoltaic Solar Energy Conference, Hamburg (2009) in press.[2] T. Sontheimer et al, Appl. Phys. Lett. 95 101902 (2009).
9:45 AM - A20.2
Pulsed-laser Crystallization of PECVD a-Si Films Under Partial Melting Conditions.
Qiongying Hu 1 , Yikang Deng 1 , Ui-Jin Chung 1 , Alexander Limanov 1 , Adrian Chitu 1 , James Im 1
1 Program in Materials Science and Engineering, Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York, United States
Show AbstractIn general, irradiating amorphous or polycrystalline Si films on SiO2 using a short-duration laser pulse can lead to several distinct melting and solidification scenarios. Our previous investigations have shown that the process can be characterized in terms of two major regimes (i.e., low-energy-density/partial-melting regime and high-energy-density/complete-melting regime) [Appl. Phys. Lett. 63, 1969 (1993)] and a sub-regime (i.e., near-complete-melting/super-lateral -growth regime) [Appl. Phys. Lett. 64, 2303 (1994)].In this paper, we revisit and analyze the melting and solidification behavior of a-Si films within the partial-melting regime; specifically and significantly, we focus our attention on investigating the melt-mediated crystallization details that are associated with dehydrogenated PECVD a-Si films. Whereas the behavior of microcrystalline-cluster-containing LPCVD a-Si films within the partial-melting regime was characterized and explained (in terms of the prompt microcrystal-triggered explosive crystallization of the films followed by potential remelting and solidification of the crystallized layer), a more intrinsic situation involving microcrystalline-cluster-deficient a-Si films (e.g., PECVD a-Si) in the partial melting regime has yet to be resolved. The samples used in the present work consisted of 50nm to 200nm dehydrogenated PECVD a-Si films on SiO2-coated glass and quartz substrates. Single-shot irradiation experiments using a XeCl excimer-laser-based system were conducted at various pulse durations (30ns to 250ns) and at various energy densities over the entire partial-melting window. Extensive in situ transformation analysis was performed using front-side as well as backside transient reflectance measurements, and microstructural characterization of the irradiated films was conducted using planar TEM, cross-sectional TEM, and AFM.Our experimental findings reveal that these PECVD a-Si films, at least within the partial-melting regime, can actually melt and solidify in the ways that are distinct from those encountered in microcrystalline-cluster-rich LPCVD a-Si films. Specifically we conclude that (1) spatially dispersed nucleation of crystalline solids occurring presumably at a sufficiently slowly moving liquid-amorphous interface, (2) very defective crystal growth proceeding, at least initially, at a sufficiently rapidly moving solidification front, and (3) the propensity for local preferential remelting of the defective regions (while the beam is still on) are some of the fundamental factors that can participate and affect how these PECVD films undergo melt-mediated crystallization under the partial melting regime. We will discuss the implications of these findings on the ELA (i.e., excimer-laser annealing) method and the possibility of developing partial-melting-regime-based ultra-high-throughput crystallization methods.
10:00 AM - A20.3
Al-mediated Solid-phase Epitaxy of Silicon-On-Insulator.
Agata Sakic 1 , Yann Civale 2 , Lis Nanver 1 , Cleber Biasotto 1 3 , Vladimir Jovanovic 4
1 DIMES, TU Delft, Delft Netherlands, 2 , IMEC, Leuven Belgium, 3 Center for Semiconductor Components, State University of Campinas, Sao Paulo Brazil, 4 Faculty of Electrical Engineering and Computing, University of Zagreb, Zagreb Croatia
Show AbstractRecently an aluminum/amorphous-Si (α-Si) solid-phase epitaxy (SPE) process was demonstrated, where an Al-mediation of the α-Si on a monocrystalline Si substrate was shown to provide high-quality Al-doped crystalline Si islands on predetermined positions. With this process, near-ideal, ultra-shallow, ultra-abrupt p+n junctions down to sub 100 nm dimensions were formed in contact windows to an n-substrate [1, 2]. The process presented here extends the Al-mediated SPE process for junction formation to obtain silicon-on-insulator (SOI) regions around the contact windows. A controllable Si lateral overgrowth on SiO2 is induced and hundred-of-nanometer large areas of high-quality monocrystalline SOI are formed at temperatures that can be as low as 300 °C or up to about 550 °C. The SPE-Si first nucleates in the contact windows and, after completely filling these, the lateral overgrowth begins. The overgrowing Si proceeds preferentially in the (100) direction and keeps the lattice structure of the underlying c-Si over micron large distances. As a result, adjacent overgrowth regions can join together in a manner free of grain boundaries or dislocations, which has been verified by high-resolution transmission-electron-microscopy (HRTEM), selective area diffraction (SAD), and electron back-scattering diffraction (ESBD) analyses. Factors that are shown to influence and control the SOI growth are the thickness of the original Al/α-Si layer stack, the mediation temperature, the size of the contact window for nucleation, the step height of the SiO2 perimeter and the quality of the SiO2 surface. Defects on the dielectric surface may act as nucleation centers for the crystallization process. Intentionally introducing defects is a means of initiating SPE on non-crystalline substrates in a location controlled manner while control of the crystallization orientation is promoted by the (100) directional preference of the growth process. This SPE SOI process is fully compatible with standard front-end Si technology and the location of the Si overgrowth can be defined by optical lithography. These factors, together with the good controllability of the geometry of the SOI regions and the low thermal budget involved, make it a promising module for locally incorporating SOI regions in either the front- or back-end Si processing as well as for thin-film device applications. REFERENCES[1]Y. Civale, L. K. Nanver, P. Hadley, E. J. G. Goudena and H. Schellevis, in IEEE Electron Device Letters, vol. 27, no. 5, pp. 341-343 (2006).[2]Y. Civale, L. K. Nanver, and H. Schellevis, in IEEE Transactions on Nanotechnology, vol. 6, no. 2, pp. 196-200 (2007).
10:15 AM - A20.4
Growth of Large Crystalline Grains by High Speed Scanning of Melting Zone Formed by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films.
Shohei Hayashi 1 , Seiichiro Higashi 1 , Seiichi Miyazaki 1
1 Advanced Sciences of Matter, Hiroshima University, Higashi-Hiroshima Japan
Show AbstractWe have proposed the application of thermal plasma jet (TPJ) induced millisecond annealing to crystallization of amorphous Si (a-Si) films in solid phase. The microcrystalline Si (μc-Si) films show small grain (~20 nm) and smooth surface (RMS ~0.42 nm). Thin film transistors fabricated with the μc-Si films show high field effect mobility of ~ 75 cm2/Vs and low threshold voltage of 2.9 V. In this work, we have applied high power density micro-TPJ (μ-TPJ) to promote melting and regrowth of a-Si to form large crystalline grains. Arc discharge was generated by supplying DC power of 0.8 ~ 2.0 kW between the electrodes with an Ar gas flow of 7.0 ~ 9.8 L/min. The μ-TPJ was formed by blowing out the arc plasma through an orifice of 0.3 ~ 1.6 mm in diameter. Amorphous-Si films with a thickness of 100 nm were formed on quartz substrate by plasma enhanced chemical vapor deposition (PECVD), followed by dehydrogenation at 400°C for 1 hour. The substrate was linearly moved by a motion stage in front of the μ-TPJ with scanning speed ranging of 2000 mm/s. We confirmed melting of a-Si films from the abrupt increase in transient reflectivity up to 57% using a probe CW laser. The melt duration increased from 233 to 495 μs with increasing power input from 1.67 to 1.92 kW. Growth of lateral large grains with the size of ~ 10 μm in width and ~ 60 μm in length were clearly observed by optical microscope. Typical growth velocity was estimated to be 2.8 m/s. High speed scanning of melting zone (483 μm in width and 990 μm in length) induced growth of large lateral grains with a high crystalline volume fraction of 99.7 % and preferential orientation of (111) plane. μ-TPJ is quite promising to grow large grain Si films on glass.
10:30 AM - A20.5
Interface Control in Hot-wire Chemical Vapor Deposition of Epitaxial Silicon: A Study of Dislocations and Impurities.
Ina Martin 1 , Charles Teplin 1 , Kirstin Alberi 1 , David Young 1 , Kim Jones 1 , Robert Reedy 1 , Maxim Shub 1 , Carolyn Beall 1 , Howard Branz 1 , Paul Stradins 1
1 National Center for Photovoltaics, National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractWe study interface and bulk growth phenomena during hot-wire chemical vapor deposition (HWCVD) of epitaxial silicon at substrate temperatures of 600-700 °C. We develop methods to control the nucleation of growth with the aim of minimizing dislocations. Transmission electron microscopy (TEM) of epitaxial Si grown on (100) Si wafers by HWCVD shows that threading dislocations nucleate at the interface. The dislocation density decreases with increased substrate temperature, which results in improved epitaxial solar cell device performance.1 Thus, interface treatments that control the first moments of growth are critical to achieving device-quality epitaxial silicon. We control the interface by several techniques, specifically: 1) by ex-situ chemical cleaning and hydrogen passivation of the Si substrate, 2) by in-situ H atom exposure immediately preceding deposition, and 3) by pulsing the substrate temperature to a higher value during the initial stage of growth. Secondary ion mass spectrometry demonstrates that these treatments reduce the interfacial oxygen, which can be as high as 1020/cm3 for untreated interfaces. The interfacial O correlates with dislocation densities quantified by electron-beam induced current and cathodoluminescence measurements. Pulsing the substrate temperature also ensures that the surface is dehydrogenated prior to silane radical exposure, a condition necessary for sustained epitaxial growth. Surface roughness measurements by in-situ real-time spectroscopic ellipsometry and TEM indicate that nucleation of dislocations is connected to island formation during the initial growth. In contrast, the film quality is less sensitive to bulk growth conditions, provided the near-interface growth has been properly conditioned: different gas-phase precursors and growth rates (R) yield materials with similar dislocation densities. We have successfully modeled R based on the fundamentals of gas-filament and gas-substrate interactions, and our chamber geometry.2 The model shows that although R is dominated by radicals, ~20% of R is due to thermal dissociation of silane (thermal CVD) at the growing surface. We find that a strong contribution from thermal CVD during initial growth results in poor material quality. Separate control of the interface and bulk growth allows for the optimization of the thermal budget and growth rates for device-quality epitaxial Si. The authors gratefully acknowledge support of this work by the U.S. Department of Energy under Contract DE-AC36-08GO28308.1.K. Alberi et al., “Material quality requirements for efficient epitaxial film silicon solar cells” Appl. Phys. Lett., manuscript in preparation, 2009. 2.I. T. Martin et al., “Physics and chemistry of hot-wire chemical vapor deposition from silane: Measuring and modeling the silicon epitaxy deposition rate” J. Appl. Phys., manuscript accepted, 2009.
10:45 AM - A20.6
Biaxial Texturing of Inorganic Photovoltaic Thin Films Using Low Energy Ion Beam Irradiation During Growth.
James Groves 1 2 , Garrett Hayes 1 , Joel Li 4 , Raymond DePaula 2 , Robert Hammond 3 , Bruce Clemens 1
1 Materials Science and Engineering, Stanford University, Stanford, New Mexico, United States, 2 Superconductivity Technology Center, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 4 Electrical Engineering, Stanford University, Stanford, California, United States, 3 Geballe Laboratory for Advanced Materials, Stanford University, Stanford, California, United States
Show AbstractThin film solar cells can be cheaper and scaled to larger areas compared to their single crystal counterparts. However, thin film photovoltaic structures often have shorter minority carrier diffusion lengths so that many carriers recombine before they reach a junction. In particular, high angle grain boundaries provide electron traps that act as recombination centers. Ion beam assisted deposition (IBAD) uses low energy ion irradiation during vapor deposition to produce polycrystalline thin films with grain-to-grain alignment very near that of single crystal. The greatly reduced grain boundary angles in the resulting films result in reduced exciton recombination. In addition, the IBAD process can deposit these thin films on virtually any substrate at room temperature. We envision two different approaches to achieve high efficiency biaxially-aligned polycrystalline Si solar cells. The first approach is to use IBAD to deposit a lattice-matching template layer, and then deposit highly-aligned Si heteroepitaxially.. The second approach is to use IBAD to deposit the Si layer directly on an appropriate substrate. Here, we present data on the deposition of CoSi2 using the IBAD technique to develop a lattice-matching template for subsequent Si hetero-epitaxy. We also discuss our results on the direct deposition of IBAD Si at room temperature using ultra low ion beam energies (<40 eV). Our data includes in-situ film growth monitoring with reflected high-energy electron diffraction, x-ray diffraction and Hall mobility measurements.
A21: Solar Cell: Fundamental
Session Chairs
Friday PM, April 09, 2010
Room 3009 (Moscone West)
11:30 AM - A21.1
High Efficiency Hydrogenated Nanocrystalline Silicon Solar Cells Deposited at High Rates.
Guozhen Yue 1 , Laura Sivec 1 , Baojie Yan 1 , Jeffrey Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) has been shown to be a good candidate for high efficiency multijunction solar cells because of its higher long wavelength response and better stability than a-Si:H and a-SiGe:H solar cells. However, the nature of indirect bandgap in the nanocrystallites leads to lower absorption coefficients, and a thicker intrinsic layer is needed to achieve a high photocurrent density. With proper light trapping, one could attain a high photocurrent density with the intrinsic layer thickness of 1-2 μm. In fact, we have optimized the Ag/ZnO back reflectors (BR) and improved nc-Si:H single-junction and a-Si:H/nc-Si:H/nc-Si:H triple-junction solar cell efficiencies significantly [1,2]. Even with an intrinsic layer thickness of 1-2 μm, the deposition rate of nc-Si:H needs to be high for high throughputs. We report our recent progress on nc-Si:H solar cell optimization at different deposition rates. The nc-Si:H intrinsic layer was deposited using a modified VHF glow discharge technique on optimized BRs [1,2]. The solar cell structure was optimized with focus on the interfaces [3]. The nc-Si:H material quality, especially on the nanocrystalline evolution, was optimized by hydrogen dilution profiling [4]. First, an initial active-area efficiency of 10.2% in a nc-Si:H single-junction cell deposited at ~5 Å/s has been achieved. Using the improved nc-Si:H component cells, we have attained a 14.5% initial active-area efficiency using an a-Si:H/nc-Si:H/nc-Si:H triple-junction structure. We anticipate record high stable cell efficiency after light soaking. Second, we achieved a stabilized total-area efficiency of 12.5% with an a-Si:H/nc-Si:H/nc-Si:H triple-junction structure deposited at ~10 Å/s, where the measurements were made at the National Renewable Energy Laboratory. This efficiency exceeds the previous record efficiency of 12.1% measured at NREL from an a-Si:H/a-SiGe:H/a-SiGe:H triple-junction cell [5]. Third, we developed a deposition recipe with an even higher rate of >15 Å/s. Limiting the intrinsic layer deposition time to 15 minutes, we have obtained initial active-area efficiencies of 8.3% and 12.2% for nc-Si:H single-junction and a-Si:H/nc-Si:H/nc-Si:H triple-junction cells, respectively. Details of the deposition conditions, material structures, and stabilities of the single-junction and multi-junction solar cells made at different rates will be presented. 1.J. Yang, B. Yan, G. Yue, and S. Guha, Mater. Res. Soc. Symp. Proc. 1153,(2009). 2.G. Yue, L. Sivec, J.M. Owens, B. Yan, J. Yang, and S. Guha, Appl. Phys. Lett. (submitted).3.G. Yue, B. Yan, C. Teplin, J. Yang, and S. Guha, J. Non-Cryst. Solids 354, 2440 (2008).4.B. Yan, G. Yue, J. Yang, S. Guha, D.L. Williamson, D. Han, and C-S. Jiang, Appl. Phys. Lett. 85, 1955 (2004). 5.J. Yang, A. Banerjee, and S. Guha, Appl. Phys. Lett. 70, 2975 (1997).
11:45 AM - A21.2
N-type Microcrystalline Silicon Oxide (μc-SiOx:H) Window Layers with Combined Anti-reflection Effects for n-i-p Thin Film Silicon Solar Cells.
Vladimir Smirnov 1 , Wanjiao Boettler 1 , Andreas Lambertz 1 , Reinhard Carius 1 , Friedhelm Finger 1
1 IEF-5 Photovoltaik, Forschungszentrum Juelich, Juelich Germany
Show AbstractWe will describe the development and application of n-type microcrystalline silicon oxide (μc-SiOx:H) alloys as window layers in thin film silicon solar cells with microcrystalline silicon (µc-Si:H) absorber layers. Cells are prepared in n–i–p deposition sequence with illumination through the n-side. The layers were deposited by radio-frequency plasma enhanced chemical vapour deposition (RF-PECVD) at 185°C substrate temperature, using a mixture of phosphine (PH3), silane (SiH4), carbon dioxide (CO2) and hydrogen (H2) gases, at CO2 flows varied between 0.5 and 2 sccm and different thickness. Films were characterised by dark conductivity measurements, Photothermal Deflection Spectroscopy (PDS) and Raman spectroscopy to evaluate optical band gap E04, refractive index n and crystallinity Icrs, respectively. The results were compared with the data of alternative optimised window layers, such as n-type μc-Si:H and silicon carbide (µc-SiC:H) films. Also solar cells with conventional illumination through the p-side window were investigated for comparison. Solar cells were prepared with μc-SiOx:H n-layers of varied compositions and characterised by current-voltage (J-V) measurements under AM 1.5 illumination (and also under modified AM 1.5 illumination with red (OG590) and blue (OG7) filters) and reflectance measurements. The effects of the μc-SiOx n-layer composition and thickness on the performance of n-i-p cells were investigated and correlated with the optical, electrical and structural properties of the μc-SiOx:H n-layers. The results indicate that n-type μc-SiOx:H provides a sufficient combination of conductivity (up to 0.1 S/cm) and crystallinity (ICRS up to 30%) to function well as a doped layer for the internal electric field and the carrier transport and as a nucleation layer for the growth of the µc-Si:H i-layer. As a window layer, it also results in an enhanced spectral response, particularly in the long wavelength part of the spectrum of the solar cells, in comparison with the cells containing alternative window layers. An improved short circuit current density (Jsc) can be attributed to the wide optical gap E04 (around 2.3 eV) in the μc-SiOx:H window layers and reduced reflection in the long wavelength region of the spectrum. A minimum total reflectance of only 6% at 570nm wavelength was achieved with such μc-SiOx:H window layers. Using optimised n-type μc-SiOx:H as a window layer, an efficiency of 8.0% for 1cm2 cell area was achieved with 1 μm thick μc-Si:H absorber layer and Ag back reflector.
12:00 PM - **A21.3
Research Progresses on High Efficiency Amorphous and Microcrystalline Silicon-based Thin Film Solar Cells.
Ying Zhao 1 , Xiaodan Zhang 1 , Xinhua Geng 1
1 , The Institute of Photoelectronic Thin Films Devices and Technology,Nankai University, Tianjin China
Show Abstract Tandem (micromorph) structures with an a-Si:H top cell and a μc-Si:H bottom cell have been gradually transferred from research laboratories to manufactures. However, several technical issues, such as cost reduction, throughput improvement, large area uniformity, and high efficiency, are still needed to be resolved. This paper summarizes our recent progresses on a-Si:H and μc-Si:H solar cells, with the focus on these technical issues. The transparent conductive ZnO films were deposited on glass substrate by MOCVD. The morphology of the ZnO films shows pyramid-like surface structures. The resistivity of the ZnO thin films is reduced by doping with boron or by using an ITO/ZnO double-layer structure. Phosphorous doped SiOx:H was used as a substitute for ZnO in the back reflectors. Using the optimized SiOx:H layer on the back reflectors, we improved the performance of a-Si:H solar cell. Crystalline structural evolution in μc-Si:H films occurs under a constant deposition condition. To resolve this issue, we first developed a high-quality seed layer by lowering silane concentration and very high frequency (VHF) power. Second, a novel VHF power profiling technique has been developed to control the structural evolution in μc-Si:H i-layer. A high efficiency of 9.4% has been obtained in a μc-Si:H single-junction solar cell at average deposition rate over 10 Å/s. Several effective methods to reduce the influence of cross-contamination for depositing μc-Si:H solar cells in a single-chamber system have been developed. A μc-Si:H single-junction solar cell and an a-Si:H/μc-Si:H tandem solar cell with efficiency of 6.3% (1.0 cm2) and 10.1% (1.0 cm2), were obtained in the single-chamber system, respectively. We have designed and built a large-area multi-chamber system. A systematic simulation study on the cathode design has been carried out. Based on the simulation, the cathode structure was optimized. We have demonstrated a very uniform distribution of a-Si:H and μc-Si:H deposition rates and material properties using the large-area machine with optimized deposition parameters. Micromorph solar modules were fabricated on the commercial SnO2 substrate of 0.79 m2. We achieved an aperture-area efficiency of 8.12% with an Al back reflector with no ZnO.
12:30 PM - A21.4
Tuning Crystallinity in Micromorph Thin Film Silicon Solar Cells on Gen. 5 Glass-substrates.
Christian Wachtendorf 1 , Andre Hedler 1 , Stelio Correia 1 , Daniel Messerschmidt 1 , Jens Eberhardt 1 , Rao Gutlapalli 1
1 Research and Development, Bosch Solar Energy, Erfurt Germany
Show AbstractThe recent transition from hydrogenated amorphous silicon solar cells to a tandem structure consisting of a stack of an amorphous and a microcrystalline cell is an important step for the industrial production of thin film solar cells. The additional absorption in the infrared band is a valuable advance in the development of thin film silicon solar cells. Nevertheless, further progress is needed. Besides the improvement of light trapping inside the tandem structure, by means of adjusting the effective path length, tuning the structural properties of the silicon has a big potential for increasing the decisive cell efficiency. This includes understanding of the growth mechanisms, as well as understanding of the electrical and mechanical properties. For the performance of state-of-the-art microcrystalline solar cells, the degree of crystallization in the intrinsic layer, which is the layer of current generation, is a crucial parameter. Hence, the influence of varying the crystallinity in the intrinsic layer, which is typically determined by Raman measurements, has been a subject to intensive research on small area laboratory cells. Nevertheless, deposition of large area solar cells leads to further challenges. Depletion and power density distribution play a much more important role in those plasma enhanced deposition processes. However, the deposition on large substrates offers a high and reliable quantity of samples and allowed us to profoundly correlate the results of several hundred solar cells with the parameters that strongly influence the crystallinity, namely power density and silane concentration. For this purpose, the silicon solar cells have been deposited on a PECVD platform supplied by OC Oerlikon Balzers AG. The consequences of tuning the crystallinity on large area 1.42 sqm substrates were identified and optimal parameter sets were investigated. The effect of circuit integration of solar cells at different crystallinities, which is inevitable for large area thin film modules, has been studied. Besides that, the intrinsic layer thickness was tuned to improve the cell-stack matching. Hence, the optimum for cell and module efficiency over the substrate was identified. Based on those results, the crystallinity has been tuned along the growth direction in order to shape the band structure of the semi-conductor. This includes an evaluation of its potential for thin film modules and depth profiles of Raman measurements. Furthermore, we give an outlook how the crystallinity influences the long-term stability of micromorph tandem cells.
12:45 PM - A21.5
Control of Crystallinity in Microcrystalline Silicon Films Deposited by Cat-CVD for Thin Film Solar Cell Applications.
Cheng-Hang Hsu 1 , Fang-Hong Yao 1 , Yi-Peng Hsu 1 , Yen-Tang Huang 1 , Hsiao-Wen Zan 1 , Chuang-Chuang Tsai 1 , Chien-Chung Bi 2 , Chun-Hsiung Lu 2 , Chih-Hung Yeh 2
1 Department of Photonics, National Chiao Tung University, Hsinchu Taiwan, 2 , NexPower Technology Corporation, Taichung Taiwan
Show AbstractThe catalytic chemical vapor deposition (Cat-CVD) technique has the advantages of producing low H content thin film that reduces the Staebler-Wronski1 effect and the potential of obtaining high deposition rate for microcrystalline silicon (μc-Si:H)2. Most previous studies on the crystallinity (Xc) of μc-Si:H employed bare glass as substrate. But in most solar cell applications, μc-Si:H films are deposited onto substrates covered by amorphous silicon (a-Si:H) or μc-Si:H. Nucleation and subsequent film growth are likely to be affected by the substrate template effect. Therefore, in this study, the effect of the substrates (eg. bare glass, a-Si:H-coated glass and μc-Si:H-coated glass) on Xc and the phenomenon of incubation were investigated. Due to the low absorption, thicker films (~1-2μm) of μc-Si:H is required for solar cells. However, it is known to be difficult to maintain a constant Xc in a thicker film, since Xc can vary significantly with thickness, H2 dilution ratio, deposition time, etc.3 In this work we have studied ways to achieve constant Xc over a thick film.The μc-Si:H films were deposited from H2+SiH4 mixture at 220oC by Cat-CVD with W filament heated to 1800oC. Several analytical tools were used to study the Xc, H-bonding, microstructure and growth rate of the μc-Si:H materials, including Raman, FTIR, TEM, SEM, conductivity, etc. The Xc was measured by the ratio of the integrated crystalline peak intensity (510 and 520cm-1) to total signals (480, 510 and 520cm-1).Our results show that there is a strong template effect on the initial film growth which extends beyond a film thickness of 200nm. The μc-Si:H has the highest growth rate onto substrates with a 15nm-thick a-Si:H underlayer, but it has the lowest Xc and stays near 40% even for a thicker layer of 350nm. This indicates that it is easy to nucleate onto a-Si:H network, but the resulting film has a large amorphous component. Growing μc-Si:H onto substrates with a 15nm-thick μc-Si:H has a lowest growth rate but results in the highest Xc of 60%. This indicates that it is easiest to grow good μc-Si:H onto a similar network structure. Growing μc-Si:H onto bare glass is the slowest, presumably because it is difficult to nucleate on a amorphous oxide structure. But once the nucleation gets started, the grain grows well to achieve good Xc.By H2 profiling, we were able to achieve a constant Xc over a thick film of 1.5μm.Work supported in part by the Center for Green Energy Technology at NCTU.1. D.L. Staebler and C.R. Wronski, “Reversible conductivity changes in discharge-produced amorphous Si,” Appl. Phys. Lett. 31, 292 (1977).2. H. Matsumura and K. Ohdaira, "New application of Cat-CVD technology and recent status of industrial implementation," Thin Solid Films, 517, 3420 (2009).3. C.R. Wronski and R.W. Collins, "Phase engineering of a-Si:H solar cells for optimized performance," Solar Energy, 77, 877 (2004).