Symposium Organizers
Manish Keswani, University of Arizona
Paul Mertens, IMEC
Kash Mittal, Reviews of Adhesion and Adhesives
Jin-Goo Park, Hanyang University
Symposium Support
Micron Foundation
PCT Systems, Inc.
Semiconductor Research Corporation
Tokyo Electron
NT7.1: Nanoparticle Adhesion and Characterization
Session Chairs
Jeffery Butterbaugh
Kash Mittal
Tuesday PM, March 29, 2016
PCC North, 100 Level, Room 128 A
2:30 PM - *NT7.1.01
Effect of Relative Humidity on Nanoparticle Adhesion
Stephen Beaudoin 1,Aaron Harrison 1,David Corti 1
1 Purdue University West Lafayette United States,
Show AbstractThe adhesion between nanoparticles and surfaces can be strongly influence by relative humidity. The classic approach for describing this effect is based upon the Kelvin equation. The Kelvin equation describes the relationship between the system saturation and the curvature of the liquid-vapor interface. It is extensively used to describe nucleation in supersaturated systems, and capillarity in unsaturated systems. However, when describing the curvature of a meniscus between two adhering surfaces, the Kelvin equation is an approximation governed by the assumption of a pure-component, incompressible system with bulk properties. Hence, it is unclear how well the Kelvin equation describes the capillary forces between two surfaces, particularly when these surfaces are separated by nanoscale distances, as in the case of nanoparticle adhesion.
Using a two-dimensional lattice-gas model and mean-field density functional theory, the effect of meniscus curvature between hydrophilic surfaces on the prediction of the Kelvin equation has been studied. First, the dependence of the surface tension on the curvature of the liquid-vapor interface is established for critical bubbles forming within a bulk liquid. It is demonstrated that for a pure-component, bulk system the Kelvin equation properly describes the curvature of the interface at the Gibbs surface of tension, even for very small bubbles. Next, the system is modified to include parallel, hydrophilic surfaces in between which capillary bridges can form. The curvature of these capillary bridges are quantified at differing saturation levels and compared to the Kelvin equation. The deviation from the Kelvin equation as the system approaches zero saturation is quantified as a function of the degree of hydrophilicity of the surfaces and the curvature of the interface. For these capillary bridges, it is found that the radius of curvature is not constant (i.e., the meniscus is not circular) and that agreement with the Kelvin equation decreases significantly as the system approaches zero saturation. Therefore, the Kelvin equation best describes curvatures for pure-component systems or for capillary bridges that are near or at saturation.
3:00 PM - NT7.1.02
Moving Au Nanoparticles Using a Focused Electron Probe
Nan Jiang 1
1 Arizona State Univ Tempe United States,
Show AbstractA tool for manipulation of specific nanoparticles (NPs) is essential for nanofabrication. This work presents a new class of nanoscale techniques for manipulating individual nanoparticles on an insulating substrate using a focused electron beam. The controlled displacement of 20 nm Au nanoparticles is demonstrated. The NP can be moved by placing a focused electron probe on a side of the particle. The direction and distance of motion can be precisely controlled by the beam current density and exposure time. The driving force for the particle motion is the induced electric field. Excitation and ionization by the focused electron beam within the insulating substrate are shown to produce an electric field on the particle [1, 2].
[1] N. Jiang, J. Phys D: Appl. Phys. 46 (2013) 305502.
[2] N. Jiang, Report on Progress in Physics (2015), in press.
3:15 PM - *NT7.1.03
Adhesion Characterization of Single Microparticles
Cetin Cetinkaya 1
1 Clarkson Univ Potsdam United States,
Show AbstractAt nano/micrometer scales, compared to several other types of forces (e.g. inertia, gravity, electromagnetic, and electrostatic), adhesion, a weak intermolecular interaction (van der Waals force), often dominates the deformation and dynamics of small-scale objects. Understanding and characterizing the interactions of micro-particles, with their environments and with each other, is critically important in various industries, such as digital/additive manufacturing, pharmaceutical manufacturing, semiconductor manufac¬turing, biomedical devices, sensors, and food processing. Adhesion characterization of micro-scale objects is a challenge due mainly to issues associated with their effective handling and manipula¬tion as well as uncertainty about the nature of contacts and interfaces due to the small length-scale of the associated objects and the low force levels involved. To understand particle−substrate interactions at micro-scale, the development of application-specific experimental techniques and mod¬els is often required. At present, several statistical adhesion measurement techniques for multi-particle systems based on centrifugal, aerodynamic and hydrodynamic forces, impact−spectrum and ultrasonic vibration are utilized for adhesion characterization of micro-scale object systems. Note that in all these statistical adhesion measurements, the adhesion characterization is performed by measur¬ing the detachment force required to detach particles from the substrates. Detachment process is often a result of interactions of a number of com¬plex events due to its disruptive nature, leading to energy release, plastic deformation, finite amplitude deformation, and mass transfer at nano-/ micro-scale. Consequently, in addition to their statistical nature, the accu¬racy of resulting work of adhesion extraction from detachment-based tech¬niques suffers. In recent years, single-particle adhesion measurement techniques for accu¬rate adhesion characterization of micro-scale objects have attracted atten¬tion to address the shortcomings of various statistical techniques based on measurement in multi-particle systems. Moreover, while one-dimensional adhesion behavior of micro-objects (out-of-plane) has been extensively studied both experimentally and analytically, only limited attention has been paid to the analytical and experimental studies for two-dimensional adhesion behavior. In this talk, a critical review of the current approaches and emerging techniques will be provided, and future directions will be discussed.
3:45 PM - NT7.1.04
An NMR Toolbox for Characterizing Nanomaterials
Chengchen Guo 2,Jeffery Yarger 2,Brian Cherry 2,Gregory Holland 3,Samrat Amin 2
1 School of Molecular Sciences Arizona State Univ Tempe United States,2 Magnetic Resonance Research Center Arizona State University Tempe United States,3 Department of Chemistry and Biochemistry San Diego State University San Diego United States
Show AbstractNanomaterials have attracted considerable attention in recent research due to their wide applications in various fields such as material science, physical science, electrical engineering, and biomedical engineering. Researchers have developed many methods for synthesizing different types of nanostructures and have further applied them in various applications such as drug delivery, cancer therapy and cell imaging. However, in many cases, a molecular level understanding of nanoparticles and their associated surface chemistry is lacking investigation. Understanding the surface of nanomaterials is of great significance and it will benefit researchers in obtaining a better understanding of the functions and properties of the nanomaterials. Nuclear magnetic resonance (NMR) spectroscopy can provide a familiar means of looking at the molecular structure of molecules bound to surfaces of nanomaterials as well as a method to determine the size of a nanoparticle in solution. Here, we show examples of how NMR spectroscopic techniques can be applied to investigate various common nanomaterials, including for example, thiol-protected gold nanostructures and biomolecule-capped silica nanoparticles. Some common one- and two-dimensional NMR techniques are performed to obtain molecular level details at the interfaces of nanomaterials, while diffusion ordered NMR spectroscopy (DOSY) is applied to estimate the size of nanomaterials in solution.
4:30 PM - *NT7.1.05
RapidNano; Towards 20nm Particle Detection on EUV Mask Blanks
Jacques van der Donck 1,Peter Bussink 1,Erik Fritz 1,Peter van der Walle 1
1 TNO Delft Netherlands,
Show AbstractIn the semiconductor industry, cleanliness is a prerequisite for obtaining economically feasible yield levels. For the next generation of lithographic, EUV lithography, is introduced the size of yield inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling EUV masks should not add particles larger than 20 nm. Detection methods for 20 nm particles on large area surfaces is needed to qualify the equipment on cleanliness. Detection of particles of 20 nm is extremely challenging. Not only because of the particle size, but also because of the large area. In 2002 TNO developed the RapidNano, a tool that is capable of detecting nanoparticles on flat substrates. Over the last decade, the smallest detectable particle size was decreased while the inspection rate was increased. This effort lead to a stable and affordable detection platform that is capable of inspecting the full surface of a mask blank.
At the core of RapidNano is a dark-field imaging technique. Every substrate type has a typical background characteristic, which in turn strongly affects the lower detection limit. The noise level in the background is induced by speckle. By illuminating the inspection area from nine different angles, the signal-to-noise ratio was optimized. This was first shown on test bench level and then applied in the RapidNano3. The RapidNano3 is capable of detecting 42nm LSE (and larger) on silicon surfaces. RapidNano4, the next generation, will use 193 nm light. Camera sensitivity and available laser power set the achievable throughput. Therefore, special care was given to the optical design, particularly the projection optics. With RapidNano4, TNO pushes the detection limit of defects on EUV blanks to below 20nm.
5:00 PM - NT7.1.06
Carbon Nanotubes Doped with Metal Oxide Nanoparticles as New Materials for Oil Removal
Ahmad Kayvani Fard 2,Marwan Khariesheh 1,Nidal Hilal 1,Muataz Hussien 2
1 Qatar Environmental and Energy Research Institute Doha Qatar,2 College of Science and Engineering Hamad Bin Khalifa University Doha Qatar,1 Qatar Environmental and Energy Research Institute Doha Qatar
Show AbstractWater with oil contamination is one of the challenges in water resources management. Multi-walled carbon nanotubes (MWCNTs) have caught a lot of attention as a new type of adsorbents due to their exceptional capacity for oil adsorption. In this work, we report on the synthesis and laboratory evaluation of multiwall carbon nanotubes decorated with different loadings of Fe2O3 for oil-water separation. Pristine and modified CNTs were characterized by scanning electron microscopy (SEM), Transmission electron microscopy (TEM), The Brunauer, Emmett and Teller (BET) technique, X-ray Diffraction (XRD), and thermogravimetric analysis (TGA). The effect of adsorbent dosage, contact time, and agitation speed were examined on the oil spilling efficiency using batch adsorption experiments. The sorption capacities of modified CNTs were found to be greater than 7 g/g for gasoline oil. The modified CNTs due to their hydrophobic nature do not absorb water and has superior selectivity for organic compounds such as oil and organic solvents. These interesting materials show practical solution for water treatment especially in oil and gas industries.
5:15 PM - *NT7.1.07
Wet Process Challenges for Advance Node IC Manufacturing
Stefan Degendt 2,Sophia Arnauts 1,Karine Kenis 1,Els Kesters 1,Toan Quoc Le 1,Paul Mertens 1,Antoine Pacco 1,Jens Rip 1,Farid Sebaai 1,Samuel Suhard 1,Amir Tamaddon 1,Dennis Van Dorp 1,Guy Vereecke 1,Nandi Vrancken 3,Kurt Wostyn 1,Xiumei Xu 1,Frank Holsteyns 1
1 IMEC Leuven Belgium,2 Chemistry KULeuven Leuven Belgium,1 IMEC Leuven Belgium2 Chemistry KULeuven Leuven Belgium,1 IMEC Leuven Belgium1 IMEC Leuven Belgium,3 Chemistry VUB Brussel Belgium
Show AbstractWet processing is the most repeated process step in any CMOS flow, as there is interaction with many steps, either as pre- or post-treatment. ITRS specifies the target contamination levels for different technologies and contaminants. Cleaning serves to remove residual contamination but in some cases it can be the cause of contamination (e.g. drying marks). An example of defects induced by HF cleans relates to epitaxy where a clean starting surface is required for the growth of high-quality crystalline layers. As a consequence of new device concepts (FINFETs, ...) or materials (SiGe, Ge, III/V, ...), the traditional approach of an in-situ high-temperature bake can no longer be maintained. The reduced thermal budgets put stringent requirements on the pre-epi cleaning step, whereby insufficient control on HF-last process conditions, wafer storage/transfer, ... may result in appearance of haze and local re-oxidation and subsequently poor epitaxial quality. It is obvious that with dimension scaling, also the size of killer defects become smaller, and thus the demand for improved sensitivity for smaller particle detection increases. For 20 nm half pitch technology nodes the critical particle diameter is 10 nm. In a standard HF cleaning sequence, the majority of particle adders are below 24nm. Lightscattering metrology and defect review indicates that these defects can be segmented into two major types of clean process induced defects, namely particles, which are tool or process liquid related and residual species which originate from silica residues depositing as drying marks. Drying defects, mainly watermark (WM) formation has been identified as a serious problem. WMs are composed of silica species which can form by precipitation of dissolved silica present in rinsing ultra-pure water (UPW). Typically, the chemical interaction between the substrate and the evaporative fluid, creates soluble species (chemical substrate oxidation and dissolution) to form the watermark. As such, the concentration of soluble species is variable and quantified by the kinetics of liquid substrate chemical interaction. Evaporation of a liquid drop (consequently formation of WM during evaporation) is a simultaneous operation of heat and mass transfer. The heat and mass transfer is a function of droplet geometry, temperature, relative humidity (HR) and transport properties of the gas ambient surrounding each droplet. Further, wet nanoscale etching of silicon is very dependent to surface reactive sites like step edges, defect densities, and crystallographic planes. Therefore the droplet to substrate interaction time, and the formation of soluble residues can change with time. In this paper, we will review a number of specific examples demonstrating the measurement and appearance of defects at dimensions relevant for advanced technology nodes. We will also suggest solutions to improve control on processing conditions to achieve better control on wet process induced defects.
NT7.2: Poster Session
Session Chairs
Wednesday AM, March 30, 2016
Sheraton, Third Level, Phoenix Ballroom
9:00 PM - NT7.2.01
The Effect of Copper Incorporation on Structural, Optical and Magnetic Properties of ZnO Nanoparticles
Ozlem Yildirim 1,Caner Durucan 2
1 Selcuk University Konya Turkey,2 METU Ankara Turkey
Show AbstractCopper-incorporated zinc oxide nanoparticles (ZnO:Cu, Cu:Zn ratio at.% of 1.25, 2.5 and 5) and urre ZnO nanoparticles were synthesized by room temperature precipitation without any subsequent post thermal treatment. ZnO:Cu nanoparticles were thoroughly characterized by x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), transmission electron microscopy, vibrating sample magnetometer, UV-Visible and photoluminescence spectroscopy. Detailed crystallographic investigation was accomplished through Rietveld refinement. ZnO:Cu nanoparticles exhibited room temperature ferromagnetic (RTFM) property. The origin of RTFM in ZnO:Cu nanoparticles has been investigated and this property was attributed to the substitutional incorporation of Cu ions into ZnO lattice. The evidences for substitutional incorporation have been demonstrated with the positional changes in XRD peaks of ZnO and accompanying precise lattice parameter analyses by Rietveld refinement. Chemical analysis by XPS revealed incorporation of copper as Cu2+ ions. The Rietveld-based percent occupancy values for Zn sites imply certain limited substitution of the Cu2+ ions into ZnO lattice with the increasing amount of Cu. Meanwhile, the magnetization enhanced with increasing Cu amount due to narrowing band gap, suggesting that RTFM characteristics is an intrinsic property of ZnO:Cu nanoparticles. This work gives insights about the origin of RTFM in ZnO and can be used to enhance ferromagnetism in diluted magnetic semiconductors.
9:00 PM - NT7.2.02
Mini Flowers ZnO Supported on Inorganic Compounds Used in the Removal of Dyes
Nayely Torres Gomez 1,Alfredo Rafael Vilchis Nestor 1,Gustavo Lopez Tellez 1,Edith Gutierrez Segura 1,Enrique Vigueras Santiago 1
1 Universidad Autónoma del Estado de México Toluca Mexico,
Show AbstractKeywords: Zinc oxide, biocomposite, adsorption.
ZnO is an important member of the II –VI group of semiconductors, a wide direct band gap semiconductor with a band gap of 3.37 eV. With a binding energy of excitons in ZnO as high as 60 meV, has received attention in optics, optoelectronics, sensors, and actuators due to its semiconducting, piezoelectric, and pyroelectric properties. ZnO nanostructures possess several unique advantages such as high specific surface area, nontoxicity, chemical stability, electrochemical activity, and high electron communication features. The structure of ZnO, for example, can be described as a number of alternating planes composed of tetrahedrally coordinated O and Zn ions, stacked alternately along the c-axis. In ZnO, zinc is acting as a deep acceptor and oxygen is acting as a deep donor. For this reason, but also it can be used as an oxidizing material that can help in the degradation of dyes.[1]
The textile industry is considered as one of most contaminant, because of the presence of organic toxic compounds well-known as dyes. Their presence in water reduces light penetration, blocking the occurrence of photosynthesis of aqueous flora. They are also aesthetically and cause allergy, skin irritation and also can provoke cancer and mutation in humans. [2]
Among the advanced chemical or physical treatments of dye removal, adsorption is considered more effective and less expensive than other technologies such as ozone or electrochemical oxidation, etc. Many efforts have been made to investigate the use of low cost material. They should be cheap, easily available and disposable without needing regeneration.[3]
In this work, ZnO nanoparticles were synthesized in-situ and supported on different materials such as such as diatomaceous earth (DE), commercial SiO2–Al2O3 material (SA) and activated carbon (AC), using a hydrothermal methodology. The samples were characterized by UV-Vis spectroscopy, X-ray diffraction (XRD), scanning electron microscopy (SEM), Energy Dispersive X-ray Spectroscopy (EDS) and X-ray Photoelectron Spectroscopy (XPS). The ZnO/DE, ZnO/SA and ZnO/AC composites were evaluated as catalyst for the dye (Green Malaquite and red neutral) degradation.[4] The ZnO-composites observed an effective catalytic activity performance and promising material for the remediation of water.
Acknowledgment.
Financial support of this work from the Autonomous University of the State of Mexico, Grant Number 1025/2014RIFC is gratefully acknowledged. We also thank Uvaldo Hernández from CCIQS for technical assistance in DRX.
References
[1] S. Ashok Kumar and Shen-Ming Chen. Analytical Letters.41,(2008) 141–158
[3] Rasoulifard M.H., F. Haddai Esfahlani, H. Mehrizadeh and N. Sehati. Environmental Technology. 3, (2010) 277–284.
[4] A.R. Vilchis-Nestor, M. Avalos-Borja, José A. Hernández, S. Gómez, A. Olivas and T.A. Zepeda. Applied Catalyst B: Environmental. 90,(2009)64–73
Symposium Organizers
Manish Keswani, University of Arizona
Paul Mertens, IMEC
Kash Mittal, Reviews of Adhesion and Adhesives
Jin-Goo Park, Hanyang University
Symposium Support
Micron Foundation
PCT Systems, Inc.
Semiconductor Research Corporation
Tokyo Electron
NT7.3: Nanoparticle Removal I
Session Chairs
Manish Keswani
Jacques van der Donck
Wednesday AM, March 30, 2016
PCC North, 100 Level, Room 128 A
10:00 AM - *NT7.3.01
Advances in Cryogenic Gas Cleaning for Nanoparticle Removal
Jeffery Butterbaugh 1,Chimaobi Mbanaso 1
1 TEL FSI, Inc. Chaska United States,
Show AbstractElimination of nanometer scale particulate contamination during semiconductor integrated circuit manufacturing is important for achieving acceptable device and chip yield. At the same time, multiple sensitivities exist in terms of on-wafer materials and structures. In terms of materials, corrosion sensitive metals are increasingly used not only for interconnect but also in the transistor device. Other new or proposed materials such as SiGe, Ge, and III-V may be attacked by common aqueous chemistries. Hydrophobic films, such as low-k dielectrics, are challenging to clean by wet methods without generating yield-limiting watermark defects. In terms of structures, the task is becoming increasingly difficult because as the critical size of yield-limiting defects decreases, making them more difficult to remove, patterned features also become more susceptible to damage from physical forces during cleaning or from liquid stiction forces during drying.
The challenge of avoiding chemical attack has been addressed for several years by inert gas cryogenic aerosol cleaning [1]. This all dry method generates solid aerosol clusters from cryogenic nitrogen or nitrogen/argon mixtures, which bombard the surface to remove particles by momentum transfer. The process has proven effective for removal of otherwise yield killing surface defects in a variety of applications. However, as the critical size of yield-limiting defects has decreased below 50nm, the cleaning efficiency of current cryogenic aerosol processes has also decreased. Recent improvements in the cryogenic aerosol process have provided enhanced removal of particles with size greater than 32nm. Standard silicon nitride particle challenge wafers indicate about 70% removal of particles in the 32-40nm size range. However, the removal efficiency of particles smaller than 32nm decreases for current processes. In addition, while current cryogenic aerosol processes are being used successfully for cleaning films and non-critical structures at advanced nodes, the risk of pattern damage makes them incompatible with some critical patterns, such as gate structures, below 45nm [2]. Current research with new cryogenic gas technology has shown the ability to remove 40nm particles with almost 100% efficiency while leaving polysilicon gate features as narrow as 20nm damage-free. These conditions show promise for extending the cryogenic technology to future nodes, and expanding applicable steps. Results of the current research activities will be presented and discussed in more detail.
[1] J. Lauerhaas, Y.S. Kok, A. Hamzah, and L.T. Tan, Yield Improvement Using Cryogenic Aerosol for BEOL Defect Removal, ECS Trans. 11(2), 33-39 (2007)
[2] H. Lin, K. Chioujones, J. Lauerhaas, T. Freebern, and C. Yu, Damage-Free Cryogenic Aerosol Clean Processes. IEEE Trans. Semi. Manuf. 20(2), 101-106 (2007).
10:30 AM - *NT7.3.02
Enhanced Nanoparticle Removal Using Surfactants
Michael Free 1
1 University of Utah Salt Lake City United States,
Show AbstractNanoparticles are used in chemical mechanical planarization for semiconductor manufacturing as well as in other precision manufacturing operations. Particles used in processing need to be removed from surfaces in order to enhance yields. Nanoparticles are difficult to remove from surfaces during cleaning due to the high van der Waals attractive forces between particles and surfaces relative to the low fluid drag forces that are used for typical removal methods. Ionic surfactant molecules can adsorb on particles and surfaces to create an electrostatic repulsion between particles and surfaces as well as provide a steric barrier to mitigate adsorption and adhesion. The effectiveness of the surfactant in enhancing particle removal is related to surfactant properties, and it can be correlated with and modeled relative to the critical micelle concentration of the surfactant. The general approach for modeling will be discussed, and the model will be compared with particle removal data.
11:30 AM - NT7.3.03
Investigations of Acoustic Cavitation in Aqueous Surfactant Solutions for Megasonic Cleaning Applications
Mingrui Zhao 1,Anfal Alobeidli 1,Rajesh Balachandran 1,Petrie Yam 2,Claudio Zanelli 2,Sharyl Maraviov 3,Mona Nagel 4,Manish Keswani 1
1 University of Arizona Tucson United States,2 Onda Corporation Sunnyvale United States3 PCT Systems, Inc. Fremont United States4 Carl Zeiss Oberkochen Germany
Show AbstractMegasonic cleaning is a commonly used method in integrated circuit industry for removal of particulate contaminants from various surfaces. Although, effective particle removal can be achieved at higher power densities, it also results in feature damage. Both stable and transient cavitation and streaming contribute to cleaning whereas the damage is mainly caused by transient cavitation. Stable cavitation refers to oscillation of bubbles, whcih generates microstreaming. By contrast, transient cavitation is the growth and eventual collapse of bubbles that results in extremely high temperatures and pressures and forms shock waves and microjets that damage the surface. The relative extent of stable and transient cavitation is controlled by tuning of various sound field and solution variables including transducer operating frequency and power density, dissolved gases, solution temperature, and use of additives such as surfactants. The benefit of using surfactants is that they improve the wettability of the surface and also prevent re-deposition of contaminant particles, which assist in achieving higher cleaning efficiency. Further, surfactants can also adsorb on the bubble-liquid interface and in turn affect cavitation.
In the current work, acoustic emission (hydrophone measurements) and fluorescence spectroscopy techniques were employed to characterize stable and transient cavitation generated in solutions containing a non-ionic surfactant such as Triton® X-100 and irradiated with 1 MHz sound field at two different power densities. The choice of this surfactant for this study was based on its wide use by semiconductor industry for cleaning applications. Further investigations of cavitation behavior were carried out by means of a microelectrode based electrochemical technique with different surfactant concentrations under similar conditions. In order to evaluate the effect of addition of surfactant on cleaning effectiveness, particle removal and damage studies were conducted using Triton® X-100 containing aqueous solutions irradiated with 1 MHz sound field at 8 W/cm2.
11:45 AM - *NT7.3.04
Advances in Aerosol Spray for Particle Removal without Damage
James Snow 1,Masanobu Sato 2,Takayoshi Tanaka 2
1 SCREEN SPE USA Pflugerville United States,2 SCREEN Semiconductor Solutions Co., Ltd. Hikone Japan
Show AbstractThe International Technology Roadmap for Semiconductors (ITRS) provides guidelines to help enable the successful manufacture of semiconductor devices. As evidenced by the large percentage of cleaning steps in device manufacturing, cleaning is a key process step in the fabrication of semiconductor devices. Cleaning removes surface contaminants, e.g. etch residues, metallics, and particles, from the previous step and prepares the wafer surface for the subsequent process. The removal of particles is a key element of the cleaning step. Particle removal technologies can be divided into two categories, i.e. chemically- or physically-assisted. Since the introduction of the “RCA Standard Clean” in 1970, ammonia peroxide mixtures have been used for particle removal; however with the reduced substrate loss targets stipulated by the ITRS, this means of particle removal is no longer viable. The use of physical force, coupled when possible by zeta potential effects, is the only avenue currently available. Among various physical methods for particle removal, an atomized aerosol spray has proven to be one of the more effective strategies. In this technique, liquid droplets are ejected from a nozzle which results in the formation of a shock wave front which propagates into the bulk liquid. The introduction of aerosol sprays for particle removal in the semiconductor industry, the influence of droplet characteristics on pattern collapse and damage, and development of an advanced spray technology, in which droplet size and velocity are accurately and tightly controlled, will be discussed.
12:15 PM - NT7.3.05
Relationship between Surface Damage and Particle Removal on Si Wafer during SC1 Cleaning Process for Si Wafer Fabrication
Hyun-Tae Kim 1,Sung-Hae Jang 1,In-Chan Choi 1,Minsu Kim 1,Jin-Goo Park 1
1 Hanyang University Ansan Korea (the Republic of),
Show AbstractBelow 20 nm half pitch device node, contamination control on initial silicon wafer substrate becomes very stringent requiring no defects in particles, metals and other surface damages such as pits. Particles smaller than 20 nm should be removed from wafer substrate for advanced IC manufacturing. In particles removal process, SC1 is still dominant chemistry in wafering industry. Even though the mechanism of particle removal in SC1 has been well documented and understood in wafer cleaning, the wafer surface damage or materials loss has not been yet studied in sub 20 nm particle removal cleaning process. In this study, we revisited the role of NH4OH and H2O2 on their etching and passivation on silicon surface with their particle removal efficiency (PRE). Higher NH4OH concentration is preferred to increase PRE but it causes surface damages such as pits on silicon substrate. The etching and passivation of silicon in very diluted SC1 should be understood again to optimize process with high PRE and no damage on silicon substrate. The effect of SC1 cleaning on Si wafer surface was studied to understand etch rate of Si surface, particle removal efficiency and pit generation. The SC1 cleaning was performed with various conditions as functions of temperature and concentration to remove 100 nm sized silica particles. As a result, at high pH of SC1 cleaning solution, higher etch rate and PRE were observed but pits were generated. On the other hand, when H2O2 concentration is increased, metal pits were significantly reduced but PRE is also decreased as compared with high pH SC1 solution. Based on these results, finally, we can achieve the high PRE and low pits generation at an optimized SC1 cleaning condition.
12:30 PM - *NT7.3.06
Fundamentals of Particle Removal by High Speed Air Jet and Enhancement Methods of the Removal Performance
Kuniaki Gotoh 1
1 Okayama University Okayama Japan,
Show AbstractParticle removal using a high-speed air jet is one of dry removal methods for solid particulate contaminants present on a solid surface. The procedure is simple, i.e., an air jet generated by a nozzle is applied to the surface on which particulate contaminants are present, and the air blows off the particulate contaminants. Because of the simplicity of procedure, this method is applied to many industrial processes for cleaning. An example for cleaning is a flat surface such as a film, a glass plate and a flat panel for liquid crystal display.
In this presentation, outline of the removal by a high-speed impinging air jet is introduced with particular attention to the effect of operating conditions on the removal efficiency. The operating conditions described in detail here are the air pressure in the jet nozzle and the distance between the nozzle tip and the surface. It was shown that the effects of the air pressure and the distance could be evaluated from the dynamic pressure of air jet. This means that the removal performance of a nozzle can be expressed by an index reflecting a time averaged flow characteristics.
The method is also applied for cleaning an object having concavo-convex shape such as an electronic device for a relay module, camera module, etc. In such cases, the distance between the air jet nozzle and the surface becomes on the order of cm. An increase in the distance causes a decrease of the air velocity at the surface resulting in deterioration of the particle removal performance of the air jet. Therefore, a method to enhance removal efficiency is required.
Several researchers employed a pulsed air jet for the enhancement of the removal performance. However, optimum condition for the enhancement has not been established yet. Therefore, we investigated factors affecting the enhancement by pulsed air jet. Experimental results showed that the improvement of removal by pulsed air appears only when the nozzle pressure is higher than the critical pressure at which the air jet velocity through the nozzle reaches the sonic velocity. When the nozzle pressure is set at above the critical pressure, the improvement has the maximum at a certain pulse frequency.
We also examined the enhancement of removal efficiency by setting obstacles. As the obstacles, wire and two rods were employed. Although the wire set at the center of the jet flow deteriorates the removal efficiency, experimental results imply that the wire has a positive effect on the efficiency when it is set at a position where it does not interfere with the main flow of the jet. On the other hand, when two cylindrical rods are set at a position where the surface of the rod just touches a side-end of the jet, it shows clearly an enhancement in removal efficiency. This fact and the results of pulsed air jet imply that the removal efficiency can be enhanced by controlling flow fluctuation.
NT7.4: Nanoparticle Removal II
Session Chairs
Wednesday PM, March 30, 2016
PCC North, 100 Level, Room 128 A
2:30 PM - *NT7.4.01
Particle Removal Evolution Since the 1970s and up to Now
Steven Verhaverbeke 1
1 Applied Materials Inc San Francisco United States,
Show AbstractParticle Removal Processes on wafers started out with the RCA clean published in 1970 and today most of the particle removal processes in semiconductor processing is still based on this chemistry. The equipment has evolved substantially and saw the introduction of ultrasonics, then megasonics and more recently on accelerated 2 fluid spray.
Only recently have there been seen some newer chemistries that are more powerful at removing particles than the original RCA clean.
Together with the cleaning part of the process, the drying part of the process saw a very substantial change over time. The original spin dry was changed to an IPA vapor dry and then finally to a marangoni dry. Finally the processing changed from batch processing to single wafer primarily driven by particle requirements. The single wafer drying went through a substantial change as well, starting with spin drying, then vapor assisted spin drying and fully solvent drying and finally supercritical CO2 drying.
3:00 PM - *NT7.4.02
Laser-Induced Spray Cleaning for Nanoscale Contaminant Removal from Solid Surfaces
Dongsik Kim 1,Changho Seo 1
1 POSTECH Pohang Korea (the Republic of),
Show AbstractWe report development of a laser-induced spray cleaning process for nanoscale contaminant removal from solid surfaces. In the process, an intense laser pulse is focused inside a liquid droplet, causing optical breakdown of the liquid and formation of a laser-induced plasma with high temperature and pressure. Expansion of the plasma breaks up the liquid droplet and generates a high-speed pulsed spray jet composed of atomized liquid droplets. The maximum speed of the jet was high enough to remove nanoscale particles from solid surfaces. Removal of 5 nm gold particles from silicon wafer was demonstrated using a water spray jet whose speed was up to ~700 m/s. A Q-switched Nd:YAG laser was employed to generate the get and the surface was examined by atomic force microscopy (AFM) and transmission electron microscopy (TEM). In addition to water, isopropyl alcohol (IPA) and IPA-water mixtures were also tested as a cleaning agent. The process using IPA s eliminated the problem of watermark generation and surface oxidation. At the optimum condition, no surface damage was generated by the cleaning process. The process parameters, including the droplet size, the direct of jet impingement and the focal point of the laser beam, were optimized to increase the cleaned area for a fixed laser pulse energy. Extension of the cleaned area was needed to improve the cleaning power. To achieve this goal, we tried to increase the amount of the liquid droplet and used a guiding nozzle. The nozzle controlled the direction of the jet to be produced and it could minimize the wasted jet that is not used for particle removal. The laser-induced spray cleaning process could remove a variety of metallic/oxide/organic thin films from solid surfaces as well as various nanoparticles.
4:00 PM - NT7.4.03
Characterization of Stable and Transient Cavitation in Dual- and Multiple-Frequency Systems Using a Hydrophone
Mingrui Zhao 1,Petrie Yam 2,Claudio Zanelli 2,Manish Keswani 1
1 University of Arizona Tucson United States,2 Onda Corporation Sunnyvale United States
Show AbstractUltrasonic and megasonic cleaning are routinely used in removal of particulate contaminants from various surfaces in liquid media. Ultrasonic cleaning (20 – 200 kHz) is relevant to cleaning of optical parts, lenses, and surgical instruments, while megasonic cleaning (0.5-2 MHz) is generally utilized in integrated circuit fabrication. Cleaning in the megasonic regime significantly reduces the cavitation erosion and offers much thinner boundary layer at liquid – solid interface and higher streaming velocities, which makes it possible to remove sub-micron and nano-dimensional particles. However, single frequency systems limit the extent, intensity and tunability of stable and transient cavitation and their relative distribution, which affects the cleaning efficiency. Combination of two or more different frequencies from ultrasonic to megasonic range has been of much interest and is expected to overcome the limitations of traditional single frequency based cleaning processes. In this work, acoustic emission (hydrophone measurements) technique has been employed to characterize and quantify stable and transient cavitation in aqueous solutions subjected to dual- or multiple-frequency sound field with the objective of achieving superior control and tunability of cavitation for more effective cleaning without any surface damage.
4:15 PM - NT7.4.04
Effect of Corrosion Inhibitor on Particle Contamination and Removal for Cu CMP Application
Byoung-Jun Cho 1,Jin-Yong Kim 1,Jin-Goo Park 1
1 Hanyang University Ansan Korea (the Republic of),
Show AbstractDue to the introduction of chemical mechanical planarization (CMP) process to semiconductor industry, copper has been widely used as an interconnect material instead of aluminum, because copper has better electrical properties than aluminum such as low resistivity and high electro-migration resistivity. However, copper CMP process leads to various defects, for example, slurry particle, organic residue, scratch and corrosion. Mainly copper surfaces can become contaminated by slurry particles and organic residues during CMP. Silica particles are widely used as abrasives, while benzotriazole (BTA) is widely used as corrosion inhibitor in copper CMP slurries. These materials contaminate the copper surface during CMP and need to be removed at post-Cu CMP cleaning process. It is very important to understand the mechanism of the particles contamination with corrosion inhibitors. In this study, therefore, particle removal efficiency was analyzed on copper surfaces contaminated by various slurries.
To investigate the effect of corrosion inhibitor on particle contamination and removal, particles and corrosion inhibitors were contaminated on copper surfaces by CMP process using various slurries, (1) only abrasives, (2) abrasives + BTA, and (3) abrasives + M-BTA. Contaminated copper surfaces were cleaned by TMAH based cleaning solution. Particle removal was calculated by observing and counting particles on copper surface before and after cleaning process using FE-SEM and image processing software. BTA was characterized using contact angle measurements and electrochemical impedance spectroscopy (EIS) techniques with electrical equivalent circuit modeling. The corrosion protection ability of the cleaning solutions was quantified by potentiodynamic polarization studies. The relationship between particle contamination and corrosion inhibitor was found and the particle removal mechanism on copper surfaces w/ and w/o corrosion inhibitor was proposed.
4:30 PM - *NT7.4.05
Nanoscale Contaminant Removal Using CO2 Gas Cluster for Semiconductor Device
Taesung Kim 1,Yujin Cho 1,Hongyi Qin 1,Jongwoo Lee 2
1 Sungkyunkwan Univ Suwon Korea (the Republic of),2 Zeus Osan Korea (the Republic of)
Show AbstractShrinkage of electronic devices necessitates the cleaning of nanometer-sized contaminant particles from critical surfaces during semiconductor fabrication. In this work, a gas cluster cleaning is proposed for the removal of nanoscale particle in dry condition. A gas cluster is a small multi-atom particle with size smaller than ~50nm so that it can be used to remove nanoscale contaminant without damaging the substrate. Carbon dioxide gas is used to generate the gas clusters because it is chemically stable and nonpolar and is relatively easy to induce gas to particle conversion. For optimization of this gas cluster cleaning system, a numerical simulation and measurement of gas cluster size distribution by particle beam mass spectrometer (PBMS) were performed. In addition, removal of two types of particles CeO2 and SiO2 with various sizes (30, 50, 100, 300 nm) on Si wafer were investigated. Field emission scanning electron microscopy (FE-SEM) measurements showed that most particles were removed successfully with over 90% of PRE under various experimental conditions. Furthermore, the pattern damage evaluation is carried out for poly-Si patterns with width in the range of 60 to 100 nm. From FE-SEM measurement, there were no pattern damages for various conditions that are suitable for nanoscale particle removal.