Symposium Organizers
Xudong (Sherman) Fan, University of Michigan
Logan Liu, University of Illinois Urbana-Champaign
Emily Park, BD Biosciences
Holger Schmidt, University of California, Santa Cruz
Symposium Support
BD Biosciences
Hamamatsu Corporation
Thermo Fisher Scientific
D3: Optical Label-Free Detection of Biomolecules II
Session Chairs
Holger Schmidt
Emily Park
Monday PM, December 01, 2014
Sheraton, 2nd Floor, Back Bay C
2:30 AM - *D3.01
Label-Free Detection of Biomolecules Using Nanostructures Embedded in a Microchannel
Manabu Tokeshi 1 2 3
1Hokkaido University Sapporo Japan2Nagoya University Nagoya Japan3Nagoya University Nagoya Japan
Show AbstractRecently, many researchers have paid attention to lab-on-a-chip devices due to the advantage such as sample consumption, rapid analysis, parallel operation, integration, and so forth. We have developed nano-pillar and nano-wall devices to apply DNA separation analysis [1, 2]. They have tremendous advantages for achieving fast separation analysis of biomolecules with extremely small sample consumption compared with conventional gel-based separation technologies such as agarose or polyacrylamide gel electrophoresis. While these nanostructures have made a great contribution to separation analysis, many researchers have been forced to add an extra preparation step to label the samples with fluorescent molecules. Fluorescence labeling is widely used as a highly sensitive detection method. However, fluorescence labeling has some problems including the following: troublesome manipulations are required; degradation of resolution occurs; and detection of samples with low concentrations has seldom been achieved. From the standpoint of carrying out seamless analyses from sample preparation to detection in a single chip and detecting molecules in low concentrations without degradation of resolution, label-free detection is desired. Very recently, we developed a label-free detection method of biomolecules using nanostructures embedded in a microchannel [3]. This method is based on the diffraction of light by nanostructures embedded in a micro device. The refractive-index difference between the samples in the nanostructures and a device substrate brings about change of intensity of the diffracted laser beam. Using this method, we demonstrated a highly sensitive detection of lambda;DNA (48 kbp) molecules (detection limit, 0.18 molecules). Moreover, we also succeeded in monitoring real-time label-free DNA amplification of specific DNA sequences from human papillomavirus and tubercle bacilli [4].
[1] N. Kaji, Y. Okamoto, M. Tokeshi and Y. Baba, “Nanopillar, Nanoball, and Nanofibers for Highly Efficient Analysis of Biomolecules,” Chem. Soc. Rev., 39, 948-956 (2010).
[2] T. Yasui, N. Kaji, R. Ogawa, S. Hashioka, Y. Okamoto, M. Tokeshi, T, Horiike, Y. Baba, “DNA Separation in Nanowall Array Chips”, Anal. Chem., 83, 6635-6640 (2011).
[3] T. Yasui, N. Kaji, Y. Okamoto, M. Tokeshi, Y. Horiike, Y. Baba, “Label-Free Detection of DNA Using Diffracted Laser in Nanowall Array Structures”, Proc. mu;-TAS 2011, 54-56 (2011).
[4] T. Yasui, K. Ogawa, N. Kaji, M. Nilsson, M. Tokeshi, Y. Horiike, Y. Baba, “Label-Free Detection and Quantification of Real-Time DNA Amplification Using One-Dimensional Photonic Crystal”, Proc. mu;-TAS 2013, 1123-1125 (2013).
3:00 AM - D3.02
Tunable and Biocompatible Plasmonic Sensor from Silk Hydrogel and Gold Nanostructure
Myungjae Lee 3 Heonsu Jeon 3 Sunghwan Kim 2 1
1Ajou University Suwon Korea (the Republic of)2Ajou University Suwon Korea (the Republic of)3Seoul National University Seoul Korea (the Republic of)
Show AbstractPlasmonic materials which consist of metallic nanostructures supporting surface plasmon polaritons (SPPs) have been attractive for biochemical sensing since SPPs can be very sensitive to local-changes in the dielectric properties (refractive indices) of the materials they propagate through. Typical plasmonic sensors have been fabricated on rigid substrates, a lack of biocompatibility, and based on detection of refractive indices of environment. Therefore biocompatibility of plasmonic devices and tunable features by external stimuli would offer versatile applications including real-time, high sensitive, and in-vivo monitoring of analytes in biomedical and biological researches.
Here we propose and report a fully biocompatible and extremely tunable plasmonic biosensor consisting of natural silk protein and gold nanostructure. The silk plasmonic absorber sensor (SPAS) is based on the metal-insulator-metal resonator structure, in which silk protein is used as the insulating spacer and substrate. The silk spacer has properties of hydrogel and the water-alcohol mixtures induce the controllable swelling behavior of the silk insulating spacer. We experimentally and numerically show that drastic spectral shift in the reflectance arises from changes of volume and refractive index of the silk spacer when the swelling happens. Additionally a network of polymer chain may be a fluidic channel for analytes in aqueous solution to penetrate through the nm-sized silk spacer between two gold layers. The SPAS was applied as a glucose sensor that revealed higher sensitivity than other plasmonic glucose sensor although aqueous glucose solution only affects RIs of the silk spacer. Our fully biocompatible and highly tunable plasmonic sensor can be open a way for real-time, high resolution, and in-vivo monitoring of analytes to guard human health.
3:15 AM - D3.03
Integration of Lipid Multilayer Gratings into Multimaterial Biosensor Arrays
Steven Lenhert 1
1Florida State University Tallahassee USA
Show AbstractLipid multilayer gratings are a new type of label free transducer based on fluid diffraction gratings on a surface.(1) Microstructured oil droplets regularly spaced at the wavelength of visible light function as diffraction gratings. When the liquid droplets interact with an analyte, droplet shape changes due to the interfacial forces involved, resulting in a detectable change in the intensity of light diffracted from the gratings. The mechanism of biosensing relies on a balance between stability and instability of the lipid micro/nanostructures, and an understanding of the interfacial and adhesion properties of micro and nanoscopic oil droplets is necessary for biosensing applications. The use biofunctional phospholipids as the oil enables specific detection of analytes such as proteins in solution. The crucial property of the grating that allows detection is the lipid multilayer thickness, which must be controlled between ~10-100 nm. Methods for fabricating the gratings include dip-pen nanolithography(2) and nanointaglio.(3) Importantly, these fabrication processes allow the integration of multiple materials on the same surface, enabling the fabrication of label free biosensor arrays. Progress in the development of functionalized multimaterial sensor arrays will be presented.
References:
1. S. Lenhert, F. Brinkmann, T. Laue, S. Walheim, C. Vannahme, S. Klinkhammer, M. Xu, S. Sekula, T. Mappes, T. Schimmel, H. Fuchs, Lipid multilayer gratings. Nature Nanotechnology5, 275-279 (2010).
2. S. Lenhert, P. Sun, Y. H. Wang, H. Fuchs, C. A. Mirkin, Massively parallel dip-pen nanolithography of heterogeneous supported phospholipid multilayer patterns. Small3, 71-75 (2007).
3. T. W. Lowry, A. Kusi-Appiah, J. Guan, D. H. V. Winkle, M. W. Davidson, S. Lenhert, Materials Integration by Nanointaglio. Advanced Materials Interfaces, (In Press).
3:30 AM - D3.04
A Plasmonic Chip for Biomarker Discovery and Point-of-Care Diagnosis of Type-1 Diabetes
Bo Zhang 1 Hongjie Dai 1 Rajiv Kumar 2 Brian Feldman 2
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractType-1 diabetes (T1D) is an autoimmune disease while Type-2 diabetes (T2D) results from insulin resistance and beta cell dysfunction. Previously, the onset of these two separate diseases was easily distinguished, with children being most at risk for T1D and T2D occurring in overweight adults. However, the dramatic rise in obesity, coupled with the notable increase in T1D, has resulted in a paradigm change, creating a large overlap in these previously discrete patient populations. Delayed diagnosis of T1D can result in severe illness or death and rapid diagnosis of T1D is critical for the efficacy of emerging therapies. However, there is a lack of cost-effective, easy and reliable platform for detecting autoantibodies in the general population. A reliable platform that could be used at ‘the point-of-care&’ would change the paradigm of diabetes diagnosis, care, and ultimately prevention. Here we describe the development of a plasmonic gold chip for near-infrared fluorescence enhanced (NIR-FE) detection of islet cell autoantibodies. We demonstrate that this platform has high sensitivity and specificity for the diagnosis of T1D and can be used to discover novel biomarkers of T1D. This platform overcomes the challenges inherent to radio immunoassay and is able to detect different types of autoantibodies with 1 mu;L of human blood.
3:45 AM - D3.05
Development of a Photonic Crystal Biosensor for Assaying Kinase Activity
Kelsey MacConaghy 1 Joel Kaar 1 Mark Stoykovich 1
1University of Colorado - Boulder Boulder USA
Show AbstractProtein kinases are a critical family of enzymes that modulate virtually all cellular processes and have been implicated in a myriad of diseases, making kinases among the most important targets for therapeutic molecules. However, kinases are inherently difficult to assay due to the lack of measurable signal and there is a lack of robust, high-throughput screening methods available for kinase inhibitors and activators.
To address this problem, we have developed a novel biosensor that is based on a kinase-responsive polymer hydrogel, which enables label-free screening of kinase activity via changes in optical properties. The hydrogel is specifically designed to swell reversibly upon phosphorylation of a target peptide, triggering a change in optical diffraction from a crystalline colloidal array of particles impregnated into the hydrogel. Changes in optical diffraction upon treatment of the hydrogel with kinase were found to occur on the timescale of minutes to hours and exhibited a dose-dependent response. The optical response of the sensor is dependent not only on the kinase activity, but also (i) the materials properties of the hydrogel, (ii) the immobilized charge distribution in the hydrogel, and (iii) the ionic character of the surrounding environment. A model of swelling in ionic polymer networks elucidates these dependencies, allowing for quantification of the extent of phosphorylation and enzyme kinetics. Enhanced understanding of the parameters that most affect the extent of swelling also allows for the development of more sensitive, robust photonic crystal biosensors for assaying the activity of kinases and other enzymes that catalyze post-translational modifications.
Such biosensors are demonstrated as a sensing platform to identify kinase inhibitors or activators of kinase pathways, as well as f0r assaying kinase selectivity. The lack of exogenous fluorescent reagents or labels enhances the potential utility of the hydrogels for high-throughput screening. We have also demonstrated that the sensor can be used to detect phosphatase activity, involving the removal of the phosphate group from the target peptide. More broadly, such hydrogels may be used to assay the activity of other enzymes that catalyze post-translational modifications that alter substrate charge (e.g., sulfonation or acetylation), thus providing a platform to screen a broad spectrum of protein or biomolecules modifications.
D4: Optofluidic Bio-Laser Based Detection
Session Chairs
Holger Schmidt
Emily Park
Monday PM, December 01, 2014
Sheraton, 2nd Floor, Back Bay C
4:30 AM - *D4.01
Nano Imprinted DFB Lasers: High Performance Sensing and Biolasers
Christoph Vannahme 1 Carsten Bjerre Gade 1 Cameron Smith 1 Petur Gordon Hermannsson 1 Martin Dufva 1 Anders Kristensen 1
1Technical University of Denmark Kongens Lyngby Denmark
Show AbstractOrganic dye-based distributed feedback (DFB) lasers are widely tunable laser light sources in the visible wavelength range and exhibit low-cost, simple fabrication, low threshold and single-mode emission. Precise emission wavelength modeling is essential for understanding and optimization of DFB lasers. Here, a simple yet precise model for calculating the emission wavelength of multilayer DFB lasers is presented. It is found that experimental and calculated wavelength values are in compelling agreement for hybrid nanoimprinted Ormocomp-TiO2 (doped with Pyrromethene 597) first order DFB lasers [1]. Applying the model, the occurrence of different laser light polarization is explained.
Hybrid Ormocomp-TiO2 second order DFB lasers as highly sensitive refractive index sensors featuring narrow linewidth and thus high quality spectral resolution are explored. Design guidelines for high performance sensing are given and the influences of layer thicknesses and grating period on wavelength and wavelength shifts are discussed in this context [2]. This is used for optimizing the laser sensors towards highest sensitivity and thus lowest detection limits. The additional TiO2 layer can increase the sensitivity by a factor of 5 making the laser sensors competitive with state-of-the-art photonic crystal sensors.
The highly sensitive distributed feedback (DFB) dye laser sensors are applied for label-free high frame rate imaging in one dimension and two dimensions of space without any moving parts and enabling a frame rate of up to 12 Hz . The presence of molecules on the laser surface results in a wavelength shift which is used as sensor signal. Imaging is demonstrated by monitoring of flow and diffusion of small sucrose molecules. The unique DFB laser structure comprises several areas of different grating periods which result in distinct laser emission wavelengths [3]. Imaging in two dimensions of space is enabled by focusing an image of the laser surface with a cylindrical lens onto the entrance slit of an imaging spectrometer.
In addition, single-mode biological second order distributed feedback dye lasers are presented [4]. The active core of these lasers consists of vitamin B2 doped gelatin which is spin-coated onto a nanoimprinted grating structure in low-index polymer. These single-mode biological lasers represent a next step towards all-biological lasers where the resonator is formed from structured biological material. Such devices could be biocompatible and eventually biodegradable laser light sources and laser sensors.
[1] C. Vannahme et al., Appl. Phys. Lett. 101, 151123 (2012).
[2] C. Vannahme et al., Laser Photonics Rev. 6, 1036-1042 (2013).
[3] C. Vannahme et al., European patent application no. 14167484.6 (2014).
[4] C. Vannahme et al., Lab Chip 13, 2675-2678 (2013).
5:00 AM - D4.02
Optofluidic Laser Approach for Surface-Based Biochemical Analysis
Qiushu Chen 1 Xudong Fan 1
1University of Michigan Ann Arbor USA
Show AbstractOptofluidic laser technology has been proven to be promising in biochemical analysis. To date, nearly all the laser based analyses are conducted when the analytes and the gain media are in bulk solution. In analogy of the surface detection schemes commonly used in the traditional fluorescence based sensors, we demonstrated an optofluidic laser when the analytes and gain media are immobilized on the laser cavity surface. Lasing emission from a single layer of dye-labeled BSA captured on the surface of a silica ring resonator was achieved. A layer of fluorescence protein (eGFP) was also proved to be sufficient for laser emission. Both results show that the optofluidic laser is compatible with the well-established bio-labeling technologies in surface-based detection scheme and thus has potential in studying more sophisticated biomolecular interactions. Surface-based optofluidic lasing analysis inherits the signal amplification feature of the bulk solution based optofluidic laser. Furthermore, high local density of the gain medium realized by surface capture enhances lasing performance and lowers the analyte and gain medium concentration needed for lasing operation. The new technology described here will complement the bulk solution based optofluidic laser to provide more detailed biochemical analysis.
5:15 AM - D4.03
Optofluidic ELISA Laser for Biomolecular Detection
Maung Kyaw Khaing Oo 1 Xiang Wu 2 Karthik Reddy 1 Qiushu Chen 1 Yuze Sun 3 Xudong Fan 1
1University of Michigan Ann Arbor USA2Fudan University Shanghai China3University of Texas at Arlington Arlington USA
Show AbstractEnzyme-linked immunosorbent assay (ELISA) is a powerful method for biomolecular analysis. It has been widely used for medical diagnostics and quantification of biomarkers in complex mixtures. However, current traditional ELISA technology employing light intensity (i.e., absorption, fluorescence, and chemiluminescence) as a sensing signal often encounters a few notable drawbacks such as inadequate detection limit, limited dynamic detection range, and large sample and reagent consumption. Those drawbacks have prevented ELISA from being employed in many applications such as early diagnosis and monitoring of diseases, where the analyte concentration is extremely low and may vary orders of magnitude, depending on the physiological condition of a patient. Here we develop a new ELISA detection paradigm- optofluidic laser based ELISA, where ELISA takes place in a microfluidic channel, which also forms an optical microcavity that provides the optical feedback for lasing. The fluorescent product resulting from the enzyme-substrate reaction is used as the laser gain medium, whose concentration increases over time. The laser emission starts to emerge when the product reaches a threshold concentration. Instead of fluorescence intensity, the laser onset time is used as the sensing signal, which is inversely proportional to the number of the enzymes (hence the analytes) in the detection volume. First, we will present the principle of the optofluidic laser based ELISA, and characterization of the optofluidic laser performance. Then, we will present side by side comparison of traditional fluorescence based and optofluidic laser based ELISA using the same commercial ELISA kits of human interleukin-6 (IL-6). Our results of dual-mode detection of IL-6, where the sensing signals are simultaneously obtained by the traditional and the optofluidic laser based ELISA, exhibited a detection limit of 1 fg/mL (38 aM) and a dynamic range of 6 orders of magnitude. Those correspond to 100-1000 times better sensitivity and dynamic range than currently available traditional ELISA assays in the market. We will also demonstrate recent finding of IL-6 biomarker detection in serum using the optofluidic laser.
5:30 AM - *D4.04
Biocompatible Waveguides and Cell Lasers
Seok-Hyun Yun 1 2
1Harvard Medical School Cambridge USA2Massachusetts General Hospital Boston USA
Show AbstractThe propagation loss of light in biological tissue poses a significant challenge for many applications of light in vivo. Fiber-optic catheters and endoscopes have been widely used to deliver light into the body, but the commonly used optical materials, such as glass and plastic, are not biocompatible and must be removed after use. To overcome this limitation, we have previously developed light-guiding hydrogels that help distribute and collect light in a form of implants. Recently we used hydrogels to fabricate narrow-diameter optical fibers with controllable waveguide properties. Propagation at a clinical scale with 1/e attenuation length as long as 10 cm was achieved. The permeable nature of hydrogels allowed in situ functionization, such as doping of fluorescent probes and other chemicals. Dye-doped hydrogel fibers allowed light amplification, generation of amplified spontaneous emission, and lasing. We envision that hydrogel optical fibers may be useful for optical health monitoring and light-based therapy in vivo. In addition, we present some latest results on cell lasers as a potential platform for bio-sensing and toward novel internal light sources in vivo.
D5: Poster Session I
Session Chairs
Monday PM, December 01, 2014
Hynes, Level 1, Hall B
9:00 AM - D5.01
UV Metal Oxide Photodetector with Low Dark Current at High Electric Field
Umar Shafique 1 2
1amp;#201;cole Polytechnique de Montramp;#233;al, Montramp;#233;al Montreal Canada2University of Waterloo Waterloo Canada
Show AbstractUV Metal Oxide Photodetector with Low Dark Current at High Electric Field
Umar Shafique1*, Clara Santato1
1Department of Engineering Physics, École Polytechnique de Montréal, Montréal, Québec, Canada
*Email: [email protected]
Metal Oxide materials such as TiO2, WO3 shown promising applications in both in electronics industry and in biomedical applications. The use for TiO2 in photovoltaic cell extends the absorption bandwidth toward Ultra Violet (UV) spectrum of light and increase the photo-collection window with increase in generation efficiency. In the case of photodetectors Metal-Semiconductor-Metal (MSM) photodetectors using TiO2 have previously been reported, however these photodetector cannot be used in imaging systems particularly due to high dark current associated with them at low bias voltages.
In this work we have shown a simple technique to reduce the detector dark current in a planer Metal Semiconductor Metal (MSM) photodetector design. An insulating interface layer is inserted in between metal and TiO2 layer which blocks the charge injection from the metal and overall reduce the photodetector dark current. The use of interface layer allows a greater bias to be applied, which facilitate the separation of photogenerated excitons in to electrons and holes particularly at the TiO2- interface layer interface.
We further investigated the electric field distribution inside the device and found strongest at above the electrodes in the presence of the interface layer. The use of interface layer forms a high field region just above the electrodes where most of the photogeneration happens. The fabricated device is a bottom contact multilayer structure with lateral electrodes. All layers were deposited using solution processing (spin-coating) except the metal electrode. The MSM UV-photodetector with interface layer is further investigated for dark current, photocurrent at different bias voltages and at different intensities of light using light with 400nm wavelength.
This photo-detector has an application in biomedical particularly in indirect medical imaging. Where X-ray photons are converted in to visible photon (Green light our case) using a scintillator, which then can be detected by this detector. Other application of this detector includes DNA study and UV Spectroscopy study of bacteria cultures.
Keywords: Metal Oxide, Lateral multilayer organic semiconductor,
Photo-detector, Interface layer, indirect X-ray detector
9:00 AM - D5.03
Acetone Sensor Based on SnO2 Quantum Dots Decorated on Graphene
Rajneesh Kumar Mishra 1 Raghvendra Pratap Choudhary 1 Shobha Shukla 1 Sumit Saxena 1
1Indian Institute of Technology Bombay Mumbai India
Show AbstractAcetone is mostly used to dissolve plastic, purifying paraffin and dehydrate tissues. It is harmful when released into the environment due to its carcinogenic nature. Inhalation of acetone more than 10 ppm is extremely harmful to the nervous system. Hence it is necessary to monitor acetone concentration in the environment. Graphene with close-packed conjugated hexagonal lattices have attracted tremendous scientific interest due to its exotic properties such as robust structure, high specific surface area, inherent flexibility and excellent electronic conductivity etc which allows it to become a good matrix for sensor applications. SnO2 reacts with atmospheric oxygen and provide active oxygen sites useful for sensing. However, the response times are strongly influenced by the molecular weight and chemical reactivity of the test gas, in general. SnO2 quantum dot decorated graphene nanocomposite forms ternary hybrid materials and is expected to be an efficient and promising candidate for chemical and biosensors.
Here we report the response characteristics of the graphene decorated with SnO2 quantum dots to acetone in gaseous form. The structural and morphological studies have been characterized by XRD, Raman spectroscopy, and HRTEM respectively. Defect level study has been investigated by PL and Raman spectroscopy. The acetone response characteristics of the SnO2 will be presented for various concentrations (10-50 ppm) at different operating temperature (150#730;C-250#730;C). The sensing response increases with increasing concentration of acetone and operating temperature of the sensor. Details of sensing mechanism and application in biosensing will be presented.
9:00 AM - D5.04
Towards a Tissue Implantable Insulin Sensor
Gili Bisker 1 Nicole M Iverson 2 Jiyoung Ahn 1 Michael S Strano 1
1MIT Cambridge USA2MIT Cambridge USA
Show AbstractWhile implantable sensors such as the continuous glucose monitoring system have been widely studied, both experimentally and mathematically, relatively little attention has been applied to the potential of insulin sensors. Such sensors could provide feedback control for insulin infusion systems and pumps, and provide platforms for the monitoring of other biomarkers in vivo. In this work, we develop the first pharmacokinetic model of an affinity sensor for insulin operating subcutaneously in the limit of where mass transfer across biological membranes reaches a steady state. Using a physiological, compartmental model for glucose, insulin, and glucagon metabolism, the maximum sensor response and its delay time relative to plasma insulin concentration, are calculated based on sensor geometry, placement, and insulin binding parameters for a sensor localized within adipose tissue. A design relation is derived linking sensor dynamics to insulin time lag and placement within human tissue. The model should find utility in understanding dynamic insulin responses and forms the basis of model predictive control algorithms that incorporate sensor dynamics.
9:00 AM - D5.05
Mid-Infrared Microphotonic Sensors and Spectrometers Using Low-Stress Silicon Nitride Thin Film Platform
Pao Tai Lin 1 2 Vivek Singh 1 Hao-Yu Lin 3 Tom Tiwald 4 Lionel Kimerling 1 Anuradha Agarwal 1 Dawn T. H. Tan 2
1Massachusetts Institute of Technology Cambridge USA2Singapore University of Technology and Design (SUTD) Singapore Singapore3Harvard University Cambridge USA4J. A. Woollam Co., Inc. Lincoln USA
Show AbstractWe experimentally demonstrate a sophisticated mid-IR microphotonics platform adopting engineered Si-rich and low-stress silicon nitride (SiNx) thin films where an extensive infrared transparency up to lambda; = 8.5 µm is achieved. Furthermore, because of the designed low-stress property, the SiNx deposition is able to reach a thickness > 2 µm that significantly reduces mid-IR waveguide loss to less than 0.2 dB/cm. We show directional couplers and spectrometers functioning over a broad infrared spectrum, thus enabling monolithic mid-IR multiplexing schemes for integrated linear and nonlinear photonics leading to chip-scale and label-free biochemical sensing technologies.
9:00 AM - D5.06
Silica Particles with Encapsulated DNA as Ecological Sensors - Tracing Nanoparticles in the Sub-pbb Range
Carlos Andrea Mora 1 Daniela Paunescu 1 Robert Nikolaus Grass 1 Wendelin Jan Stark 1
1ETH Zurich Zurich Switzerland
Show AbstractThe detection, quantification and monitoring of nanoparticles in the environment has become more important with the increasing number of nanotechnology applications in biomedical and everyday products in the last two decades1. The environmental monitoring of nano-materials is not only fundamental to evaluate potential risks, but can also help to elucidate distribution patterns, e.g. when nanoparticles are taken up by living organisms. In this case nanoparticles can be used for the understanding of changes in ecosystems, given that the particles are non-toxic, traceable and easy to detect. Recently, we have developed a hybrid nanocomposite material consisting of DNA oligomers incorporated into a spherical amorphous silica matrix2. By changing the DNA sequence, an endless number of different Silica Particles with Encapsulated DNA (SPED) can be generated which are toxicologically unproblematic, chemically adaptable to different ecosystems and reliably quantifiable in the sub-ppb range via quantitative real-time PCR. In our study3 we showed that SPED enable accurate food and organism labeling and quantification of specific animal-to-animal transfer over more than one trophic level. We found that SPED were readily transferable and quantifiable from the bottom to the top of a two-level food chain of arthropods. SPED were taken up in the gut system and remained persistent in an animal over several days. When uniquely labeled SPED were applied at predefined ratios we found that information about their relative abundance was reliably conserved after trophic level transfer and over time. SPED were also applied to investigate the flower preference of fly pollinators, where they proved to be a fast and accurate analysis method. SPED combine attributes such as unique labeling, quantification via real-time PCR and exact backtracking to the tracer source. This improves and simplifies the analysis and monitoring of nanoparticle distribution patterns and ecological networks which is crucial to sense anthropogenic influences on ecosystems.
1 Stark, W. J. Nanoparticles in Biological Systems. Angewandte Chemie International Edition 50, 1242-1258 (2011).
2 Paunescu, D., Puddu, M., Soellner, J. O. B., Stoessel, P. R. & Grass, R. N. Reversible DNA encapsulation in silica to produce ROS-resistant and heat-resistant synthetic DNA 'fossils'. Nat. Protoc. 8, 2440-2448 (2013).
3 Mora, C. A., Paunescu, D., Grass, R. N. & Stark, W. J. Silica Particles with Encapsulated DNA as Trophic Tracers. Mol Ecol Res, accepted article (2014).
9:00 AM - D5.07
Fabrication and Characterization of In Situ Doped Polysilicon Nanowire Junction-Less Field Effect Transistors for Low Cost Biosensor Applications
Azeem Zulfiqar 1 Andrea Pfreundt 1 Francois Patou 1 Lars Andersen 2 Maria Dimaki 1 Winnie Edith Svendsen 1
1Technical University of Denmark Copenhagen Denmark2Department of Health Sciences Copenhagen Denmark
Show AbstractSilicon Nanowire (SiNW) field effect transistor (FET) based biosensors had been proven to be a promising tool for the detection of biomolecules at low concentration. However, their production cost is usually very high, mainly due to the involvement of expensive Silicon-On-Insulator (SOI) wafers, use of ion implantation techniques and e-beam lithography, preventing the large scale manufacturing of SiNW sensors. Cheap and fast fabrication of SiNWs using polysilicon has been demonstrated before but the fabrication process remains very complicated. In the work presented here, a novel step by step fabrication technique to produce low cost in-situ doped SiNW junction-less FET based biosensor devices has been achieved. These biosensors can be used to detect protein-protein binding event by monitoring the conductance change of SiNWs following the chemical binding of targeted biomolecules on its functionalized surface.
These junction-less FET SiNWs present a uniform dopant concentration profile along their length. The minimum boron dopant concentration for a p-type SiNW need to be around 1018 atoms/cm3 to avoid detrimental Schottkey barrier effects at the metal-silicon interface. They actually act as resistors whereby the charge carrier density is controlled by the gate potential.Boron doped polysilicon of two different thicknesses i.e. 20nm and 50 nm is grown by Low Pressure Chemical Vapor Deposition (LPCVD) technique onto a bulk silicon wafer with a dielectric layer of 50 nm LPCVD Stoichometric silicon nitride. Plasma Enhanced Chemical Vapor Deposition (PECVD) of silicon nitride is used as masking layer to pattern SiNW. The mask is patterned by standard photolithography and wet etching in Buffered Hydroflouric Acid (BHF). The SiNW are then formed by wet etching in potassium hydroxide (KOH) at room temperature with different etching time using under etching technique to achieve the SiNW with a lateral dimension ranging from micrometer to nanometer scale. The mask is then removed by BHF etch followed by the definition of metal contacts over the SiNW by e-beam evaporation of 20 nm of chromium and 100 nm of gold, and finally microfluidic channels are formed by 30 µm thick SU8.
The dopant concentration is retrieved by using Secondary Ion Mass Spectroscopy (SIMS). The mobility constant and active dopant concentration is extracted from the data acquired from an electrical characterization involving the measurement of the SiNW transconductance while sweeping the back gate potential. These measurements are done by using a zero-insertion-force (ZIF) socket that connects the chip to the two keithley 2400 Multimeter systems, connected to a computer and controlled through a LabVIEW interface. The functionalization scheme investigated here relies on standard silane chemistry on the native silicon oxide with functional unsaturated hydrocarbons. The fabricated chips have been characterized and successfully functionalized to detect biomolecules interactions.
9:00 AM - D5.08
Mid-Infrared Spectroscopic Imaging Enabled by a Ge-Filled Optical Fiber Probe
Xiaoyu Ji 1 Baigang Zhang 1 John Badding 2 Venkatraman Gopalan 1
1The Pennsylvania State University University Park USA2The Pennsylvania State University University Park USA
Show AbstractInfrared spectroscopic imaging is a technique that can provide both structural details and chemical information of biological tissues. Infrared light has the advantage over visible light in terms of penetration depth in biological tissues. On the other hand, atomic bonds vibrations in organics are prominent in the mid-infrared range [1]. Nowadays, mainly visible light is guided through optical fibers in commercially available medical endoscope. Here we demonstrate the proof-of-concept of an optical probe with the capabilities of both mid-infrared (MIR) imaging and MIR spectrum measurement. Biological samples with MIR sensitive chemical signatures have been characterized to show its potential endoscopic uses.
The probe is fabricated by infiltrating MIR transparent semiconductor germanium into the hollow cores of a silica based microstructured optical fiber. Infiltration is achieved by High-Pressure confined Chemical Vapor Deposition (HPcCVD) technique, resulting in a probe consisting of a silica matrix with semiconductor wires embedded inside. Infrared light is highly confined inside the Ge due to its high refractive index. Therefore, each wire in this case acts as a single pixel with ~7 mu;m in size. A Periodically-Poled Lithium Niobate (PPLN) based Optical Parametric Oscillator (OPO) laser with tunable range from 3.21 mu;m to 3.47 mu;m is used as the mid-infrared source. In order to show the probe has the ability to spectroscopically identify different tissue components, 40 mu;m thick beef muscle and fat slices are prepared by microtome as biological tissues. The transmitted light is then focused on a MIR CCD camera. The transmission spectra of both muscle and fat regions are measured simultaneously in wavelength range from 3289.8 nm to 3383.3 nm. There are several pixels left uncovered as reference pixels to calculate the transmission at each wavelength.
The results have been compared with Fourier Transform Infrared Spectroscopy (FTIR) transmission measurement on the same tissue regions. We have found that our technique is well consistent with FTIR measurement result. Beef muscle and fat have different absorption behaviors in the measured wavelength range, thus giving the contrast between them in both images and spectra. Each semiconductor wire measures the transmission spectrum of local volume of the tissue, and we can even make sub-wavelength size pixels by tapering the microstructured fiber to further improve spatial resolution. In conclusion, a semiconductor-filled optical fiber probe has been demonstrated to enable mid-infrared spectroscopic imaging of biological tissues with high spatial resolution. This could promote the advent of next-generation medical endoscope technology.
This work is supported by the Penn State NSF-MRSEC Center for Nanoscale Science (DMR-0820404).
References
[1] Infrared Fibers and their applications, J Harrington, SPIE (2004)
9:00 AM - D5.09
Developing Materials that Enable Quantitative Paper-Based Point-of-Care Assays Without Requiring Electronic Readers
Greg Lewis 1 Scott T. Phillips 1
1The Pennsylvania State University University Park USA
Show AbstractPoint-of-care (POC) assays are used for detecting and quantifying analytes in environments that lack laboratory infrastructure. Quantitative POC assays are the most challenging to perform. They require measurements of both the duration of the assay and the output signal, and generally require both a handheld reader and a separate external timer. The ideal POC assay, as outlined by the World Health Organization, should be inexpensive, easy to use, and be equipment free. Our research seeks to address these challenges by creating quantitative POC assays that operate without using instruments or electronic readers. We developed a proof-of-concept strategy that is based on controlling the flow of sample through paper in a way that depends both on the presence and concentration of a specific analyte. These assays use paper microfluidic devices and stimuli-responsive polymers and are able to quantify the levels of an analyte by measuring the time required for color to appear in a region on the device. The assays are based on selective changes in wetting properties of paper that has been modified with specific reagents (i.e., polymers) that convert from hydrophobic to hydrophilic in response to specific analytes. These assays use a hydrophobic detection reagent that selectively converts to hydrophilic byproducts in the presence of hydrogen peroxide, with a rate that depends on the concentration of hydrogen peroxide. The assay can be expanded to analytes other than hydrogen peroxide through the incorporation of responsive reagents, such as aptamers and enzymes. Reagents are chosen to selectively generate hydrogen peroxide proportional to the concentration of the target analyte within the sample. These reagents not only provide selectivity towards the target analyte, but also provide sensitivity (i.e., enzymes provide catalytic amplification). Using this system, we have detected a wide variety of analytes, including active enzymes (e.g., alkaline phosphatase and β-D-galactosidase), small moleucles (e.g., adenosine), and heavy metal contaminants (e.g., lead (II) and mercury (II)). The sensitivity of the assay platform can be tuned to meet the desired level of sensitivity, depending on the target analyte and the sample type. In one example, the sensitivity was varied from nanomolar to femtomolar levels of alkaline phosphatase. To minimize effects of sample composition on the assay, the assay platform has been designed to remove contaminants (i.e., preprocessing to remove chemical impurities) as well as to account for physical characteristics of the sample (i.e., a control channel to normalize for sample viscosity, humidity, and temperature).
9:00 AM - D5.10
Ultrathin Thermal Mapping Arrays for Continuous Monitoring of Thermal Physiological Signals on Human Skin and Other Tissues
Richard Chad Webb 1 Joshua Ayers 1 Yuhang Li 2 Jonas Kurniawan 1 Nam Heon Cho 1 Yonggang Huang 2 John A. Rogers 1
1University of Illinois at Urbana-Champaign Urbana USA2Northwestern University Evanston USA
Show AbstractThe thermal transport properties of biological tissues are valuable diagnostic parameters due to their dependence on clinically relevant processes, such as structure, hydration and blood flow changes in tissue. In addition to the diagnostic value of thermal transport properties, precisely controlled applications of heat to tissue provide an actuation mechanism for both diagnostic and therapeutic purposes. We introduce new sensor systems, in the form of ultrathin, mechanically pliable arrays of sensor/actuator elements, which enable these kinds of thermal transport measurement and actuation techniques on soft, dynamic, living tissues without disrupting natural physiological processes. Critical to these sensor systems is the integration of conventionally hard electronic components with soft, flexible, stretchable polymer materials. In this construction, the sensor systems achieve the necessary rapid thermal response times and mechanical properties become well matched to soft biological tissues, enabling practical, low-cost, continuous in vivo measurements at the point-of-care. Several in vivo studies, including human skin measurements in a clinical study of twenty-five patients, and applications to internal organs in animal models, establish contexts for practical use. The fabrication techniques and design of thermal and mechanical properties demonstrated are valuable to any bio-detection system where non-disruptive mechanical and thermal integration with living tissue is desired. As an example in this context, we extend the fabrication, transfer printing and design strategies to thermal sensor/actuator systems integrated onto narrow (<350 µm diameter) surgical tools for minimally invasive access to deep internal tissues.
9:00 AM - D5.11
Facile Tuning of Conjugated Polymer Emission by Incorporation of Homo-Coupled Benzothiadiazole Segments
Eladio Alejandro Mendez 1 Joong Ho Moon 1
1Florida International University Miami USA
Show AbstractConjugated polymer nanoparticles (CPNs) are promising fluorescent biomaterials exhibiting high brightness and efficient energy transfer making them suitable for cellular labelling and sensing. For these applications, synthesis of CPs exhibiting red emission is highly desirable as the sensitivity of any in vivo measurements and optical imaging is enhanced due to minimal autofluorescence from biological tissues at this range. CPs that have incorporated electron donating and accepting moieties onto the backbone have been shown to produce partial intramolecular charge transfer to manipulate HOMO and LUMO levels resulting in red shifted emissions in CPs. As an electron-accepting moiety, 2,1,3-Benzothiadiazole (BT) has been used in various oligomers and polymers, exhibiting orange to red emission, with higher incorporation of the BT units into the CP backbone improving absorption, thermal stability, electrochemical and charge transport properties of the resulting copolymers. We hypothesized that higher incorporation benzothiadiazole as homo-coupled BT segments onto the CP backbone would further red shift and improve the photophysical properties of the resulting polymer. However, there have been few reports on the use of materials containing homo-coupled BT due to a lack of an efficient method for their synthesis. Here, we report a facile method to control the incorporation of homo-coupled 4,4prime;-bis(2,1,3 benzothiadiazole) blocks onto a CP backbone and the resulting photophysical properties of these materials. A series of polymers was prepared under modified Sonogashira cross coupling conditions to create a competing environment between the cross and homo-coupling of the monomers. Using proton nuclear magnetic resonance spectroscopy (1H NMR), we found that the incorporation of homo-coupled benzothiadiazole onto the CP backbone increased as polymerization base strength increased, regardless of copper catalyst loading. Materials with the highest homo-coupled benzothiadiazole incorporation afforded a red-shifted material with higher quantum yield compared to the cross coupled products not containing homo-coupled segments. In conclusion, we report a facile method of incorporation of homo-coupled 4,4prime;-bis(2,1,3-benzothiadiazole) blocks onto a CP backbone by simple modification of polymerization conditions.
This work has been supported by NIH (SC1GM092778) and NIH/NIGMS-RISE (R25 GM61347;EM).
9:00 AM - D5.12
Humidity Sensor on Flexible Semi-Transparent Silicon <100> for Smart Skin
Sally Ahmed 1 Ernesto Byas Lizardo 1 Christos Sapsanis 1 Khaled Salama 1 Muhammad Mustafa Hussain 1 Mohamed Ghoneim 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractThe development of smart skin that mimics the natural human skin is vital for the realization of smart interactive robots and sensitive prosthetic skin. Natural skin is flexible and stretchable and consists of a large network of sensors (pressure, temperature, humidity, etc.). Among these sensors, pressure and temperature sensors were the main research focus in the smart skin. The fabrication of a sensitive skin requires a flexible substrate with a large switching matrix that can address thousands of sensors. Since silicon is hard and brittle by nature, organic based active matrix switching networks on organic substrates were explored due to their inherent flexibility. In this work, the functionality of the smart skin is expanded by exploring another sensing element: humidity/moisture sensors. Furthermore, flexible silicon <100> is used as the substrate which means that silicon based high performance state-of-the-art electronics can be used in the active matrix switching networks, leading to a faster read out of the sensors. The fabricated humidity sensor consists of inter-digitated electrodes covered with a moisture sensitive layer such as polyimide. When the humidity level increases, the dielectric constant of the polyimide increases thereby increasing the capacitance of the sensor. The fabrication process of the humidity sensor starts with the thermal growth of SiO2 on Si <100>, followed by the deposition of Ti adhesion layer and Au bottom electrode. The gold layer is patterned using argon in a reactive ion etching chamber. After that, the wafers are coated with polyimide which is then cured at 350°C for one hour. To pattern the polyimide layer, Al is used as a hard mask. The polyimide is etched in O2 plasma and the Al hard mask is stripped off using Al wet etchant. Next, Si release process is applied. It starts with etching arrays of holes through all layers using reactive ion etching followed by Si deep reactive ion etching to form vertical channels in the silicon wafer. After that, Al2O3 is deposited and anistropically etched to form vertical sidewalls inside the deep etch channels. Finally, the silicon piece is placed inside XeF2 chamber to etch Si isotropically, thereby releasing approximately 15 µm thick flexible semi-transparent silicon sheets. The humidity sensor on the rigid silicon was tested by placing the sensor inside a humidity-controlled chamber and varying the relative humidity level while measuring the capacitance using an LCR meter. The sensitivity of the fabricated humidity sensor is 0.00873 pF/%RH. We are looking forward to add more sensing elements and more functionalities to the smart skin.
9:00 AM - D5.13
RF Antennas on Flexible and Semi-Transparent Silicon Substrate
Ernesto Byas Lizardo 1 Muhammad Mustafa Hussain 1 Arwa Kutbee 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractInterest in flexible electronics has been growing exponentially in recent years. As a strong candidate for consumer electronics in the near future, flexible systems need to be integrated with antennas to provide wireless connectivity, which is highly required by most of the applications now-a-days. Different approaches have been made to demonstrate flexible antennas using plastic and textile substrates [Ant. & Prop, IEEE Trans, 61, 2, pp. 524-531 (2013), IEEE Ant. & Wireless Prop. Lett, 13, pp. 71-74 (2014), ACS Appl. Mater. Interfaces, 6(6), pp. 4248 (2014)]. However, there are major drawbacks that hinder their seamless integration with state-of-the-art silicon based electronics, such as limited thermal budget in the case of plastics, and fluid absorption in textile substrates. In this work, we present an antenna on a flexible silicon sheet.
We show a flexible monopole antenna with co-planar waveguide (CPW) feed with ease of fabrication, low profile and high radiation directivity. Using a CPW feed, both ground plane and radiating element can be patterned at the same time and on the same side of the substrate, decreasing the complexity even further. The design of the monopole antenna is optimized to operate within the Industrial, Scientific and Medical (ISM) 2.45 GHz band, which is one of the most widely used standards in wireless communications. Some of the ISM band applications include Wi-Fi, Bluetooth, wireless local area networks (WLAN), ZigBee, personal area networks (PAN), and others.
Previously we have demonstrated a generic method to release thin, flexible and semi-transparent silicon sheets from bulk (100) wafers with pre-fabricated devices [MEMS 2012, MRS Fall Meeting 2012, Appl. Phys. Lett. 102, 064102 (2013)]. Following these techniques, we report the fabrication process for monopole antennas on a flexible silicon substrate. A 2 um-thick electroplated Copper film defines the pattern of the antennas, deposited on top of a 300 nm-thick silicon dioxide, which acts as the dielectric between the antennas and the bulk silicon substrate. The Copper pattern includes an array of etch holes to suit the release steps that follow: a deep-reactive-ion etching into the silicon, Al2O3 atomic layer deposition to protect the sidewalls, and a XeF2 isotropic etch to release the top portion of the silicon substrate with the antennas already patterned. Finally, the released antenna is transferred to a polymeric sheet for mechanical support. The final thickness of the silicon fabric can be tuned by changing the depth of the trenches etched into the silicon. We found 10 um to provide good flexibility while maintaining the ease of handling.
The simulated and measured reflection coefficient (S11) is reported, along with the radiation pattern of the antenna, showing that it is well matched to the designed operating frequency. With this work, we take a step towards a wireless communication module for an all-silicon-based flexible system.
9:00 AM - D5.14
An Organic Thin Film Transistor for Extracellular Monitoring of Neuronal Cells
Andrea Spanu 1 2 Stefano Lai 2 Piero Cosseddu 2 Maria Teresa Tedesco 1 Sergio Martinoia 1 Annalisa Bonfiglio 2
1University of Genoa Genova Italy2University of Cagliari Cagliari Italy
Show AbstractTo investigate the dynamics of neuronal cells aggregates is of key importance in scientific fields like brain-machine interfaces, pharmacology and computational neuroscience. For the monitoring of extracellular neuronal potentials, two types of devices have been extensively employed during the past thirty years: micro electrode arrays (MEAs) and field effect devices. Despite their extensive use, both type of devices have limitations such as, for example, the relative high cost and the presence of a reference electrode directly immersed in the measuring environment. These limitations are mainly related to the employed fabrication technologies and to the transduction principles.
Here we propose an innovative system based on an organic thin film transistor (OTFT) that is able to reliably transduce the extracellular activity of 2D neuronal cultures, with low costs of fabrication and without the need of any reference electrode in the culture medium. The employed device, called organic charge modulated field effect transistor (OCMFET), is an ultra-low voltage floating gate OTFT with a control capacitor to set the working point of the transistor, and a sensing area (i.e. the region of the device where cells are cultured). The peculiarities of the OCMFET (the presence of a control gate and the elongated structure of the floating gate) allow to work without any reference electrode inside the culture medium, but also they allow to prevent the semiconductor layer from being in direct contact with the culture medium solution. Moreover, unlike common OTFTs, the frequency performance of the OCMFET was improved by dramatically reducing its parasitic capacitances by means of a self-alignment of source and drain electrodes with the floating gate.
The system, consisting of up to 16 OCMFETs in a specific array configuration and the dedicated red-out circuit, has been successfully tested with rat cardiomyocytes cultures and with rat striatal neuronal cells, and it showed a high reliability and a good signal-to-noise ratio, thus opening up new perspectives for in-vitro and in-vivo network electrophysiology.
9:00 AM - D5.16
Electrically Tunable Ultra-Specific ZnO Biosensor
Rujuta Munje 1 Anjan Panneer Selvam 1 Andi Wangzhou 1 Sriram Muthukumar 2 Shalini Prasad 1
1University of Texas at Dallas Richardson USA2University of Texas at Dallas Richardson USA
Show AbstractZinc oxide is a bio-compatible semiconductor material with a wide direct band gap of 3.37eV and tunable electrical properties. The major challenges in using ZnO for biosensing are enhanced sensitivity and specificity. ZnO surface states Zn2+ and O2- can be utilized for ultra-specific detection of biomolecules. In this study, we explore the functionalization of Zn2+ in ZnO through thiol bonding as the dissociation constant Kd of Zn-S bond is high and is valuable for achieving specificity. The purpose of this study is to demonstrate that the ZnO based sensor is capable of achieving high specificity in presence of competitive binding molecules and thus design an ultra-specific biosensor to detect cortisol in synthetic sweat present within the physiological levels of 8 to 140 ng/mL.
ZnO films having resistivity of 30#8486;.cm were sputter deposited. To demonstrate ultra-specificity, we used two competitive thiol based molecules binding to zinc, a linker Dithiobis succinimidyl propionate (DSP) and reducing agent of DSP, Dithiothreitol (DTT). Various ratiometric concentrations of DSP and DTT were used and their competitive interactions with ZnO surface were studied. A stress biomarker antibody, α-cortisol was used for functionalization of cortisol antigen on the linker surface. The α-cortisol binds selectively to N-hydroxysuccinimide (NHS) terminations on the zinc bound DSP molecules. As NHS group is absent in DTT molecule, it cannot bind to an antibody and acts as a blocking agent. The biomarker was functionalized on ZnO surface so as to observe charge transfer behavior due to double layer capacitance in a non-faradic sensor system. Electrochemical impedance spectroscopy (EIS) studies were carried out to map the changes in impedance due to variations in charge transfer. To validate the EIS study results, inherent fluorescence studies were done by mapping changes in green emission spectrum of ZnO before and after linker functionalization.
We used small signal analysis to distinguish the specific and non-specific interactions of Zn-S bond in DSP vs. that of DTT. Further, this study will illustrate the detection of cortisol antigen in synthetic sweat as an application of ultra-specific bio-sensing.
9:00 AM - D5.17
Detection of Insulin in Serum Using Plasmonic Interferometry
Hyewon Kim 1 Francis Cui 1 Jing Feng 1 Anubhav Tripathi 1 2 Domenico Pacifici 1 G. Tayhas R. Palmore 1 2
1Brown University Providence USA2Brown University Providence USA
Show AbstractInsulin is a key hormone that regulates glucose homeostasis. Insulin deficiency leads to type I diabetes and insulin resistance leads to type II diabetes and other disorders. Various schemes for detecting insulin have been investigated over the past decade. Most of these methods, however, lack the selectivity and/or sensitivity required for the very low concentration of insulin in human serum. The use of microfluidic devices and magnetic beads for applications in biotechnology has been explored extensively over the past decade. Here we present a simple design for sensing insulin that couples microfluidics, novel capture chemistry, and plasmonic interferometery that is both selective and highly sensitive toward the insulin. We have developed novel capture chemistry for insulin and demonstrate the use of this chemistry in combination with magnetic beads and microfluidics to separate insulin from other components in blood serum without the use of an external pump. Integration of this technology with a plasmonic interferometer completes the biosensor design. Our capture and separation technology increases the concentration of insulin to levels that are high enough to allow for rapid analysis with minimal sample volume. Overall, we have established a highly specific and sensitive method for detecting low levels of insulin in blood serum.
9:00 AM - D5.18
Using Cell-Free Expression to Create Light-Activated Proteins In Situ in Droplet Interface Bilayer Networks
Graham Taylor 1 Stephen Andy Sarles 1
1University of Tennessee - Knoxville Knoxville USA
Show AbstractThe droplet interface bilayer (DIB) platform, a method to form lipid bilayers between lipid-coated water droplets in oil, enables simple formation of both single lipid bilayers and multi-bilayer networks capable of collective functionality. The most commonly used lipid to form DIBs is 1,2-diphytanoyl-sn-glycero-3-phosphocholine (DPhPC), however we have recently introduced a method to enable formation of DIBs using natural total lipid extract from E. coli (eTLE). eTLE contains a diverse assortment of acyl chains and is also rich in cardiolipin, a member of a class of membrane constituents known to exist in naturally occurring “purple membranes” that contain light-activated bacteriorhodopsin (BR) proteins.
Our vision is to develop light-activated bilayer networks for sensing and energy conversion that incorporate BR proton pumps created in situ via cell-free (CF) expression. Performing in vitro translation of transmembrane proteins such as BR in the presence of liposomes has been shown to improve the yield of properly assembled and reconstituted proteins. Moreover, methods for DIB formation often utilize aqueous suspensions of liposomes. Thus, we aim to make dual use of liposomes contained in the aqueous droplets to promote proper folding and assembly of CF-expressed BR and enable DIB formation. Circular dichroism will be used to monitor CF expression and assembly of BR in aqueous solutions without liposomes, with DPhPC liposomes, and with eTLE liposomes to determine effects of eTLE and DPhPC bilayers on translational output of assembled BR trimers. After studying the effect of bilayer presence and composition on BR self-assembly during CF expression, we will also investigate the ability to reconstitute the translated BR into a DIB where it can generate transmembrane current in response to green light. In these tests, we will perform CF expression within droplets used to form DIBs and use electrical measurements to monitor membrane-association of synthesized BR. These data will provide a basis for introducing CF expression of BR into DIB networks with multiple sensing bilayers, arranged as separate light-activated clusters. A multichannel patch-clamp amplifier allows independent access to each cluster to measure photocurrents induced by local application of light. The output of our work serves as a starting point for development of a biomimetic optical sensor constructed using biomolecules. We also obtain new information regarding the ability to use liposomes required for DIB formation to assist cotranslational folding and assembly of BR trimers. In situ expression and reconstitution of complex transmembrane proteins into droplet interface bilayers has significant broader impacts as well. The ability to synthesize, reconstitute, and study sensory proteins like G-coupled-protein receptors (GCPR) and other receptors with 7 transmembrane (7TM) spanning domains within a single platform is one that benefits many areas of technology and research.
9:00 AM - D5.19
Exosome mRNA Profile as an Indicator of Drug Efficacy
Huilin Shao 1 Jaehoon Chung 1 Kyungheon Lee 1 Leonora Balaj 2 Xandra Breakefield 2 Ralph Weissleder 1 Hakho Lee 1
1Center for Systems Biology, Massachusetts General Hospital and Harvard Medical School Boston USA2Harvard Medical School Boston USA
Show AbstractExosomes are membrane-bound phospholipid nanovesicles actively secreted by mammalian cells. An emerging cancer biomarker, exosomes carry molecular constituents of the parent tumor cells and exist in large numbers in a variety of bodily fluids. Exosomes in peripheral blood, in particular, could provide a convenient and minimally-invasive avenue for serial monitoring of disease progression and treatment response. Conventional methods for exosome analyses, however, often involve time-consuming and labor-intensive purification procedures. We herein report the development of a new exosome RNA analysis platform that enables direct isolation and real-time evaluation of total RNA. Employing this technology, we evaluated the comparative mRNA profiles of glioblastoma-derived exosomes against those of parental cells and identified key exosomal markers predictive of drug resistance. Likewise, we successfully analyzed the mRNA contents of cancer exosomes directly enriched from blood samples of glioblastoma patients. Our study suggests that exosomal mRNA profile could provide an earlier indicator of drug efficacy and a potential molecular stratifier for human clinical trials.
9:00 AM - D5.20
Colorimetric Sensing of Dissolved Gases on Paper Based Microfluidic Platform
Yu Chen 1 Yael Zilberman 1 Sameer Sonkusale 1
1Tufts University Medford USA
Show AbstractPaper based devices with readout using ubiquitous consumer electronic devices (e.g. smartphones, flatbed scanner) are considered promising approaches for disease screening in developing countries with limited resources. In this work, we are exploring a low-cost, flexible and reliable method to effectively pattern paper by using a hydrophobic silicone water repellent spray. The advantages of this hydrophobic spray based patterning method over other commonly used methods were discussed. The as-patterned paper platform is used for capturing optical dyes and for flow-based delivery of target samples for colorimetric chemical sensing. We target the detection of ammonia (NH3) and carbon dioxide (CO2), two of the important environmental and health biomarkers. By functionalizing the paper platform with diverse cross-reactive dyes sensitive to NH3 and CO2, their selective sensing within a certain pH range, as well as their detection at different concentrations can be achieved. The images of paper based device were captured by flatbed scanner and processed in MATLAB® using RGB model and PCA for quantitative analysis. From the RGB plot of all the sensing areas on the paper platform, it is found that this dye-functionalized paper platform is highly reproducible and selective to NH3 and CO2 among different bases and acid solution. For sensing NH3 and CO2 of different concentrations, the change of RGB values exhibited difference for different dyes. By applying principle component analysis (PCA) on RGB data, the responses of CO2 and NH3 can be well distinguished. All the results showed that this paper based sensing platform with readout using commonly used consumer electronic products that does not need expensive instrumentation and lab facilities, has great potential for real-world applications.
9:00 AM - D5.21
Electrochemical Mechanisms of Nanowell Array Structure for Advanced Biomedical Sensing
JuKyung Lee 1 Hakseong Kim 2 Jungho Seo 1 JongWan Park 3 Ahmed Busnaina 1 SangWook Lee 2 HeaYeon Lee 1
1Northeastern University Boston USA2Konkuk university Seoul Korea (the Republic of)3National nano fab center Daejeon Korea (the Republic of)
Show AbstractEngineered electrode structure with rational design criteria that can generate high sensitivity in an electrochemical (EC) biosensors will greatly benefit challenging applications such as single molecule size analysis, whole cell analysis etc. For making highly sensitive sensor, many researchers have adopted ultramicro or nanoelectrode to reap the benefit of enhanced mass transport.
Recently, we observed that our developed nanowell array structure (NWA) can enhance EC responses due to diffusion effect caused by their specific size, spacing and array structure. We also found that only with nano-sized diameter and spacing structure&’s EC signal can be increased or reduced freely by controlling these dimensions. This is important because it means that nano phenomena at electrode surface affects EC signal. We describe an EC analysis of NWA based on simple DC amperometry and AC electrochemical impedance spectroscopy (EIS) to find their mechanism and try to apply to biomedical sensing by using these advanced mechanisms. 1) First, We showed EC result of NWA consist of 200x200 nanowells that have 100 nm diameters. Also we made micro-circular electrode that have same area of these NWA electrode to compare EC result caused by their structure. 2) Next, we made three kind of NWA that have various spacing. And other fact such as number of nanowells and their diameter are same. NWA electrodes have been simulated benchmarking our results with those obtained by Compton with the finite difference methods. Overall our analysis revealed that these nanosize array structures could amplify electric signal by controlling not only their size, but also the well-to-well spacing. We also found that NWA offer a good possibility to advanced biomedical sensing due to their nano-size that is appropriate for adsorption of single molecule and these effects can be easily transduced to electric signal caused by their structure effect.
D1: Optofluidic Detection of Viruses and Nanoparticles
Session Chairs
Monday AM, December 01, 2014
Sheraton, 2nd Floor, Back Bay C
9:30 AM - *D1.01
Digital Detection of Nanoparticles: Viral Diagnostics and Multiplexed Protein and Nucleic Acid Assays
M. Selim Unlu 1
1Boston University Boston USA
Show AbstractSynthetic nanoparticles have made significant impact across a broad range of technological applications including optical nanoantennas, ultra-sensitive imaging and sensing, and diagnostics and therapeutics. Natural nanoparticles such as viruses and pollutants are involved in global health problems. High-throughput characterization of nanoparticles in terms of their size and shape is crucial for practical applications of synthetic nanoparticles and highly sensitive pathogen identification. Recently, we have demonstrated Interferometric Reflectance Imaging Sensor (IRIS) with the ability to detect single nanoscale particles [1,2].
In single-particle modality of IRIS (SP-IRIS), the interference of light reflected from the sensor surface is modified by the presence of particles producing a distinct signal that reveals the size of the particle. In our approach, the dielectric layered structure acts as an optical antenna optimizing the elastic scattering characteristics of nanoparticles for sensitive detection and analysis. We have successfully detected 35 nm and 50 nm radius particles and H1N1 viruses with accurate size discrimination [1]. We have demonstrated identification of virus particles in complex samples for replication-competent wild-type vesicular stomatitis virus (VSV), defective VSV, and Ebola- and Marburg-pseudotyped VSV. Size discrimination of the imaged nanoparticles (virions) allows differentiation between modified viruses having different genome lengths and facilitates a reduction in the counting of non-specifically bound particles to achieve a limit-of-detection (LOD) of 5x103 pfu/mL for the Ebola and Marburg VSV pseudotypes. We have demonstrated the simultaneous detection of multiple viruses in serum or whole blood as well as in samples contaminated with high levels of bacteria [3]. Single nanoparticle detection with IRIS has shown promising results for protein [4] and DNA arrays with attomolar detection sensitivity.
References:
1. G. G. Daaboul, A. Yurt, X. Zhang, G. M. Hwang, B. B. Goldberg, and M. S. Ünlü, "High-Throughput Detection and Sizing of Individual Low-Index Nanoparticles and Viruses for Pathogen Identification," Nano Letters, Vol. 10, No. 11, pp. 4727-4731, (2010)
2. A. Yurt, G. G. Daaboul, J. H. Connor, B. B. Goldberg, and M. S. Ünlü, "Single nanoparticle detectors for biological applications," Nanoscale, Vol. 4, No. 3, pp. 715 - 726, (2012)
3. GG Daaboul, CA Lopez, J Chinnala, B Goldberg, JH Connor, and MS Ünlü, “Digital Sensing and Sizing of Vesicular Stomatitis Virus Pseudotypes in Complex Media: A Model for Ebola and Marburg Detection” ACS Nano, DOI: 10.1021/nn501312q, (2014)
4. M. R. Monroe, G. G. Daaboul, A. Tuysuzoglu, C. A. Lopez, F. F. Little, and M. S. Ünlü, "Single Nanoparticle Detection for Multiplexed Protein Diagnostics with Attomolar Sensitivity in Serum and Unprocessed Whole Blood," Analytical Chemistry, Vol. 85, No. 7, pp. 3698-3706, (2013)
10:00 AM - D1.02
Vapor-Condensed Nanolenses for Label-Free Nanoparticle and Virus Imaging Using Lensfree Holographic On-Chip Microscopy
Euan McLeod 1 2 Chau Nguyen 3 Patrick Huang 2 Wei Luo 1 2 Muhammed Veli 1 Aydogan Ozcan 1 2 4
1University of California, Los Angeles Los Angeles USA2University of California, Los Angeles Los Angeles USA3University of California, Los Angeles Los Angeles USA4University of California, Los Angeles Los Angeles USA
Show AbstractFor the label-free detection, enumeration, imaging, and sizing of nanoparticles and viruses, electron microscopy constitutes the gold standard despite its high capital cost, limited field of view, and specialized sample preparation requirements. Alternative nanoparticle detection and sizing methods, such as dynamic light scattering, do not provide individual particle sizes as well as the location and spatial distribution information that is offered through imaging techniques. On the other hand, standard optical imaging techniques, such as brightfield microscopy, typically do not provide strong enough signal-to-noise (SNR) and signal-to-background ratios to observe individual nanoparticles. To overcome these various limitations in label-free nanoparticle and virus detection and quantification, we use an alternative imaging approach based on lensfree holographic on-chip microscopy. This approach provides the advantages of ultra-large fields of view >20 mm2 (>100 fold larger than conventional microscopes with comparable resolution), as well as compatibility with field-portable and cost-effective implementations. However, lensfree on-chip holographic microscopy has traditionally been limited in SNR, hampering its ability to detect individual nanoparticles.
To boost the sensitivity of this computational microscopy modality, we have created a vapor-deposition approach to form tunable liquid polyethylene glycol nanolenses that self-assemble around the target nanoparticles [1]. These nanolenses greatly enhance the holographic optical signatures, enabling the detection of spheroids with diameters smaller than 40 nm and rod-shaped particles with diameters below 20 nm. The signal enhancement provided by these nanolenses agrees well with theoretical predictions based on the simultaneous numerical modeling of the liquid lens interface shape and the optical diffraction through the system. We demonstrate that this procedure is compatible with specific component detection in heterogeneous mixtures through the use of functionalized surface capture. One important application is virus detection, where we have used self-assembled nanolenses to enable the imaging of single viral particles in lensfree holographic optical microscopy [2]. In order to facilitate easy application of this approach in field settings, we have combined the nanolens self-assembly and imaging into a single portable device prototype device that monitors the nanolens formation in situ. Such a device is particularly well-suited for point-of-care or limited-resource settings where nanoparticle or virus detection and sizing are required, e.g. in medical diagnostics (viral load measurements) or environmental monitoring.
[1] E. McLeod, C. Nguyen, P. Huang, W. Luo, M. Veli, and A. Ozcan, “Tunable vapor-condensed nanolenses,” ACS Nano, under review.
[2] O. Mudanyali, E. McLeod, W. Luo, A. Greenbaum, A. F. Coskun, Y. Hennequin, C. P. Allier, and A. Ozcan, Nature Photonics, 7 247-254 (2013).
10:15 AM - D1.03
Optical Microresonators as Platforms for Single-Particle Photothermal Microscopy
Randall H Goldsmith 1
1University of Wisconsin Madison Madison USA
Show AbstractWhile detection of individual analyte molecules represents a sought-after goal for medical diagnostics, a larger goal is single-molecule detection with simultaneously derived spectroscopic information that can be used to identify the detected molecule. The achievement of this goal cannot rely on fluorescence, as such approaches limit approachable targets to systems where the target itself is fluorescent, or require additional chemical interactions to attach a fluorescent label. Ultrahigh-Q optical microresonators offer a way of eliminating the need for fluorescence for detection by enabling additional sensitive means of interaction with individual particles. The interaction, either resonant or non-resonant, between an adsorbed analyte species and the propagating mode of the resonator can allow sensitive single-particle detection. However, the detecting capabilities of optical microresonators have so far not included means to provide simultaneous spectroscopic information.
We present our ongoing work using a two-beam experimental geometry, where the goal is the measurement of electronic absorption spectrum of individual molecules. Our experiment relies on ultrahigh-Q toroidal optical microresonators as platforms for photothermal spectroscopy. Transitions are optically driven in the particle of interest, while the thermalization of the excitation energy is detected by the resonator. We show that single carbon nanotubes deposited on the rim of the microresonator, can be photothermally imaged, a critical proof of concept. The relevant heat flows are explored with numerical simulations. A path toward spectroscopy on individual non-fluorescent nano-objects will be described.
10:30 AM - D1.04
Label-Free Detection of Single Nanoparticles and Biological Molecules Using Microtoroid Optical Resonators
Judith Su 1 2 Stephen Arnold 2
1Caltech Pasadena USA2NYU New York USA
Show AbstractWe use laser frequency locking feedback control in combination with data processing techniques to improve the detection capabilities of microtoroid optical resonators to the extent that single nanoparticles of 2.5 nm radius and 14 kDa molecules may be detected. We report the detection of a wide range of nanoparticle sizes (radius from 100 nm down to 2.5 nm), and bioparticles such as exosomes, ribosomes, mouse immunoglobulin G (160 kDa) and human interleukin-2 (14 kDa). Our results agree closely with the reactive sensing principle1 for particle binding and demonstrate that the detection of single molecules without the use of labels is possible without plasmonic enhancement. Further, we will discuss a means for determining nanoparticle size in “one binding event” without the current high Q (~108) method of measuring both mode splitting and linewidth broadening.
S. A.&’s contribution to this research was supported by National Science Foundation grant EECS 1303499.
1. S. Arnold, M. Khoshsima, I. Teraoka, S. Holler, F. Vollmer, Opt. Lett. 28, 272 (2003).
10:45 AM - D1.05
Engineering Gold Nanoparticles for Lateral Flow Devices to Detect Tropical Diseases
Helena de Puig 1 2 Chunwan Yen 1 Justina Tam 1 Elisabeth Phillips 1 Ann Fiegen 1 John Lian 1 Irene Bosch 1 Jose Gomez-Marquez 1 Kimberly Hamad-Schifferli 2 Lee Gehrke 1
1Harvard Cambridge USA2MIT Cambridge USA
Show AbstractDengue is a mosquito-borne tropical disease thshy;shy;at has caused major epidemics and hospitalization in the last decades. Accurate diagnosis of dengue fever is critical to treat individual patients and to predict epidemics. Lateral flow devices are ideal candidates to diagnose disease in remote areas because they can be operated by non-experts, are cheap, portable, and do not require electric power to be operated. We build machine-readable multiplexed lateral flow devices for the detection of several tropical disease markers. By making them so that a mobile phone can read them, the device is able to provide real-time epidemiologic data to monitor disease distribution based on diagnostic data. Lateral flow immunoassays use capillary flow and the accumulation of ligand-coated nanoparticles to detect the presence of target proteins. Gold Nanoparticle-antibody conjugates are critical to ensure that the device will have enough sensitivity to detect the illness even at low concentrations of target protein, such as in early stages of the disease. The sensitivity of lateral flow devices greatly depends on the nature of the ligand-target pair and their binding thermodynamics on the nanoparticle interface. We engineer the nanoparticle shape, size, surface chemistry, and biofunctionalization in order to lower the overall detection limit of the device. The nanoparticle surface properties and biofunctionalization are characterized by gel electrophoresis, DLS, zeta potential measurements, and fluorescence/optical spectroscopy in conjunction with chemical displacement.
These new, effective, low-cost devices would be very useful in developing countries, but also for developed countries, where they can contribute to lowering the overall cost of healthcare and enable widespread use for other applications such as crowdsourcing.
D2: Optical Label-Free Detection of Biomolecules I
Session Chairs
Monday AM, December 01, 2014
Sheraton, 2nd Floor, Back Bay C
11:30 AM - *D2.01
Circular Interferometric Plasmonic Biosensor Arrays for High-Performance Label-Free Biomolecular Detection
Yongkang Gao 1 Beibei Zeng 1 Qiaoqiang Gan 2 Filbert J Bartoli 1
1Lehigh University Bethlehem USA2University at Buffalo Buffalo USA
Show AbstractPlasmonic nanostructures have demonstrated unique capabilities for label-free biosensing through the excitation of localized surface plasmon resonance (LSPR) or the propagation surface plasmon polaritons (SPPs). Carefully designed nanoplasmonic biosensors convert small changes in the local refractive index caused by surface bio-molecular binding into spectral shifts. Here, we investigate a class of plasmonic interferometric biosensors that consist of arrays of circular aperture-groove nanostructures patterned on a gold film for phase-sensitive biomolecular detection. When the whole structure is illuminated by a collimated white light beam, the nanogrooves excite and focus the SPPs to the central aperture, where the SPPs interfere with the light that is directly transmitted through the aperture and modulate the far-field transmission. These biosensors achieve superior performance within a microscale footprint by combining SPR interactions with sensitive interferometric techniques. The phase and amplitude of interfering SPPs in the proposed device can be effectively engineered by structural tuning, providing a flexible and efficient control over the plasmon line shape observed through SPP interference. By careful structural tuning, spectral fringes with high contrast, narrow linewidth, and large amplitude have been experimentally measured and permit sensitive detection of protein surface coverage as low as 0.4 pg/mm2. This sensor resolution compares favorably with commercial prism-based surface plasmon resonance systems (0.1 pg/mm2), but is achieved here using a significantly simpler collinear transmission geometry, a miniaturized sensor footprint (150×150µm2), and a low-cost compact spectrometer, showing great promise to develop fast, inexpensive, compact biomedical devices for personal healthcare. The circular plasmonic interferometric biosensors were also operated in the intensity interrogation mode for high-throughput sensing applications, achieving a record high sensing figure-of-merit (FOM*) of 146 in the visible region, surpassing previous plasmonic biosensors and facilitating ultrasensitive high-throughput detection. Efforts were also made to differentiate surface analyte binding events in complex solutions from bulk refractive index variations due to changes in temperature and concentration of non-specific components in multi-component solutions.
12:00 PM - D2.02
Label-Free Mid-IR Photothermal Spectroscopy for Biological Imaging Using a Robust Fiber Laser Probe
Atcha Totachawattana 1 Hui Liu 1 Alket Mertiri 2 Tim Gardner 4 Shyamsunder Erramilli 2 3 Michelle Y. Sander 1 2
1BU Photonics Center, Boston University Boston USA2Boston University Boston USA3BU Photonics Center, Boston University Boston USA4Boston University Boston USA
Show AbstractWe demonstrate the use of mid-infrared (mid-IR) photothermal spectroscopy as a sensitive and label-free technique for hyperspectral chemical imaging. In photothermal spectroscopy, a pump beam is absorbed by the sample causing a temperature-induced change in the local refractive index. The scattered probe beam then is detected in a heterodyne measurement. Here, a pulsed mid-IR quantum cascade laser pump (tunable around 6µm) and an erbium-doped fiber laser probe at eye-safe wavelengths (around 1.55µm) are used. Extending photothermal spectroscopy into the mid-IR is attractive due to the presence of a large number of characteristic infrared-active normal vibrational modes, particularly in the molecular fingerprint region, allowing bond-specific imaging of biological and chemical samples.
Photothermal studies of a liquid crystal, 4prime;-octyl-4-biphenylcarbonitrile (8CB), targeting the C-H scissoring band at 1607cm-1 were conducted. Spectral scans of 8CB were demonstrated with a good signal-to-noise ratio, an increase of over one order of magnitude from previous studies that used a titanium:sapphire probe laser. Contributions to the signal-to-noise ratio from several different probe laser configurations, including continuous-wave and mode-locked lasers, are investigated. Histopathological bird brain sections were studied and high contrast mid-IR photothermal images were obtained without the use of stains. Images of the bird brain sections were acquired by targeting the amide-I band centered at 1670cm-1 and raster-scanning the sample.
Photothermal spectroscopy with a fiber laser probe offers many advantages for imaging in the mid-IR. Fiber lasers can be used with commercially available and highly sensitive photodetectors that operate at room temperature, in contrast to other mid-IR spectroscopy techniques such as Fourier Transform Infrared Spectroscopy (FTIR) which commonly uses cryogenically cooled mid-IR detectors. Turn-key operation of compact and stable fiber lasers makes the photothermal system more robust and user-friendly. These studies show that high-contrast images of biological samples with good sensitivity can be obtained using mid-IR photothermal spectroscopy with a fiber laser probe.
12:15 PM - D2.03
Mid-Infrared Opto-Nanofluidics for Label-Free On-Chip Sensing
Pao Tai Lin 1 2 Sen Wai Kwok 3 Hao-Yu Lin 3 Vivek Singh 1 Lionel Kimerling 1 George Whitesides 3 Anu Agarwal 1 Dawn Tan 2
1Massachusetts Institute of Technology Cambridge USA2Singapore University of Technology and Design (SUTD) Singapore Singapore3Harvard University Cambridge USA
Show AbstractA mid-infrared (mid-IR) label-free biochemical sensor was developed using opto-nanofluidics consisting of a Si-liquid-Si slot-structure. A broadband mid-IR lightwave can be strongly confined within a nanofluidic capillary by utilizing the large refractive index contrast (Δn ~ 2) between the liquid core waveguide and the Si cladding. Through an optical-field enhancement together with a direct interaction between the probe light and the analyte, the sensitivity for chemical detection is increased by 50 times when compared to evanescent-wave sensing. This spectral characterization distinguished several volatile organic liquids accurately, and could determine the ratio of biochemical species at low concentration (< 5 µL/mL) in a mixture through spectral scanning over their characteristic mid-IR absorption peaks. The combination of CMOS-compatible planar mid-IR microphotonics, and a high-throughput nanofluidic sensor system, provides a unique platform for chemical detection.
12:30 PM - D2.04
Label Free Plasmonic Biosensing of Cardiac Biomarker, Troponin Using Aptamer Conjugated Nanoparticles
Sirimuvva Tadepalli 1 Srikanth Singamaneni 1
1Washington University in St.Louis St.Louis USA
Show AbstractAcute myocardial infarction (MI) remains a leading cause of morbidity and mortality worldwide. Cardiac biomarker, troponin, is the most specific and sensitive test for detection and risk stratification of myocardial damage. However, existing immunoassay techniques are too expensive and not ideal for point-of-care and resource-limited settings. The use of label-free plasmonic biosensing is an attractive alternative to the existing immunoassay techniques. Metal nanostructures conjugated with antibody can be used to capture and detect protein biomarkers owing to refractive index sensitivity of the localized surface plasmon resonance of these nanostructures. Although natural antibodies exhibit good specificity, they suffer from poor shelf life, limited pH and temperature stability and excessive cost, making them non-ideal for point-of-care diagnostics.
Here we design and demonstrate a protein aptamer-based plasmonic biosensor to quantitatively detect troponin in physiological fluids. Considering the remarkable stability (chemical, temporal and environmental) of the aptamers, plasmonic biochips based on aptamers as recognition elements enable facile handling and storage. Furthermore, the use of protein aptamers as recognition elements results in higher sensitivity compared to antibodies considering the smaller size of the aptamers and the exponential decay in refractive index sensitivity from the surface of the nanotransducers. Finally, the plasmonic biosensing is implemented on a flexible and ubiquitous paper substrate enabling the easy translation of this technology to point-of-care and resource-limited settings.
12:45 PM - D2.05
Optical Microring Resonator Biosensors Based on Porous Silicon
Gilberto A. Rodriguez 1 Shuren Hu 2 Sharon M. Weiss 1
1Vanderbilt University Nashville USA2Vanderbilt University Nashville USA
Show AbstractOptical biosensors based on microring resonators are among the most promising biosensor platforms due to their wafer-scale production, long temporal light-matter interaction with surface bound molecules leading to competitive detection sensitivities, and compact sizes for integration into portable lab-on-a-chip platforms. In particular, silicon on insulator (SOI) rings have been well-characterized and demonstrated for the detection and kinetics analysis of various relevant chemical and biological molecules. However, traditional ring resonators face two important challenges. First, the majority of the electric field is confined within the ring, reducing the maximum detection sensitivity due to the small overlap between the optical mode and surface-bound molecules. Second, nonlinear effects caused by temperature and humidity changes can result in false-positive read-outs in field tests. In order to improve the capabilities of optical microring resonator biosensors, we fabricate microring resonators on a porous silicon (PSi) platform. PSi is an attractive biosensing material due to its large internal surface area for enhanced molecular adsorption, tunable optical properties allowing simple fabrication of waveguides (WGs), and accessible silicon surface chemistry for label-free detection of various chemical and biological agents. PSi ring resonators are made by first fabricating planar PSi WGs using conventional electrochemical etching to create a low porosity guiding layer and high porosity cladding layer on a p-type silicon substrate. Rings ranging in radius from 10-45µm are then patterned on the planar PSi WGs via electron beam lithography followed by reactive ion etching to transfer the ring pattern into the surface guiding layer. The different sized rings are first characterized in terms of quality factor and sensitivity to salt water titrations. Quality factors of nearly 10,000 and a bulk detection sensitivity of 450 nm/RIU were measured. The three-fold increase in bulk detection sensitivity compared to SOI microrings arises due to analyte infiltration into the porous guiding layer where the majority of the electric field is confined. The molecular detection sensitivity of the PSi microrings is then characterized by functionalization with a 16-base probe DNA for the capture of a 16-base complimentary target PNA. Exposure of the PSi ring to 500 nM target PNA solution results in a 2 nm resonance shift, leading to a sensitivity of 4 pm/nM. This molecular detection sensitivity is one order of magnitude greater than that reported for nucleic acid detection using SOI rings. We additionally show that the thermo-optic coefficient of the PSi microrings can be tuned, leading to improved tolerance to ambient thermal fluctuations. The simple integration of a ring resonator into PSi allows for high sensitivity, high quality factor sensing applications that can be further assimilated with multiplexed microfluidics to create lab-on-a-chip technology.
Symposium Organizers
Xudong (Sherman) Fan, University of Michigan
Logan Liu, University of Illinois Urbana-Champaign
Emily Park, BD Biosciences
Holger Schmidt, University of California, Santa Cruz
Symposium Support
BD Biosciences
Hamamatsu Corporation
Thermo Fisher Scientific
D8: Detection and Analysis of Cells
Session Chairs
Ki-Hun Jeong
Holger Schmidt
Tuesday PM, December 02, 2014
Sheraton, 2nd Floor, Back Bay C
3:00 AM - D8.02
Microfluidic Capture of Circulating Tumor Cells through Interacting Platelets
Xiaocheng Jiang 1 Aimal H. Khankhel 1 Mahnaz Zeinali 1 Eduardo Reategui 1 Matthew Phillips 1 Xi Luo 2 Nicola Aceto 2 Fabio Fachin 1 Anh N. Hoang 1 Wooseok Kim 1 Annie E. Jensen 1 Lecia V. Sequist 2 Shyamala Maheswaran 2 Daniel A. Haber 2 Shannon L. Stott 1 2 Mehmet Toner 1
1Massachusetts General Hospital and Harvard Medical School Charlestown USA2Massachusetts General Hospital Cancer Center and Harvard Medical School Boston USA
Show AbstractMetastasis, the spread and growth of tumor cells from the primary site to distant organs, is responsible for 90% of cancer deaths. The interplay between tumor cells and platelets is known to play important roles in this process, which has largely been attributed to platelet-mediated enhancement of tumor cell survival, extravasation, and angiogenesis. Taking advantage of this phenomenon, we have developed a unique platform for the isolation of circulating tumor cells (CTCs) from the blood of cancer patients by utilizing satellite platelets on CTC surface as a ubiquitous, cell based marker. Compared with conventional CTC sorting techniques which rely on the identification and targeting of tumor specific antigens (such as epithelial cell adhesion molecule (EpCAM)), the current approach aims to isolate CTCs associated with platelets due to their importance in the metastatic process. A two-stage microfluidic platform was designed to achieve the platelet-targeted CTC capture. It starts with a debulking device to remove free platelets from whole blood through hydrodynamic size-based sorting, and followed by a microvortex-generating “herringbone” chip functionalized with platelet antibodies for high-throughput capture of CTCs. Comparison of CTC capture from blood samples of 30 metastatic cancer patients revealed consistently higher positive hits on microfluidic device using platelet antibodies than those functionalized with EpCAM. More importantly, a special population of CTCs with heavily coated platelets were identified, which could possess high metastatic potential but be extremely difficult to isolate by conventional positive selection methods. Systematic phenotypic and genotypic characterizations of the captured CTCs are being carried out to further investigate the correlation between the epithelial/mesenchymal nature of CTCs and the platelet coating on surface. This platelet-targeted microfluidic platform is capable of reliably detecting CTCs from different tumor origins independent of tumor antigen expression, especially those with heavy platelet coating which could play critical roles in metastasis, and will open up new opportunities for fundamental metastatic studies and early cancer diagnostics.
3:15 AM - D8.03
Quantum Dot Micropillar Array for Monitoring Cardiac Side Population Cellular Beat Forces
Erin Roberts 1 Fan Zhang 2 Yiling Qiu 3 Xin Zhang 1 Stephan Anderson 4
1Boston University Boston USA2Tsinghua University Beijing China3Brigham Women's Hospital Boston USA4Boston University Medical Center Boston USA
Show AbstractRecent studies on cardiac stem and progenitor cells have shown that these cells could potentially contribute to cardiac regeneration therapy. One progenitor cell type, cardiac side population cells (CSPs), appears to acquire the characteristics of cardiomyocytes when the two are co-cultured. Understanding this process is important in determining how cardiac stem cell differentiation can be controlled and used therapeutically. One characteristic of the cardiomyocyte cell type is that these cells beat independently; this study seeks to understand the evolution of similar beating in CSPs as they differentiate. It is unknown if the beating of CSPs seen in co-culture is a function of the cardiomyocytes that they are seeded next to or if the CSPs are themselves beating independently. In this study, polydimethylsiloxane micropillar arrays tagged with quantum dots are used to measure the cellular forces applied by the CSPs during beating. Cells are cultured on arrays and then monitored throughout the differentiation process using live fluorescent microscopy. Pillar top displacements are measured and used to calculate the applied forces based on the mechanical formula for bending of a fixed cantilever beam. The goal is to show the evolution of independent beating in CSPs at they differentiate by evaluating how the magnitude and direction of their applied forces change with time.
3:30 AM - *D8.04
Micro-Cavity Based Force Sensors for Cell-Biological Studies
Nils Michael Kronenberg 1 Philipp Liehm 1 Anja Steude 1 Malte Christian Gather 1
1University of St Andrews St Andrews United Kingdom
Show AbstractMechanics is increasingly recognized as an important factor in numerous biological processes. Monitoring the mechanical properties of cells and tissue is considered a key factor to the understanding of a range of fundamental biological processes and may enable more accurate diagnosis in a range of diseases.
Today, Traction Force Microscopy (TFM) is the most widely-used method to investigate cellular forces exerted on 2D substrates. TFM is based on tracking the displacement of fluorescent particles within a hydrogel. Until recently, it was assumed that vertical forces can be neglected in the analysis of cell mechanics. However so-called 2.5D TFM studies have now shown that the out-of-plane and in-plane forces exerted by cells are of the same order of magnitude. Although 2.5D TFM has contributed to our understanding of vertical forces for different cell types, it suffers from several immanent limitations: The need to perform 3D confocal laser scanning for bead tracking and associated photo-toxic effects limit temporal resolution and restrict the field of view when performing time-lapse measurements. The need for interrogation boxes decreases the spatial resolution of 2.5D TFM and drastically reduces the vertical displacement information. The need to take zero-force images at the end of each experiment complicates the measurement further.
Here, we present a completely new approach to measure cellular forces which overcomes the limitations of 2.5D TFM by interferometrically detecting deformations of an elastic probe rather than by tracking bead displacement. The centrepiece of our innovation is a novel optical micro-cavity sensor that enables fast force mapping across a large field of view by analysing changes in resonance wavelength. Our approach avoids any phototoxic effects and therefore allows the measurement of cellular forces at high frame rates over hours or days.
Being based on wide-field imaging, our new method measures the deformation at each point of the image simultaneously and with diffraction limited lateral resolution. Vertical displacements are detected with accuracy far beyond conventional confocal microscopy (5 nm or better). Force maps can be recorded without the need for zero-force images, increasing throughput, eliminating the need to detach non-migrating cells after force mapping and allowing measurements of multiple cells on one substrate. Additionally, the optics needed for the readout of the new biosensor can be readily integrated with a conventional inverted microscope.
In this presentation we will discuss the fabrication of our micro-cavity sensors and provide detailed investigations of force and spatial resolution of the device by means of AFM indentation analysis. Cell mechanical measurements of different cell lines, including human neuronal cells and primary immune cells, will be presented and links between recorded force patterns and subcellular structures labelled by fluorescence staining will be discussed.
D9: DNA Detection with Nanopores
Session Chairs
Tuesday PM, December 02, 2014
Sheraton, 2nd Floor, Back Bay C
4:30 AM - *D9.01
Pores with Undulating Diameter for Multipronged Characterization of Single Particles in the Resistive-Pulse Technique
Laura Innes 1 Matthew Schiel 1 Ken Healy 1 Matthew Pevarnik 4 Ivan Vlassiouk 2 Kenneth J Shea 3 Luke Theogarajan 4 Zuzanna S Siwy 1
1University of California, Irvine Irvine USA2Oak Ridge National Laboratory Oak Ridge USA3University of California, Irvine Irvine USA4University of California, Santa Barbara Santa Barbara USA
Show AbstractSingle pores in resistive-pulse technique have been successfully used for the detection of cells, viruses, particles, and even molecules such as DNA and proteins. We have investigated application of pores with undulating diameter for the detection of particles and characterization of their physical properties. The resistive pulses generated by polymer spheres passing through these pores had a repeatable pattern of large variations corresponding to these diameter changes. We showed that this pattern of variations enabled the unambiguous resolution of multiple particles simultaneously in the pore, that it could detect transient sticking of particles within the pore, and that it could confirm whether any individual particle completely translocated the pore. These results have practical importance for increasing the speed of resistive-pulse sensing, optimizing the detection of specific analytes, and identifying particle shapes. We also showed pores with undulating opening diameter developed local pressure drops, which were sufficiently large to probe mechanical properties of passing objects. Application to hydrogels as well as biological cells will be discussed.
Methods to measure diffusion coefficient and electrokinetic velocity of individual particles will be presented in context of performing detection from diluted solutions of an analyte. The developed approaches are applicable to particles of different sizes, do not require fluorescence labeling or tracking, and are entirely based on ion current recordings. Balancing all forces acting on particles allowed us to observe random walk of individual particles in a pore and estimate their diffusion coefficient from the variance of diffusion velocities of a particle. Trapping of particles/cells for a controllable amount of time between few milliseconds and a few minutes will be presented as well.
5:00 AM - D9.02
Dual-Mode On-Chip Detection of Single lambda;-DNA Molecules
Shuo Liu 1 Lynnell Zempoaltecatl 2 Jinbo Zhu 1 Joshua W. Parks 1 Aaron R. Hawkins 2 Holger Schmidt 1
1University of California, Santa Cruz Santa Cruz USA2Brigham Young University Provo USA
Show AbstractOpto-electrical single particle detection with a nanopore sensor has attracted more and more attention recently for integrating two advanced single particle detection methods: fluorescence based single particle detection and electrical nanoparticle measurement with a nanopore sensor.
Liquid-core anti-resonant reflecting optical waveguides (ARROWs) have been widely used for optical single particle detection, manipulation and trapping. Their high optical sensitivity and capability for liquid flow inside the waveguide has enabled detection of fluorescently labeled nanoparticles and bio-particles, and their analysis using fluorescence correlation spectroscopy (FCS). In order to further advance the functionality of this optofluidic chip, we introduce a solid-state nanopore, an electrical configuration-sensitive sensor, into this chip. With the integration of a solid-state nanopore, ARROW-based devices become even more powerful as an opto-electrical single nanoparticle detector. A nanopore is fabricated and sized by ion beam milling and oxide deposition in the top oxide layer of the liquid-core waveguide. Nanoparticles can then be electrically detected while they are driven through the nanopore by an electrical force. Once the nanoparticles enter the channel, they can be excited by a laser and optically detected when they pass through an optical excitation area.
In this work, we demonstrate dual-mode correlated detection of individual lambda;-DNA molecules. A 20nm nanopore is milled on top of the liquid-core channel of an ARROW chip. The gating action of this nanopore sensor ensures that only one lambda;-DNA molecule is loaded into the channel through the nanopore at any given time. The electrical current blockade depth and duration show a linear dependence on the driving voltage. The lambda;-DNA molecules are labeled with SYBR Gold intercalating dye and are optically detected when the flow in the channel brings them to the excitation area. The cross-correlation analysis between the electrical and the optical signals shows that they are strongly correlated, which makes it possible to study an individual molecule electrically and optically in the future. The measured correlation peak is located at a delay of 0.179s, which is also the travelling time for the lambda;-DNA molecules to move from the nanopore to the optical excitation area. Since the travelling distance is known, the flow speed in the channel can be calculated to be around 8.4 mm/s.
In summary, we observed dual mode opto-electrical detection of single lambda;-DNA molecules on an ARROW-nanopore chip. Cross-correlation and FCS analysis reveal the flow velocity inside the channel. This platform is promising for uncovering more properties of individual molecules in future lab-on-a-chip applications based on powerful dual-modality detection capability.
5:15 AM - D9.03
A Silicon Nanopore Device for On-Chip Patch Clamp Measurements of Single Ion Channel Activity
Lisa Plucinski 1 Yi Chen 1 Gang Logan Liu 1
1University of Illinois Urbana USA
Show AbstractThe development of new methods for measuring and manipulating neural activity is of high interest because advances could lead to an increased understanding in neuron and neural circuit functioning. High throughput electrophysiology for ion channel screening would also have a significant impact on the development of novel drugs that target the central nervous system. Traditional patch clamping, based on the formation of a high resistance seal between a glass micropipette and a cell membrane, provides highly detailed electrophysiological information. However, in addition to being time-consuming and laborious, it is prone to biochemical and mechanical instability. Current planar patch clamp chips are not compatible with single channel recordings due to their larger apertures. We have developed a novel silicon nanopore planar patch clamp that is ideal for single ion channel applications because the nanopore apertures minimize cell capacitance, reduce cell membrane damage, and allow for work with smaller cells. Here we discuss the fabrication, characterization, and application of our patch clamp device. Our wafer-scale fabrication is based on anisotropic wet-chemical etching methods. KOH etching is used to form thin silicon membranes and the nanopores are made by metal-assisted etching with assembled silver nanoparticles. Following packaging of our patch clamp chips in a dual-chamber setup, electrical characterization of our devices confirms that the shunt capacitance and access resistance fulfill the requirements currently in place for single channel patch clamp recordings. We have tested our devices with the human neuron-like SH-SY5Y cell line that we cultured and differentiated on-chip. Patch clamp measurements are made by connecting Ag/AgCl reference and measuring electrodes to an A-M Systems Model 2400 patch clamp amplifier through a headstage. The cell membrane current is recorded and command voltages are delivered using a National Instruments data acquisition system and Strathclyde Electrophysiology Software. In our experiments, we first ensure that a spontaneous high resistance seal has formed between the cell membrane and nanopore apertures by monitoring the cell membrane current while applying 2mV voltage steps. Our recordings of current with respect to time show single ion channel behavior, such as switching between distinct open and closed states and an increase in ion channel activity with increasing command voltages.
5:30 AM - D9.04
Self-Limiting Shrinkage of Nanopore Arrays on A Silicon Membrane for DNA Sequencing
Miao Zhang 1 Torsten Schmidt 1 Ilya Sychugov 1 Jan Linnros 1
1KTH Royal Institute of Technology Kista Sweden
Show AbstractSolid-state membranes with nanometer-sized pores (nanopores) have drawn great attention because of its promising application potential, such as DNA or RNA sensing or sequencing with single-molecule resolution. Nowadays, individual nanopores can be routinely fabricated one by one by TEM-drilling. But to enable parallel sequencing with high throughput an array of nanopores is required.
Here, we present a simple but reliable approach to fabricate nanopore arrays in silicon with nanometer precision by means of standard semiconductor cleanroom processes [1]. Free-standing Si membranes, 100 µm in diameter and 300 nm thick, were fabricated on an SOI wafer using the Bosch process of inductively-coupled plasma (ICP) etching. Then, using either optical or e-beam lithography sub-micro-scale patterns with controlled pitch distance were defined on the membrane front side which were subsequently etched by KOH anisotropic etching. Thus, inverted pyramidal openings formed. Then, the pyramidal openings were shrunk by dry thermal oxidation at 850 °C. The shrinkage rate of the openings with initial widths ranging from 58 nm to 345 nm slowed down significantly with time and saturated after 4 hours. In the saturation regime, the shrinkage rate is within ±2 nm/h, which gives us nanometer precision to tune the pore size. Thus oxidized pores with diameters as small as 8 nm were obtained with prefect circular shape. In addition, the pore size distribution did not get broadened by the thermal oxidation process, in most cases the spread of pore size distribution even decreased due to the stress-related retardation in oxidation of the non-planar silicon structures. Investigation of cross sections of the pores suggests that the initial inverted pyramidal structure is most probably the cause of self-limiting shrinkage, while the circular shape is probably formed due to the oxide flow at the pyramid tips.
Further, DNA translocation experiments were carried out on the silicon nanopore arrays. Oligonucleotides with different lengths, labeled with ATTO532 and DY521-XL fluorophores respectively, were inserted into the cis-chamber. By diffusion or driven by bias, the labeled oligonucleotides passed through the nanopores and were excited by a single laser source at the trans-chamber side. A beam splitter was mounted in the optical path between the microscope and the CCD camera to split the incident beam into two channels by wavelengths, thereby single molecule translocation with two colors was studied simultaneously on the nanopore arrays.
[1] Zhang M, Schmidt T, Sangghaleh F, Roxhed N, Sychugov I and Linnros J, Oxidation of nanopores in a silicon membrane: self-limiting formation of sub-10 nm circular openings (submitted to Nanotechnology)
5:45 AM - D9.05
Translocation of Single Stranded DNA through Nano-Cylindrical PEO Passage Self-Assembled by Amphiphilic Block Copolymer
Hiroshi Yoshida 1 Rena Akahori 2 Yasuhiko Tada 1 Shohei Terada 1 Takanobu Haga 2 Motonori Komura 3 Tomokazu Iyoda 4
1Hitachi Ltd. Hitachi Japan2Hitachi Ltd. Kokubunji Japan3Numazu National College of Technology Numazu Japan4Tokyo Institute of Technology Yokohama Japan
Show AbstractAdvances in solid-state nanopore sensors are positioning them as a promising technique for label-free DNA sequencing. One of the major issues still to be overcome is to reduce translocation speed of DNA molecules, so that the nucleotides can be distinguished in adjacent order with high accuracy. In this paper, we report a novel approach for slowing DNA translocation by applying a nano-cylinder occupied by hydrophilic polymer chains as a transport channel for DNA molecules. A block copolymer composed of polyethylene oxide (PEO) and poly(methacrylate) bearing azobenzene mesogens in their side-chains (PMA(Az)) was applied to self-assemble ordered array of hydrophilic PEO cylinders (diameter: 2 nm, spacing: 28 nm) in hydrophobic PMA(Az) matix.1,2 Sensor chips were fabricated by coating the block copolymer thin film on SiN membrane with a pore (diameter: 30 ~ 160 nm) and assembling the PEO cylinders perpendicularly on the membrane. The PEO cylinders, which were aligned on the underneath pore served as translocation passages, number of which was limited to single to 30 by varying the pore diameter. The translocation of single stranded DNA fragments through the PEO cylinders was studied following the standard method3. The sensor chip was positioned in between two flow cells filled with buffer solution containing 1M KCl. Single stranded poly(deoxyadenylic acid) (ss-poly(dA), 1kb) was introduced into the cis-side of the cell and translocated through the censer chip by applying bias potential. The translocation events were clearly detected as blockades / enhancements in the ionic current. The velocity of the ss-poly(dA) was statistically evaluated from the duration time of the translocation events and was measured to be in the range of 10 ~ 100 µsec/base. The translocation velocity of the single stranded DNA demonstrated in the current study was 1 to 2 orders slower than that achieved by conventional solid-state nanopore censors. Although further studies are required to fully reveal the physical mechanism, we consider that the effect was caused by the interaction between DNA molecules with dense PEO chain network filling the nano-sized cylinder. As one end of the PEO chains are fixed on the interface between the PEO cylinders and the surrounding PMA(Az) matrix, swelling of the PEO chains with the buffer solution is suppressed, maintaining the density of the PEO chains in wet state comparable to that of the dried state. Finally, it should be emphasized that developed method applying self-assembly enables to fabricate nanopore devices with ease and robustness, which is advantageous for the mass production. 1 Y. Tian et al., Macromolecules35, 3739 (2002). 2 T. Yamamoto et al., Adv. Funct. Mater.21, 918 (2011). 3 R. Akahori et al., Nanotechnology in press.
D10: Poster Session II
Session Chairs
Tuesday PM, December 02, 2014
Hynes, Level 1, Hall B
9:00 AM - D10.01
Enhanced Interferometric Detection of Individual Metallic Nanorods for Multiplexed Single-Molecule Assays
Derin Sevenler 1 George Daaboul 1 2 Ronen Adato 2 Abdulkadir Yurt 3 2 M Selim Unlu 2 1 Jacob Trueb 1
1Boston University Boston USA2Boston University Boston USA3Boston University Boston USA
Show AbstractIntroduction: We previously showed a simple, multiplexed, highly sensitive and rapid diagnostic platform based on the single-particle Interferometric Reflectance Imaging Biosensor (sp-IRIS), which has potential applications for inexpensive screening of low-concentration biomarkers for various diseases. Now we present a new method for enhancing the optical signal strength of asymmetrical nanorods detected using sp-IRIS by about a factor of about 10, and which thereby increases the speed & multiplexing capability of the platform ~100 fold.
Materials and Methods: The sp-IRIS platform performs homodyne interferometry using an inexpensive substrate and simple optical instrument, allowing nanoparticle labels to be substituted for fluorescent labels in nucleic acid and protein microarray format assays. In addition to having greater optical and chemical stability, individual metallic nanoparticles can be detected using sp-IRIS, enabling much much higher sensitivity to be achieved. However, this system currently requires a high-magnification objective (usu. 50x, 0.8 NA) and therefore has a limited field of view (~ 200x200 microns). Stage translation is required to view a larger sensor area, and a tight focal depth (<1um) means that automated refocusing is required at every position, slowing the scan speed.
The optical signal from gold nanorods was enhanced by selectively blocking some of the light from spectral reflection and isotropic scatterers. This was accomplished by illuminating the sensor surface with circularly polarized light. An analyzer (a second quarter wave plate and liner polarizer) is added to the collection path, blocking most circularly polarized light. Nanorods are anisotropic scatterers, so light scattered from each nanorod is more elliptically polarized and partially passes through the analyzer. To test this, 10x60 nanorods were functionalized with a synthetic DNA primer and used to label complementary synthetic DNA spotted onto an IRIS substrate.
Results and Discussion: The optical signatures of the nanorods were enhanced by a factor of about 10 with the addition of polarization optics. Unexpectedly, the nanorods exhibited both positive (brighter) and negative (darker) contrast with respect to the background. We performed SEM on these samples and determined that these different signatures were not the result of nanorod aggregates or other foreign material, and are performing numerical simulations to characterize this phenomenon. The use of a lower NA objective not only improves acquisition speed by increasing the field of view but also by relaxing the Z resolution requirement on the autofocus system, which currently accounts for the majority of the acquisition time. Based initial results we predict that the field of view could be further increased to about 1x1mm by using a 10x objective.
9:00 AM - D10.02
Highly Sensitive Micro-Pattern Organic Pressure Sensor and the Applications of Biomedical Engineering
Zongrong Wang 1 Paddy Kwok Leung Chan 1
1The University of Hong Kong Hong Kong Island Hong Kong
Show AbstractPressure sensors are essential components of many different engineering devices such as touch panels, blood pressure transducers or force gauges. Pressure sensors with wearable properties, low operating power and manufacturing cost are in a great demand for the next generation of remote sensing applications. Here, we proposed highly sensitive piezo-resistive pressure sensors with 50mu;m thick PDMS patterned by different grit size of silicon carbide micro-particles. High conductivity polymer is spin-coated on top of patterned PDMS as active layer and the in-plane piezo-resistive pressure sensors are built on top of patterned ITO glass. To have an accurate and evenly distributed pressure on the device, a small piece of silicon is mounted on back of PDMS, and different forces are applied onto silicon by TriboIndenter. The sensitivity (S) under different pressure (P) is calculated by the equation: S=(Iload-I0/I0)/ΔP. High sensitivity of about 150 kPa-1 with operating voltage of 0.1V can be easily achieved for the pressure sensor devices with different size of micro-patterned PDMS. The pressure sensor is further integrated onto the outer wall of polymer circular duct with fluid flow to mimic the blood pressure sensing operation for health monitoring purposes.
9:00 AM - D10.03
A Novel Nano-Platform for Biosensing Parabens
Daysi M Diaz 1 Juan C Beltran-Huarac 2 Glesmarie Ortiz-Zayas 1 Liz Diaz-Vazquez 1 Brad Weiner 1 3 Gerardo Morell 2 3
1University of Puerto Rico San Juan USA2University of Puerto Rico San Juan USA3Institute for Functional Nanomaterials San Juan USA
Show AbstractThe ubiquity of endocrine disruptor chemicals (EDCs) in consumer products and natural waters make them an emerging public health concern. A wide range of substances are thought to cause endocrine disruption including the most highly used preservatives, parabens. A quick and effective means of screening for EDCs is seriously needed. In this study, a highly sensitive detection method for parabens based on the immobilization of horseradish peroxidase (HRP) with ZnS:Mn/graphene nanocomposite in a platinum electrode is investigated. ZnS:Mn are fabricated by a co-precipitation approach and then electro-deposited onto graphene. Scanning electron microscopy, X-ray photoelectron spectroscopy, and FTIR are used to characterize the hybrid material. The enzymatic reaction for detecting the parabens is governed by their oxidation through HRP. In such reaction, parabens are oxidized to quinonodoic forms, which are eventually reduced by an applied potential, generating a cathodic current. The accuracy in this procedure is verified by the measurement of cathodic current produced in free solution state under different concentrations of parabens. The effect of the chain length in the R-substituent will be also presented. This piece of research represents an important approach towards the development of electrochemical tools critical for the detection of parabens, which are widely employed in the self-care products recently associated to cancer-related issues and classified as EDCs.
9:00 AM - D10.04
Electronic Monitoring of a 3D In Vitro Model of the Renal Tubule with Integrated Microfluidics
Vincenzo Curto 1 Miriam Huerta 1 Marc Ramuz 1 Jonathan Rivnay 1 Robin Serougne 1 Xenofon Strakosas 1 Roisin Owens 1
1Ecole des Mines de St. Etienne Gardanne France
Show AbstractThere is an urgent need to move away from using animal models for toxicological testing as well as pharmacological evaluation of chemical substances, driven both by societal distaste and also the large cost of using animals. The alternative to testing on animal models is the use of cell-based models in vitro. However, the validity and predictive ability of in vitro based models has been shown to be poor and results in significant failures of drugs with a high associated price-tag. Limitations in technology are a major factor in the failure of the cell-based models. We take advantage of recent advances in materials research and tissue engineering to yield a highly performant 3D model of the kidney tubule integrated with microfluidics and in-line monitoring with on-board electronics. The system has been designed in a 12-well plate format, with simultaneous acquisition to allow medium-throughput screening. We demonstrate multi-parameter monitoring of relevant parameters to predict renal tubule toxicology, including TEER, and glucose levels, under fluidic conditions. Our system is compatible with high-resolution imaging and has potential for in situ immunofluorescence staining. In addition analysis of secreted biomarkers is possible by periodic sampling of efflux from the microfluidic chambers. We have monitored both canine and human kidney proximal tubule epithelial cells, in the presence or absence of endothelial cells to more closely mimic in vivo conditions.
9:00 AM - D10.05
Flexible Microelectrode Array for Cortical Recording of Optogenetically Induced Absence Seizure
Seung Hyun Lee 1 Han Eol Lee 1 Keon Jae Lee 1
1Korea Advanced Institute of Science and Technology Yuseong-gu Korea (the Republic of)
Show AbstractDefining the neural dynamics and functional organization of the brain cannot be overemphasized for principle and therapeutic investigation. In particular, optogenetics is an advanced tool for controlling and analyzing specific neural circuits using light and light-sensitive proteins within neuron. Compare to electrical stimulation, the major advantage of optogenetics is high resolution and in-depth analytical ability of neuronal circuitry by activating targeted neuronal populations. In this method, light-sensitive proteins called opsins such as Channelrhodopsin 2 (ChR2) and Halorhodopsin (NpHR) are delivered to the certain cells, and which can be activated by ~470 nm and ~580 nm wavelength of light, respectively.
Until now, our requirements for neurological research, diagnosis and therapy, and BMI (brain machine interface) have been continuously increased and the flexible electrode has been one of the most attractive candidates for conformal neural electronics. Moreover, the flexible micro electrode array will be a new evolution in optogenetic technology as a recording system.
Generally, optogenetics basically is composed of opsins, illuminating light sources and recording techniques. In order to evaluate the neural network by light stimulation, it is essential to read out the responsible signals from neurons. There are several tools to record the electrical signal of neuron such as patch clamp and metallic electrodes based silicon probes. However, traditional tools have limitations as temporary or narrow analysis and tissue damage. These obstacles can be overcome by flexible electrode, because the recording device is highly dependent on the interface properties between the electrodes and brain. Especially for real time, long term and in depth analysis of neural dynamics, flexible multielectrode array is very appropriate to improve electrochemical stability, biocompatibility, conformal coverage and broad area exploration.
Here we report the flexible microelectrode array applied to cortical surface directly as electrocorticogram(ECoG) recording tools, which is combined with optical fiber for light stimulation. By using this device, systematic cortical responses from optogenetic inhibition of mouse ventral thalamus were investigated, providing us widespread absence seizure signals from large cortical area, which would be impossible with focal area recording techniques. Combining flexible electrode with optogenetics may be helpful on interpreting previous studies using optogenetic tools, providing us new windows on how information processed in our brain.
9:00 AM - D10.06
B-Ionic Skin: A Highly Stretchable, Biocompatible and Transparent Platform for Sensory Perception of Soft Machines
Jeong-Yun Sun 1 Christoph Keplinger 2 Juil Yoon 3 George M. Whitesides 2 Zhigang Suo 4
1Seoul National University Seoul Korea (the Republic of)2Harvard University Cambridge USA3Hansung University Seoul Korea (the Republic of)4Harvard University Cambridge USA
Show AbstractInspired by biological systems soft machines with increasing functionality are emerging, entailing the need for sensor skins with features in addition to stretchability, such as transparency and biocompatibility. This manuscript explores ionic conductors in the form of highly stretchable, biocompatible and transparent films of hydrogel, which sandwich transparent elastomer membranes, as capacitive sensors for strain and pressure. The performance of these sensors is well predicted by theory and experiments show the ability to measure uniaxial strains, biaxial strains and pressure with high sensitivity, cyclic stability and a large measurement range. We demonstrate a transparent, wearable sensor to monitor the bending of a finger and build a transparent, stretchable touchpad to detect the location as well as the pressure of a touch by a finger. The design of the ionic sensors is simple, robust and low-cost, whereas the performance surpasses many parallel approaches based on electronic conductors. Gels can also be designed to react to additional external stimuli such as temperature, humidity and light.
9:00 AM - D10.07
Wireless Bacteria Sensor Based on Carbon Materials
Rafael Velazquez-Vicente 1 Barbara Judith Avalos-Cavero 2 Rebeca Belen Rivera-Vazquez 1 Jorge Santiago-Jordi 1 Axel Ruben Arroyo 3 Mariel Jimenez-Rodriguez 1 Alejandra Guevara 2 Jennifer Gil-Acevedo 4 Khaled Habiba 1 Laura Lizeth Mendez-Santacruz 1 Frank Mendoza 1 Javier Avalos 5 Brad Weiner 3 Gerardo Morell 1
1University of Puerto Rico, Rio Piedras Campus San Juan USA2University of Puerto Rico San Juan USA3University of Puerto Rico San Juan USA4University of Puerto Rico San Juan USA5University of Puerto Rico Bayamon USA
Show AbstractHospital and health communities need a more effective electronic bio-interface to better reduce or eliminate bacterial threat. The biosensor industry fabricates solid devices that are not suitable for biological surfaces such as buccal gums, skin or any other humid surface. The biological surfaces mentioned above are irregular, for that reason the sensor must possess the ability to attach conformally to such surfaces. In order to solve this problem, we propose a flexible resonance wireless sensor that will detect bacteria and their population density. The bio-sensor consists of a printed radiofrequency wireless sensor made of gold, to which graphene, micro and nanocrystalline diamond were incorporated. This part of the sensor will be attached into a silk water-soluble based substrate; this device will neither work with any batteries nor use wire to transfer the information from the bio-sensor to another device. The silk water-soluble based substrate will allow the biotransfer of the sensors onto the biomaterials in a simple and efficient manner. Preliminary results have shown that when exposing the nano and microcrystalline diamond to bacteria a change in resistivity of the material is observed, this will produce changes in the radiofrequency response. This innovative platform to build nanosensors for biomedical applications will improve bioelectronics for interface monitoring.
9:00 AM - D10.08
Quantitative Analysis of Chemical Reaction in Microdroplets with Different Sizes Containing CdSe/ZnS Quantum Dot and Organic Dye
Takeshi Fukuda 1 Tomokazu Kurabayashi 1 Hikari Udaka 1 Dong Hyun Yoon 2 Miho Suzuki 1 Asahi Nakahara 2 Tetsushi Sekiguchi 2 Shuichi Shoji 2
1Saitama University Shimo-Okubo, Sakura-ku Japan2Waseda University Okubo, Shinjuku Japan
Show AbstractMicrofluidics technologies have been interested as a basic platform in chemical synthesis, offering several advantages. This is because that the chemical reaction rate can be accumulated owing to the reduced mass-transfer limitation by promoting mixing and dispersion for the multiple phases. Previous researches demonstrated that several types of real time monitoring methods for the microdroplet; however, a qualitative evaluation is now under investigation. In this research, the fluorescence spectrum of the microdroplet was used to monitor the chemical reaction rate between the CdSe/ZnS quantum dot (QD) and the organic dye through the fluorescence resonance energy transfer process for quantitative analysis. Especially, the relationship between the droplet size and the chemical reaction rate was investigated to understand the reaction phenomena in the microdroplet.
The amino-functionalized CdSe/ZnS QD and 5(6)-carboxynaphthofluorescein succinimidyl ester were used as reagents in the microdroplet, and they were dispersed in a borate buffer solution. The purchased buffer solution containing CdSe/ZnS QD (100 µL, 8 µM, pH 8.3) was exchanged with a borate buffer solution (400 µL, 40 nM, pH 9.5) using gel filtration (NICK column), and the resulting solution was diluted to a concentration of 40 nM. In addition, a mineral oil was used as a carrier to form the microdroplet containing the CdSe/ZnS QD and the organic dye. A fluorescence optical microscope (IX7, Olympus) was used to align a measurement point in the microfluidic device corresponding to the reaction time from the mixing point, and the fluorescence spectrum was measured using a spectrofluorometer (USB4000, Ocean Optics). The fluorescence intensity ratio was calculated as the fluorescence peak intensity of the donor (CdSe/ZnS QD) divided by that of the acceptor (organic dye).
The fluorescence spectrum of microdroplets showed two peaks at 605 (CdSe/ZnS QD) and 670 nm (organic dye), and the fluorescence intensity ratio corresponds to the number of the connected organic dyes around the CdSe/ZnS. The chemical reaction process can be estimated as the fluorescence intensity ratio, and the experimental result indicates that it was proportional to the reaction time. In addition, the droplet size was changed by changing the flow rate of the mineral oil, and the estimated area of the microdroplet was ranged from 1.18 to 1.26 x 10-8 m2. The chemical reaction rate between the CdSe/ZnS QD and the organic dye continuously increased with decreasing area of the microdroplet. This result indicates that the limited reaction field causes the fast chemical reaction speed of reagent in the microdroplet.
In conclusion, the real time monitoring method to monitor the chemical reaction speeds in the microdroplet using the fluorescence spectroscopy. The chemical reaction rate is found to be sensitive to the reaction field in the microdroplet, and the all the chemical reaction is finished within 1 s.
9:00 AM - D10.09
Fabrication of Nano- to Micron-Sized Patterns Using Zeolites: Its Application in BSA Adsorption
Salih Kaan Kirdeciler 1 2 Can Oezen 3 2 Burcu Akata 1 2
1Middle East Technical University Ankara Turkey2Middle East Technical University Ankara Turkey3Middle East Technical University Ankara Turkey
Show AbstractNano to micron-sized zeolite A (Z-A) and silicalite (Z-SIL) patterns were generated using the combinations of electron beam lithography (EBL) or photolithography (PL) with direct attachment method to be able to generate differentiated areas on a single surface in a cheap and facile way. The possibility to generate minimum sized zeolite patterns on top of zeolite monolayers was investigated by using EBL to understand the capability of the system for the first time. Also generation of large scale zeolite patterns on top of a different zeolite monolayer was investigated by using PL allowing the generation of differentiated surfaces for various potential applications such as selective adsorption studies. With this combination, it was shown that creating 3D zeolite architectures of different types with a perfect control in all dimensions was possible without the using any chemical linker. In order to test the potential different behaviors that zeolites of different properties are offering in the adsorption of biomolecules, zeolite patterned surfaces developed by PL were subjected to adsorption studies with bovine serum albumin (BSA). Irrespective of zeolite type, BSA always preferred the patterned regions rather than the underlying zeolite monolayers. It can be speculated that the obtained difference in roughness values facilitates the protein to be selectively adsorbed onto surfaces with increased roughness, i.e., the patterned regions. Moreover, we observed similar to 2-fold fluorescence intensity difference between Z-SIL and Z-A patterns, which were subjected to FITC-BSA solution. Hydrophobic interactions and charge repulsion are considered as two critical forces responsible for the observed adsorption differences.
9:00 AM - D10.10
A Label-Free Aptamer-Fluorophore Assembly for Highly Sensitive and Specific Detection of Cocaine
Daniel Roncancio 1 Shuo Wu 1 2 Haixiang Yu 1 Xiaowen Xu 1 Ran Liu 3 Joshua Debord 1 Xinhui Lou 3 Yi Xiao 1
1Florida international University Miami USA2Dalian University of Technology Dalian China3Capital Norman University Beijing China
Show AbstractWe report a rapid, sensitive and specific aptamer-based method for one-step cocaine detection with minimal reagent requirements. The feasibility of aptamer-mediated detection has been demonstrated with sensors that operate via either ‘signal-on&’ or ‘signal-off&’ target-induced conformational change mechanisms, but existing implementations of both approaches have exhibited limited target sensitivity. We have discovered that cocaine-binding aptamer also binds the fluorescent molecule 2-amino-5,6,7-trimethyl-1,8-naphthyridine (ATMND) with nanomolar affinity to quench its fluorescence, and determined that both ligands bind the same pocket within the aptamer. Based on this finding, we have devised a new detection strategy that relies on site-to-site displacement of ATMND from the aptamer by cocaine as a result of competitive binding. We introduced a series of sequence changes into the previously-identified MNS-4.1 to engineer a new cocaine-binding aptamer that exhibits 18-fold greater affinity for ATMND than for cocaine. In the absence of cocaine, ATMND fluorescence is quenched while it remains trapped within the binding pocket. However, since cocaine binds the same pocket, it competitively displaces the ATMND and thereby generates an intense fluorescent signal. We demonstrate that our sensor can detect cocaine at room temperature within seconds at concentrations as low as 200 nM, matching or exceeding the sensitivity of assays that require considerably more time and preparation.
9:00 AM - D10.11
Amplified Single Base-Pair Mismatch Detection via Aggregation of Exonuclease-Sheared Gold Nanoparticles
Yi Xiao 1 Shuo Wu 3 Pingping Liang 1 Haixiang Yu 1 Xiaowen Xu 1 Yuan Liu 1 Xinhui Lou 2
1Florida International University Miami USA2Capital Normal University Beijing China3Dalian University of Technology Dalian China
Show AbstractSingle nucleotide polymorphism (SNP) detection is important for early diagnosis, clinical prognostics and disease prevention, and a rapid and sensitive low-cost SNP detection assay would be valuable for resource-limited clinical settings. We present a simple platform that enables sensitive, naked-eye detection of SNPs with minimal reagent and equipment requirements at room temperature within 15 minutes. SNP detection is performed in a single tube with one set of DNA probe-modified gold nanoparticles (AuNPs), a single exonuclease ( Exo III), and the target in question. Exo III&’s apurinic endonucleolytic activity differentially processes hybrid duplexes between the AuNP-bound probe and DNA targets that are perfectly matched or contain a single-base mismatch. For perfectly matched targets, Exo III&’s exonuclease activity facilitates a process of target recycling that rapidly shears DNA probes from the particles, generating an AuNP aggregation-induced color change, whereas no such change occurs for mismatched targets. This color change is easily observed with as little as 2 nM target, 100-fold lower than the target concentration required for reliable naked eye observation with unmodified AuNPs in well-optimized reaction conditions. We further demonstrate that this system can effectively discriminate a range of different mismatches.
9:00 AM - D10.12
Implantable Fiber Optic Biosensors Integrated in Cardiovascular Catheters for Long-Term Blood Monitoring
Hao Wang 1 Jun Li 1 John Victor 1 Zheng Zhang 1
1Teleflex Medical Cambridge USA
Show AbstractIntravascular fiber optic sensors have been designed to monitor physiological factors such as oxygen, pH, CO2 and glucose. However, it has been well recognized that the accumulation of proteins, blood cells, and thrombi on the surfaces in the blood stream can impair the optical signal collection, especially during a long-term monitoring. In this study, a prototype fiber optic sensor is developed and integrated within a cardiovascular catheter to monitor rapid oxygen oscillations in blood. The whole system from the catheter to the sensor tip is modified to resist thrombus formation and achieve a continuously recording.
The sensor probe is made by a polymeric optical fiber which can be integrated in a cardiovascular catheter. The tip of the optical fiber is encapsulated by a fluorophore-doped polymer. The fluorophore, usually a platinum complex, can be quenched by oxygen. The optical fiber is connected to a phase measurement system and the signal change is recorded with a computer.
The catheter is made of a polyurethane and modified with a betaine polymer to resist thrombus formation. The encapsulating materials are chosen to have an enough oxygen permeability and compatible with in vivo environment. The tip of the optical fiber is also modified to resist protein adsorption and cell attachment. The fibrinogen adsorption on the system is evaluated by a radio-labeled protein adsorption test. The whole blood test is performed using whole bovine blood in a blood-loop test, and the attachment of the radio-labelled platelet is also measured. A Porcine model is developed to evaluate in vivo performance of the biosensor and compared it with an unmodified sensor.
9:00 AM - D10.13
The Effect of Selective Fibronectin Nanoconfinement on Human Dental Pulp Stem Cells Adhesion
Grazia Maria Lucia Messina 1 Ayse Karakecili 2 Giovanni Marletta 1
1University of Catania Catania Italy2Ankara University Ankara Turkey
Show AbstractIn this study, the combinatory effect (biophysical and biochemical) of nanowells functionalized with the human plasma Fibronectin (Fn) on human dental pulp stem cells&’(hDPSCs) behavior is investigated. Nanowells with well defined nanotopography and two different pitch sizes (109 nm and 341 nm) were prepared on polyhydroxymethylsiloxane (PHMS) by using colloidal nanolithography. Fn was physisorbed on the nanopatterned PHMS surfaces, protein distribution and preferential adsorption was determined by QCM-D and AFM. The results showed that Fn adsorption depends on nanostructure pitch size, and mainly attach on hydrophobic surface regions. Human dental pulp stem cells (hDPSCs) behaviour on nanowells with or without Fn adsorption in the presence and absence of serum, is characterized by scanning electron and fluorescence microscopy, immunofluorescence staining and reverse transcription quantitative real-time PCR (RT qPCR). Cell attachment was affected by the size of the nanowells. At serum-free conditions attachment was observed on 341nm, but not on 109nm-wells. On Fn functionalized surfaces, cells were able to penetrate inside 341nm nanowells and recognize the presence of Fn molecules. On the contrary, no cell penetration was seen on 109nm-wells, but cells formed strained bundles on the edge of the nanowells. RT qPCR analysis showed that the surface nanotopography and Fn could influence the expression of SIRT1 and EGR1. Remarkably, the expression of SIRT1 and EGR1 was affect by the presence of serum in the cell culture medium. Whereas at serum-containing conditions, a higher SIRT1 and EGR1 expression was found on 109+Fn than on 341+Fn surfaces, when compared to serum-free culture.
9:00 AM - D10.14
Filamentous Bacteriophage as Scaffolds for Enhanced Enzyme Linked Immunosorbent Assays (ELISA)
Michael Brasino 1 Jennifer Cha 1
1CU Boulder Boulder USA
Show AbstractFor early detection of many diseases, it is critical to diagnose extremely small amounts of biomarkers in blood or serum. At the same time, in order for the sensors to be technologically relevant, it is just as important to consider the ease at which the assays can be run by non-experts in the field. While nanotechnology has for example enabled methods to detect molecules at near single molecule levels, these assays are typically difficult to run without specialized equipment or routinely and quickly by health care workers. The enzyme linked immunosorbent assay (ELISA) is currently the most common method for detecting biomarkers, yet is incapable of detecting the small amounts of biomarkers indicative of many early disease states. We demonstrate here that a dually modified filamentous bacteriophage can be used as an inexpensive reagent that enhances ELISA sensitivity by simultaneously binding to a biomarker and many signaling enzymes. These filamentous phage have been engineered to bind to antibodies specific for medically relevant antigens by expressing a large genomic fusion on the 3-5 minor coat proteins present at the end of the capsid filament. Meanwhile, the roughly 4000 major coat proteins that coat the sides of the filament are conjugated with biotin groups that bind to avidin-conjugated signaling enzymes. Once conjugated to a targeting antibody, the phage antibody complex has been shown to have a similar dissociation constant to an antigen as the antibody alone. Moreover, because the antibody-phage complexes can be conjugated with thousands more biotin groups then the antibody alone, when integrated into a common ELISA protocol, the antibody phage yield significant increases in signal over that obtained using detection antibodies alone. The overall stability of the viruses in varying environments as well as their low production cost lends to their viability and translation into real-world technological applications as biosensors.
9:00 AM - D10.15
Refilling Drug Delivery Depots through the Blood
Yevgeny Brudno 1 2 Eduardo E Silva 2 1 3 Michael Aizenberg 1 David J Mooney 1 2 Cathal Kearney 1 2 4
1Harvard University Somerville USA2Harvard University Cambridge USA3University of California Davis Davis USA4Royal College of Surgeons in Ireland Dublin Ireland
Show AbstractLocal drug delivery depots have significant clinical utility, but there is currently no non-invasive technique to refill these systems once their payload is exhausted. Inspired by the ability of nanotherapeutics to target specific tissues, we hypothesized that blood-borne drug payloads could be modified to home to and refill hydrogel drug delivery systems. To address this possibility, hydrogels were modified with oligonucleotides (ODNs) that provide a target for drug payloads in the form of nanoparticles carrying complementary ODNs. Coupling ODNs to alginate nanoparticles led to specific binding to complementary-ODN-carrying alginate gels in vitro, and to injected gels in vivo. When coupled to a drug payload, sequence-targeted refilling of a delivery depot consisting of intra-tumor hydrogels completely abrogated tumor growth. These results suggest a new paradigm for nanotherapeutic drug delivery, and this concept is expected to have applications in refilling drug depots in cancer therapy, wound healing and drug-eluting vascular grafts and stents.
9:00 AM - D10.16
Colorimetric Detection of Small Molecules via Morphologically-Varied Growth of Aptamer-Functionalized Gold Nanoparticles
Jun Hui Soh 1 2 Yiyang Lin 1 Jackie Y. Ying 2 Molly M. Stevens 1
1Imperial College London London United Kingdom2Institute of Bioengineering and Nanotechnology Singapore Singapore
Show AbstractSmall molecules are important analytes in diagnostics, environmental and food sampling, and drug screening. Traditional methods to detect small molecules include chromatography and spectroscopy, and usually require complex sample preparations, long testing cycles, expensive equipment and trained personnel. Therefore, facile and rapid colorimetric methods are needed for fast and robust signal transduction, especially at the point-of-care and resource-limited areas.1 Aptamers emerged as popular biomaterials for small molecule sensing as they selectively bind a multitude of targets with high affinity. Particularly, aptamer-functionalized gold nanoparticle (Au NP) probes for small molecules detection are developed, as the plasmonic properties of Au NPs enable ultrasensitive biosensing.2 However, current colorimetric methods are mostly not applicable in complex fluids, as they rely on Au NP aggregation, which is interfered by salt and proteins in such fluids. Here, we present a sensitive colorimetric assay for the naked eye detection of small molecules using aptamer-functionalized Au NP probes. In the presence of targets, specific aptamer-target interaction triggers desorption of aptamers from Au NP surface. Target concentration affects the amount of aptamers remaining. Subsequent growth of the Au NP probes (~5 nm) via Au3+ reduction tunes the morphology, and hence color,3 of Au NPs. Spherical Au NPs (red color) are obtained when aptamer coverage is low, whereas branched NPs (blue) are obtained when aptamer coverage is high. The morphological changes of grown Au NPs is accompanied by peak shifts of local surface plasmon resonance (LSPR), which can also be utilized to detect small molecules. Our assay allows target detection in complex and physiologically-relevant fluids with simple preparation steps for rapid result turnover. We could visually detect color changes from 0.1 nM of ochratoxin A in red wine samples, and 0.1 nM of cocaine and 0.02 nM of estradiol, in synthetic urine and saliva respectively. The detection limits are well within clinical and physiological range, and below maximum limits for food samples. Furthermore, other targets can be detected by using their specific aptamers, making our assay sensitive, rapid and versatile.
1. Stevens, M. M.; Mecklenburg, G. Polymer International 2012, 61, (5), 680-685.
2. Howes, P. D.; Rana, S.; Stevens, M. M. Chemical Society reviews 2014, 43, (11), 3835-53.
3. de la Rica, R.; Aili, D.; Stevens, M. M. Advanced drug delivery reviews 2012, 64, (11), 967-78
9:00 AM - D10.17
Development of an Electrochemical Biosensor for Adiponectin Detection
Lais Canniatti Brazaca 1 Bruno Campos Janegitz 2 Juliana Cancino Bernardi 1 Valtencir Zucolotto 1
1University of Sao Paulo (USP) Samp;#227;o Carlos Brazil2Federal University of Santa Catarina Blumenau Brazil
Show AbstractObesity has become a worldwide health issue. One of the diseases related to obesity is diabetes mellitus type 2, a metabolic disorder linked to insulin resistance. Obesity and insulin resistance are related by the decreased adiponectin hormone secretion, which occurs 10 to 20 years before chronic hyperglycemia, being an indicator for early diabetes type 2 diagnosis. The adiponectin plasma concentration is usually measured by ELISA, a method not widely used due to its high price, personal and material demand, restricting pre-diabetics diagnoses to few patients. Here we developed a simple and low cost biosensor for adiponectin detection based on Electrochemical Impedance Spectroscopy (EIS) using nanostructured platforms containing adiponectin transmembrane receptors (AdipoR1 and AdipoR2) or antibodies anti-AdipoQ immobilized on gold electrodes. The best results for the EIS-based biosensor were achieved using AdipoR1 and AdipoR2 receptors. The biosensors were able to detect adiponectin at concentrations down to 10-8 mol.L-1 in a linear detection range from 0.025 to 1.0 mu;mol L-1 of adiponectin, R2=0.983. The devices developed here may be useful for point-of-care applications, increasing the number of pre-diabetics diagnostics.
9:00 AM - D10.18
Fe-Co-B Magnetostrictive Alloys as Sensor Materials and the Detection of Salmonella Typhimurium
Zhizhi Sheng 1 Zhongyang Cheng 1
1Auburn University Auburn USA
Show AbstractMagnetostrictive materials have been widely used for sensors, resonators, actuators, transducers and other MEMS devices. Due to the soft magnetic property and mostly amorphous structure, Fe-rich Fe-Co-B magnetostrictive alloys have been considered as potential sensor materials for food safety application. Up to date, the basic property and especially the resonance behavior of Fe-Co-B magnetostrictive alloys haven&’t been widely studied. The applications of Fe-Co-B magnetostrictive alloys as biosensors haven&’t been reported. In this research, Fe-Co-B magnetostrictive thin films, micro strips and nanobars were fabricated with electrochemical deposition and microfabrication. The structure, morphology and resonance behavior of as-deposited films and micro strips were characterized. Fe55Co28B17 thin film showed irregular nodules on the surface and amorphous structure with nanocrystals. The films exhibited soft magnetic from the M-H loop. Fe55Co28B17 thin film strips with size of 5 mm×2 mm had a resonance frequency of 341.73 kHz and Q value of 129.88. The Au/Fe55Co28B17/Au micro strips with size of 500 mu;m×100 mu;m were fabricated as biosensors for dynamic detection of Salmonella typhimurium. The sensors exhibited a resonance frequency of 3.2880 MHz with a Q value of 154.52 in air. The Au/Fe55Co28B17/Au sensors had a detection limit of 5×102 cfu/ml in Salmonella suspension. Fe-Co-B magnetostrictive alloys showed advantages as wireless biosensor materials for in situ detection of bacteria due to the easy fabrication, flexible size control and strong resonance signal.
9:00 AM - D10.19
Capacitive Biosensor Based on an Interdigitated Electrode with Nanoislands
Young Wook Chang 2 Ga-yeon Lee 2 Hyuk Ko 2 Sungbo Cho 1 Jae-Chul Pyun 2
1Gachon University Incheon Korea (the Republic of)2Yonsei University Seoul Korea (the Republic of)
Show AbstractA capacitive biosensor based on an interdigitated electrode (IDE) with nanoislands was developed for label-free detection of antigen-antibody interactions. To improve the sensitivity of IDE-based biosensor, the Au nanoislands were deposited between finger electrodes of the IDE. We measured the impedance between IDE with nanoislands to investigate the effect of nanoislands. A protein is detected by measuring the impedance change caused by the binding of protein on the IDE surface with nanoislands. The measured impedance was analyzed by using constant phase element(CPE) equivalent circuit model. Then, the calculated capacitance was estimated to be dependent on the adsorption of analyte between IDE with nanoislands. Additionally, parylene-A film was thermally deposited on the IDE with nanoislands to enhance the efficiency of protein immobilization. By using HRP and hepatitis B virus surface antigen (HBsAg) as model analytes, the effect of parylene-A film on the capacitive detection of protein adsorption was demonstrated
9:00 AM - D10.20
Wireless Flexible Smart Bandage for Continuous Monitoring of Wound Oxygenation
Pooria Mostafalu 1 William Lenk 1 Sameer Sonkusale 1
1Tufts University Medford USA
Show AbstractWe envision a self-operating, localized therapy for chronic wounds in the form of a smart bandage with embedded sensors, data read-out, and drug delivery mechanisms. This platform will serve as a fully optimized treatment mechanism tailored to the individual patient. Toward this goal, a flexible oxygen sensor was fabricated with linear current output. An integrated electronic system with data read-out and wireless transmission capabilities was assembled in a compact package. An elastomeric bandage was 3D printed and the oxygen monitoring system was mounted without compromising its flexibility. This integrated, flexible platform is the first step toward providing a self-operating, highly optimized remote therapy for chronic wounds.
Chronic wounds are a serious health concern and current treatment methods have shown minimal efficacy. Our goal is to implement a localized bandage platform using multiple chemical sensors and integrated electronics in a miniaturized system device that can monitor concentrations of critical biomarkers in the wound microenvironment. Data read-out will be wirelessly transmitted to a computer or mobile device in real time. Evaluating the wound healing progress based on real-time data acquisition of several critical parameters will enable the physician to rapidly create a treatment regimen tailored to the individual patient. We believe this platform will set the precedent for greatly improved speed and efficacy of chronic wound treatment.
The flexible bandage was 3D-printed using TangoPlus material due to its extraordinary tensile strength and flexibility. Hydrogel was used as an epidermal layer through which oxygen can easily diffuse and reach the oxygen sensor. Fabricated on a flexible parylene substrate, the oxygen sensor is an electrochemical galvanic cell with silver and electroplated zinc electrodes serving as the cathode and anode respectively. Output from the oxygen sensor is connected to a series of off-the-shelf electronic devices for amplification, data read-out, and wireless transmission to a remote computer. The electronic assembly was integrated into a very compact package that is compatible with a wearable platform.
In this study, a flexible smart bandage with embedded flexible oxygen sensors, compact read-out electronics, and a wireless data transmission system was implemented. Flexible oxygen sensors were fabricated with a sensitivity of 1.5mu;A / % oxygen concentration and a response time of 20 seconds. An analog front end from Texas Instruments was used for transimpedance amplification of input currents from 5 - 750 mu;A. The gain of the amplifier is fully programmable over this input range allowing for monitoring of a very large range of oxygen concentrations. Mounting the sensor / wireless read-out system on the smart bandage creates a comfortably wearable platform that provides real-time updates on oxygen concentrations at the wound site to a remote computer for evaluation by the physician.
9:00 AM - D10.21
Conical Bragg Fibers: Narrow Photonic Band Waveguides for Breath Analysis
Fahri Emre Ozturk 2 1 Adem Yildirim 2 1 Mehmet Kanik 2 1 Mehmet Bayindir 2 1 3 Abubakar Isa Adamu 1
1Institute of Materials Science and Nanotechnology, Bilkent University Ankara Turkey2UNAM-National Nanotechnology Research Center, Bilkent University Ankara Turkey3Department of Physics, Bilkent University Ankara Turkey
Show AbstractHuman body produces numerous volatile organic compounds which are exhaled through breath. These trace gases are end products of various metabolical functions. Thus, they are potential sources of information for biological and chemical activities of the body. The relation between bodily odors and diseases is known since the time of ancient physicians such as Hippocrates. However, developing a standardized diagnostic device for detection of biomarkers from breath is extremely challenging due to complex nature of human breath with hundreds of different volatile organic compounds, high humidity and varying temperatures; all of which disrupt sensor performance.
Among the contending detection schemes, waveguide enhanced optofluidic systems are distinctly promising. These systems can provide steady performance at varying environmental conditions, can determine the target compound in a mixture of vast diversity of molecules and respond to the analyte in only a few seconds. The major drawbacks of optofluidic based diagnostics are the dependency to advanced light source and detector technologies for both wavelength selectivity and high sensitivity. Infrared absorption measurement in hollow core Bragg fibers is convenient in this context as these waveguides are inherently wavelength selective due to their photonic band gaps. However, the typically wide band gaps of Bragg fibers present a disadvantage in terms of both sensitivity and selectivity.
Here, we engineered the photonic bandgap of Bragg fibers to achieve narrow band transmission, through establishing a gradient of interior multilayer dielectric mirror thicknesses along conical shaped fibers. Conical Bragg fibers were produced by drawing under rapidly alternating load, with an approach which is applicable for virtually any kind of fiber. Fundamental bandgap was more than twofold narrowed, and higher order bands were completely eliminated in conical Bragg fibers. Sensing performance of conical fibers was enhanced in acetone vapor (a biomarker for diabetes) detection simulations due to narrowing of the fundamental band and elimination of higher order bands. We expect the proposed method to provide better performance in optofluidic breath analysis schemes that utilize hollow core photonic band gap fibers.
9:00 AM - D10.22
Nanomechanical Phenotype of BMP-2 Deficient Murine Meniscus Superficial Layer
Qing Li 3 Laura W. Gamer 4 Basak Doyran 3 Jie Yin 2 Alan J. Grodzinsky 1 Vicki Rosen 4 Lin Han 3
1Massachusetts Institute of Technology Cambridge USA2Temple University Philadelphia USA3Drexel University Philadelphia USA4Harvard School of Dental Medicine Boston USA
Show AbstractThe <150µm thick superficial layer of the meniscus plays critical roles in load transmission and fluid flow at the cartilage-meniscus contact unit. However, there is little understanding of its mechanical properties and pathogenesis during osteoarthritis. Moreover, while the cytokine bone morphogenetic protein-2 (BMP-2) is known to provide indispensable signaling for the formation and post-natal maintenance of joint tissues, its role in OA remains controversial. In our study, we investigated the nanomechanical phenotype of meniscus superficial layer in synovial joint-specific BMP-2 deleted murine model (Bmp2-Gdf5 cKO). The results were compared to the control model (Bmp2fl/fl). In Bmp2fl/fl, BMP-2 gene was flanked with conditional null alleles while remaining active, which was used to derive the Bmp2-Gdf5 cKO model. Hind knee menisci were micro-dissected from 10-week old female Bmp2-Gdf5cKO (n=5) and Bmp2fl/flmice (n=4). AFM-based nanoindentation was performed at the central, non-ossified region of the tissue using a colloidal spherical tip R~5mu;m (ksim;7.4 N/m) at 0.1-10µm/s rates. For each meniscus, indentation was repeated at least 8 different locations at a maximum indentation depth of ~200nm. For all tested specimens, we observed a unique, non-Hertzian linear dependence of force, F, versus depth, D, drastically different from other soft tissues such as cartilage. Effective indentation stiffness Sind (N/m) was calculated as the slope of loading F-D curve via linear regression. Significant rate dependence in both models (Friedman&’s test, p<0.01) highlights the importance of visco/poroelastic time-dependent mechanisms. For all specimens, menisci in Bmp2-Gdf5cKO mice (1.81±0.48 N/m, mean±SEM, 1µm/s) exhibited significantly lower Sind than those in control mice (4.52±0.39 N/m, mean±SEM, 1µm/s) (Mann-Whitney test, p<0.05). This more than two-fold reduction in Sind upon BMP-2 deletion illustrates the critical role of BMP-2 in proper function of the meniscal superficial layer. Ongoing studies are probing the ultrastructural and morphological phenotypes in the Bmp2-Gdf5 cKO model via AFM-high resolution imaging and histology. We will construct a corresponding structure-specific finite element model to understand the linear F-D curves. Our long term goal is to establish a nanomechanics platform to understand the structure-property relationships governing the mechanics of meniscus, and to probe the pathogenesis of OA-related meniscal abnormalities.
9:00 AM - D10.23
Green Synthesis of Y2O3:Eu3+ Nanocrystals for Bioimaging
Adrine Malek Khachatourian 1 2 Farhad Golestani-Fard 2 Hossein Sarpoolaky 2 Carmen Vogt 4 Yichen Zhao 1 Muhammet S. Toprak 3
1Royal Institute of Technology (KTH) Stockholm Sweden2Iran University of Science and Technology (IUST) Tehran Iran (the Islamic Republic of)3KTH-Royal Institute of Technology Stockholm Sweden4KTH-Royal Institute of Technology Stockholm Sweden
Show AbstractRare earth (e.g., Eu, Er, Yb, Tm) doped Y2O3 nanocrystals are promising fluorescent bioimaging agents which can overcome well known problems of currently used organic dyes like photobleaching, phototoxicity, and light scattering. Furthermore, the alternative quantum dots (QDs) composed of heavy metals (e.g., CdSe) possess inherent low biocompatibility due to the heavy metal content. In the present work, monodisperse spherical Y2O3:Eu3+ nanocrystals with different Eu3+ concentrations were successfully synthesized by microwave assisted urea precipitation method followed by thermochemical treatment. This is a green, fast and reproducible synthesis method, which is surfactant and hazardous precursors free. Transmission electron microscopy analysis (TEM) confirmed that the as prepared particles were non aggregated spherical particles with narrow size distribution. Moreover, the size of the particles could be controlled from 100 to 250 nm by adjusting urea concentration. The monodispersity and the spherical morphology are maintained after the calcination of the as prepared particles. High resolution transmission electron microscopy (HRTEM) images of calcined particles confirmed their polycrystalline structure with crystallites size of ~38nm for particles calcined at 900°C. X-ray diffraction analysis (XRD) indicated that highly crystalline cubic Y2O3 structure was obtained after calcination of Y(OH)CO3:Eu3+ precursors at temperatures above 600°C for 2 hours. The blue shift of the peaks in XRD pattern is increasing with increasing the concentration of Eu3+ dopant from 3% to 9% (molar percentage) confirming the presence of the dopant ions in the Y2O3 crystal structure. The photoluminescence analysis (PL) of Y2O3:Eu3+ spherical particles showed a strong red emission peak at 613nm due to the 5D0-7F2 forced electric dipole transition of Eu3+ ions under UV excitation (235nm). Additionally, the PL intensity increased proportionally with the concentration of the ion dopant. The intense red fluorescent emission, excellent stability and low toxicity make these QDs promising for applications in bio-related area such as fluorescence cell imaging or fluorescence bio labels.
9:00 AM - D10.24
Designing and Understanding the Characteristics of Silver Based Heterostructured Nanoparticles toward Biosensing Application
Anh Thi Ngoc Dao 1 Derrick Mott 1 Shinya Maenosono 1
1Japan Advanced Institute of Science and Technology Nomi Japan
Show AbstractPlasmonic metallic nanoparticles have been explored extensively in terms of their fundamental and practical applications such as for optoelectronic devices, optical metamaterials, and sensors as well as many others. Among that, Ag has become highly attractive to many researchers and scientists because it shows a wide range of colors corresponding to its localized surface plasmon resonance in addition with an exceptionally high extinction coefficient associated with very high enhancement ability in Raman spectroscopy. These characteristics have made it an ideal candidate for use as a probe in sensing and biodiagnostics applications. Aqueous synthesis techniques for Ag nanoparticles are advantageous for biological applications because the resulting nanoparticles can often be used directly, yet these techniques typically provide unstable Ag nanoparticles of low monodispersity, or with a size that is difficult to control. In our recent research, we designed and characterized core@shell nanoparticles composed of Ag in the shell and Au or Pt in the core. Heterostructuring could modify and enhance characteristics of Ag in diagnosis and treatment for biomedical sensors. We used seed-mediated growth synthetic approach as the key factor in controlling structure, composition and plasmonic properties of nanoparticles. Our results show that combination of Ag and Au or Pt in a single particle has limited its disadvantages, such as easy oxidation, difficulty in aqueous synthesis, sensitive to ionic environment, etc. The characteristics of nanoparticles are induced by unique electron-transfer effect between core and shell materials. By gaining electron density, Ag in the shell becomes oxidation resistant. The results demonstrate that the electronic transfer phenomenon can be extended to a wide range of heterostructure systems, and provides insight into how to exploit electronic transfer to create silver based sensing probes with enhanced robustness, high optical/plasmonic activity and plasmonic characteristics that can be tuned for a desired application. Results are discussed in terms of UV-Vis, XRD, TEM, HR-TEM, HAADF-STEM, EDS, XPS, XANES, and Raman scattering.
9:00 AM - D10.25
ldquo;Hot-Spotrdquo; Nanoprobes in Solutions: SERS Detection of Biomolecular Recognition
Zakiya Skeete 1 Liqin Lin 1 Christopher Manahan 1 Jin Luo 1 Chuan-Jian Zhong 1
1Binghamton University Binghamton USA
Show AbstractThe ability to detect biomolecules or biomolecular activities in a lab-on-a-chip device with high sensitivity and low detection limit is important for developing effective strategies in point-of-care diagnostics. Interparticle interactions of gold or silver nanoparticles offer enhanced plasmonic coupling for surface enhanced Raman scattering (SERS) which can be exploited for ultrasensitive detection. This capability has the potential viability for early detection and rapid diagnostics of diseases. A key challenge is fabrication of the nanoprobes as the SERS “hot spots” that can function in the solution phase. This presentation describes recent results of an investigation of the formation dimers or trimers from gold or silver coated magnetic nanoparticles with controlled particle sizes and surface properties which are dispersible in aqueous solution. The creation of the “hot-spots” by these small aggregates is studied using specific protein binding activities as a model system. The SERS results will be discussed for establishing ultrasensitive functional nanoprobes for real-time monitoring of biomolecular reactivities in aqueous solutions.
9:00 AM - D10.26
Highly-Dispersive Time-Resolved Fluorescent Nanoparticles with Dextran Interface for LFA Chip Application
Ki Hyun Kim 1 Sang-Myung Lee 1
1Kangwon National Univ. Chuncheon-si Korea (the Republic of)
Show AbstractLateral flow assay (LFA) chip have been actively studied and successfully commercialized to practical in-vitro diagnostic system. To increase the detection signal, variety of nanoparticles were applied to the LFA chips, but a remarkable result have not been reported yet. There are several trials to overcome the limitation of nanoparticle to LFA chips. Silica nanoparticles with specific functions have been spotlighted because of their superior properties such as biocompatibility , low toxicity, etc. However, silica nanoaparticles has disadvantage such as aggregation when they were used in the applications. In this study, we report that Eu3+-doped silica nanoparticles (time-resolved fluorescence, TRF) with a funbctionalized dextran(DEX) interfaces dramatically increased its dispersibility and stability in water. Silica nanoparticles impregnated with Eu3+ chelates were coated with carboxylated DEX and then conjugated with specific proteins before applied to LFA chips. The DEX-coated TRF silica nanoparticles were analyzed by z-potentiostat and TEM, Their TRF intensity and photostability were evaluated by time-resolved photoluminescence (PL). DEX-coated TRF silica nanoparticles were very stable in assay buffers even after antibody conjugation.To confirm the performance of DEX-coated TRF nanoparticle, cardiac markers was detected by sandwich assay on LFA chips. Tronin I promary antibody-conjugated silica nanoparticles were applied on NC membrane with Troponin I secondary antibody of LFA chip after reacting them troponin I protein. We could successfully observed the TRF signals of DEX-coated nanoparticles on LFA chips.
D6: Optofluidic Devices: Fabrication and Applications
Session Chairs
Tuesday AM, December 02, 2014
Sheraton, 2nd Floor, Back Bay C
9:30 AM - *D6.01
Optofluidic Waveguiding for Biomedical Sensing
Aaron Hawkins 2 Thomas Wall 2 Yue Zhao 2 Kaelyn Leake 1 Joshua Parks 1 Holger Schmidt 1
1University of California, Santa Cruz Santa Cruz USA2Brigham Young University Provo USA
Show AbstractWe present an optofluidic waveguiding lab-on-a-chip used to detect bioparticles. The sensor uses a liquid filled Anti-Resonant Reflecting Optical Waveguide (ARROW) that is interfaced with standard rib waveguides. The rib waveguides are coupled to off-chip lasers and detectors via optical fiber. A perpendicular intersection between the ARROW and a rib waveguide is especially useful for detecting fluorescently tagged particles. Light coupled into the rib waveguide can fluorescently excite these particles within a very small volume. Fluorescent signal can then be guiding within the ARROW and subsequently off chip to a detector.
We will also discuss the details of how our sensor and its different components are fabricated. The ARROW and rib waveguides are made using alternating thin films of tantalum oxide and silicon dioxide on silicon substrates. The various films are deposited by either sputtering or plasma enhanced chemical vapor deposition (PECVD). Both waveguides are patterned using standard photolithographic processes, reactive ion etching, and sacrificial etching. Low-loss optical guiding is very dependent on both the waveguide structure and the materials used. The latest processes for maximizing sensor sensitivity will be reviewed.
We also present results using the optofluidic waveguiding sensor for detecting a variety of different types of particles. Some examples include fluorescently labeled nanobeads, viruses, ribosomes, and RNA.
10:00 AM - D6.02
Polymer Functionalization for Bio-MEMS Applications by Solvent Immersion Imprint Lithography
Andreas E. Vasdekis 1 Sotiris S. Xantheas 1 Jayven S. Moore 1 Tsun Mei Chang 2
1Pacific Northwest National Laboratory Richland USA2University of Wisconsin-Parkside Kenosha USA
Show AbstractWe recently demonstrated Solvent Immersion Imprint Lithography (SIIL), a technique that enables complete polymer microsystem assembly in less than one minute and no instrumentation requirements. [1] Herein, we will detail how SIIL also enables well-controlled polymer functionalization under identical processing conditions. We will present our recent interfacial investigations based on experimental and computational approaches that revealed the technique&’s enhanced processing speed and simplicity. We will finally detail a controlled functionalization protocol for arbitrary polymers, focusing primarily on microfluidic, optofluidic and biophysical applications.
Multiple methods exist for prototyping microfluidic and optofluidic microsystems, commonly based on cost-effective polymers, such as elastomers, [2, 3] thermoplastics, or inorganic polymers. [4-7] However, chemical functionalization and impregnation is an aspect that has received little attention in microsystem applications. In these, dedicated instruments and multi-step processing, such as the time-consuming integration of doped thin films, are generally required. Additionally, present approaches offer little control over the 3D dopant distribution, which is an important aspect in sensing applications.
In SIIL, a polymer slab is immersed into a thermodynamically compatible solvent, thus permitting solvent diffusion into the polymer at depths controlled by the molecular-level guest host interactions. [8] We have shown that this transport mechanism enables imprinting and bonding; we will now detail how it also enables well-controlled polymer functionalization by chemical moieties that are dissolved in the carrier solvent. Our focus will be on polymers common in microfluidic applications, as well as various solvents and their mixtures. We will detail how such molecular interactions enhance or inhibit functionalization, thus resulting in a well-controlled polymer functionalization and impregnation mechanism. Applications within microfluidics and biomedical applications will be highlighted.
References
[1] A. E. Vasdekis, M. J. Wilkins, et al. Lab-on-a-Chip 14, 2072 (2014).
[2] S. R. Quake, A. Scherer, Science290, 1536-1540 (2000).
[3] G. M. Whitesides, Nature442, 368 (2006).
[4] D. Psaltis, S. R. Quake, C. Yang, Nature442, 381 (2006).
[5] Y. Wang, J. Balowski, C. Phillips, R. Phillips, C. E. Sims and N. L. Allbritton, Lab on a Chip11, 3089 (2011).
[6] E. W. K. Young, E. Berthier, D. J. Guckenberger, E. Sackmann, C. Lamers, I. Meyvantsson, A. Huttenocher and D. J. Beebe, Analytical Chemistry83, 1408 (2011).
[7] W. Ren, J. Perumal, J. Wang, H. Wang, S. Sharmac and D.-P. Kim, Lab on a Chip14, 779 (2014).
[
8] B. A. Miller-Chou, J. L. Koenig JL Progress in Polymer Science28, 1223 (2003).
10:15 AM - D6.03
Optofluidically Tuned Fluorescence Enhancement by Plasmonic Nanocup Arrays
Sujin Seo 1 Abid Ameen 1 Gang Logan Liu 2 3
1University of Illinois at Urbana-Champaign Urbana USA2University of Illinois at Urbana-Champaign Urbana USA3University of Illinois at Urbana-Champaign Urbana USA
Show AbstractWe demonstrate fluidically tuned fluorescence enhancement on the colorimetric substrate with plasmonic effect induced by periodic gold nanocup arrays. Fluorescence enhancement by plasmonic effect has been studied extensively by varying geometry of nanostructures or morphology of nanoparticles.[1,2] In this study, however, the fluorescence enhancement without changing these parameters but simply by varying surrounding media on the colorimetric plasmonic surface is accomplished. The dynamic response of fluorescence from self-assembled monolayer of dyes on the surface was monitored by flowing various fluids with different refractive indices. Quantum efficiency and the radiative decay rate of a dipole on the plasmonic substrate were calculated by finite difference time domain simulation to investigate the physics behind the fluid based fluorescence enhancement.
Increasing surrounding refractive index results in red-shift of the plasmonic resonant wavelength of the nanocup arrays at the sensitivity of 183nm/RIU as well as higher radiative decay rate of a fluorescent dye at a specific wavelength range.[3] Quantum efficiency enhancement from increased radiative decay rate from outcoupling of surface plasmons into photons enables to achieve fluorescence enhancement of the dye at the selectively tuned wavelength by choosing an optimum refractive index of a fluid. To verify the selective enhancement of fluorescence, two different organic dyes with different excitation and emission wavelengths were used. Dyes were attached at one end of thiolated single stranded DNAs and immobilized on the gold surface by forming monolayer. As the refractive index of the fluid on the surface increases, the dye with emission wavelength near the shifted plasmonic resonant wavelength has higher enhancement of fluorescence. Selective enhancement is possible due to colorimetric property of the substrate which changes its transmitted and reflected color in the visible range upon surrounding dielectric property change.[3] We expect understanding optofluidic fluorescence enhancement will benefit designing improved fluorescence based bio-sensing and monitoring system.
References
[1] Y. Chen, K. Munechika, D. S. Ginger, Nano Lett. 2007, 7, 690-696.
[2] M. Ringler, a. Schwemer, M. Wunderlich, a. Nichtl, K. Kürzinger, T. Klar, J. Feldmann, Phys. Rev. Lett. 2008, 100, 203002.
[3] M. R. Gartia, A. Hsiao, A. Pokhriyal, S. Seo, G. Kulsharova, B. T. Cunningham, T. C. Bond, G. L. Liu, Adv. Opt. Mater. 2013, 1, 68-76.
10:30 AM - *D6.04
Image Information On-The-Flow Using an Opto-Fluidic System Based on Spatial Modulation Technique
Peter Kiesel 1 Joerg Martini 1 Michael Recht 1 Doron Kletter 1 Noble Johnson 1
1PARC, A Xerox Company Palo Alto USA
Show AbstractMost biomedical tests are performed at centralized clinical laboratories. Yet many tests could be performed with point-of-care (POC) instruments if they were available. Opto-fluidic systems for fluorescence detection of bio-particles offer high performance, but they cannot meet POC specifications. We have demonstrated, prototyped, and benchmarked against commercial systems a new optical detection approach that delivers high signal-to-noise discrimination - without complex optics or bulky excitation sources. It thereby enables a truly compact, low-cost, high-performance microfluidic-based instrument that can be used for diagnostics on even complex fluids.
The enabling technique is termed “spatially modulated emission” [1] and generates a time-dependent signal as a continuously fluorescing bio-particle traverses a predefined pattern for optical transmission. Correlating the detected signal with the known pattern achieves high discrimination of the particle signal from background noise. Our platform can monitor multiple fluorescence channels simultaneously with a single large-area detector by using a patterned color mask. The fluorescence spectrum itself is encoded in the time-dependent signal as the particles traverse the patterned color mask [2]. By choosing special mask pattern also image information like size, shape, color distribution, trajectory of object can be encoded into the time modulated signal. Initial results demonstration the imaging on the flow capability of our system will be presented.
The detection technique has been benchmarked against a commercial instrument with measurements of absolute CD4+ lymphocytes and CD4% counts in whole blood [3]. The platform can address a large variety of diagnostic needs including multiplexed bead-based assays (ELISA on-the-flow) and identification and enumeration of pathogens in fluids. Our working prototype of a micro-fluidic-based flow cytometer includes a basic pin-photodiode rather than a PMT or APD. Measurements of the sensitivity and dynamic range with calibration particles demonstrate a detection limit of ~200 MEPE, which meets the needs for a wide range of bio-particle-detection applications. With an APD the sensitivity was extended to ~50 MEPE thus accessing very dim objects such as tagged E-coli.
Acknowledgment: work has been partially funded by the U.S. Army Research Office, Contract # W911NF-10-1-0479.
[1] P. Kiesel, M. Bassler, M. Beck, N.M. Johnson, Spatially modulated fluorescence emission from moving particles, Appl. Phys. Lett., 94, 041107 (2009)
[2] Joerg Martini, Michael I. Recht, Malte Huck, Marshall Bern, Noble Johnson and Peter Kiesel, Time Encoded Multicolor Fluorescence Detection in a Microfluidic Flow Cytometer, DOI: 10.1039/C2LC40515F, Lab Chip, 12, 5057-5062 (2012)
[3] Peter Kiesel, Markus Beck, and Noble Johnson, Monitoring CD4 in Whole Blood with an Opto-fluidic Detector Based on Spatially Modulated Fluorescence Emission, Cytometry Part A, A79:317-324 (2011)
D7: Optical Label-Free Detection of Biomolecules III
Session Chairs
M. Selim Unlu#129;
Holger Schmidt
Tuesday AM, December 02, 2014
Sheraton, 2nd Floor, Back Bay C
11:30 AM - *D7.01
Single Molecule Detection with Optical Microresonators
Frank Vollmer 1
1Max Planck Institute for the Science of Light Erlangen Germany
Show AbstractI will show our results with optical microcavity biosensors capable of detecting single molecules and their interactions. We achieve unparalleled sensitivity and time resolution by enhancing the already extreme sensitivity of Whispering Gallery Modes with the principle of plasmon resonance. Our platform technology enables label-free studies of single molecules, their interactions and transformations.
12:00 PM - D7.02
Nano-Plasmonic Exosome (nPLEX) Platform
Hyungsoon Im 1 Huilin Shao 1 Yongil Park 1 Vanessa M Peterson 1 Cesar M Castro 1 Ralph Weissleder 1 2 Hakho Lee 1
1Massachusetts General Hospital Boston USA2Harvard Medical School Boston USA
Show Abstract>Exosomes are membrane-bound phospholipid nanovesicles secreted by cancer cells. They have emerged as novel biomarkers for clinical diagnostics as they are abundantly present in bodily fluids and carry molecular signature reflective of originating cancer cells. Despite the clinical potential, detecting and molecularly profiling exosomes has been a challenging task that often requires time-consuming sample purification and labeling processes. Here, we describe a label-free, high-throughput approach for quantitative analyses of exosomes directly from clinical samples. We specifically developed a nano-plasmonic exosome (nPLEX) sensor, which comprised multiple arrays of periodic nanoholes patterned in a gold film. The sensor surface was functionalized with antibodies to target-specifically capture exosomes. Binding of exosomes induced spectral shifts in the resonance wavelength; the magnitude of shifts is proportional to the abundance of cancer antigen, thereby enabling quantitative molecular profiling. Compared to conventional analytical methods (e.g., Western blot and enyzme-linked immunosorbent assay), the nPLEX demonstrated >100-fold improved sensitivity and enabled fast (< 60 min), portable operations. Using the first nPLEX prototype, we molecularly profiled exosomes from cell culture. The exosomal profiling showed excellent correlation with those of parental cells, which supports the use of exosomes as cellular surrogates. We further applied the nPLEX to detect cancer exosomes within prospectively collected ascites from ovarian cancer patients and the nPLEX assay differentiated treatment responses to standard ovarian cancer therapies. With its capability of high-throughput, label-free exosome detection, the nPLEX would facilitate comprehensive exosomal analyses for clinical trial testing.
12:15 PM - D7.03
Bioplasmonic Calligraphy: An Approach for Multiplexed Biosensing
Sirimuvva Tadepalli 1 Limei Tian 1 Sang Hyun Park 1 Keng-Ku Liu 1 Jeremiah J Morrissey 3 2 4 Evan D Kharasch 3 2 4 Rajesh R Naik 5 Srikanth Singamaneni 1 2
1Washington University in St.Louis St.Louis USA2Washington University in St.Louis St.Louis USA3Washington University in St.Louis St.Louis USA4Washington University in St.Louis St.Louis USA5Wright Patterson Air Force Base Dayton USA
Show AbstractPaper substrates are gaining increasing attention in biodiagnostics, food quality testing, environmental monitoring, flexible energy and electronic devices owing to the numerous advantages such as high specific surface area, excellent wicking properties, compatibility with conventional printing approaches, significant cost reduction and easy disposability. Recent surge in the activity related to paper-based diagnostic devices is primarily focused on realizing microfluidic paper-based analytical devices (µPADs) for point-of-care assays and inexpensive diagnostic tools for resource-limited environments. Most of these developments rely on labour, time and resource-intensive patterning techniques such as photolithography, wax printing, inkjet printing of polydimethylsiloxane (PDMS), to create fluidic pathways and/or differential functionalization of predetermined regions for site-selective adsorption of the biochemical reagents.
Here we demonstrate simple yet powerful “pen-on-paper” approach for realizing multiplexed label-free bioassays using a regular ball pen filled with gold nanorods or biofunctionalized gold nanorods as (bio)plasmonic ink. Pen-on-paper plasmonic biosensors offer two distinct advantages over plasmonic paper substrates obtained using immersion method as reported previously. Firstly, pen-on-paper serves as a facile method to miniaturize the test domain size to few mm2, which significantly improves the sensitivity of the plasmonic biosensor compared to bioplasmonic paper fabricated using immersion approach. Secondly, pen-on-paper using bioplasmonic ink enables simple and efficient multiplexed biodetection of paper substrates thus leading to multi-marker biochips. In this study, we demonstrate these two aspects using gold nanorods as plasmonic nanotransducers.
References:
1. Tian, L., Tadepalli, S., Park, S.H., Liu, K.K., Morrissey, J.J., Kharasch, E.D., Naik, R.R., Singamaneni, S. (2014) Bioplasmonic calligraphy for multiplexed label-free biodetection. Biosens. Bioelectron. 59, 208.
12:30 PM - D7.04
Label Free Detection of Protein Binding Using a Microwave Sensor
Marcela Salazar-Alvarez 1 Olga Korostynska 2 Alex Mason 2 Ahmed Al-Shamma'a 2 Jakki C. Cooney 1 Edmond Magner 1 Syed A.M. Tofail 1
1University of Limerick Castletroy Ireland2Liverpool John Moores University Liverpool United Kingdom
Show AbstractInterest in developing more accurate and stable sensing has grown in the last decade. Surface plasmon resonance (SPR), enzyme-linked immunoassay (ELISA), quartz crystal micro balance (QCM) are commonly used sensing techniques 1. Each method has distinct advantages. For instance ELISA is a highly sensitive method 2 however the use of labels can require complex sample preparation. SPR and QCM are label free techniques but in the case of SPR, a conducting surface is needed3. Microwave measures the response of a material in the GHz frequency range and has been previously used in biomedical applications such as the detection of glucose.4 The development of new, label free sensing tools is of significant interest. To this end we present the development of a microwave sensor for the detection of protein binding. Changes in the electromagnetic wave signal in the microwave frequency range were used to detect protein adsorption. Inderdigitated gold pattern printed on a woven glass and ceramic reinforced substrate acted as a microwave sensor. When tested, in the microwave frequency range the sensor response showed that the resonant frequency changed when the sensor was in contact with different materials. This method of detection was then used to detect the specific binding of streptavidin to biotinylated protein A. In control experiments minimal non-specific binding was observed. The response of the sensor was also examined on different substrate materials with different topography, with detection of protein binding observed obtained on both smooth (gold) and on rough (hydroxyapatite) surfaces. This label free microwave detection technique can be used to qualitatively detect specific and non-specific protein binding.
(1) Ray, S.; Mehta, G.; Srivastava, S. Proteomics2010, 10, 731-748.
(2) Lequin, R. M. Clin. Chem.2005, 51, 2415-2418.
(3) Roh, S.; Chung, T.; Lee, B. Sensors2011, 11, 1565-1588.
(4) United States, Patent: US2012150000 (A1), WO2010131029 (A1), EP2429397 (A1), 2012.
12:45 PM - D7.05
Point-of-Care Diagnostic to Measure Blood Ammonia Levels
Omar B Ayyub 1 Adam M Behrens 1 Brian T Heligman 2 Joseph J Ayoub 2 Marshall Summar 3 Peter Kofinas 1
1University of Maryland College Park USA2University of Maryland College Park USA3Children's National Medical Center Washington USA
Show AbstractHyperammonemia, a life-threatening condition, is characterized by elevated blood ammonia levels and causes severe neurodevelopmental complications. The condition originates from metabolic disturbances in the urea cycle caused by several different inborn errors of metabolism collectively referred to as Urea Cycle Disorders (UCDs). UCDs affect approximately 1 in 35,000 births in the United States, but due to partial defects this number is underestimated. Besides the tremendous human suffering and the high impact on the health care costs, the management of UCDs is difficult and expensive not only in terms of medications, analytical monitoring, and follow-up of patients, but in many cases results in preventable procedures and hospitalizations. Limited advancements have been made in obtaining new diagnostic or therapeutic solutions for patients with hyperammonemia. Currently the monitoring of ammonia levels has to be performed in a hospital equipped with specialized mass spectrometry instrumentation, necessitating a trip to the hospital each time the patient has an appearance of a crisis, whether or not related to the elevation of blood ammonia. The restriction of testing in hospitals makes disease management difficult as there is no real option for precise control over blood ammonia levels. To provide a means for the rapid, analytical monitoring of blood ammonia, a quantitative point-of-care blood ammonia sensor was engineered utilizing a specific, colorimetric ammonia reaction, in conjunction with a cation exchange membrane. The membrane allowed for the rapid extraction of ammonium ions from whole blood. The sensor could extract ammonia in 20 minutes and had a detection range of 25-500mu;M in whole human blood. The sensor had a detection limit of 25mu;M which was at least 3σ above the background signal. In the range of 25-150mu;M, where high resolution measurements are critical for examining treatment effectiveness, the COD was 0.9777. The relative standard deviation in this range was ~10%, which falls within the FDA guidelines for validation of a bioanalytical method requiring a relative standard deviation of 15% at n=5 samples. Additionally, the sensor can measure between 50 and 100mu;M blood ammonia with a p=0.0001. This demonstrates that the sensor cannot only differentiate between healthy and disease blood ammonia levels, but can quantify the ammonia to a reasonable enough degree for precise blood ammonia management through treatment. This would allow for a management regime similar to the one used by diabetics with a blood glucometer and insulin.
Symposium Organizers
Xudong (Sherman) Fan, University of Michigan
Logan Liu, University of Illinois Urbana-Champaign
Emily Park, BD Biosciences
Holger Schmidt, University of California, Santa Cruz
Symposium Support
BD Biosciences
Hamamatsu Corporation
Thermo Fisher Scientific
D13: Raman and Vibrational Spectroscopy
Session Chairs
Yu-Hwa Lo
Anders Kristensen
Wednesday PM, December 03, 2014
Sheraton, 2nd Floor, Back Bay C
2:30 AM - *D13.01
Plasmonic Glass Nanopillar Arrays for Highly Sensitive SERS Detection
Ki-Hun Jeong 1
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)
Show AbstractSurface plasmons are collective oscillation of free electrons at optical frequencies. Nanotechnology enables the spatial confinement of plasmons within a metal nanostructure. This unique light-matter interaction provides excellent potential for highly sensitive surface enhanced Raman scattering (SERS) detection, which can identify the fingerprints of biochemical molecules without fluorescent labeling at very low concentration in aqueous solution. In recent years, nanoplasmonics is rapidly stepping into highly sensitive SERS detections. The strong localization of electromagnetic fields near metal nanostructures called hot spots substantially increases both an excitation laser beam and Raman scattering from molecules, which result in higher SERS enhancement. However, many opportunities still exist for further improvement in enhancing SERS signals. Here we report plasmonic glass nanopillar arrays (pGNA) as a new SERS substrate. The large scale pGNA can be simply fabricated at wafer level by using the reactive ion etching of soda-lime glass and the solid-state dewetting of thin silver film. The pGNA provide three major attractiveness for highly sensitive SERS detection. First, both top and sidewall of GNA are enclosed by nanogap-rich silver nanoislands, which create high density hot spots to increase the number of probed molecules within a microscopic detection volume, compared to conventional two-dimensional hot spots like metal film over nanospheres or metal nanoislands. This unique feature contributes to high average SERS enhancement factor over 107 with high uniformity (~7.8%) and results in the increase of SERS signals by more than a factor of ten. The pGNA enable the label-free detection of DNA base molecules at nanomolar level. Another benefit comes from the self-antireflection. The fill-factor (FF) of GNA can be controlled with the initial thickness of thin silver film before thermal annealing and etching. The GNA filled with an interstitial medium serve as a single-optical layer with an effective index. Particularly, the medium-filled GNA of ~ 0.5 in FF spontaneously satisfy an antireflection condition for diverse surrounding media with different indices. This GNA exhibit diverse examples for highly sensitive fluorescence sensing or SERS detections as well as high contrast imaging. Finally, the pGNA can be implemented on a transparent conductive layer of indium tin oxide for electrokinetic preconcentration. Both the strong localization confinement of surface plasmons and the large effective volume for molecular preconcentration into EM hotspots allow the extraordinary enhancement of SERS signals over two orders of magnitude. The experimental results demonstrate the fingerprinting of a low Raman active neurotransmitter molecules at nanomolar level and further the selective detection of charged molecules. We strongly believe the pGNA will provide a new platform for ultrasensitive and quantitative SERS detection.
3:00 AM - D13.02
Accessible Nearfields by Nanoantennas on Nanopedestals for Ultrasensitive Vibrational Spectroscopy
Dordaneh Etezadi 1 2 Arif Engin Cetin 1 2 Hatice Altug 1 2
1amp;#201;cole Polytechnique Federale de Lausanne (EPFL) Lausanne Switzerland2Boston University Boston USA
Show AbstractSurface enhanced absorption spectroscopy in Mid-Infrared (Mid-IR) spectral range is of great interest as it provides direct access to vibrational information of most organic molecules and enables investigation of unique structural characteristics of biosamples, including protein monolayers, by providing orders of magnitude signal enhancement compared to the traditional spectroscopy techniques.
In this work, we introduce a polarization-insensitive Mid-IR nanoring antenna fabricated on a dielectric nanopedestal to provide maximum field overlap with the target biomolecules. The antenna system, by supporting highly accessible electromagnetic fields, strongly enhance the absorption signals. Our suspended ring antenna design on nanopedestal is highly promising for ultrasensitive Mid-IR vibrational spectroscopy specifically for applications associated with extremely low concentrations requiring high Signal-to-Noise Ratio (SNR). The resonance wavelength of the plasmonic ring antenna can be fine-tuned by changing the circumference as it scales linearly with the ring radius. As our system is polarization-insensitive, it enables the excitation of desired plasmonic modes using an unpolarized light source. This feature enables our system to identify vibrational signatures of biomolecules with low concentration, such as proteins, in the absence of a polarizer filtering the light intensity in a certain polarization. This symmetric nature also eliminates the requirement for the sample-polarization alignment and strongly increases the experimental tolerance.
With the engineered ring nanoparticles on the nanopedestal, we successfully detect Amide-I and II vibrational modes of protein-antibody bilayers. Our experimental results demonstrate more than 2 folds improvement in the absorption signals of vibrational modes compared to the similar antenna system fabricated on a substrate. By incorporating an absorbing protein layer in Finite Difference Time Domain (FDTD) simulations, we calculate the absorption signals of the modeled vibrational protein bands in a good agreement with our experimental results. Through our theoretical calculations, we demonstrate a correlation between the enhancement in the absorption signal and the improvement in the accessibility of the local electromagnetic fields over the protein layers.
In order to realize nanopedestals, we introduce an isotropic fabrication technique which is applicable to antennas of other shapes. Our method provides significant undercutting below the nanoparticle antennas, hence fully exposes the spatial regions where the field enhancement is largest. Our approach of introducing nanopedestals under the nanostructures for increasing the sensing volume thus the SEIRA signal, can be also applied to other biodetection platforms (e.g. refractive index based sensing) and antenna geometries (e.g. gap antennas) for improving sensing signals.
3:15 AM - D13.03
Nanojet and Surface Enhanced Raman Spectroscopy (NASERS) for Highly Reproducible and Controllable Single Molecule Detection
Te-Wei Chang 1 Manas Ranjan Gartia 1 Gang Logan Liu 1 2
1University of Illinois at Urbana Champaign Urbana USA2University of Illinois at Urbana Champaign Urbana USA
Show AbstractThis paper reports a novel approach to achieve highly controllable and reproducible single molecule Raman spectroscopy by nanojet and surface enhanced Raman scattering substrate (NASERS). Single molecule detection using Raman spectroscopy has drawn significant attention since it provides an exciting new aspect of fundamental analytic tool in biology and chemistry. [1] Due to the inherent low Raman scattering cross section, surface enhanced Raman scattering (SERS) has been applied for significantly enhancing the signal in order to realize single molecule detection level. It is mostly achieved by aggregation of metal colloidal nanoparticles, which provides dramatic high “hot spot” Raman enhancement (~1011) at the junction between nanoparticles. However, application of this technique is limited due to the poor controllability and reproducibility of metal colloidal nanoparticles.
In 2004, Chen et al. proposed a simple but effective way to perform two dimensional confinement of plane wave by micro-scale infinite dielectric cylinder. [2] The simulation results showed that a “photonic nanojet” can be induced at the shadow side of microcylinder. They also indicated that the back scattering of nanoparticle placed near the nanojet can be enhanced by 3 to 4 orders. Furthermore, a three dimensional subwavelength confinement has later been proposed by applying incident Gaussian beam and dielectric microsphere. [3] Based on this phenomenon, nanojet enhanced Raman scattering has been demonstrated with the enhancement of two orders. [4]
Here we proposed to combine the two approaches, that is, plasmonic and nanojet enhanced approaches, for constructing a stable platform for single molecule Raman detection. Previously our group has demonstrated a cost-effective, simple and reliable fabrication technique applicable for wafer-scale and ultrahigh sensitivity nanostructured SERS substrate by applying thermal dewetting technique. [5] The enhancement factor has been reported up to 108. Upon the enhancement from plasmonic SERS substrate, we will add the dielectric microsphere to the system and expect to utilize the confinement property of nanojet. This microsphere-analyte-SERS substrate sandwich configuration is expected to provide another order of enhancement in addition to the SERS. Moreover, the physical contact between microsphere and nanostructures offers additional advantage of reducing detection region.
References
1.K. Kneipp, Y. Wang, H. Kneipp, L. T. Perelman, I. Itzkan, R. Dasari and M. S. Feld, Phys. Rev. Lett. 78, 1667 (1997).
2.Z. G. Chen, A. Taflove and V. Backman, Opt. Express 12, 1214 (2004).
3.A. Devilez, N. Bonod, J. Wenger, D. Gerard, B. Stout, H. Rigneault and E. Popov, Optics Express 17, 2089 (2009).
4.V. R. Dantham, P. B. Bisht and C. K. R. Namboodiri, J. Appl. Phys. 109, 103103 (2011).
5.T.-W. Chang, M. R. Gartia, S. Seo, A. Hsiao and G. L. Liu, Nanotechnology 25, 145304 (2014).
D14: Fluorescence Based Detection
Session Chairs
Wednesday PM, December 03, 2014
Sheraton, 2nd Floor, Back Bay C
4:30 AM - *D14.01
Development and Biological Application of Highly Fluorescent Polymer and Polymer-Dye Energy Transfer Reporters
Brent Gaylord 1 Yongchao Liang 1 Glenn Bartholomew 1 Frank Uckert 1 Barry Leonard 1 Yu Chen 2 James Ghadiali 1 Jacob Rabenstein 2 Liana Goodwin 2 Adam Wright 2 Alan Stall 2
1Sirigen, Inc San Diego USA2BD Biosciences San Diego USA
Show AbstractConjugated polymers have been of interest in various chemical and biosensing formats due to their large collective optical responses. These materials are distinctive in that a significant number of optically active units can be modulated by a limited number of molecular interactions. This makes them particularly well suited as optical indicators in biological detection schemes. Additionally their chemical structures can be readily modified to generate materials with different physical and optical properties. This tuneablity affords significant versatility in terms signal strength, color, solubility and background. Based on these potential advantages, we sought to create highly soluble, bright fluorescent polymer reporters specifically adapted for covalent attachment to biological recognition probes and to demonstrate their utility in common detection formats. The initial polymer disclosed, BV421, has remarkable aqueous solubility (>100 mg/mL), a quantum yield near 70%, an extinction coefficient in excess of 2,500,000 M-1 cm-1 and, when conjugated to a detection antibody, displays very little if any non-specific cell binding. This combination of properties offers the potential for exceptionally bright fluorescent reporting events with improved signal to noise. For example, by flow cytometry we find BV421 routinely affords >5-10 fold better resolution of positively stained cells relative to the current dye standards. And while this first polymer was designed for blue emission (421 nm) from violet (405 nm) laser excitation we were able to produce an entire family of fluorescent reporters from this polymer using the exceptional energy transfer properties inherent to these materials. By directly conjugating fluorescent acceptors to the base polymer structure we were able to generate more than 7 spectrally distinct colors between 400-800nm. More recently we have developed additional families of fluorescent reagents for UV (355nm), Blue (488nm) and Yellow-Green (561nm) lasers. Combinations of these were used successfully in the first 27 color flow cytometry assay in which 19 of the antibody reagents were based on the fluorescent polymer dyes. More generally the introduction of these exceptionally bright reporters has enabled increased multiplexing (more biological information) and greater sensitivity (ability to identify rare cell markers) in both the analysis and sorting of cell populations. In similar fashion we have demonstrated the ability to apply polymer labeled antibodies in immunofluorescent imaging. Multicolor examples will be provided highlighting the potential to expand current capabilities. We will outline the development challenges associated with generating these materials particularly as it relates to expanding the color palette. Application data in flow cytometry and immunofluorescence imaging will also be presented and comparative performance highlighted relative to conventional fluorescent reporters (organic dyes, fluorescent proteins and semiconducting nanocrystals). Viability in other applications such as lateral flow, protein microarrays/blots and fluorescence in situ hybridization (FISH) will also be discussed.
5:00 AM - D14.02
Conjugated Polymer Nanoparticle-Based Biosensors for Specific Biomarker Detection
Carina S Almeida 1 2 3 Philip D Howes 1 2 3 Molly M Stevens 1 2 3
1Imperial College London London United Kingdom2Imperial College London London United Kingdom3Imperial College London London United Kingdom
Show AbstractWe report the use of peptide-functionalized conjugated polymer nanoparticles (CPNs) for the specific detection of a clinically-relevant enzyme. Early and accurate detection of enzyme biomarkers is crucial for the effective treatment of diseases. They have been targets of diverse biosensing assays as they can serve as indicators of disease or disease progression. Here, we show sensitive detection of caspase-3, a proteolytic enzyme that has an essential role in apoptosis and in#64258;ammation1.
CPNs show outstanding properties for biosensing as they exhibit high brightness, large extinction coefficients and greater photostability than conventional fluorescent probes2. High colloidal stability of CPNs in an aqueous environment can be attained by the integration of a hydrophilic capping layer3. Although this can be accomplished by covalent functionalization of monomers followed by polymerization, such syntheses can be troublesome and the yields low. A more straightforward strategy involves the encapsulation of amphiphilic molecules by non-covalent interactions.
Here, we report a one-pot synthesis and functionalization of CPNs via the reprecipitation method using a custom-designed peptide that simultaneously protects the particle core and facilitates biological interactions. The engineered amphiphilic peptide is anchored to the CPN surface by the entrapment of a hydrophobic segment that undergoes hydrophobic interactions with the CP, while the remainder of the peptide extends from the surface owing to its hydrophilic nature. The hydrophilic segment of the peptide gives bioactivity to this capping peptide as it contains the sequence for the detection of caspase-3 and also a fluorescent dye at the C-terminus. The presence of the dye with an absorption spectrum overlapping with the emission from the CPN allows for the detection of caspase-3 via modulation of the FRET signal between the CPN and the fluorophore. CPNs possess many repeat units and thus an amplified signal is generated upon excitation, leading to enhancement of sensitivity4 and therefore a suitable system for biosensing.
CPN-based biosensor systems are highly promising in applications particularly in cellular and in vivo sensing and imaging as they offer a highly sensitive, versatile and clinically-viable alternative in fluorescence assays.
1. M. Lamkanfi et al. Cell Death Differ., 2007, 14(1), 44-55.
2. L. Feng et al. Chem. Soc. Rev., 2013, 42, 6620-6633.
3. P. Howes et al. J. Am. Chem. Soc., 2010, 132, 3989-3996.
4. C. Wu and D.T. Chiu. Angew. Chem. Int. Ed., 2013, 52, 3086-3109.
5:15 AM - D14.03
Photoluminescence of a Single Complex Plasmonic Nanoparticle
Guowei Lu 1 Qihuang Gong 1
1Peking University Beijing China
Show AbstractWe synthesized a highly-branched gold nano-flowers(AuNFs) and extensively investigated the one-photon luminescence (PL) characteristics of such complex-structured nanoparticles. In contrast to the simple shape nanostructures, the PL spectra and far-field patterns of the AuNFs showed distinct features, i.e. the properties presented strongly dependence on the excitation wavelengths and polarizations. The strong coupling of localized surface plasmon resonance (LSPR) modes associated with core and tips results in the multiple and broadband plasmon resonances. Due to the rapid damping of LSPRs mode, the excited states were likely to radiative decay directly from the initial excited energy by the laser excitation. The PL properties were further investigated in situ by recording the angular distribution in far-field. The basic features PL radiation patterns were qualitatively accorded with the theoretical calculations. Additionally, Raman blinking was observed accompanying the strong PL background in the single nanoparticle experiments. The relationship between Raman patterns and PL patterns are analyzed and discussed. These results provide deeper understanding of the PL process, especially for the complex metallic nanostructures which will benefit the potential applications including optical imaging and sensing.
[1] Tianyue Zhang, Guowei Lu, Hongming Shen, Kebin Shi, Yuanyuan Jiang, Dongsheng Xu, Qihuang Gong, “Photoluminescence of a single complex plasmonic nanoparticle.” Scientific Reports 4, 3867 (2014) .
5:30 AM - D14.04
Metal-Enhanced Fluorescent Cytometric Bead Immunoassays Based on Gold Nano-Islands for Multiplexed Low-Abundance Protein Biomarker Detections
Jiang Yang 1 Bo Zhang 1 Yingping Zou 2 Ming Gong 1 Hongjie Dai 1
1Stanford University Mountain View USA2Central South University Changsha China
Show AbstractMultiplexed analytical technologies which allow detection of multiple analytes in one sample simultaneously are critical in deciphering disease-related biomarker patterns. Cytometric bead-based assay (CBA) platforms with polymer (such as polystyrene) and glass beads are used in numerous applications in protein quantification, biomarker identification, drug screening and DNA/RNA profiling, but to date, the low sensitivity and narrow dynamic range on these systems have significantly limited their further high-throughput biological and clinical applications.
In CBA, target molecules are measured on individual beads that pass through the flow cytometry and the signal reporting is based on the intensity of fluorescent reporter dyes and the number of fluorophores on the beads. Metal-enhanced fluorescence (MEF) is an attractive strategy to amplify the fluorescence signals in the bead-based bioassays. Appropriate fluorophores positioned close to roughened or nanoscopic noble metallic surfaces may increase the intensity of emission. Surface plasmons of metal nanostructures can resonantly couple to the fluorophores at the excited state and increase the radiative decay rate of the excited fluorophores, leading to enhanced fluorescence quantum yield. To date, fluorescence enhanced multiplexed bead-based assays have not been demonstrated and sensitive quantitative MEF-based CBA have been hampered by the inability to produce uniform fluorescence-enhancing nanostructures over large curvature areas on different sized beads that are stable over time.
Herein, we present a set of multiplexed plasmonic gold microbeads for flow cytometric immunoassays with high sensitivity. Irregular gold nano-islands are uniformly coated on silica beads of different sizes (4 and 8 µm) through a two-step seeding-and-growth approach. We observed a significant enhancement of Cy5 fluorophores with enhancement factor >100 through flow cytometric measurements on Au plasmonic beads. Detection of human interleukin-6 (IL-6) using Au plasmonic beads can reach as low as 0.03 pg/mL with a dynamic range two orders of magnitude wider than silica beads. A 6-plexed array including cytokines and an ovarian cancer biomarker CA-125 was developed with beads coded by sizes and non-overlapping fluorophores. The measurements of in cell culture media of OVCAR3 and SKOV3 using our plasmonic Au beads are consistent with those using conventional ELISA. We further demonstrate the clinical applications by measuring C-reactive proteins (CRP) in human serum which is an important indicator implicated in a wide range of inflammatory and infectious diseases. The high sensitivity, broad dynamic range and easy adaptability of metal-enhanced fluorescent plasmonic Au bead systems presents new opportunities in proteomic research and diagnostics applications.
5:45 AM - D14.05
Rare-Earth Doped Particles for Minimally-Invasive Dual-Modal Bio-Imaging
Yang Sheng 1 Lun-De Liao 2 Nitish Thakor 2 Mei Chee Tan 2
1Singapore University of Technology and Design Singapore Singapore2National University of Singapore Singapore Singapore
Show AbstractMulti-modal imaging is an emerging area that integrates multiple imaging modalities into single platform to simultaneously capture visual information over many spatial scales. By combining complementary imaging modalities, multi-modal imaging offers attractive outcomes such as: (1) cooperative synergy of the strengths for individual modality, (2) reduce data processing time with the co-registration of multiple signals instead of obtaining sequential images of independent modality, and (3) reduce the exposure risks undertaken by subjects with a one-time contrast agent injection.1
Many efforts have been made to explore the applications of rare-earth doped particles (REDPs) and their composites for multi-modal imaging combining at least two of the following: magnetic resonance imaging (MRI), X-ray computed tomography (CT), fluorescence imaging, and positron emission tomography (PET). In this presentation, we will demonstrate for the first time that REDPs can be employed as dual-modal contrast agents combining two optical-based modalities of fluorescence and photoacoustic (PA) imaging.2 Unlike other modalities utilizing ionizing radiation sources (e.g., CT and PET) or expensive and time consuming techniques (e.g. MRI), these two optical imaging modalities uses non-ionizing sources and are relatively inexpensive. The integration of fluorescence and PA imaging can achieve high contrast, enhanced temporal and spatial resolution, as well as deep penetration of potentially up to ~4 cm using infrared sources.
This talk will discuss the synthesis of REDPs with different surfactants in order to tune the emission properties and PA signal amplitudes. Besides, the multiple absorption peaks of REDPs, which are controlled by RE3+ dopants, provide a unique opportunity for multi-wavelength PA imaging. We will also present our work showing the efficacy of the REDPs in facilitating PA imaging by performing in vivo PA imaging around the superior sagittal sinus (SSS) of rats at 5 min intervals. Our studies showed the signal enhancement arising from REDPs lasted for approximately 20 min before the REDPs were cleared from the vessels. Therefore, we have demonstrated that in addition to being excellent fluorescent probes, REDPs can also be used as successful PA contrast agents.
Reference
1. Sheng, Y., Liao, L. D., Thakor, N. V. & Tan, M. C. Nanoparticles for molecular imaging. J Biomed Nanotechnol10, 1-36 (2014).
2. Sheng, Y., Liao, L. D., Thakor, N. V. & Tan, M. C. Rare-Earth Doped Particles as Dual-Modality Contrast Agent for Minimally-Invasive Fluorescence and Dual-Wavelength Photoacoustic Imaging, Sci Rep (submitted)
D15: Poster Session III
Session Chairs
Wednesday PM, December 03, 2014
Hynes, Level 1, Hall B
9:00 AM - D15.01
Localized Plasmon Resonance Studies of Gold-Polymer Hybrid Nanorod Arrays for Biosensing Application
Zehra Oluz 1 Janina Fischer 2 Gunnar Glasser 2 Martin Steinhart 3 Basit Yameen 4 Hatice Duran 1
1TOBB University of Economics and Technology Ankara Turkey2Max Planck Institute for Polymer Research Mainz Germany3Universitat Osnabramp;#252;ck Osnabramp;#252;ck Germany4MIT-Harvard Center for Cancer Nanotechnology Excellence Boston USA
Show AbstractCyanate ester monomers (CEMs), that are liquid at room temperature, which after curing produced polycyanurates (PCs) were developed in our group[1]. These CEM&’s were conveniently molded into shapes with dimensions down to the nanometer scale and after curing ordered polycyanurate nanorods (PCNs)[2] were obtained. For optical-waveguide spectroscopy based bisosensing[3], PCNs with diameters ranging from 35 to 280 and pore depth from 250 nm to 1 mm were used. A partial gold layer on PCNS was deposited via evaporation technique by tilting PCNs at the angle of 20, 30 and 40 degrees. The techniques of TEM, SEM, XPS, XRD, and UV-Vis IR spectra were used to characterize structure, morphology and plasmonic behavior of the samples. UV-Vis spectra collected from PCNs exhibited red shift with the increase of aspect ratio. Furthermore, the peak corresponding to longitudinal surface plasmon resonance (LSPR) was tunable based on the inter-rod distance and gold deposition angle on the fabricated PCNs. Cysteamine molecules were chemisorbed onto localized gold surfaces. Finally, the binding of analyte (biotin-streptavidin) to PCNs-gold hybrid system was monitored at various wavelengths by shift of LSPR peak in the UV-Vis extinction spectrum resulting from the changes of local refractive index induced by bio-affinity.
[1] Yameen, B.; Duran, H.; Best, A.; Jonas, U. and Knoll, W. Macromol. Chem. Phys., 2008, 209, 1673-1685.
[2] Duran, H; Yameen, B.; Geuss, M.; Kappl, M.; Steinhart, M. and Knoll, W. J. Mater Chem C, 2013, 1, 7758-7765.
[3] Gitsas, A.; Yameen, B.; Lazzara, T.D.; Steinhart, M.; Duran, H. and Knoll, W. Nano Letters, 2010, 10, 2173-2177.
9:00 AM - D15.02
Optofluidic Cytometry of Microalgae Using Multi-Pixel Photon Counters
Qing He 1 Pouya Asrar 1 2 Nastaran Hashemi 1
1Iowa State University Ames USA2Georgia Institute of Technology Atlanta USA
Show AbstractWe have developed a sensitive optofluidic cytometer to investigate microscale particles and different populations of microalgae. The flow cytometer is comprised of a microchannel that has a set of chevron-shaped grooves. The chevrons hydrodynamically focus the core stream in the center of the microchannel three- by two sheath streams. The optofluidic cytometer is equipped with a new generation of photodetectors, multi-pixel photon counter (MPPC). MPPCs are highly sensitive photodetectors with extremely small footprint that deliver high gain values of up to 107. Two different sizes of high intensity fluorescent microspheres and three different types of algae (Chlamydomonas reinhardtii strain 21gr, Chlamydomonas suppressor, and Chlorella sorokiniana) are studied. The signal outputs from each particle or cell are collected using a data acquisition unit for further statistical analysis. The emission light generated by samples is carried through a multimode fiber that is located in 135-degree with respect to the excitation fiber. The effect of particle or cell size on the range of collected signal output is investigated by investigating the forward scattering emission from samples. Larger particles or cells demonstrated larger peak height and width, and consequently larger peak area (integral of the peak). Average signal output (integral of the peak) for Chlamydomonas reinhardtii strain 21gr, Chlamydomonas suppressor, and Chlorella sorokiniana falls between the values found for 3.2 mm and 10.2 mm beads. Different types of algae are also successfully discriminated using statistical analysis. We employed COMSOL Multiphysics to simulate the concentration distribution along the microchannel.
9:00 AM - D15.03
Capacitive Nanogap Biosensor with a Dielectric Layer of Parylene Film
Young Wook Chang 2 Ga-Yeon Lee 2 Hyuk Ko 2 Sungbo Cho 1 Jae-Chul Pyun 2
1Gachon University Incheon Korea (the Republic of)2Yonsei University Seoul Korea (the Republic of)
Show AbstractA capacitive biosensor was developed by using a vertically nanogap electrode with inserted parylene using dielectric layer for the non-labeled immunoassay. The vertically nanogap electrodes were prepared by sequential deposition of Au/Parylene/Au layer with thickness of 100/500/100 nm, respectively. SU-8 layer was spin-coated for passivation and etching mask. And then, the nanogap electrodes were exposed at the wall of the layered structure by sequential etching process or FIB process. The fabricated sensors were characterized by cyclic voltammetry of a well-known redox couple of 3,3',5,5'-tetramethylbenzidine. The non-labeled detection of antigen-antibody interaction was demonstrated by using anti-horseradish peroxidase (HRP) antibodies and C-reactive protein (CRP) as model analytes. When the model analytes were bound to the surface of vertically nanogap electrodes, the impedance change was measured during the immunoassay steps. The measured impedance was analyzed by using constant phase element (CPE) equivalent circuit model, and the capacitance was estimated to be dependent on the adsorption of analytes between the nanogap electrodes.
9:00 AM - D15.04
UV Treated Parylene-N: Characteristics and Biosensor Application
Hyuk Ko 1 Yong-hwan Choi 1 Seo Yoon Chang 1 Jae-Chul Pyun 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractUV-treated parylene-N film was presented for the immobilization of proteins through physical adsorption. The changes in surface properties of the parylene-N film after UV-treatment were analyzed using contact angle microscopy and XPS. Stability of UV treated parylene-N surface was checked by protein immobilization test and contact angle measurement over a period of 30 days. To demonstrate the high protein-immobilization efficiency of the UV-treated parylene-N film, the immobilization efficiencies of differently modified surfaces were compared using model proteins with different surface charges, such as streptavidin (pIfrac14;5, negatively charged at pH 7), horseradish peroxidase (pIfrac14;6.6, nearly neutral at pH 7), and avidin (pIfrac14;10, positively charged at pH 7). The application of the UV-treated parylene-N film as an SPR biosensor was also tested by immobilizing model proteins. An SPR biosensor based on the UV-treated parylene-N film was developed for the detection of the Myoglobin, and the UV-treated parylene-N film was estimated to improve the sensitivity of SPR biosensor as much as 1000-fold by increasing the immobilization rate of receptor antibodies.
9:00 AM - D15.05
Design and Synthesis of Magnetic Alloy Nanoparticles for Biomedical Sensing
Hannah L. Cronk 1 Zakiya Skeete 1 Pharrah Joseph 1 Jin Luo 1 Chuan-Jian Zhong 1
1Binghamton University Binghamton USA
Show AbstractOne emerging field in biomedical sensing is the use of magnetic nanoparticles as nanoprobes for targeting diseases or cancer diagnostics. One of the problems is the fact that many of the existing magnetic metals are potentially harmful to the body. Alloying metals is considered as a potentially-viable strategy for addressing the problem while making the magnetic function tunable. In addition, the alloying of expensive metals with earth abundant transition metals can lower the cost of production therefore making it more suitable to industry and consumers. The challenge is the ability to manipulate the synthesis and processing parameters so that shape, size, and composition of the nanoparticles can be precisely controlled. In this investigation, we aim to determine these parameters, and characterize how alloying metals can change the functional properties of the nanoparticles in terms of composition ratios of metals. Palladium-nickel nanoalloys are studied as a model system due to the technological importance in many related areas. The initial results have demonstrated a good controllability by manipulating the synthesis parameters to achieve the narrow size distribution and the desired composition. The stability of the nanoalloy particles will also be discussed, which is linked to the potential application of these particles as nanoprobes to biomolecular recognition.
9:00 AM - D15.07
Ultrabright Fluorescent Nano-Thermometers
Vivekanand Kalaparthi 1 Shajesh Palantavida 1 Igor Sokolov 1
1Tufts University Medford USA
Show AbstractWe have recently reported on fluorescent silica particles that demonstrate unusually high fluorescent brightness (we called them ultrabright particles). Here we report on the first fluorescent sensor built on the platform of these ultrabright nanoparticles. This size is suitable for many applications in which the knowledge of distribution of temperature is important. The temperature dependence is achieved through the use of two dyes, a reference (rhodamine 6G) and temperature sensitive (rhodamine B ) dyes. Due to the dense packaging of the dye molecules inside the particles, the Forster resonance energy transfer (FRET) occurs between the dyes. As a result, the fluorescence of both dyes can be excited with a single wavelength. We demonstrate temperature sensitivity of the nanoparticles, which is linear and repeatable in the range up to 50°C.
9:00 AM - D15.08
Electrochemical Detection of Virus Particles Based on Nanogap Electrodes
Dae Keun Park 1 Kum-hee Yun 1 Jong-hwan Shin 1 Soohyun Kim 1 Wan Soo Yun 1
1Sungkyunkwan University (SKKU) Suwon Korea (the Republic of)
Show AbstractWe demonstrate electrochemical signal recognition strategy with a highly selective detection of vaccinia virus particles using nanogap electrodes. The gap distance between electrodes was controlled by surface-catalyzed chemical deposition after conventional photo-lithography. We found that faradaic current by redox cycling of ferri/ferrocyanide as an electroactive probe was increased when the nanogap distance was decreased. Electrochemical signal enhancement by redox cycling causes sensitive signal changes according to phase interfering substances in the nanogap area. Electrochemical signal interference was founded when virus particles were selectively immobilized on the gap area. In this case, electrochemical signal-to-interference ratio was strongly correlated to the distance of nanogap. Our experimental results were discussed by using cyclic voltammetry (CV) and differential pulse voltammetry (DPV), atomic force microscopy (AFM), scanning electron microscopy (SEM) and fluorescent microscopy.
9:00 AM - D15.09
Proteinticle Based Biosensor for Accurate 3D Diagnosis
Jong-Hwan Lee 1 Donghyun Park 1 jeewon Lee 1
1Department of Chemical and Biological Engineering, College of Engineering, Korea University Seoul Korea (the Republic of)
Show AbstractIn nature certain proteins are self-assembled inside cell to form nano-scale particles (named “proteinticles”) with constant structure and surface topology. Unlike chemically synthesized nanomaterials (e.g. various metal, carbon, and polymer nanoparticles), a variety of functional proteinticles can be easily created through genetic modification of the proteinticle surface, i.e. by adding or inserting specified proteins/peptides to N-, C-terminus, or internal region of protein constituent. Here we displayed proteins/peptides that recognize disease-specific antibodies on the surface of human ferritin based proteinticles for accurate 3D diagnosis of human autoimmune and infectious diseases. The surface display of extracellular domain of myelin oligodendrocyte glycoprotein (MOG) with native conformation successfully discriminated between autoantibodies to native or denatured MOG, leading to the reliable diagnosis of multiple sclerosis (MS) with enhanced accuracy. Also we simultaneously displayed different antigenic peptides from hepatitis C virus (HCV) on the same proteinticle surface with modulating the composition of each peptide. The proteinticles with the heterogeneous peptide surface detected anti-HCV antibodies in patient sera with 100% accuracy. The proposed method of proteinticle engineering can be applied in general to sensitive and specific diagnosis of many other human diseases.
9:00 AM - D15.10
DNA-Directed Antibody Immobilization for Enhanced Capture Efficiency and Reproducibility in a Label-Free Virus Detection Platform
Elif Seymour 1 George Gaby Daaboul 1 Nese Lortlar Unlu 1 M. Selim Unlu 1
1Boston University Boston USA
Show AbstractRapid and sensitive diagnosis of viral infections is critical for limiting the spread of the infectious diseases, especially during sudden outbreaks of influenza and viral hemorrhagic fevers (VHFs). Recent advances in interferometrically enhanced microscopy utilizing the IRIS (Interferometric Reflectance Imaging Sensor) have enabled the development of rapid and multiplexed assays for viral diagnostics using label-free protein microarrays. Because IRIS allows for the quantification of individual binding events, it is compatible with low concentrations of analytes below the detection limit typical of ensemble-based methods. This technology achieves a high level of sensitivity through size based discrimination of individual captured viruses on an interferometric substrate without the need for secondary labels, thus reducing assay cost and complexity. While preliminary data from IRIS assays indicates a lower limit of detection than ELISA assays, there are two major problems affecting the sensitivity and robustness of IRIS platform: 1) Sensitivity is limited by the capture efficiency of the surface probes. 2) Protein immobilization on a microarray surface can be highly variable depending on the environmental conditions, causing variability in signal intensity within or among the spots. Moreover, protein microarrays are prone to degradation and loss of activity due to mechanical shearing, drying and surface effects hampering the long-term storage of protein spotted chips.
Conversely, DNA microarrays offer reproducible probe immobilization on a robust platform capable of long-term storage. DNA microarrays can be translated into protein microarrays by using DNA-antibody conjugates through DNA-DNA hybridization. DNA molecules provide conformational mobility to the protein and prevent activity loss that might be caused by surface attachment mechanism. DNA chips can be stored for a long time and can be functionalized with proper antibody-DNA conjugates at the time of the assay, eliminating antibody degradation problem and providing a programmable sensor surface. We compare different capture probe immobilization techniques (direct antibody immobilization vs. DNA-directed antibody immobilization) to evaluate the capture efficiency of the surface probes for whole virus detection. Our preliminary results indicate that the use of antibody-DNA conjugates enables noticeable increases in reproducibility and bound virus density compared to direct antibody surface immobilization. Integration of this DNA-based universal platform into IRIS will accelerate the future development of simple, robust, and highly sensitive techniques for rapid detection of viral infections.
9:00 AM - D15.11
Micro-Filter Based Immunoassay Devices
Nhi M Doan 3 Zhe Li 3 LiangLiang Qiang 3 SanthiSagar Vaddiraju 1 Greg Bishop 2 James Rusling 2 Fotios Papadimitrakopoulos 3 2 1
1Bioracs Inc Storrs USA2University of Connecticut Storrs USA3University of Connecticut Storrs USA
Show AbstractWith the help of conventional UV-photolithography and micro-molding, we herein show the fabrication and operation of ultra-cheap, microfluidic-based immunoassay devices that can utilize microbeads based amplification and pre-concentration. With an ultimate goal in engineering capillary-induced flow for autonomous, pump-free operation, the micro-molded devices can effectively localize microbeads on top of pre-patterned electrodes for electrochemical signal detection. For this, we have successfully realized sub-5 um poly(dimethyl siloxane) (PDMS) gaps that are capable to retain the microbeads down to 5 microns onto electrode&’s surface. This is expected to significantly improve signal amplification via sample pre-concentration and efficient electron transfer for electrochemical signal detection. The latter was implemented using the highly sensitive and reusable Pt black microfluidic electrodes for long term electrochemical detection that have been engineered in our laboratory. Due to the synergy combination of high amplification, low degree interferences, the ease of automation, the less amount of reagents and less time-consuming, our device&’s configuration offers an opportune avenue for the design of cheap and reliable immunoassay testing for Point of Care (PoC) purpose
9:00 AM - D15.12
PEGylated O2-Riched Photo-Patterned Hydrogels for Long-Term High Performance Electrochemical Glucose Sensors
Zhe Li 1 Liangliang Qiang 1 Sagar Vaddiraju 2 Fotios Papadimitrakopoulos 1 3
1University of Connecticut Storrs USA2Biorasis Inc. Storrs USA3University of Connecticut Storrs USA
Show AbstractThe function and lifetime of an implantable CGM device are intimately linked with the stability of the glucose oxidase (GOx) enzyme, responsible for glucose detection. Biofouling, co-substrate inefficiency and enzyme denaturation are major concerns for long-lived implantable devices. Poly(ethylene glycol) (PEG)-ylated hydrogels offer an opportune venue to minimize biofouling, while retaining their highly hydrated state to prevent enzyme denaturation. These hydrogels, not only promote enzyme activity but also provide good antifouling properties and ease of fabrication via traditional photo-lithography methods. In this contribution, to provide extra co-substrate supply while allowing for minimizing protein absorption and photopatterning, we report the synthesis and characterization of a random copolymer based on PEGylated side chains together with cinnamyl ethyl methacrylate (CEMA) and glycidyl methacrylate (GMA) (namely poly(PEGMEM-CEMA-GMA)) and utilized for redox enzyme-based devices, which was mixed with GOx enzyme and drop-casted on the electrode, followed by UV exposure for crosslinking and 24 hour incubation for reaction between the GOx-amine and hydrogel&’s GMA-epoxide group. This hydrogel affords improved stability and activity for the immobilized GOx enzyme, while reducing non-specific protein fouling by an order of magnitude. Increasing the amount of GOx loading was found to improve sensitivity (up to 330 nAmiddot;mM-1middot;mm-2 at 10mgmiddot;mL-1GOx-loading) while decreasing linearity (km of 23 and 11 mM for 1 and 10 mgmiddot;mL-1 GOx-loading, respectively). Continuous in vitro sensor testing in phosphate buffer saline (PBS) shows enhanced stability with only 10% in gradual loss of sensitivity over 30 days. These results indicate the potential importance of this type of amphiphilic random copolymer and related hydrogels as host matrices in various enzyme based device platforms.
9:00 AM - D15.13
Multiplexed Charge-Selective Surface Enhanced Raman Scattering Based on Plasmonic Calligraphy
Sirimuvva Tadepalli 1 Limei Tian 1 Mikella E Farrell 2 Keng-Ku Liu 1 Naveen Gandra 1 Paul M Pellegrino 2 Srikanth Singamaneni 1
1Washington University in St.Louis St.Louis USA2U.S. Army Research Laboratory Adelphi USA
Show AbstractMultiplexed surface enhanced Raman scattering (SERS) substrates, which enable chemically selective detection of two or more target analytes from a complex chemical mixture, are highly attractive for chemical detection in real-world settings due to the ‘fingerprint&’ Raman spectra from every molecule. Current approaches for multiplexing are complex and involve the formation of hydrophilic test domains and hydrophobic barriers using complex material printing approaches (usually performed using specialized material printers) to achieve multiple test domains on the same strip of paper.
We introduce a new approach called plasmonic calligraphy that involves the formation of chemically selective test domains on paper substrates using functionalized plasmonic nanostructures as ink in a regular ballpoint pen. We demonstrate selective detection of positively and negatively charged analytes (rhodamine 6G and methyl orange) from complex chemical mixtures using polyelectrolyte-coated gold nanorods as SERS medium. The approach demonstrated here obviates the need for complex patterning techniques such as photolithography to create isolated test domains on paper substrates for multiplexed chemical detection. Plasmonic calligraphy can be easily extended to other shape-controlled nanostructures with different surface functionalities and potentially automated by implementing with a robotic arm to achieve arrays of chemically selective domains for analyzing complex real-world chemical mixtures.
References:
1. Tian, L., Tadepalli, S., Farrell, M.E., Liu, K.K., Gandra, N., Pelligrino, P.M., Singamaneni, S. (2014) Multiplexed charge-selective surface enhanced Raman scattering based on plasmonic calligraphy, J. Mater. Chem. C. 2, 5438.
9:00 AM - D15.14
Probing Interaction at Nano-Bio Interface Using Raman Spectroscopy: ZnO Nanoparticles and ATP Biomolecules
Austin Shearin 1 Anagh Bhaumik 1 Robert Delong 2 Adam Wanekaya 2 Kartik Ghosh 1
1Missouri State University Springfield USA2Missouri State University Springfield USA
Show AbstractWith the advent of nanobiotechnology, there will be an increase in the interaction between engineered nanomaterials and biomolecules. The interface between nanomaterials and biomolecules initiates comprehending a new science concerned with the innocuous use of nanotechnology and nanomaterials for ‘nano-bio&’ applications. The interface between nanostructures and cells, DNA and organelles establish a series of nano-bio interfaces that depend on several complex bio physicochemical reactions at the interface. These interactions, if monitored at a molecular level, can be extremely useful for understanding the complex binding that takes place between them. We report a unique method of probing the kinematics between an energy biomolecule, adenosine tri-phosphate (ATP), and hydrothermally synthesized ZnO nanostructures using micro-Raman spectroscopy experiments. We are successful in illustrating that at a certain level of pH of the medium establishes a better interaction. It has been proven by Raman spectroscopy analysis that the ZnO nanostructures interact strongly with the nitrogen (N7) atom in the adenine ring of ATP biomolecule. The Raman spectroscopy results also indicate that the NH2 group facilitates hydrogen bonding with water molecules and the phosphate groups are ionized by the acidic solution. Calculation of molecular bond force constants from Raman spectroscopy also reinforces our above mentioned results. Scanning electron microscopy clearly shows the ATP binding onto the ZnO nanorods which is also confirmed by Electron dispersive X-ray spectroscopy (EDS) studies. We are convinced that Raman spectroscopy studies are informative in probing the bonding characteristics at the nano-bio interface, and can be used elegantly for other nano-bio interaction. This research work is supported by National Cancer Institute (1 R15 CA139390-01).
9:00 AM - D15.15
Ammonia Detection by MoO3-Based Gas Sensor for Breath Analysis
Andreas Thomas Guentner 1 Marco Righettoni 1 Sotiris E Pratsinis 1
1ETH Zurich Zuerich Switzerland
Show AbstractFast rising expenses for health care motivates innovation towards a reorientation of medical services. Breath analysis represents a promising non-invasive alternative to well-established diagnostic techniques such as blood analysis, endoscopy, ultrasonic and tomographic monitoring. Especially its higher degree of automization,1 which doesn&’t require trained human resources, bears the potential to drastically reduce the treatment costs. Furthermore, the ability to detect the illness already in an early stage and monitor its progress may improve medical treatment to a point-of-care therapy with higher chance for patient recovery and better quality of life. So far, more than 3000 trace volatile organic compounds (VOC) have been identified in human breath.2 Among these, only a few have been successfully related to certain illnesses (breath markers).3 Ammonia (NH3) is an important breath marker for the detection of liver dysfunction4, end-stage renal disease5 and may be even applied for real-time monitoring during dialysis treatment5.
Here, a chemo-resistive gas sensor has been developed consisting of α-MoO3, a phase which is capable to detect breath-relevant NH3 concentrations (50 - 1000 ppb)6 and showed promising selectivity7. The novelty of this work is the thermal stabilization of α-MoO3 by SiO2-doping preventing pronounced sintering and crystal growth of MoO3 at the relatively high operating temperature of such sensors (300 - 400 °C). Pure and SiO2-doped MoO3 nanoparticles (8 - 11 nm) were synthesized by flame spray pyrolysis and directly deposited as a highly porous film on a sensor substrate. The thermal stability of SiO2-doped MoO3 composition was characterized with respect to phase composition, crystal and particle size and was correlated to the NH3 sensing performance. Furthermore, operational temperature and selectivity towards other interfering gases present in human breath were evaluated in order to obtain optimal operational conditions. The developed sensor showed high NH3 sensitivity down to ppb concentrations with high signal-to-noise ratio and selectivity towards other gases. As a result a simple, portable and cheap alternative to more expensive and bulky breath analysis techniques is reported that has the potential for non-invasive liver and kidney disease detection and dialysis monitoring.
(1) Righettoni, M.; Tricoli, A.; Gass, S.; Schmid, A.; Amann, A.; Pratsinis, S.E., Anal. Chim. Acta2012, 738, 69-75.
(2) Cao, W.Q.; Duan, Y.X., Clin. Chem.2006, 52, 800-811.
(3) Miekisch, W.; Schubert, J.K.; Noeldge-Schomburg, G.F.E., Clin. Chim. Acta2004, 347, 25-39.
(4) Adrover, R.; Cocozzella, D.; Ridruejo, E.; Garcia, A.; Rome, J.; Podesta, J.J., Dig. Dis. Sci.2012, 57, 189-195.
(5) Davies, S.; Spanel, P.; Smith, D., Kidney Int.1997, 52, 223-228.
(6) Gouma, P.; Kalyanasundaram, K.; Xiao, Y.; Stanacevic, M.; Lisheng, W., IEEE Sens. J.2010, 10, 49-53.
(7) Mutschall, D.; Holzner, K.; Obermeier, E., Sens. Actuators, B1996, 36, 320-324.
9:00 AM - D15.16
New Approach to Drug Delivery System Using a Biocompatible Rubber Latex Membrane
Marcos Roberto Cardoso 1 Gustavo Foresto Brito Almeida 1 Leticia Leite Bernardes 2 Rondinelli Donizetti Herculano 2 Cleber Renato Mendonca 1
1University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil2Universidade Estadual Paulista Jamp;#250;lio de Mesquita Filho Assis Brazil
Show AbstractDrug delivery systems have been investigated to reach the better pharmacological and therapeutic effect. The ideal drug delivery system must be able to release precisely the required dosage of drug to the specific target site at accurate periodicity. Therefore, it is necessary to choose a suitable carrier material to attend all requisites. In this context, Natural Rubber Latex (NRL) membranes have showed to be able to stimulate natural angiogenesis and cellular adhesion, prompting this material for drug delivery by direct contact with biological tissues. In addition, NRL presents interesting characteristics, such as high mechanical resistance, easy manipulation and low cost. Recent works show that surface modification in NRL membranes can aid to control the drug release kinetic by changing the surface morphology of membranes [1]. Thus, the objective of this work is to focus on the study of the drug release kinetic as a function of pores density precisely created by femtosecond laser micro-drilling on NLR membranes. To produce the pores we used 150-fs laser pulses at 775 nm from a Ti:Sapphire system, operating at 1 kHz repetition rate. The samples were micro-drilled by focusing the laser beam onto the sample surface, under nitrogen flux, using an f= 20 cm lens (beam waist size at the focus of ~20 µm), while computer controlled scanning mirrors scan the laser beam onto the sample surface. This method allows us to produce distinct pores densities onto the membranes. No collateral thermal damage or cracks were observed, by SEM images, in the surrounding pores area. After creating different pores densities on NRL membranes, the drug was incorporated into the sample by immersing it Ciprofloxacin aqueous solution. The active membranes release the drugs in water and aliquots were taken for UV-VIS spectra analysis. As a result, an increase in the drug adsorption and release with pores density was observed, indicating this approach to develop controllable drug delivery systems. Additionally, laser micromachining allows obtaining high reproducibility and control of the pores size and depth, a clear advantage as compared to other methods. The authors acknowledge FAPESP, CNPq, CAPES and the Air Force Office of Scientific Research (FA9550-07-1-0374) for financial support and Andre Romero for technical assistance.
[1] R.D. Herculano, S.A.C. Guimaratilde;es, G.C. Belmonte, M.A.H. Duarte, O.N.Oliveira Jr., A. Kinoshita, C.F.O. Graeff, Metronidazole Release Using Natural Rubber Latex as Matrix, Materials Research. 2010; 13(1): 57-61
9:00 AM - D15.17
Multifunctional Micro-Scale Light Emitting Diodes for Brain Stimulation
Tae-il Kim 1 2 Byeonghak Park 1 Junsik Kim 1 Hyejin Jang 1 John A. Rogers 3 4 5
1Sungkyunkwan Univ.(SKKU) Suwon Korea (the Republic of)2Institute of Basic Science (IBS) Suwon Korea (the Republic of)3University of Illinois at Urbanaamp;#8722;Champaign Urbana USA4University of Illinois at Urbanaamp;#8722;Champaign Urbana USA5University of Illinois at Urbanaamp;#8722;Champaign Urbana USA
Show AbstractSuccessful integration of advanced semiconductor devices with biological systems will accelerate basic scientific discoveries and their translation into clinical technologies. In neuroscience generally, and in optogenetics in particular, the ability to insert light sources, detectors, sensors, and other components into precise locations of the deep brain yields versatile and important capabilities. Here, we introduce an injectable class of cellular-scale optoelectronics that offers such features, with examples of unmatched operational modes in optogenetics, including completely wireless and programmed complex behavioral control over freely moving animals. The ability of these ultrathin, mechanically compliant, biocompatible devices to afford minimally invasive operation in the soft tissues of the mammalian brain foreshadow applications in other organ systems, with potential for broad utility in biomedical science and engineering.
9:00 AM - D15.18
Conjugated Polymer Nanoparticles for Differentiation of Glycosaminoglycans in Urine
Megan Twomey 1 Tereza Vokata 1 Joong Ho Moon 1
1Florida International University Miami USA
Show AbstractGlycosaminoglycans (GAGs) are a class of biologically important polysaccharides and can serve as biomarkers for disease. Changes in urinary GAG levels can signify proliferation of several diseases, including kidney and bladder disorders, polysaccharide storage diseases, and certain cancers. Current methods for detection involve complex sensing approaches and analysis that requires extensive sample preparation. A simple screening test for GAGs in urine would provide a powerful diagnostic tool in early detection and monitoring of disease progression.
Conjugated polymer nanoparticles (CPNs) are emerging fluorescent materials that are fabricated by self-assembly of non-aqueous soluble conjugated polymers (CPs) in an aqueous solution. CPNs exhibit excellent photophysical properties that are dependent on CP chemical structure and polymer chain aggregation. Modulation of side chain hydrophilicity and conjugated backbone hydrophobicity can be utilized to control CPN aggregation state. These structural modifications also make CPNs responsive to external stimuli that can be characterized by changes in fluorescence emission. In this presentation, a systematic investigation on the aggregation state of CPNs that vary by side chain amine density and incorporation of a non-conjugated, flexible moiety into the backbone and, the CPNs complexation behavior with different GAGs in aqueous media will be discussed. Emission screening of CPNs with GAGs were analyzed using multivariate analysis to demonstrate the differentiation application and provided a sensitive assay for GAG screening in urine. This CPN-based assay is unique because the CPN can act as both a receptor and transducer upon direct interaction with the analyte, which provides a sensitive screening platform that performs well in complex aqueous media.
9:00 AM - D15.19
Real-Time Digital Virus Detection
Steven M Scherr 1 George Daaboul 2 Bennett Goldberg 3 2 4 John H Connor 5 M Selim Unlu 4 2 3
1Boston University Brookline USA2Boston University Boston USA3Boston University Boston USA4Boston University Boston USA5Boston University School of Medicine Boston USA
Show AbstractReal-time, sensitive, and label free detection of individual viruses and nanoparticles in complex media is important for medical diagnostics, vaccine research, and bio-aerosol and environmental contaminant detection. Rapid detection and quantification is difficult due to the complex liquid environment and need to detect a low-concentration of particles. There are currently few methods for real-time detection that are sufficiently sensitive, specific, and robust, without being prohibitively expensive. We have developed an optical detection technique for real-time, sensitive, and label free detection of viruses and nanoparticles in a complex liquid environment. By integrating an optical interferometric reflectance imaging sensor with a microfluidic platform, we perform real-time digital detection of individual 100nm vesicular stomata virions as well as 40nm gold particles. With this technique we demonstrate real-time simultaneous detection of multiple targets in a single sample, as well as quantitative dynamic detection of individual biomolecular interactions for reaction kinetics measurements. This approach promises to simplify and reduce the cost of rapid diagnostics, virology research, and bio-contaminant detection.
9:00 AM - D15.20
Next Generation Immunosorbent Assay: On-Demand Nanopore-Nanofiber Mesh (NP-NFM) Reporter Detection
Joseph Steven Hersey 1 Allison Squires 1 Amit Meller 1 2 Mark Grinstaff 1 3
1Boston University Boston USA2Boston University Boston USA3Boston University Boston USA
Show AbstractNew techniques to rapidly identify low concentrations of target protein biomarkers are needed to diagnose diseases and/or track disease progression in the clinic. Traditional immunosorbent assays require either amplification (limiting specificity) or labeling (limiting sensitivity) steps to identify protein biomarkers using colorimetric or fluorescence assays with limited dynamic ranges. To overcome these sensitivity and specificity limitations we have developed a solid-state nanopore (NP) coated with an electrospun nanofiber mesh (NFM) designed to selectively capture target molecules and release a generic reporter molecule (avidin) into solution for single-molecule detection within the nanopore. Nanopores detect the presence of charged biopolymers, such as proteins and nucleic acids, as transient drops in current as a translocating molecule partially blocks the ionic current flow through the nanopore. The number of translocation events per second is directly related to the concentration of charged biopolymers in solution. While the detection limit of a sensor is important, the combination of a low detection limit with a large dynamic range is key to a versatile diagnostic tool. Our 6 nm pores are calibrated down to ~10 pM avidin within a 100 uL sample chamber (10 attomoles) with a dynamic range up to 100 nM (i.e. 5 orders of magnitude) avidin. A polymeric nanofiber mesh with extremely high surface area was electrospun to produce 300 nm fibers designed to be coated with avidin. The NFM surface was characterized with a conventional sandwich enzyme linked immunosorbent assay (ELISA) using biotinylated capture antibodies and a colorimetric horseradish peroxidase (HRP) readout. The detection limit of the target molecule (mouse IgG) in this system is 10 pM with a range limited to only 1 nM due to the limited dynamic range of the colorimetric assay. To facilitate the release of avidin from the NFM only when a target molecule has been captured, we developed a stimuli responsive linker to tether the second target specific antibody, normally tethered to the HRP enzyme, in the antibody sandwich to avidin through a labile unit. The linker is comprised of a maleimide group attached to a PEG chain followed by a labile thiol ester group and terminated by biotin. The maleimide group is attached to an antibody through thiol-malemide chemistry. The biotin is exposed to a solution of avidin prior to the thiol ester release. The thiol ester group is cleaved in the presence of cysteine methyl ester through native chemical ligation to separate the NFM-antibody complex from the avidin reporter molecule. The avidin reporter is then detected by applying a potential through the NP-NFM and counting the number of translocations per second. Future work will combine each component into a next generation capture and release immunosorbent assay (CRISA) which relates detected avidin concentration in a nanopore to the concentration of the captured target protein biomarker.
9:00 AM - D15.21
High-Throughput Sequence-Specific Detection of BRCA1 Gene with DNA Coronae via Kelvin Probe Force Microscopy
Hyungbeen Lee 1 Sang Won Lee 1 Wonseok Lee 1 Gyudo Lee 1 Kihwan Nam 1 Sang Woo Lee 1 Dae Sung Yoon 1
1Yonsei university Wonju Korea (the Republic of)
Show AbstractAccurate discrimination of one or more nucleotide mismatches in human genes is of importance for precise diagnosis of cancer, which is challengeable in genomic research. Here, by using Kelvin probe force microscopy (KPFM), we demonstrate the state-of-art technology of high-throughput sequence-specific gene detection of BRCA1 gene mutations related to breast and ovarian cancer. To improve detection efficiency of BRCA1 gene mutations, we use DNA coronae based on gold nanospheres (GNS) on which probe DNA is immobilized in order to detect target DNA with single to five nucleotide mismatches. DNA coronae are individually imaged, and the diameter and surface potential are quantitatively measured by KPFM. It figures out that there is no significant difference in their diameter of DNA coronae, but the surface potential of DNA coronae is linearly decreased as the number of nucleotide mismatches increases. Our approach can classify nucleic acids with different number of nucleotide mismatches from single to five-point and can allow high-throughput sequence-specific gene detection to be realized for early diagnosis of cancer.
9:00 AM - D15.22
Interactions of Potential Protein Cancer Biomarker Survivin with Plasmonic Nanoparticles and Its Dynamics in Cancer Cells Studied Using Fluorescence Molecular-Beacon Probes, Gated-RET and EQCN Methods
Magdalena Stobiecka 1 Agata Chalupa 2 Beata Dworakowska 1
1Warsaw University of Life Sciences (SGGW) Warsaw Poland2Institute of Nanoparticle Nanocarriers Barczewo Poland
Show AbstractThe protein survivin (Sur) has been considered as a potential cancer biomarker since it has been found to disrupt the normal cell cycle by stimulating proliferation and inhibiting apoptosis. For this reason, the interactions of Sur with theranostic plasmonic nanocarriers and Sur dynamics in cancer cells have been the subject of our interests. In this work, we have focused on exploring the novel sensitivity-enhancing phenomenon based on protein modulation of plasmon-controlled fluorescence. We have demonstrated that Sur can be employed to gate the resonance energy transfer (RET) between fluorescein isothiocyanate dye (FITC) and plasmonic citrate-capped gold nanoparticles (AuNP@Cit). To corroborate the interactions of Sur with plasmonic nanoparticles and the suitability of multi-shell AuNP@Cit/Sur structures for channel gated-RET, control experiments have been performed using electrochemical quartz crystal nanobalance (EQCN) technique. The nanogravimetric responses have confirmed the attachment of consecutive molecular layers to a gold-coated quartz crystal resonator wafer, including citrate self-assembled monolayer (SAM) and survivin protein overcoat. We have also demonstrated that the plasmonic quenching of FITC fluorescence by AuNPs can be gated by other proteins as well. This provides the proof-of-concept for the gated-RET technique. Other proteins that have been used in designing gates include both positively charged proteins (cytochrome c, Cytc) and negatively charged proteins (bovine serum albumin, BSA). Hence, the control of plasmonic fluorescence quenching can be achieved by forming multi-shell nanostructures (AuNP@Cit/Sur-FITC, AuNP@Cit/Cytc-FITC or AuNP@Cit/BSA-FITC) with proteins of different net charges enabling modulation of the channel permeability by charged species. Furthermore, we have designed fluorescent dye-bearing molecular beacons (MBs) targeting 232-251 nucleotides of the survivin mRNA. The complementary oligonucleotides to the target sequence have been inserted in the loop area of the hairpin MB structure. With the help of the MB-based assay for Sur mRNA, the Sur expression in cancer cells could then be followed using the fluorescence turn-on signaling enabled by MB interaction with graphene nanosheets (GNS). The assay relied on GNS propensity to interact strongly with ssDNA strands and GNS inability to attract hybridized nucleic acid structures. The dynamics of Sur in cancer cells was investigated using the human astrocytoma (glioblastoma) cell line. The cells were treated with MBs, GNS, and chemotherapeutic drug docetaxel, followed by the cell evaluation using a colorimetric MTT cell viability/proliferation assay. The effects of various parameters on survivin expression will be discussed.
Acknowledgements: This research was supported by funding provided by the Grant Iuventus Plus, No. IP2012058072 awarded by the Ministry of Science and Higher Education.
9:00 AM - D15.23
Cellular Matrix Mechanosensing and Reorganization under Cyclic Stretch
Qianru Jin 4 Shawna Lewis 3 Janna Serbo 2 Thao D Nguyen 5 David H Gracias 4 Lewis Romer 1 3 2
1Johns Hopkins University School of Medicine Baltimore USA2Johns Hopkins University School of Medicine Baltimore USA3Johns Hopkins University School of Medicine Baltimore USA4Johns Hopkins University Baltimore USA5Johns Hopkins University Baltimore USA
Show AbstractBreathing patterns are known to be important in fetal lung development. In addition, focal adhesion organization is a component of the morphogenic response to the cellular microenvironment during tissue morphogenesis. Here, we describe direct measurements of cell adhesion, and matrix reorganization under cyclic stretch conditions which recapitulate fetal breathing, and modeling of the mechanical forces to which the cells are subjected. We discuss experiments using human fetal lung fibroblasts wherein the effects of varying patterns of mechanical deformation on cell shape, actin cytoskeletal organization, and alignment of focal adhesions and matrix fibrils are examined. We discuss the influence of variables in the mechanical deformation which include tensile strain, stretch frequency and matrix stiffness. Furthermore, we detail finite element modeling results of the strain field over the surface of the studied matrix. These studies are directed at testing the hypothesis that strain may influence the spatial patterns of matrix reorganization. We anticipate future applications of this model system which include endothelial tubulogenesis on the fibroblast-derived matrix, and tissue regeneration during wound healing. These studies may provide insight into mechanisms of mechanosensing during fetal lung development and vascular regeneration.
9:00 AM - D15.24
A Novel Biomedical Sensor Based on Pt Nanowire Array Coated with Au Nanoparticles
Zhiyang Li 1 Calvin Leung 1 Fan Gao 1 Zhiyong Gu 1
1University of Massachusetts Lowell Lowell USA
Show AbstractIn recent years, various types of nanomaterials have been explored for the development of next generation electrochemical sensors. Due to the high surface to volume ratio, nanomaterials have shown enhanced sensitivity to species adsorbed on surfaces and resulted in good electrochemical performances. However, the typical nanomaterial modified sensors do not have excellent electrochemical properties because of the limit of their structures and electrode modification methods. In our research, a highly sensitive electrochemical sensor based on vertical nanowire array/nanoparticle hybrid electrode has been developed. The vertical Pt nanowire array has been prepared by an electrodeposition method using anodic aluminum oxide membranes; then Au nanoparticles are coated onto the surface of the vertical Pt nanowire array by electroless plating. This new sensor structure can overcome several shortcomings of conventional nanowire electrode modification method. First, there is no serious bubble-blocking problem because no coating layer (such as nafion) is needed to hold nanowires on the electrode surface, which increase the stability and durability of the sensor; second, the well aligned array minimizes the overlap of nanowires and provides the maximum surface area of nanowires to react with the analytes. Including the large surface area of Pt nanowires and high density of Au nanoparticles, this novel structure shows good electrochemical performances, such as high sensitivity and low limit of detection. The vertical Pt nanowire array/Au nanoparticle hybrid structure can be used as a promising platform for enzyme immobilization and electrochemical/biosensors for quantitative measurement of analytes, such as H2O2, glucose, and other biomolecules.
9:00 AM - D15.25
Sensitive Immunoassays Based on Protein Nanofiber Hydrogel
Hyunjin Kim 1 Jeewon Lee 1
1Korea university Seoul Korea (the Republic of)
Show AbstractAmyloid-like protein nanofibers were in vitro assembled using the recombinant fusion protein between yeast Sup35 and human SSB/La proteins as an assembly unit, the length of nanofiber being mostly between 100 and 400 nm. The protein nanofibers were used to sensitively detect anti-SSB/La antibodies [Sjögren&’s syndrome (SS)-specific marker], named here Sup35 based protein nanofiber probes (SuPNPs). After SuPNPs are vinylated and subsequently linked to acrylamide, polymerization reaction of acrylamide formed SuPNP hydrogel with uniform porosity, where SuPNPs are directly cross-linked to polyacrylamide. When SuPNP-hydrogel was used as a 3D assay platform to detect anti-SSB/La antibodies in buffer, LODs (limit of detection) were equally 10 pM, showing 100-fold enhanced sensitivity compared to the conventional 2D polystyrene (PS) plate based assays. It seems that the surface-exposed and uniformly distributed SuPNPs within 3D space of porous hydrogel matrix more effectively interact with anti-SSB/La antibodies, leading to more sensitive detection. Although the diagnostic assays of Sjögren&’s syndrome were demonstrated as proof-of conceptin this study, SuPNP-hydrogel can be applied in general to sensitive and specificdetection of many other disease markers.
9:00 AM - D15.26
Selective Sugar Sensing Using Molecular Imprinting Gel-Based Field Effect Transistor
Taira Kajisa 1 Toshiya Sakata 1
1The University of Tokyo Tokyo Japan
Show Abstract(Introduction)
In the biosensing technology, the saccharide sensing is one of a key technology in medical and food fields. For instance, mono-saccharides and oligosaccharides including glucose are important molecules in energy metabolism so that it is possible to understand the cell activity by monitoring the changes of sugars in the cell. From these backgrounds, our group previously reported that the highly sensitive detection of various mono-saccharides, di-saccharides, and nucleotide sugars was achieved using a saccharide-based field effect transistor (FET) with a phenylboronic acid self-assembly monolayer (PBA-SAM) modified chemically at gold gate surface, and it was proved that the affinity of each sugar with the PBA was different depending on the structure of sugars [1]. However, the selectivity is absolutely necessary to detect the specific sugar in various intracellular sugars. In this study, we tried to enhance the selectivity of saccharide-based transistor using a molecular imprinting (MIP) gel for widespread application.
(Experimental)
The charge density changes based on the PBA-saccharide binding at the gold electrode was measured by converting into the electrical signals using the extended-gate type FET as a sensing device of saccharides transistor. PBA, whose boron atom was transferred to negative charge by diol binding to a sugar, was used as the sugar recognition substance at the gold gate surface. In order to provide the selectivity to detect a specific sugar, the MIP hydrogel was polymerized by 2-hydroxyethyl methacrylate (HEMA) as a main monomer. The MIP gel was prepared by polymerizing the monomer solution containing HEMA and PBA with a sugar following the sugar was removed from MIP gel by washing with hydrochloric acid after polymerization. The selectivity of sugar was evaluated as the surface potential changes of the gate electrode using real-time monitoring system, and compared by the variation of the gate surface potential for the different sugars.
(Results & Discussions)
As a result of combination of FET and MIP gel, the gate surface potential in the glucose solution for the glucose molded MIP gel increased 3 times higher than that in the fructose solution. The affinity of the PBA with the glucose molded MIP gel based on the electrical signals was found as glucose>>fructose>sucrose in turn, although that of PBA-SAM had been ranked in order corresponding to glucose<[1]: Kajisa et al. 2013 MRS Fall Meeting & Exhibit (2013).
D11: Nanostructure Synthesis and Sensing
Session Chairs
Wednesday AM, December 03, 2014
Sheraton, 2nd Floor, Back Bay C
9:45 AM - *D11.01
Soft Engineering of Biosensing Interfaces with Self-Assembled DNA Nanostructures
Chunhai Fan 1
1Shanghai Institute of Applied Physics, CAS Shanghai China
Show AbstractDNA nanotechnology has attracted intense interest because the unparalleled self-recognition properties of DNA molecules offer flexibility and convenience for “bottom-up” construction of exquisite nanostructures with high controllability and precision, and the promise that DNA nanostructures may service a wide range of applications, such as nanofabrication and molecular electronics, in-vivo and in-vitro sensing and drug delivery. DNA tetrahedral nanostructure, a three-dimensional (3D) DNA architecture, is of particular interest due to its mechanical rigidity and structural stability, which are suitable for higher-order organization of hybrid nanocomplexes and nanodevices.
In this presentation, I will demonstrate several examples of using DNA tetrahedral nanostructures for biomedical applications. A critical challenge in surface based biomolecular detection is the reduced accessibility of target molecules to probes arranged on heterogeneous surface compared to probe-target recognition in homogeneous solution. We demonstrate a new concept to achieve improved probe-target recognition properties by introducing a probe bearing 3D DNA nanostructure based platform, which provides significantly enhanced spatial positioning range and accessibility of the probes on surface over previously reported linear or stem-loop probe structures. I will also describe how we use DNA tetrahedral nanostructures as nanoscale agent for efficient delivery of therapeutic oligonucleotides. To dissect the cell entry of these virus-like DNA tetrahedral nanostructures, we employed single-particle tracking to visualize their internalization pathways.
10:15 AM - D11.02
Nanomedicine Based on Engineered Proteinticles
Jeewon Lee 1
1Korea University Seoul Korea (the Republic of)
Show AbstractIn nature certain proteins are self-assembled inside cells to form nano-scale particles (named “proteinticles”) with constant structure and surface topology. Although various synthetic (inorganic and organic) nanomaterials (e.g. various metal, carbon, and polymer nanoparticles) have been extensively studied for medical application owing to their useful properties (such as large surface to volume ratio, a variety of physical and chemically tailorable properties, and overall structural robustness), an inevitable drawback of synthetic nanomaterials is that they intrinsically lack biological activity. For in vitro or in vivo application, the synthesized nanomaterials should be biologically functionalized by attaching active biomolecules on chemically modified surface of nanomaterials. However, composition, density, orientation, and conformation/activity of biomolecules are not controllable at all due to the random chemical attachment on the nanomaterial surface. Moreover, there is a serious concern about potential toxicity problems of the synthetic nanomaterials. That is, despite the progress in research of diverse synthetic nanomaterials, even developers and regulators remain uncertain about their associated risks in the environmental, health, and safety aspects. Unlike synthetic nanomaterials above, proteinticles are bioactive and biocompatible nanoparticles and hence never cause nanotoxicity problems such as severe damages to tissues/organs due to potential long-term in vivo accumulation. Also more importantly, a variety of functional proteinticles can be easily created through simple genetic modification of the proteinticle surface, i.e. by adding or inserting specified proteins/peptides to N- or C-terminus, or internal region of protein constituent. We genetically displayed well oriented and functional peptides or proteins on the surface of proteinticles, and consequently the surface function of proteinticles was tailored to make the engineered surface suitable for various nanomedicines (e.g. imaging-based detection of sentinel lymph node or tumors, photothermal therapy of cancer, targeted delivery of siRNA, etc.). According to the significant advantages of proteinticles, it seems that proteinticles and proteinticle engineering can shift the current paradigm of nanomedicine research that depends on the use of chemically synthesized and artificial nanomaterials to the research based on biologically synthesized and natural nanomaterials i.e. proteinticles.
10:30 AM - D11.03
Gold Nanoparticle-Decorated Peptide Self-Assembled Nanostructure Derived from Tyrosine-Rich Peptide and Their Nanoplasmonic Properties
Nam-Hun Lee 1 Sang-Myung Lee 1
1Kangwon National University Chuncheon-si Korea (the Republic of)
Show AbstractSelf-assembly phenomena of various materials in nature or human disease have lately attracted considerable attention as a key to solve the difficult problems in biomedical field. Peptide molecules are considered as one of important substances to build self-assembled nanostructures with various shapes and sizes. So, they were used for novel biomedical applications such as biosensor, bioimaging, etc. because peptides are generally biocompatible and less toxic, and have available functional groups due to variety of amino acid moieties. However, self-assemblies based on peptide molecules are quite difficult to control of shape and size delicately. They can be achieved by using peptide sequences with long sequences or with carbon tail at the end of the peptides, or harsh reaction conditions including long reaction time and high temperature. Here, we present gold nanoparticle-decorated peptide self-assembled nanostructure (PEPAu) derived from tyrosine-rich peptide (YYACAYY, PEP7). PEPAu nanostructures with various shapes were prepared by changing peptide concentrations, buffer concentrations and pH, and gold salt concentrations. To confirm mechanism of self-assembly formation, shapes and properties of nano-assembly were characterized by TEM, UV/Vis, CD, DSC and so on. After then, PEPAu assembles prepared by different gold salt concentration was coated with MGITC (dye) and 4-ATP (small molecule) for studying relationship between gold-decorated peptide nanostructure and their nanoplasmonic properties. LSPR and SERS signals were measured and their signal intensities were analyzed based on the nano-structural differences. We believe that novel peptide self-assembled nanostructures decorated with gold nanoparticles will be promising platform for plasmonic nanoprobe including SERS and(or) LSPR signals.
10:45 AM - D11.04
Silk Nanofiber Aerogel Formation by Supercritical CO2 Drying
Alexander Mitropoulos 1 Benedetto Marelli 1 David Kaplan 1 Fiorenzo Omenetto 1 2
1Tufts University Medford USA2Tufts University Medford USA
Show AbstractOver the past decade, silk fibroin has emerged as a promising biopolymer for molecular stabilization and biomedical devices due to its biocompatibility, ease of functionalization, robust mechanical properties, and biodegradability. Silk fibroin, the natural protein from the Bombyx mori silk worm, has shown great potential as a future biodegradable sensing material because of its ability to be fabricated at the nanoscale. Silk has been processed into several formats including fibers, films, sponges, and gels under ambient conditions and has inspired the development of a new class of bioactive sensors and imparted functionality on protein-based devices. Here we will examine nanofiber formation after supercritical drying of different silk fibroin hydrogels creating silk aerogels to improve upon silk&’s capabilities as a stabilizing and degradable material.
Silk aerogels were created by supercritically drying silk fibroin hydrogels that were formed by different hydrogel synthesis methods. The initial hydrogel formation provided different material properties of the silk aerogel regarding mechanical properties, optical properties, enzyme stabilization, and cell growth. SCCO2 drying of all silk hydrogels induced nanofiber formation with fibers 20 nm in diameter observed by scanning electron microscopy (SEM). An increase in crystallinity was observed after SCCO2 drying compared to the initial hydrogel crystallinity, which was observed by a shift in the Amide I band measured by ATR-FTIR. Recently, it was shown that ibuprofen can be added to the silk aerogel during supercritical drying and used for drug delivery. However, mixing components during hydrogel formation is also a method to stabilize desired enzymes, and using the stabilizing property of silk can maintain the activity of the enzyme for weeks. Here we stabilize glucose oxidase for up to several weeks to be used as an improved form of detecting glucose. Previous silk aerogels show poor transparency in the visible spectrum. However, some silk aerogels showed transparency as high as 60%, which can improve the sensing capability of the aerogel since transparency renders it appropriate for use with optical sources. Other silk aerogel forms show high elastic modulus up to 6 MPa, and due to the protein nature of silk can be used as scaffolds to culture different cell types. Preliminary cell studies have shown culturing of human fibroblasts on the surface and determining their proliferation over time.
While the past decade has seen advances in applications regarding silk, new formats are necessary to expand future applications. The current research provides an additional method to transform solvated silk fibroin into nanofibers after SCCO2 drying to create a new form of silk fibroin. Due to their high porosity, silk aerogels can be used for catalytic applications, chemical sensors, drug delivery platforms, and tissue engineering.
D12: Wearable and Implantable Materials and Devices
Session Chairs
Anders Kristensen
M. Selim Unlu#129;
Wednesday AM, December 03, 2014
Sheraton, 2nd Floor, Back Bay C
11:30 AM - D12.01
Polymeric "Smart" Coatings to Improve Performance of Implantable Glucose Biosensors
Sagar Vaddiraju 1 2 Zhe Li 2 Yan Wang 2 Diane Burgess 2 Fotios Papadimitrakopoulos 2
1Biorasis Inc. Storrs USA2University of Connecticut Storrs USA
Show AbstractIntroduction: Implantable biosensors for continuous glucose monitoring hold promise for Diabetes care and management. The long-term potential of implantable biosensors is often negated by the foreign body response (biofouling and inflammation), elicited following device implantation. Herein, we show that outer sensor coatings based on dexamethasone-loaded PLGA microsphere/PVA hydrogel composite improves in vivo sensor performance by (i) suppressing inflammation and fibrosis via sustained delivery of dexamethasone following microsphere degradation; (ii) offsetting biofouling-induced permeability reduction via creation of macroscopic porosity following microsphere degradation.
Materials and Methods: Poly (lactic-co-glycolic) acid (PLGA) microspheres were prepared using a solvent evaporation technique. PVA hydrogels with and without PLGA microspheres were fabricated using a freeze/thaw cycling method. Implantable glucose sensors utilizing enzymatic detection of glucose were coated with dexamethasone -containing PLGA microspheres/poly (vinyl alcohol) (PVA) hydrogel composite. At first, the effect of the coating on the sensor linearity and sensitivity was determined in vitro (in PBS). Subsequently, coated and uncoated sensors (controls) were implanted into anesthetized rats using a thin wall needle (16 Gauge) and their sensitivity was determined periodically. All In vivo experiments were performed in accordance with the IACUC (Institutional Animal Care and Use Committee) guidelines.
Results and Discussion:In vitro results have indicated that the composite coating does not hinder sensor linearity but reduced sensitivity by 30%. In vivo studies have indicated that the uncoated biosensors suffered a rapid sensitivity loss in the first week post implantation and eventually failed to track the glycemic events. On the other hand, the coated sensors reproducibly tracked blood glycemic events even after 14 days following implantation due to the dexamethasone-induced inflammation suppression. Moreover, the sensitivity of the coated sensors increased with in vivo residence time which is currently attributed to macroscopic porosity generation following microsphere degradation (consistent with our ex vivo studies in porcine serum2).
Conclusions: The PLGA microsphere/PVA hydrogel composite coatings did not compromise the linearity of the glucose biosensors, albeit formed a additional barrier to analyte diffusion thereby decreasing sensor sensitivity by 30%. In vivo studies indicated that the composite coatings were able to enhance sensor performance and lifetime. This renders these drug-delivering coatings as a promising vehicle for improving in vivo functionality of implantable biosensors.
Acknowledgements: Financial support for this study was obtained from US Army Medical Research Grants (W81XWH-09-1-0711 and W81XWH-07-10688), NIH grants (1-R21-HL090458-01, R43EB011886 and 9R01EB014586) and NSF/SBIR grants (1046902 and 1230148).
11:45 AM - D12.02
Highly Piezoelectric Polymer Composites and Their Application as Artificial Muscles
Cary Baur 1 Jacob Sporrer 2 Walter Voit 1 3 4
1The University of Texas at Dallas Richardson USA2Iowa State University Ames USA3The University of Texas at Dallas Richardson USA4The University of Texas at Dallas Richardson USA
Show AbstractWe demonstrate that the piezoelectric performance of polyvinylidene fluoride (PVDF) is doubled through the controlled incorporation of carbon nanomaterials. Specifically, PVDF composites containing carbon fullerenes (C60) and single walled nanotubes (SWNT) are fabricated over a range of compositions and optimized for their Young&’s modulus, dielectric constant, and d31 piezoelectric coefficient. Thermally stimulated current measurements show a large increase in internal charge and polarization in the composites over pure PVDF. The electromechanical coupling coefficients (k31) at optimal loading levels are found to be 1.84 and 2 times greater than pure PVDF for the PVDF-C60 and PVDF-SWNT composites, respectively. Such property-enhanced nanocomposites bring significant advances to electromechanical systems employed for structural sensing, energy scavenging, sonar, and biomedical devices.
In this work, we are employing PVDF-C60 composites (the highest piezoelectric value of any polymer composite) in a yarn structure to produce large-displacement, electrically driven artificial muscles. Polymer composite fibers of 1 mm in diameter are drawn through core shell extrusion, with a conductive core in the center of the fiber that acts as an inner electrode. Fibers are gold coated and twisted into a yarn-like structure. Through extensive twisting, tight coils are formed in the structure which, upon applying a voltage between the inner and outer electrodes of the yarn, expands and contracts across the length and the width of the structure. Torsional strain allows for the coils to be “unravelled” which greatly increases the elongation of the muscles.
These biocompatible structures could be utilized in the body as both biomedical sensors or muscle actuators. As actuators, these yarns could find use as rapid-response muscles in legs, arms, fingers, or toes. As sensors, such devices could provide electrical impulses upon movement. In some cases, both the sensing and actuating properties of these muscles can be utilized simultaneously to direct and control movement. This could be useful for the development of prosthetic limbs, as they require both the ability to move and to detect motion.
12:00 PM - D12.03
3D Conformal Electronic Membranes for Cardiac Physiological Mapping and Stimulation
Lizhi Xu 1 Yonggang Huang 2 Igor R. Efimov 3 John A. Rogers 1
1University of Illinois, Urbana-Champaign Urbana USA2Northwestern University Evanston USA3Washington University in St. Louis St. Louis USA
Show AbstractRecent developments in flexible electronic materials create opportunities for building novel devices that directly interface with the human body, its organs and various tissues. Among the wide variety of application scenarios, integration with the heart represents a case that is both challenging and promising. A conformal electronic system for monitoring physiological activity and for delivering therapies could yield critically important capabilities for both basic and clinical cardiology. The complex 3D geometry and time-dynamic deformations of the heart create difficulties in establishing intimate, non-constraining interfaces between medical electronics and cardiac structures. Here we present approaches that exploit 3D printing techniques and heterogeneous integration of active and passive materials to form elastic membranes shaped precisely to match the entire epicardial surface, as a platform for deformable arrays of multifunctional sensors, electronic and optoelectronic components. Such integumentary devices completely envelop the heart and maintain stable, highly functional biotic/abiotic interfaces during normal cardiac cycles without adverse physiological response. Theoretical analyses illuminate the underlying mechanics and scaling characteristics for these designs. Animal experiments demonstrate the functional capabilities, including high precision electrophysiological mapping and stimulation, characterization of pH and metabolic states, mapping of thermal and mechanical parameters, and epicardial optical stimulation.
12:15 PM - D12.04
Conformable Lead Zirconate Titanate Sensors with Enhanced Piezoelectric Response for Cutaneous Pressure Monitoring
Canan Dagdeviren 1 3 Yonggang Huang 2 John A. Rogers 1 3
1The University of Illinois at Urbana-Champaign Urbana USA2Northwestern University Evanston USA3The University of Illinois at Urbana, Champaign Urbana USA
Show AbstractThe ability to measure subtle changes in arterial pressure using devices mounted on the skin can be valuable for monitoring vital signs in emergency care, detecting the early onset of cardiovascular disease, and continuously assessing health/wellness. Conventional technologies are well-suited for use in traditional clinical and laboratory settings, but cannot be easily adapted for application during daily activities. In this paper, we introduce materials and designs for a conformal device that avoids this limitation. We present inorganic materials, heterogeneous designs and theoretical models for an ultrathin, compact device capable of softly laminating on the skin. These systems are small (~1 cm2), lightweight (2 mg), thin (25 µm), and capable of stretching (to ~30%, with system-level effective modulus of ~60 kPa) to conform to the skin, while providing high levels of pressure sensitivity (~0.005 Pa), fast response times (~0.1 ms), low hysteresis, superior operational stability, and excellent fatigue properties. Ultrathin (400 nm) sheets of high-quality PZT serve as the active components of capacitor type structures that connect to the gate electrodes of MOSFETs based on nanomembranes of silicon (SiNMs). Specifically, a SiNM n-channel MOSFET amplifies the piezoelectric voltage response of the PZT and converts it to a current output via capacitance coupling. Comprehensive electromechanical measurements and theoretical models provide complete descriptions of the principles of operation, including enhanced piezoelectric responses in PZT when mounted on soft substrates. Calibrated measurements of pressure variations associated with blood flow in near surface arteries demonstrate capabilities for measuring blood pressure, radial artery augmentation index and pulse pressure velocity. Quantitative correlations of data from the former class of measurement to those of conventional devices suggest opportunities in continuous, non-invasive monitoring of pressure transients associated with arterial blood flow.
12:30 PM - D12.05
Phosphonate Based Organosilane Modification for a Multi-Functional Silica Surface
Pelin Toren 1 2 Erol Ozgur 1 2 Mehmet Bayindir 1 2 3
1Bilkent University Ankara Turkey2Bilkent University Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractOrganosilanes have a prominent role in silica based biosensor design for obtaining surface functionalities such as bioconjugation for specific detection and resistance against non-specific interactions. For silica surface multifunctionalization, different silane molecules could be combined; yet, this approach suffers from limitations in terms of obtaining a surface with all the desired functionalities, mostly due to unpredictable nature of silane self-assembly.1 Designing a silica surface with more than one functionality thus represents a challenge. An alternative strategy regarding this issue could be utilization of an organosilane possesing a simultaneously protein resistant and bioconjugable functional group. It was shown before that phosphonate molecules could be utilized for preventing aggregation of silica nanoparticles2, reducing non-specific protein adsorption over silica nanoparticles3, and also tissues could be covalently conjugated with phosphonate bearing molecules from their primary amines using EDC activation4. Although phosphonates were studied in terms of such applications, they have previously not been applied directly over a silica surface, and physical/chemical nature of their protein resistant and bioconjugable characteristics has not been simultaneously demonstrated.
Recently, we have developed a facile technique to obtain an anti-fouling silica surface via a methylphosphonate containing organosilane, 3-(Trihydroxysilyl) propyl methylphosphonate.5 This technique, on top of obtaining protein-resistance, also has the advantage of producing bioconjugable surfaces simultaneously, which makes it quite promising and superior to its alternatives. We initially conducted XPS, contact angle, AFM and ellipsometry analyses to characterize the surface. Then, protein resistance of the surface against proteins with different characteristics were shown with XPS and confocal microscopy measurements. To induce bioconjugation, bovine serum albumin was covalently attached to the surface after EDC activation. Chemical characterization of the EDC activated surface was performed using XPS, and a possible mechanism for the bioconjugation was suggested according to the XPS data. With the advantage of forming unstable O-acylisourea groups after EDC activation, the surface was treated with ultrapure water to regain its anti-fouling characteristics, as confirmed by confocal microscopy. Among applications of such a surface, besides its potential role in designing high selectivity and sensitivity biosensors, smart biocompatible implants, and targeted drug delivery are some other possible applications.
1 Banuls, M.J. et. al., Anal. Chim. Acta 777, 1, 2013.
2 Bagwe R.P. et. al., Langmuir 22, 4357, 2006.
3 Yildirim A. et. al., J Mater. Chem. B 1, 1909, 2013.
4 Pena, J.T.G. et. al., Nat. Methods 6, 139, 2009.
5 Ozgur E., Toren P., Bayindir M., submitted to J Mater. Chem. B, 2014.
12:45 PM - D12.06
Materials and Designs for Fully Biodegradable Batteries as Power Sources for Environmental and Biomedical Devices
Lan Yin 1 John Rogers 1
1University of Illinois at Urbana-Champaign Urbana USA
Show AbstractTransient electronics is an emerging technology whose key attribute is an ability to physically disappear, entirely or in part, in a controlled manner after a period of stable operation.[1] Potential applications include zero-waste environmental sensors and temporary biomedical implants. For this second example, biodegradable electronics built using water soluble, biocompatible active and passive materials provide multifunctional operation to assist a transient biological event, such as wound healing. Biodegradable power supply is an essential component for many such systems, and primary batteries represent versatile options that can complement these and other possibilities. The water-activated primary batteries that we report here involve constituent materials that are all degradable, environmentally benign and biocompatible.[2] Magnesium foils serve as the anodes, while metal foils based on Fe, W or Mo serve as the cathodes; the packages are formed with polyanhydrides. Systematic studies reveal the achievable performance and the mechanisms for anode dissolution in single cells. Multi-cell packs that use Mg and Mo foils illustrate scalability in power, and include demonstrations in the powering of light-emitting diodes (LEDs) and radio transmitters.
Reference
[1] S.-W. Hwang, H. Tao, D.-H. Kim, H. Cheng, J.-K. Song, E. Rill, M. A. Brenckle, B. Panilaitis, S. M. Won, Y.-S. Kim, Y. M. Song, K. J. Yu, A. Ameen, R. Li, Y. Su, M. Yang, D. L. Kaplan, M. R. Zakin, M. J. Slepian, Y. Huang, F. G. Omenetto, J. A. Rogers, Science 2012, 337, 1640.
[2] L. Yin, X. Huang, H. Xu, Y. Zhang, J. Lam, J. Cheng, J.A. Rogers, Adv. Mater. 2014, 26(23), 3879.
Symposium Organizers
Xudong (Sherman) Fan, University of Michigan
Logan Liu, University of Illinois Urbana-Champaign
Emily Park, BD Biosciences
Holger Schmidt, University of California, Santa Cruz
Symposium Support
BD Biosciences
Hamamatsu Corporation
Thermo Fisher Scientific
D18: Electronic and Electrical Sensors II
Session Chairs
Thursday PM, December 04, 2014
Sheraton, 2nd Floor, Back Bay C
2:30 AM - D18.01
Highly Selective Paper-Based Electrochemical Sensor for Detection of Dopamine, Ascorbic Acid, Uric Acid and Serotonin
Pooria Mostafalu 1 Sara Mostafalu 2 Jaswinder Mann 1 Sameer Sonkusale 1
1Tufts University Medford USA2University of Massachusetts Boston Boston USA
Show AbstractCoexistence of Dopamine (DA), Ascorbic Acid (AA), Uric Acid (UA) and Serotonin (5-HT) in a biological environment (e.g. cerebral spinal fluid) and their relative closely spaced redox potential makes it challenging to be detected using electrochemical sensing. In this study, we propose a highly selective electrochemical sensor for the detection of Dopamine, Ascorbic Acid, Uric Acid and Serotonin using a single chip implemented on a paper substrate. Working electrodes made of four different types of materials, graphitic carbon, carbon nanotube, reduced graphene oxide and platinum nanowires are fabricated on paper. Microfabricated carbon and silver / silver chloride serve as counter and reference electrode respectively. Overpotential which is defined as additional potential needed to drive a reaction, depends on the electrode material and the reactive species. We used this principle achieve a fingerprint response out of voltammetric analysis for all the mentioned species.
The paper-based sensors employed in the system were fabricated on standard parchment paper. Commonly available adhesive tape was patterned as a mask through laser engraving with a laser cutter and affixed to parchment paper. The paper was then spin coated with Ag/AgCl ink and allowed to cure. At this point six Ag/AgCl electrodes were formed. Carbon ink was subsequently screen printed on all of these electrodes except one and the patterned tape was peeled off leaving a Ag/AgCl RE, a carbon CE and four carbon WE. Dielectric ink was then painted on top of the device to protect all of non-sensing areas and pads. Mentioned nanomaterials were subsequently drop casted on top of the working electrodes and covered by Nafion polymer with drop casting and drying.
The platform chip testing was performed using different concentration of one of the species in the mixture and its cross sensitivity was examined with other interfering species. It was repeated individually for each of the DA, AA, UA and 5-HT. Our initial results show excellent sensitivity and selectivity of the designed chip for the detection of DA, AA, UA and 5-HT in presence of other co-existing spices.
2:45 AM - D18.02
Evaluating the Ability of Single-Molecule Force Spectroscopy for Biosensing via Molecular Simulation
Zak E. Hughes 1 Kurt L. M. Drew 1 Tiffany R. Walsh 1
1Deakin University Geelong Australia
Show AbstractIn recent years there has been increased usage of atomic force microscopy (AFM) based single-molecule force spectroscopy (SMFS) to investigate the interactions between biomolecules and solid substrates,1 with the interaction between DNA and graphitic surfaces being of particular interest. The adhesion force of single-strand DNA (ssDNA) at an aqueous graphite interface has been shown to decrease in the presence of a complementary ssDNA strand.2,3 Moreover, the adhesion force was found to vary for different heteropolymer ssDNA oligomers.3 Such behavior raises the possibility of using SMFS as a novel biosensing technique for dilute solutions (<1nM).
To better understand how the interaction of ss-DNA with graphitic substrates depends on the oligomer sequence, we have calculated the free energy of adsorption of each of the four nucleobases, nucleosides and nucleotides at an aqueous graphene interface using meta-dynamics simulations,4 using a polarizable force-field.5 Through analysis of the behavior of the different nucleic acids we can isolate what factors cause different ssDNA strands to adsorb more or less strongly to graphitic substrates. In addition, we have also performed equivalent simulations at aqueous gold interfaces to investigate the feasibility of using gold substrates in biosensors. By comparing the results of molecular simulations against experimental measurements we can advance our understanding of the driving forces for the interaction of ss-DNA with different substrates.
[1] Manohar, S., Peeling Single-Stranded DNA from Graphite Surface to Determine Oligonucleotide Binding Energy by Force Spectroscopy, Nano Lett., 2008, 8, 4365-4372.
[2] Wei, G. et al., Label-free biosensing with single-molecule force spectroscopy, Soft Matter, 2013, 49, 3239-3241.
[3] Wei, G. et al., Direct force measurements on peeling heteropolymer ssDNA from a graphite surface using single-molecule force spectroscopy, Phys. Chem. Chem. Phys., 2014, 16, 3995-4001.
[4] Laio, A. and Parrinello, M., Escaping free-nergy minima, Proc. Natl. Acad. Sci. USA, 2002, 99, 12562-12566.
[5] Hughes, Z.E., Tomásio, S.M. and Walsh, T.R., Efficient simulations of the aqueous bio-interface of graphitic nanostructures with a polarisable model, Nanoscale, 2014, 6, 5438-5448.
3:00 AM - D18.03
Microfluidic Optoelectronic Sensor Based on Halochromic Dyes and Spectral Analysis for Salivary Diagnostics
Yael Zilberman 2 Sameer R Sonkusale 1
1Tufts University Medford USA2Tufts University Medford USA
Show AbstractWe present a microfluidic optoelectronic sensor for salivary diagnostics with a potential application for non-invasive early diagnosis of stomach cancer caused by infection with gram-negative bacterium Helicobacter pylori and other bacterial infections in stomach and oral cavity. Helicobacter pylori secretes the enzyme urease that converts urea into carbon dioxide (CO2) and ammonia (NH3), leading to their elevated levels in breath and body fluids, including saliva. The proposed optoelectronic sensor will detect clinically relevant (elevated) levels of CO2 and NH3 in saliva, which can potentially be used for non-invasive early diagnosis of stomach cancer. The sensor is composed of the embedded in a microfluidic device array of microwells filled with ion-exchange polymer microbeads doped with halochromic dyes that provide optical response. The sensor configuration allows incorporation of virtually any combination of organic dye, providing a route for cross reactive sensing. Here, we report the use of the quaternary ammonium ion paired Broslash;nsted acidity indicator cresol red and Lewis acidity indicator metalloporphyrin zinc porphyrin. Due to the versatile optical arrangement which includes a white LED and a miniature portable USB spectrometer, optical spectra are easily recorded and translated into electronic readouts, capturing multiple physical and chemical interactions between the dissolved gases and the composite sensing material, which can be used for pattern recognition. We demonstrate detection of ppm levels of CO2 and NH3 in saliva.
3:15 AM - D18.04
Construction of Ascorbate Interference-Free Lactate Biosensors by Exploiting the Oxygen Storage Capacity of Ceria
Aytekin Uzunoglu 1 Lia A Stanciu 2 1
1Purdue University West Lafayette USA2Purdue University West Lafayette USA
Show AbstractOver the last decade, the detection of lactate gained considerable attention since its concentration in blood indicates oxygen deprivation in tissues. The problem associated with lactate biosensors is the interference effect of ascorbate, which is present in blood in high concentrations. To address the interference issue, we designed a lactate biosensor that takes advantage of the ceria (CeO2) redox properties, as well as thelayer by layer assembly of lactate oxidase (LOx) and ascorbate oxidase (AOx) on a Pt electrode. Polyethylenimine (PEI), a positively charged polymer, was used to immobilize the enzymes on the Pt surface via alternating electrostatic adsorption. The effect of the incorporation of ascorbate oxidase in the enzyme layer was examined by preparing electrodes with varying configurations. The AOx free electrodes with three LOx layers showed an increase (11%) of the current response when 0.1 mM ascorbate was added to the electrolyte solution. On the other hand, the lactate response of AOx containing electrodes with 3LOx-3AOx configuration decreased by 7% in the presence of ascorbate. The decrease in the current response of the AOx containing sensors was attributed to the depletion of oxygen in the enzyme layer. In an attempt to eliminate the depletion of oxygen in the enzyme layer, we exploited the oxygen storage capacity of ceria. The introduction of ceria particles into the enzyme layer enabled us to construct lactate biosensors with very low ascorbate interference (less than ±1%). The fabricated biosensor with CeO2-3LOx-3AOx configuration displayed a wide linear range of 0.6 mu;M-0.9 mM, a low detection limit of 0.6 mu;M and a high sensitivity of 13.4 mu;A mM-1.
3:30 AM - D18.05
In Situ Monitoring Based on Semiconductor Principle of Regenerative Chondrocyte Differentiation Behavior by Hydrostatic Pressure Loading
Hiroto Satake 1 Akiko Saito 1 Taira Kajisa 1 Shuichi Mizuno 2 Toshiya Sakata 1
1The University of Tokyo Tokyo Japan2Department of Orthopedic Surgery Harvard Medical School Boston USA
Show AbstractIntroduction: In the field of orthopaedics, the medical techniques to transplant cultured chondrocytes has been developed and used in clinical pathology. Mizuno et al. reported that the biosynthesis of extracellular matrix (ECM) was stimulated by a hydrostatic pressure loading and the culture system of chondrocyte using the hydrostatic pressure loading has been studied for clinical trial [1]. However the mechanism of differentiation of cultured chondrocytes has not been known well, so understanding and controlling the mechanism of differentiation is very important to improve the safety and reliability of transplantation of cultured chondrocytes. On the other hand, we have been developing the biologically-coupled field effect transistor (bio-FET) as one of the biosensing technologies. The bio-FET enables to detect various biological phenomena such as DNA molecular recognition events, antigen-antibody reactions and cellular activities, based on the good abilities of real-time, non-invasive and label-free detection [2], In this study, we have proposed the chondrocyte-based FET in order to evaluate the cellular activity and differentiation of chondrocyte in a non-invasive and real-time manner. Moreover, we have tried to monitor the effect of the hydrostatic pressure loading on the differentiation of chondrocytes by use of semiconductor principle and compare the result with the one obtained by the chemical stimulation using ascorbic acid.
Method: The bovine chondrocytes were cultured on the gate sensor surface of ion sensitive FET (ISFET) devices. The surface potential change was continuously monitored for 2 weeks using the chondrocyte-based FET with or without ascorbic acid, although the culture medium was exchanged every 3 days. Moreover, the equipment was set to monitor the surface potential change of chondrocyte-based FET while hydrostatic pressure are loaded. The ISFET was inserted into a pressure resistant container and locked. A syringe pomp was connected to the container to introduce medium and a back pressure regulator (0.68 Mpa) was connected to load hydrostatic pressure. A waste liquid pool were connected with a KCl solution by a salt bridge. A reference electrode (Ag/AgCl) was connected to the gate electrode of the monitoring device.
Results and Future Plan: The cellular activities of chondrocytes were monitored as the change of surface potential in a real-time manner after the stimulation by ascorbic acid. As a result of that, the surface potential of chondrocyte-based FET decreased gradually after the introduction of ascorbic acid. This is because the generation of ECM induced the change of capacitance on the gate insulator. Moreover, we would like to introduce the possibility of electrical monitoring of chondrocytes cultured under the stimulated condition by hydrostatic pressure loading on that day.
References: 1. S. Mizuno et al., J. Cell. Phys. 193:319 (2002) 2. T. Sakata et al., Angew. Chem. Int. Ed.45 (2006), 2225.
3:45 AM - D18.06
Real-Time Closed-Loop Control of Circulating Drug Levels in Live Animals
Peter Mage 1 Brian Scott Ferguson 2 Dan Maliniak 2 Kyle Ploense 3 Tod Kippin 3 H. Tom Soh 4 1 2
1UC Santa Barbara Santa Barbara USA2UC Santa Barbara Santa Barbara USA3UC Santa Barbara Santa Barbara USA4UC Santa Barbara Santa Barbara USA
Show AbstractPhysiological responses to therapeutic agents vary significantly from person to person and even within the same patient over the course of treatment. Effective but aggressive drugs such as chemotherapeutics and anticoagulants typically have a narrow therapeutic dose range, severely limiting the safety, efficacy, and even availability of these potentially lifesaving therapies. Thus the ability to measure and control concentration of the drug in vivo and in real-time would revolutionize medicine, increasing drug efficacy while reducing harmful side effects. Unfortunately, such real-time drug dose control has not been previously possible due to the lack of a universal biosensor capable of measuring drugs and metabolites in vivo in real time.
Herein we demonstrate the first real-time, closed loop feedback control of drug concentration in live animals. We achieved this by combining an aptamer-based electrochemical biosensor with a feedback controller that actuates an infusion pump to maintain a constant concentration of the drug in the animal. Specifically, we used the recently published MEDIC (Microfluidic Electrochemical Detector for In vivo Continuous monitoring) sensor platform1 to measure the concentration of the chemotherapy drug doxorubicin continuously, quantitatively, and in real-time in vivo. By combining highly specific conformation-switching electrochemical aptamer probes with a microfluidic anti-fouling system, the MEDIC sensor is capable of measuring doxorubicin concentration directly in circulating whole blood, with ten second time resolution, sub-minute measurement lag, and high sensitivity over the clinically relevant concentration range.
With the MEDIC sensor&’s unprecedented real-time measurement abilities, we were able to perform closed-loop feedback control of doxorubicin concentration in the bloodstream, wherein a PID feedback controller automatically modulates the rate of drug infusion over time based on the desired drug concentration (set point) and the measured drug level. We maintained constant therapeutic concentrations of doxorubicin in both live conscious rabbits and live anesthetized rats, with a response time of five minutes and stable operation over several hours. Using this platform, we can achieve the desired in vivo therapeutic drug dose for different animals with widely varying pharmacokinetics, as well as in the same animal under changing physiological conditions. Because our biosensor can be readily configured to measure a wide range of pharmaceutical agents and metabolites, this sensing and control system provides a universal approach for truly personalized adaptive drug dosing.
1. B. S. Ferguson, D. A. Hoggarth, D. Maliniak, K. Ploense, R. J. White, N. Woodward, K. Hsieh, A. J. Bonham, M. Eisenstein, T. Kippin, K. W. Plaxco, H. T. Soh. Real-time, aptamer- based tracking of circulating therapeutic agents in living animals. Sci. Transl. Med. 5, 213ra165 (2013).
4:30 AM - D18.07
Detection of Urinary Bile Acids with a Simple Electrospun Mesh
Eric J. Falde 1 Mark W. Grinstaff 1
1Boston University Boston USA
Show AbstractElevated levels of bile acids in the urine are indicative of a number of liver diseases; the normal level is 1.1 ± 0.5 µM, but is increased for example to 30.0 ± 20.6 µM in biliary stenosis, and 151 ± 15 µM in chronic liver disease. These bile acids, mostly cholic and deoxycholic acids, are normally detected by mass spectrometry, thus an alternative detection scheme which is portable and requires no power would be very beneficial. Materials with rough surfaces, such as electrospun meshes, are very sensitive to small changes in the surface tension of liquid drops upon them, switching from being non-wetted (Cassie-Baxter state) to fully wetted (Wenzel state). Using this effect, we prepared meshes that act as simple instrument-free surface tension sensors. Specifically we electrospin meshes with tunable hydrophobicities by blending PCL with a hydrophobic copolymer to form a top layer which selectively wets in a specific surface tension range, above a lower hydrophilic layer that fully wets and contains an indicator dye to aid visualization. This sensor requires no instrument other than a pipette and requires just 3 µL of urine for detection. We demonstrate that this mesh can discriminate normal from abnormal levels of bile acids in urine though its change in surface tension (54 to 50 mN/m). Due to its sensitivity and simplicity, this mesh may prove useful in at-home monitoring or aiding diagnosis in resource-limited environments.
4:45 AM - D18.08
A New Generation of Pressure Sensors Based on Ultrananocristalline Diamond (UNCD) Thin Films
Pablo Gurman 1 2 Orlando Auciello 1 3 Juan Jose Ortiz 4
1University of Texas Dallas Richardson USA2Massachusetts Institute of Technology Cambridge USA3University of Texas Dallas Richardson USA4Universidad Tecnolamp;#243;gica Nacional Facultad Regional Camp;#243;rdoba Cordoba Argentina
Show AbstractImplantable piezoresistive pressure sensors represent an important component in interventional medical tools such as catheters. With an increasing ageing population affected by chronic diseases, there is a growing demand for continuous monitoring of health status, and thus the need for in vivo reliable measurement of physiological conditions. Based on the information presented above, it is expected that the market for implantable biosensors will continue to raise in the near future.
Ultrananocristalline diamond (UNCD) in thin film form has shown to possess extraordinary mechanical, tribological, electrical, when properly doped, and biological properties. Importantly, UNCD has demonstrated to exhibit extraordinary resistance to biofoluing, one of the main issues for implantable biosensors. In addition, it has been already reported that the mechanical properties of diamond allow diamond to sustain higher pressure conditions than silicon. As a result, diamond represents a very promising material for a new generation of piezoresistive pressure sensors. Moreover, diamond provides a bionert material that is highly resistant to corrosion, as may occur when materials are exposed to fluids in the human body, an issue that silicon pressure sensors can only overcome by the use of special packaging, which increase the size and cost of implantable sensors. In this work, we report results from an R&D program to develop a novel implantable piezoresistive pressure sensor based on UNCD thin films grown by Hot Filament Chemical Vapor Deposition (HFCVD), using a unique chemistry involving a mixture of Ar (90 sccm), CH4 (2 sccm) and small amount of H2 (10 sccm), which produce films with grain 2-5 nm grain size characteristic of UNCD. Diaphragms based on UNCD films were fabricated using photolithography and wet chemical etching. Determination of maximum stress as a function of diaphragm area and thickness were calculated using an empirical formula. Based on these results, a set up for measuring diaphragm performance under maximum stress has been designed. First results of diaphragm fabrication and set up design are presented. Future work related to resistor design, and integration with the diaphragm element are discussed.
D16: Microfluidics and Nanoparticle Applications in Biosensing
Session Chairs
Frank Vollmer
Aaron Hawkins
Thursday AM, December 04, 2014
Sheraton, 2nd Floor, Back Bay C
9:45 AM - *D16.01
Self-Assembled Nanodroplet Platform for High Throughput Molecular Detection and Single Cell Analysis
Tiantian Zhang 1 Tony Yen 1 Roger Chiu 1 Ping-Wei Chen 1 Derrick Chang 1 Wen Qiao 1 2 Yu-Hwa Lo 1
1University of California-San Diego La Jolla USA2Soochow University Suzhou China
Show AbstractWe present a high throughput process for detection of nucliec acids and proteins, and assays for single cells. The core of the process is a 2D array of hydrophilic islands surrounded by superhydrophobic areas to support self-assembly of droplets with nanoliter droplet volume. Using oil-encapsuated droplets as microreaction chambers, coupled with sandwiched assays, one can achieve label free detection of biomolecules such as cell-free DNAs and RNAs with femtomolar sensitivity and high specificity. By forming matrigel droplets, one can form a large array (>10,000) of cell culture chambers for single cells, providing a flexible and well controlled microenvironent for studies of cell-cell interactions and single-cell proliferation.
10:15 AM - D16.02
Biomolecule-Mediated Nanodroplet Fusion for In-Solution Sensing
Praveena Mohan 1 Jeremy Besmer 1 Rajarshi Chattaraj 3 Diego P. Chimendes 1 2 Andrew P. Goodwin 1
1University of Colorado Boulder Boulder USA2Federal University of Pernambuco Recife Brazil3University of Colorado Boulder Boulder USA
Show AbstractThis talk will focus on the design of nanodroplets that respond to specific biomarkers to generate detectable signals rapidly and in situ. Development of a technology that can detect biomolecules without sample manipulation would provide new avenues for measuring both systemic and localized biomarker levels in many different environments and media. For in vitro detection, an in-solution sensor would obviate the need for sample processing and washing steps that may add time, expense, and sources of error. In addition, droplets may be utilized to almost any scale, from microfluidic chips to batch sensing. Our strategy is to combine the molecular recognition capabilities of biomolecules placed at the surface of the nanodroplet with activatable detection mechanisms sequestered in its interior. In one example, nanodroplets were formulated with oligonucleotides placed at the interface. Drawing inspiration from the SNARE complex in cells, hybridization of these oligonucleotides in a zipper-like fashion caused fusion of droplets, which in turn allowed the mixing of mutually-reactive contents for the generation of fluorescent molecules sequestered in the interior. This fusion process was mediated through binding and removal of aptamers that could prevent or allow the fusion process to occur. In another example, we employed biomarker-driven aggregation of multiple droplets to create a new that would not be present under normal conditions. We utilized the ability of aggregated nanodroplets to form pores and channels with other droplets in close proximity to exchange contents across space. In addition to presenting our findings, in this talk I will discuss some of the benefits and challenges of this approach, as well as our work in designing novel detection platforms that take advantage of this mechanism.
10:30 AM - D16.03
Coupling AC Dielectrophoresis with DC Ion Concentration Polarization in Nanochannels for Ultrafast Biomarker Enrichment
Nathan S Swami 1
1University of Virginia Charlottesville USA
Show AbstractThe charge selective transport characteristics at the entrance of nanochannels under conditions of ion concentration polarization (ICP) that arise due to the non-linearities in surface charge and field can cause sharp field gradients. In prior work these field gradients have been combined with electro-osmosis to cause exceptionally high degrees of biomarker enrichment [1]. However, since the trapped biomarkers are co-localized within a tightly confined region, the degree of selectivity is limited [2]. Dielectrophoresis (DEP) enables highly selective trapping of bio-particles based on the characteristic frequency response of the dielectric permittivity of the bio-particle versus that of the medium, and can be applied in principle towards frequency-selective biomarker enrichment [3]. However, DEP trapping of nanoscale biomarkers is limited by electrothermal flow due to localized Joule heating within physiological media of high conductivity. While micro- and nanoscale constrictions can enhance the local field to enable frequency-selective trapping of ss-DNA [4] and proteins [5, 6] in physiological media, the highly localized nature of DEP behavior limits the spatial extent of biomarker depletion. Herein we explore the coupling of DEP behavior with ion concentration polarization at lateral constriction regions of a nano-slit device for enhancing the spatial extent of biomarker depletion, to enable ultra-fast biomarker enrichment. We elucidate how the surface charge assymetry under DC fields within a nano-constriction device can be enhanced within physiological media through the application of AC fields to cause localized accumulation of counter-ions, thereby driving the ultra-fast enrichment of dielectrophoretically trapped biomarkers. This methodology can be applied towards enhancing the detection sensitivity of sub-nanoliter samples of streptavidin, prostate specific antigen and neuropeptides in physiological media [7].
References
[1] Y. C. Wang, A. L. Stevens, J. Han. Anal. Chem.77, 4293 (2005).
[2] D. W. Inglis, E. W. Goldys,; N. P. Calander. Angew. Chem. Int. Ed.50, 7546 (2011).
[3] R. Pethig. Biomicrofluidics4 022811 (2010).
[4] N. Swami, C.-F. Chou, V. Ramamurthy, V. Chaurey. Lab Chip9, 3212 (2009)
[5] K.T. Liao, M. Tsegaye, V. Chaurey, C.F. Chou, N. Swami. Electrophoresis33, 1958 (2012).
[6] K.T. Liao, C.F. Chou, J. Am. Chem. Soc. 134, 8742 (2012).
[7] B. Sanghavi, W. Varhue, J. Chavez, C.F. Chou, N. S. Swami*; Anal. Chem. (2014), DOI: 10.1021/ac500155g.
10:45 AM - D16.04
Ultra-Sensitive, OTFT-Based Sensor for DNA Hybridization Detection at High Ionic Strength
Stefano Lai 1 Massimo Barbaro 1 Annalisa Bonfiglio 1 2
1University of Cagliari Cagliari Italy2CNR Modena Italy
Show AbstractAn ultra-sensitive version of al DNA hybridization sensor based on organic-thin film transistors (OTFTs) and named Organic Charge-Modulated Field-Effect Transistor (OCMFET) is here presented. The core of the device is a floating gate organic transistor, capable to be operated at low voltages thanks to an ultra-thin, hybrid dielectric which can be fabricated over large areas at high yields. The sensitivity to DNA hybridization is obtained by anchoring single-stranded DNA probes onto a part of the floating gate, namely the sensing area, directly exposed to the measurement environment. When hybridization occurs, the negative charge associated to DNA molecules induces a charge separation in the floating gate, thus affecting the charge carrier density in the transistor, and, consequently, its output current. With respect to the other approaches proposed so far in literature, the OCMFET allows overcoming the main limitations of other FET-based biosensors (bioFETs). As the sensing area is physically separated from the transistor, the degradation of the organic semiconductor due to the liquid measurement environment can be avoided. Moreover, the measurement solution can be left electrically floating, thus avoiding the need of a reference electrode. In addition to the presentation of the phenomenological aspects , an incisive investigation behind the sensitivity mechanisms is here presented. In particular, a complete set of design rules has been derived, and the device sensitivity was precisely tailored by means of an optimized layout. Concentration of target sequences as low as 1 pM has been measured, and a detection limit of 50 fM has been calculated. Selectivity with respect to the single nucleotide polymorphism with the larger noise margin ever demonstrated for organic bioFETs has been obtained. Interestingly enough, such results were reliably obtained in a measurement environment with a relatively high salt concentration, despite the fact that this condition is generally considered unfavourable for the detection of biochemical reactions by mean of field-effect devices, being the intrinsic charge of the molecules screened by the ions in solution. From the obtained results, it is possible to assume that the tilt angle of the DNA double strands immobilized on the floating gate is far larger than the value reported in literature for similar systems. By employing Cy-3 labelled oligonucleotides, a reversible tilting of the strands, induced by the device polarization, was observed in real time during the hybridization measurements by means of fluorescence quenching. As the oligonucleotides get closer to the sensing surface the observed sensitivity in high ionic strength liquids can be explained. Thanks to this feature, the OCMFET has been demonstrated to be, so far, the only bioFET capable to operate at relatively high salt concentration, thus representing a breakthrough step towards the application of FET-based biosensor in vivo.
D17: Electronic and Electrical Sensors I
Session Chairs
Thursday AM, December 04, 2014
Sheraton, 2nd Floor, Back Bay C
11:30 AM - D17.01
Nanowire Devices for Exosome Analysis
Takao Yasui 1 Satoru Ito 1 Yong He 2 Takeshi Yanagida 2 Sakon Rahong 1 Masaki Kanai 2 Kazuki Nagashima 2 Hiroshi Yukawa 1 Noritada Kaji 1 Tomoji Kawai 2 Yoshinobu Baba 1
1Nagoya University Nagoya Japan2Osaka University Osaka Japan
Show AbstractSince exosomes contain miRNA, which can be a candidate to biomarkers for early cancer/disease diagnosis, recently, exosomal miRNA analysis, such as in urine, serum, and saliva, received a lot of attention from researchers.1 Massive data obtained from exosomal miRNA analysis in less sample volume is of great importance to realize less invasive diagnosis and effective searching for unknown biomarkers based on exosomal miRNA analysis, however, conventional methods can not fulfill such a requirement; it takes several mL of sample volume. Also, it is significantly difficult to attain highly efficient capture of exosomes using top-down nano- and micro-structures due to their size rage from 50 to 500 nm. In this paper, we utilized nanowire structures embedded in microchannels developed for DNA separation to achieve highly-efficient separation of exosomes from 1 mL urine and serum, and exosomal miRNA analysis.2,3
ZnO nanowire structures (100 nm in diameter and 2-3 µm in length) were fabricated in PMMA microchannels for highly efficient separation of exosomes in 1 mL sample volume. The separation efficiency was evaluated among four methods: using the nanowire structures embedded in microchannels, microchannels without nanowire structures, ultracentrifugation, and exosome precipitation kit. We confirmed that around 109 exosomes were captured on nanowire structures due to electrostatic interaction between negatively-charged exosomes and positively-charged nanowire structures and nanometer-scale spacing between nanowires, and comparison of among three methods showed nanowire structures were superior to other two methods. SEM and fluorescence images revealed that exosomes were captured on nanowire structures. Introduction of lysis buffer triggered extraction of exosomal miRNA, and extracted exosomal miRNA from urine and serum, were analyzed in microarray. Extraction efficiency using the three methods was evaluated by scatter plots. Number of miRNA types was also used to evaluate the extraction efficiency among the three methods. Both evaluation data showed nanowire structures showed the largest number of extracted miRNA types. Since the nanowire structures could achieve highly efficient extraction of exosomal miRNA, undetectable miRNA using ultracentrifugation or exosome precipitation reagent became detectable miRNA using nanowire structures. Our results highlighted the nanowire structures could contribute to less invasive diagnosis and unknown biomarkers discovery due to easier way (only introduction of solution including exosomes) and larger detectable range.
REFERENCES:
1. Valadi H., et al., Nat. Cell. Biol., 9, 654-659 (2007)
2. Yasui T., et al., ACS Nano, 7, 3029-35 (2013)
3. Sakon R., et al., Sci. Rep., 4, 525-5259 (2014)
11:45 AM - D17.02
Development of Bio-Transistor with Olfactory Cell Interface for Cancer Detection
Yuichi Hirose 1 Akiko Saito 1 Taira Kajisa 1 Toshiya Sakata 1
1University of Tokyo Tokyo Japan
Show AbstractIn 1989, one woman in UK had a dog, which found a malignant melanoma (skin cancer). Thus, we can assume that cancer cells would emit some volatile organic compounds (VOCs) and dogs detected VOCs with their excellent noses. The previous work reported that the training for cancer detection dogs enhanced their abilities to detect bladder cancer from urine of patients. However, they cost a lot and take time to train and keep these special dogs. Moreover, the ability of dogs to recognize cancer might be susceptible to the surrounding environment such as temperature and humidity. Therefore, the cost-effective and highly sensitive detection method should be developed by use of various biosensing principles in an imitation of olfactory mechanism of cancer detection dogs.
Recently, many kinds of olfactory sensors have been reported for gas sensing in the environmental monitoring . Most of them use acoustic wave-based or piezoelectric principles. However, I focus on the biomimetic construction for a biosensing device by use of semiconductor-based field effect transistor (FET) with olfactory cell interface, which can be a good method to detect some phenomena based on cell functions such as apoptosis and cellular respiration . Moreover, the olfactory cells generate ATP based on the interaction of ligand molecules with the G-protein receptors at the cell membrane . Therefore, the binding of odorants with G-protein receptors at the olfactory cell may promote cellular respiration activity to produce ATP, resulting in the generation of carbon dioxide to positively charged hydrogen ion. In this study, I propose the FET biosensor with olfactory cell interface for cancer detection.
[Experiments]
Mouse olfactory cells (MOCs) were prepared for the signal transduction interface between the VOC and the detection device, which have more than 1,000 kinds of smell receptors. Before the culture of MOCs on the FET surface, the FET substrate was coated with collagen for 1 day. Then, mouse olfactory cells were put in the solution and attached to the gate substrate. After these cells were cultured on the gate substrate for two days in an incubator, the surface potential at the gate was monitored in a real-time manner. The compounds resolved in PBS (Phosphate buffered saline) were added into the olfactory cell-based FET at one hour after the stability of electrical signal was confirmed.
[Results]
The introduction of VOC onto the sensor surface induced the surface potential changes of FET biosensor with olfactory cell interface. This is because the interaction of VOC with olfactory cells contributed to enhance the cellular respiration resulting in the detection of pH variation at around the olfactory-cell/gate interface. As the future plan, I will investigate the effect of concentration change of VOC on the electrical signals and control the number of olfactory cell for the quantitative analysis of cancer detection.
12:15 PM - D17.04
Transparent, Low-Power Pressure Sensor Matrix Based on Coplanar-Gate Graphene Transistors
Qijun Sun 1 Do Hwan Kim 2 Kilwon Cho 3 Jeong Ho Cho 1
1Sungkyunkwan University Advanced Institute of Nanotechnology (SAINT) Suwon Korea (the Republic of)2Department of Organic Materials and Fiber Engineering, Soongsil University Seoul Korea (the Republic of)3Department of Chemical Engineering, Pohang University of Science and Technology Pohang Korea (the Republic of)
Show AbstractElectronic skin (e-skin) is a flexible circuit of sensors that can quantitatively detect a variety of stimuli and correlate these signals with a spatial mapping. The development of a practical e-skin solution would be a significant milestone in the field of flexible health monitoring electronic devices. Field-effect transistor (FET)-type pressure sensor is an important class of e-skin and is expected to enable advanced sensing performance, including multi-parameter monitoring, a high sensitivity and resolution, and a low degree of signal crosstalk. However, the current processes used to fabricate FET-type e-skin remain complicated, as they require a minimum of four steps: i) transistor fabrication, ii) device encapsulation, iii) via-hole opening, and iv) integration with pressure sensing components. The development of new FET architectures that may be fabricated using simple processes is a priority in the field.
Flexible transparent coplanar gate graphene field-effect transistors (GFETs) paired with an ion gel gate dielectric offer a feasible approach to prepare FET-type pressure sensors. First, ion gels consisting of an ionic liquid and a gelating polymer, exhibit an extremely high capacitance, excellent mechanical flexibility and optical transparency. These qualities permit a low device operation voltage of less than 2 V. Second, the long-range polarization of ions in an ion gel can allow for an unconventional transistor geometry in which the gate electrode is coplanar with the source/drain electrodes. This arrangement can simplify device fabrication from the complicated four key steps summarized above to two steps.
In the present work, we firstly describe the successful development of a transparent GFET pressure sensor matrix (4 × 4 pixels) mounted on a plastic or rubber substrate for e-skin applications. The coplanar gate geometry of the GFETs based only on two materials (graphene and ion gel gate dielectric) was highly transparent, displayed a low power consumption, and could be fabricated through a simple process. The GFET pressure sensor was fabricated by laminating a top cover bearing a graphene square pattern onto a GFET backplane film bearing a pressure-sensitive component. The application of pressure to the matrix induced contact between the square-type graphene on the top cover and the bottom zigzag (or interdigitated)-type graphene on the GFET backplane, thereby decreasing the resistance between the source and drain electrodes and leading to a higher transconductance. The devices exhibited excellent pressure sensor properties, including a high transparency ~80% across the visible range, a low operating voltage of less than 2 V, a high pressure sensitivity of 0.12 kPa-1, and an excellent mechanical durability over 2500 cycles. The coplanar gate GFET pressure sensor matrix suggested by us provides a novel and simple route to achieving low-cost, flexible graphene electronics with high device performance.
12:30 PM - D17.05
A Novel Zinc Oxide Nanowire/Nanotube Mixed Structure for Non-Invasive Volatile Organic Compound Detection
Giwan Katuwal 1 Maggie Paulose 1 Oomman K Varghese 1
1University of Houston Houston USA
Show AbstractVolatile organic compounds (VOCs) are indicators of disease state of human body. For example, exhaled breath of lung cancer patients contains a number of VOCs and hence, breath test to detect VOC biomarkers has been identified as a tool for early stage cancer diagnosis. The tests are generally performed using expensive and bulky, but accurate instruments like gas chromatographs and mass spectrometers. On the other hand, portable low cost semiconductor materials based sensors could be used for the same purpose. Although semiconductor sensors do not provide highly accurate results as gas chromatographs, these are sufficient enough to give early warning about a disease state, which can be confirmed using other analytical techniques. Zinc oxide is a semiconductor that changes electrical properties with respect to ambient. Nanostructured zinc oxide that possesses high surface area is a very useful material for environmental sensing. We have recently developed a novel zinc oxide nanoarchitecture that consists of a mixture of vertically aligned nanowires and nanotubes for VOC sensing. We will describe the development of this nanostructure and their VOC sensing properties.
12:45 PM - D17.06
Detection of Real-Time Dynamics of Drug-Target Interactions by Ultralong ZnO Nanowalls on Wafer Scale
Andreas Menzel 1 Raphael J. Guebeli 2 Wilfried Weber 2 Margit Zacharias 1
1University of Freiburg Freiburg Germany2University of Freiburg Freiburg Germany
Show AbstractThe detection of drug-target interaction in real-time is a powerful approach for drug discovery and analytics. We show in this contribution a novel biohybrid nanosensor for the ultra fast electrical real-time detection and quanitification of antibiotics in foodstuffs (e.g. milk). Nanostructures based on ZnO materials have been attracting great attention due to their amazing properties for electronic, optical and sensing applications. Nanowires have been demonstrated to be useful for a bundle of sensor applications. However, the controlled integration of these functional nanomaterials with standard Microsystems fabrication processes (e.g. MEMS) capable for mass production is still highly challenging. We demonstrate a novel approach for the batch fabrication of ultralong (mm range) high aspect ratio ZnO nanowalls (500 nm height, 50 nm width) on wafer scale. Such nanowalls are fabricated by the atomic layer deposition based spacer lithography (ASL) approach and deliver a precise control of position and geometry.
We use the ZnO nanowall structures for the antibiotics detection by functionalizing them with operator DNA and specifically bound and electrically charged TetR sensor proteins. With this functionalized surface it is possible to detect tetracycline antibiotics. When tetracycline is present in the environment aqueous measurement solution of the sensor it binds to the TetR sensor protein which is then released from the sensor surface. As a result, the electrical conductance of the ZnO nanowall is modulated accordingly due to the change of the net charge. With this technique, it is possible to quantify antibiotics in a sample down to the pM level which is far below the limits of prevailing detection methods. Moreover, we demonstrate an extended study to detect antibiotics residues in spiked organic milk samples from cows far below the maximum residual level of the European Union.[1]
[1] A. Menzel, et al. Lab Chip 2013, 13, 4173-4179 (selected as Editors choice hot article)