Symposium Organizers
S. Ashok The Pennsylvania State University
Peter Kiesel Palo Alto Research Center
Jacques Chevallier CNRS
Toshio Ogino Yokohama National University
F1: Dopant and Defect Issues in Oxide and Nitride Semiconductors
Session Chairs
Peter Kiesel
Antonio Polimeni
Tuesday PM, April 10, 2007
Room 3004 (Moscone West)
9:30 AM - F1.1
Dopability, Intrinsic Conductivity, and Non-stoichiometry of the Transparent Conducting Oxides In2O3 and ZnO.
Stephan Lany 1 , Alex Zunger 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractDefect models for semiconductors and insulators usually rely on theoretical calculations, since defect formation energies ΔH are experimentally hardly accessible. For the prototype transparent conductive oxide In
2O
3, it is assumed that n-type conductivity is related to O-deficient non-stoichiometry due to oxygen vacancies. Since barely any theoretical defect calculations exist for In
2O
3, so far, such models remain speculative. For ZnO, on the other hand, a wealth of theoretical data exists, but the reported defect formation energies are highly controversial.
Applying systematic corrections to first-principles calculated formation energies ΔH, we here develop comprehensive defect models for In2O3 and ZnO, which we validate by calculating experimentally accessible quantities, i.e. defect and carrier densities [1].
We find: (i) Intrinsic acceptors in both materials have rather high formation energies, which explains high n-type dopability. (ii) The O-vacancy has a low ΔH, which explains the O-deficient non-stoichiometry. (iii) Neither the O vacancy donors nor the donor-like cation interstitials create stable n-type conductivity, as the cation interstitials have a high formation energies and O vacancies the have deep equilibrium transition levels. (iv) The O vacancies do have, however, a metastable shallow state, and explain the paradoxical coexistence of coloration (deep optical level in the visible range) and conductivity (shallow, free-electron producing state), after Zn-rich growth or annealing.
[1] S. Lany and A. Zunger, submitted.
9:45 AM - F1.2
Microscopic Origin of Amphoteric Phosphorus Doping for Stable p-type ZnO.
Xiaoqing Pan 1 , Arnold Allenic 1 , Yanbin Chen 1 , Wei Guo 1 , Guangyuang Zhao 1 , Yong Che 2 , Zhendong Hu 2 , Bin Liu 2 , Shengbai Zhang 3
1 , University of Michigan, Ann Arbor, Michigan, United States, 2 , IMRA Inc., Ann Arbor, Michigan, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractThe greatest challenge for ZnO optoelectronics remains to fabricate reliable and stable p-type ZnO thin films. Though nitrogen is theoretically the most promising acceptor for ZnO, its low solubility and compensation by donors such as hydrogen have been major obstacles. As alternatives to nitrogen, large size group V elements such as P, As, Sb and Bi have been widely investigated. The first principles calculations predicted a shallow acceptor level involving a group V antisite and two zinc vacancies (complex acceptor). In this work we have contacted a systematic study of microstructure, crystal defects, electrical and optical properties of epitaxial phosphorus-doped ZnO thin films grown by pulsed laser ablation. The conductivity of our P-doped ZnO (PZO) films can be tuned either n- or p-type by controlling the growth and annealing temperature, and the p-type films are stable under ambient conditions for 14 months without apparent degradation. Transmission electron microscopy reveals that the p-type films consist of a high density of dislocations, which enhances both the solubility of P and the generation of Zn vacancies to facilitate the formation of zinc vacancies for PZn-2VZn complex acceptors which make it possible to convert conductivity type from n-to-p. Photoluminescence (PL) measurements reveal the corresponding acceptor level at 151 meV. Our physical insights further allow for the fabrication of ZnO homojunctions by adjusting only growth and annealing temperatures during processing with impressive rectifying characteristics. These studies provide experimental proofs to the amphoteric doping nature of phosphorus in ZnO.
10:00 AM - **F1.3
Arsenic in ZnO and GaN: Substitutional Cation or Anion Sites?
Ulrich Wahl 1 2 , Joao Guilherme Correia 1 2 3 , Elisabete Rita 2 , Ana Claudia Marques 2 3 , Eduardo Alves 1 2 , Jose Carvalho Soares 2
1 Fisica, Instituto Tecnologico e Nuclear, Sacavem Portugal, 2 , Centro de Física Nuclear da Universidade de Lisboa, Lisbon Portugal, 3 PH, CERN, Geneva Switzerland
Show AbstractModifying the properties of ZnO and GaN by means of incorporating arsenic impurities is of interest in both of these semiconductors, although for different reasons. In the case of ZnO, the group V element As has been reported in the literature as one of the few p-type dopants in this technologically promising II-VI compound. However, there is an ongoing debate whether the p-type character is due to As simply replacing O atoms or to the formation of more complicated defect complexes, possibly involving As on Zn sites [1]. In the case of GaN, the incorporation of high concentrations of As has been studied with respect to the formation of GaAs(x)N(1-x) alloys and the related modification of the GaN band gap and its luminescence behaviour. It has been suggested that As in GaN is amphoteric, with its lattice site preference depending on the doping character of the material, i.e. mostly substitutional Ga in p-type but also substitutional N in n-type [2].We have determined the lattice location of implanted As in ZnO and GaN by means of conversion electron emission channeling from radioactive 73As. In contrast to what one might expect from its nature as a group V element, we find that As does not occupy substitutional O sites in ZnO but in its large majority substitutional Zn sites [3]. Arsenic in ZnO is thus an interesting example for an impurity in a semiconductor where the major impurity lattice site is determined by atomic size and electronegativity rather than its position in the periodic system. The results are different in the case of As implanted into GaN, where we found roughly half of the implanted As atoms occupying Ga and the other half N sites. The amphoteric character of As therefore certainly plays a role in explaining the extreme difficulties in growing high quality GaAs(x)N(1-x) alloys with values of x above a few percent.A preliminary report will also be given on ongoing emission channeling lattice location experiments using radioactive 124Sb in ZnO and GaN.[1] S. Limpijumnong, S.B. Zhang, S.H. Wei, and C.H. Park, Phys. Rev. Lett. 92 (2004) 155504.[2] C.G. Van De Walle and J. Neugebauer, Appl. Phys. Lett. 76 (2000) 1009.[3] U. Wahl, E. Rita, J.G. Correia, A.C. Marques, E. Alves, J.C. Soares, and the ISOLDE collaboration, Phys. Rev. Lett. 95 (2005) 215503.
10:30 AM - F1.4
Design of Shallow Acceptors in ZnO
Su-Huai Wei 1 , Jinbo Li 1 , Yanfa Yan 1
1 , National Renewable Energy Lab, Golden, Colorado, United States
Show AbstractZnO has recently attracted much attention because it has interesting physical properties suitable for short-wavelength optoelectronic device applications. However, similar to most oxide materials, ZnO is difficult to be doped p-type because ZnO has a lower valence band maximum (VBM). Consequently, acceptor ionization energy in ZnO are too high. This p-type doping bottleneck has so far hindered the full utilization of ZnO as a novel optoelectronic material. In this work, by analyzing the defect wavefunction characters, we propose several approaches to lower the acceptor ionization energy by codoping acceptors with donors or isovalent atoms. Using the first-principles band-structure method, we show that the acceptor transition energies of V$_{Zn}$-O$_O$ can be reduced by introducing F$_O$ next to V$_{Zn}$ to reduce electronic potential, whereas the acceptor transition energy of N$_O$-nZn$_{Zn}$ (n=1-4) can be reduced if we replace Zn by isovalent Mg or Be to reduce the anion and cation kinetic p-d repulsion, as well as the electronic potential. Other approaches to reduced the acceptor ionization energy will also be discussed.
10:45 AM - F1.5
Formation Of Impurity Complexes During The Growth Of Undoped And Nitrogen Doped Zinc Oxide.
N. Nickel 1 , F. Friedrich 1 , J. Rommeluere 2 , P. Galtier 2
1 , Hahn-Meitner-Institut Berlin, Berlin Germany, 2 , CNRS-LPSC, Meudon France
Show Abstract11:30 AM - **F1.6
N Incorporation, Defects and Electronic Structure in Epitaxial N-doped TiO2 Rutile.
Scott Chambers 1 , Irene Cheung 1 , Pannusami Nachimuthu 1 , Alan Joly 1 , Mark Engelhard 1 , Michael Bowman 1
1 , Pacific Northwest National Laboratory, Richland, Washington, United States
Show AbstractWe have investigated the growth and properties of well-defined epitaxial TiO2-xNx rutile for the first time. This material is of interest because of its potential for photochemical water splitting to make hydrogen. It has been known for years that TiO2 (Eg = ~3 eV) can be used to photochemically split water to make hydrogen via UV irradiation. It is of significant current interest to find ways to lower the bandgap so that water splitting can be achieved with visible light absorption. Cation doping extends absorbance into the visible. However, deep-level traps act as recombination centers and render the material ineffective. In contrast, anion-doped TiO2 appears to be better suited for bandgap reduction. Numerous recent studies of N-doped TiO2 powders show an enhancement of visible-light photocatalytic activity, but the underlying causes are not apparent. Several groups have used film growth in an attempt to make model materials and gain deeper fundamental understanding. Critically important questions include N speciation, the mechanism by which N is incorporated into the lattice, the maximum achievable dopant concentration, and the effect of dopant concentration on photocatalytic activity. Despite considerable recent effort to answer these questions using films as model materials, what is lacking is an investigation of well-defined TiO2-xNx epitaxial films. As a result, little is known about the properties of TiO2-xNx prepared under highly controlled conditions, and without high defect concentrations. Doing so is the focus of our work. Our growth method of choice is plasma assisted molecular beam epitaxy. Mixed beams of N and O radicals were prepared in an electron cyclotron resonance plasma source and impinged on various substrates, along with an atomic Ti beam. The associated materials properties were investigated using RHEED, XPS, UPS, XANES, XRD, EPR and UV-visible light absorption. We have found that the structural, compositional and electronic properties depend sensitively on the three atomic fluxes, as well as the substrate. In the absence of extensive defect creation, N incorporation is limited to ~1 at. %. Interstitial Ti resulting from Ti indiffusion during growth generates shallow donors that fully compensate N acceptors, precluding p-type character. Filled Ti-N hybridized states fall deep in the gap and give rise to enhanced optical absorption in the visible above ~2.5 eV. However, it is not yet known whether this new state results in itinerant electrons and holes at and above 2.5 eV. At the time of abstract preparation, we are building apparatus to carry out photoconductivity measurements in order to answer this important question.
12:00 PM - F1.7
Chlorine Doped ZnO grown by MOCVD.
Ekaterine Chikoidze 1 2 , Vincent Sallet 1 , Julien Barjon 1 , Ouri Gorochov 1 , Pierre Galtier 1
1 GEMAC, CNRS, MEUDON France, 2 Material Science Department, Tbilisi State University, Tbilisi Georgia
Show AbstractZnO is a semiconductor oxide material with low resistivity, high transmittance up to UV with a good chemical stability under strong reducing environments. It is thus a promising Transparent Conductive Oxyde (TCO) and a possible alternative to tin oxide and indium oxide to be used as transparent electrode for photovoltaic solar cell for example [1]. Although the achievement of p-type doping ZnO is still currently an issue, high carrier levels have been demonstrated on the n-type side. Up to now, metal elements like Al, Ag, In, Si, Sn, substituting to Zn, have been widely used for this purpose whereas except for a few works [1-3], doping of ZnO with anion impurity in substitution to oxygen, has not been widely studied. However, the use of non-metal dopants in substitution to O was suggested as a better way to achieve high carrier concentration and mobility while keeping good transparency, thanks to the weaker perturbation of the ZnO conduction band expected in this configuration [4].We present a study of chlorine doping of ZnO. ZnO:Cl layers have been grown by MOCVD technique, in a vertical geometry reactor. The optimal growth temperature for the quality of the layers was found T=425°C , also respectively law temperature is a pre-requisite for further device processing compatibility. In order to achieve acceptable structural quality, the growth was performed on (0001) oriented sapphire. Hydrogen or helium was used as vector gas. Thickness of the layers was ranging between 1µm to 4µm, depending on the growth conditions. The Theta-2Theta X-ray diffraction scans present the ZnO wurtzite symmetry structure without any additional phase. Transport properties were studied for samples with different content of chlorine. Hall effect measurements show the increase of electron carrier concentration and decreases of electron mobility while increasing the amount of chlorine incorporated in ZnO. Carrier concentration as high as 6.51020cm-3 has been achieved with resistivity of ρ=1.4 x10-3 Ohm cm. Low temperature cathodoluminescence spectrometry show strong UV excitonic emission for all ZnO:Cl reflecting the conservation of the optical properties of the layers. These results demonstrate that the use of Chlorine is an interesting route to achieve high level of n-type doping by MOCVD. [1]A.Guillen-Santiago, M.Olivera, A.Maldonado, et al. Phys.Stat.Sol.(a) 201, (2004), 952[2]H.Y.Xu, Y.C.Liu, R.Mu, Appl.Phys.lett. 86, (2005),123107 [3]A El Hichou, A.Bourgine, J.Bubendorff, Semic.Sc.Technol.(2002), 607[4] R.Gordon, MRS Bulettin, (2002), 52
12:15 PM - F1.8
Defect States in Carbon Co-Doped n- and p-type GaN Grown by Molecular Beam Epitaxy.
Andrew Armstrong 1 , Christiane Poblenz 2 , Umesh Mishra 2 , James Speck 2 , Steven Ringel 1
1 Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Materials and Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractCarbon doping in GaN produces semi-insulating (SI) behavior, which finds important application for SI GaN:C buffer layers to provide sharp current-pinch off in AlGaN/GaN high electron mobility transistors (HEMT) grown by molecular beam epitaxy (MBE). To emphasize SI behavior via carbon doping while minimizing undesired effects arising from trapping states or deep levels, the overall impact of carbon-related defects, and in particular, the mechanism for SI behavior in MBE-grown GaN:C must be understood. Therefore, to identify electrically active carbon-related defect states and determine how their site selectivity depends on the Fermi level
Ef position, we have employed deep level transient spectroscopy (DLTS), deep level optical spectroscopy (DLOS) and lighted capacitance-voltage (LCV) measurements to track
quantitatively the evolution of the defect spectrum of MBE-grown GaN with systematically varied electrical conductivity ranging from n-type to SI to p-type that is achieved using carbon co-doping.
A series of co-doped GaN:C:Si films were investigated as a function of systematically increasing [C] that produced electrical conductivity ranging from n-type to SI. Thus, the preferential incorporation site of carbon was studied as Ef receded from Ec toward mid-gap with increasing [C], thereby enabling the identification of carbon-related bandgap states that render GaN semi-insulating. The compensating role of a carbon-related shallow acceptor at Ec – 3.28 eV attributed to CN has was established from investigation of n-type GaN:C:Si, and this bandgap state dominated the deep level spectrum of SI GaN:C. The lack of p-type activity despite the pre-eminence of this near-Ev carbon acceptor in SI GaN:C films strongly suggests the presence of an additional carbon-related donor state, resulting in carbon auto-compensation that fixes Ef near mid-gap, as suggested for GaN:C grown by metalorganic chemical vapor deposition [1]. Such a carbon donor level arising from the substitutional CGa defect has been predicted to form near Ec[1] but has yet to be observed. Consistent with this model, we report a deep donor particular to SI GaN:C:Si via DLTS at Ec - 0.11 eV. Since CGa is predicted to be the preferred site for carbon in SI and p-type GaN [1], the DLOS spectrum of p-GaN:Mg was also studied with the expectation that residual carbon should incorporate primarily as CGa. Indeed, a bandgap state at Ev + 3.26 eV (Ec – 0.14 eV) was found for p-GaN:Mg. In light of the good agreement between the DLTS and DLOS results, we attribute these levels to the putative CGa donor. To further bear out the actuality of the carbon donor and its role in the auto-compensation model for SI GaN:C, progress of DLOS study of co-doped GaN:C:Mg as a function of increasing [C] will be discussed where now Ef will be intentionally receded from Ev toward mid-gap, and [Ev + 3.26 eV] is expected to track increasing acceptor compensation.
[1] Seager et al. J. Appl. Phys. 92 6553, (2002).
12:30 PM - F1.9
Unusually High Be Diffusivity in GaAs1-xNx (x<<0.01)
Wenkai Zhu 1 , Alex Freundlich 1
1 Center for Advanced Materials, University of Houston, Houston, Texas, United States
Show Abstract The unusual bandgap shrinkage associated with the introduction of small amounts of nitrogen in III-V compounds has sparked a strong interest in the development of dilute nitride heterostructures. In molecular beam epitaxy and related techniques N is introduced using active (atomic) nitrogen species often obtained using rf-plasma sources. However the difficulty in effectively blocking (using shutters) the nitrogen flux emanating from rf-plasma sources after the fabrication of the dilute nitride epilayers leads to a slight non-intentional incorporation of N(0.01-0.2%) in subsequent III-V epilayers (e.g.barriers for MQW structures). Here the effect of such low level nitrogen contamination/doping upon the Be doping and diffusion properties is investigated. GaAs:N samples with different Be doping concentrations ranging from 5x1017 cm-3 to 3x1019 cm-3 were grown at 5250C on (001) GaAs substrates by chemical beam epitaxy in a Riber 32CTM chamber, using an EPI Uni-BulbTM nitrogen RF plasma source with UHP nitrogen (7N). Triethylgallium and pre-cracked arsine were used as group III and V precursors respectively and Be doping was achieved by a solid effusion cell. The actual nitrogen concentrations in the epilayers grown here were extracted by secondary ion mass spectroscopy (SIMS) and were found to be constant throughout the epilayer (no correlation with Be profile) at about 0.01% (~5-8x1017 cm-3). In order to assess the diffusion properties of Be, samples were subjected to post-growth long and rapid thermal annealings (5500C < T < 9000C). SIMS and electrochemical capacitance-voltage profilometry (ECV) analysis were implemented to obtain Be and dopant concentration profiles in GaAs:N. The diffusivity of Be was extracted by simulating numerically experimental diffusion profiles using a model derived from Fick’s diffusion law. Surprisingly, and despite the small amount of nitrogen present in the GaAs:N epilayers, the diffusivity of Be measured here exceeds by nearly two orders of magnitude the one commonly reported for Be in GaAs. For the temperature range of this study the diffusivity of Be in GaAs:N follows an Arrhenius like behavior with an activation energy of about Ea= 1.41 eV (instead of 1.95 eV for Be in GaAs) suggesting that the presence of small amounts of nitrogen in GaAs alters significantly the diffusion mechanism of Be, which in turn may be of critical importance in the design and fabrication of dilute nitride devices.
12:45 PM - F1.10
Structural Origins of the Systematic Crystallographic Tilt in Micron-Sized InAs Islands on (100) GaAs.
Xueyan Song 1 , Ganesan Suryanarayanan 2 , Anish Khandekar 3 , Thomas Kuech 3 2 , Susan Babcock 1 2
1 Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Materials Science Program, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractThe continued and increasing interest in the use of the 6.1Å materials (InAs, GaSb, InSb and their alloys) for a broad spectrum of optical and electrical applications that spans lasers, detectors and thermal-photovoltaic cells, intensifies the need to understand the mechanism of strain relax between the device film and available GaAs substrate and to develop new substrates and growth processes that minimize the defects in the manufactured device. The 7% mismatch between InAs and GaAs derived strain leads to a Stranski-Krastanov growth in this system. Backscattered electron Kikuchi pattern (BEKP) studies have established clearly that islands grown under condition that favor growth over nucleation develop a distinct diamond shape and characteristic domain substructure. In islands a few micron in lateral extent, the InAs is tilted a few degrees relative to the substrate in one of six specific directions. The aim of the present study is to investigate the origin of the systematic tilt. Microstructure analysis was focused on the mismatch dislocation structure in islands with lateral dimensions of about 1 micron, somewhat smaller than the largest islands in which the tilt substructure is fully developed. The topography, morphology, and crystallographic tilt of the micron-sized island were first investigated by plan-view scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The interfacial dislocations that accommodate the lattice mismatch were subsequently characterized using cross section high-resolution TEM (HREM). Most of the islands with the lateral dimension of ~ 1 micron, posses 5 sections, including the center of the island, which is crystallographic aligned with the GaAs substrate, and 4 other sections that are tilted as much as 4 degrees relative to the substrate. Cross-section HREM images reveal that the crystallographic alignment/tilt is established at the InAs/GaAs interface. The mismatch dislocation array is comprised primarily of 60o dislocations. In the aligned volume near the center of the island, the 60° dislocations exist in pairs that produce a zero out of plane component to the net burgers vector in that region of the interface. On the contrary, the outer-edge volumes of the islands possess unevenly spaced 60° dislocations with like Burgers vector, rendering a net out of plane component to the dislocation array that is oriented in the direction needed to produce the crystal tilt observed in the same volume. The present experimental results on the segregation of one set of the 60° dislocation with like Burgers vector on one side of the islands and resultant in crystal tilt are fully consistent with the model proposed by B. Spencer and J Tersoff.1,2 These results enhance our understanding the strain relaxation mechanisms in the large misfit systems.[1].B.J. Spencer, and J. Tersoff, Appl. Phys. Lett. 77 (1997) 2533.[2].B.J. Spencer, and J. Tersoff, Phys. Rev. B63 (2001) 205424.
F2: Defect Properties, Activation, Passivation
Session Chairs
Tuesday PM, April 10, 2007
Room 3004 (Moscone West)
2:30 PM - **F2.1
Are the Materials Properties of Indiumnitride Dominated by Defects?
Petra Specht 1 , Johnny Ho 1 , Joanne Yim 1 , Eicke Weber 1 , Til Bartel 2 , Christian Kisielowski 2
1 Mat. Sci. & Eng., UC Berkeley, Berkeley, California, United States, 2 National Center for Electron Microscopy, Lawrence Berkeley National Laboratories, Berkeley, California, United States
Show AbstractIndiumnitride (InN) is a promising, yet technologically challenging material with a high defect density and unusual material properties. Its high electron mobility may be utilized in high power electronic devices. However, to date it is still debated how much the evident electron accumulation at the materials surface contributes to the measured electrical transport properties. The results for optical response, absorption and photo-luminescence, of epitaxial InN resulted in a large correction of the fundamental bandgap from originally 1.9 eV to now around 0.7 eV. Yet, it is still debated if the commonly measured optical transitions below the original high bandgap values may be caused by a large concentration of defects, in the order of 1E20/ccm, instead of reflecting a low fundamental bandgap. The materials application in high efficient solar cell technology, however, is primarily dependent on the successful production of a contacted p-n junction which was not yet achieved. This contribution addresses the controversy in the bandgap discussion of InN. Standard optical and electrical characterization will be compared with results from a transmission electron microscopy characterization. Valence electron energy loss spectroscopy (VEELS) of InN is applied and discussed. Specifically, characterization of InN epilayers from three different suppliers, all deposited by molecular beam epitaxy, will be evaluated and differences in local versus standard optical characterization will be pointed out. VEELS analysis consistently shows high energy transitions around 1.8 eV in all three materials. The presence of In clusters in some epilayers will be demonstrated and surface effects in standard optical characterization will be presented. The presently available results in literature and from own experiments show that it is possible that InN is a high bandgap material and its materials properties may be dominated by its large concentration of defects.
3:00 PM - F2.2
Nature of Stacking Faults in Quaternary InxAlyGa1-x-yN Layers.
Fanyu Meng 1 , Nathan Newman 1 , Subhash Mahajan 1
1 School of Materials, Arizona State University, Tempe, Arizona, United States
Show AbstractQuaternary InxAlyGa1-x-yN layers on top of GaN buffer layers were grown on (0001) sapphire substrates using metal organic chemical vapor deposition. One In.12Al.29Ga.59N layer was comprehensively studied using various transmission electron microscopy (TEM) techniques including weak beam dark field (WBDF) imaging, selected area diffraction pattern (SADP), high resolution electron microscopy (HREM) and annular dark filed (ADF) imaging in STEM mode. High-density stacking faults were found in this layer. Complete WBDF analysis using g vectors of (0002), (11(-2)0) and (1(-1)00) and HREM images revealed stacking faults are bounded by Shockley partials. Z-contrast ADF images revealed stacking faults are Al rich. It is thus rationalized low surface mobility of Al atoms at low growth temperature leads to stacking faults formation. Al is generally known to have high reactivity. In result, Al atoms form the strongest bonds among Al, Ga and In atoms, thus mobility of Al atoms must be lowest among Al, Ga and In atoms in the same growth environment. Low surface mobility of Al atoms prohibits them to move around surface and find the correct bonding sites. A perfect wurtzitic structure would have ABABAB stacking sequence of (0001) cation planes. Instead of finding A or B positions for a perfect wurtzitic structure, Al atoms might reside on C positions where they firstly arrive on the surface, stacking error would thus form. Our study on other quaternary layers including In.10Al.02Ga.88N, In.05Al.06Ga.89N, and In.06Al.18Ga.76N showed they all contain stacking faults with same structures. Stacking faults are locally zincblende structures within wurtzitic matrix. Though theoretical work predicted zincblende structure would have smaller band gap than wurtzitic structure at same composition, the fact these stacking faults are Al rich makes the situation complicated. It is mostly possible Al rich stacking faults are larger in band gap than the surrounding matrix. Instead of forming self-assembled quantum well structures to enhance emission efficiency, these Al rich faults may act as non-effective emission centers thus reduce emission efficiency. Devices built on quaternary InxAlyGa1-x-yN layers should take this fact into consideration.
3:15 PM - F2.3
Conductivity Characteristic in Heavily Boron-Doped Diamond Films.
Hitoshi Ishiwata 1 , Tomohiro Takenouchi 1 , Ryusuke Okada 1 , Shingo Iriyama 1 , Yoshihiko Takano 2 , Hiroshi Kawarada 1
1 Nano Science Engineering, Waseda University, Setagaya-ku, Tokyo, Japan, 2 Nano-frontier Material, National Institute for Materials Science, Tsukuba, Ibaragi, Japan
Show Abstract3:30 PM - F2.4
Theoretical Study of the Nature of Defect States in PbTe Thin Films.
Subhendra Mahanti 1 , Khang Hoang 1 , Puru Jena 2
1 Physics and Astronomy, Michigan State University, East Lansing, Michigan, United States, 2 Physics , Virginia Commonwealth University, Richmond, Virginia, United States
Show AbstractLead chalcogenides (PbTe, PbSe, and PbS) are IV-VI narrow band gap semiconductors whose studies over several decades have been motivated by their importance in infrared detectors, light-emitting devices, infrared lasers, photovoltaics, and high temperature thermoelectrics. It is well known that defects and impurities control the electronic properties of semiconductors and therefore play a crucial role in emerging semiconductor technologies. Furthermore, the nature of the defect states depend on the geometry of the host material, whether it is a bulk material, or a 2D film, a nanowire, a nanodot. Recently we have studied the nature of deep defect states in bulk PbTe using ab initio density functional theory and a supercell model when Pb and Te atoms are substituted by different types of defects [1,2]. In particular, we found that substitution of Pb by the trivalent impurities Ga, In, and Tl gave rise to hyper deep defect states (HDS) below the valence band (VB) and deep defect states (DDS) near the band gap region. In this talk we will discuss how these states are affected by going to a PbTe film. A PbTe (001) thin film was modeled by 9-layer (2x2) centrosymmetric slab [3] (separated by a vacuum) without or with the impurities either in the first, the second, or the third layer on each side of the slab. We find that the undoped slabs exhibit an oscillatory geometric relaxation. There is a surface state near the top of the Te s band, and surface resonance states near the top of the VB and the bottom of the conduction band (CB).There are no surface states in the fundamental gap. The HDS and DDS of Ga, In, and Tl are preserved in the film geometry. As one goes from the bulk-like layers to sub-surface and surface layers, the former tends to move closer to the bottom of the VB and its width gets narrower, and the latter also gets modified. The calculated formation energy of the impurities as a function of the distance from the surface shows interesting features: all three impurities have lowest formation energy in the first layer but it increases monotonically after that in case of Ga, whereas there is a potential barrier in the second layer and a shallow potential “valley” between the second and the bulk-like layers in the case of In and Tl. This should have a significant impact on doping. We expect that Ga atoms will be easily annealed out to the surface whereas In and Tl atoms can be trapped in the subsurface layers.* Work at MSU partially supported by ONR-MURI Grant No. N00014-03-10789 and at VCU partially supported by DOE. 1. S. Ahmad, S. D. Mahanti, K. Hoang, and M. G. Kanatzidis, Phys. Rev. B 74, 155205 (2006).2. S. Ahmad, K. Hoang, and S. D. Mahanti, Phys. Rev. Lett. 96, 056403 (2006); 96, 169907(E) (2006)3. F. Bechstedt, Principles of Surface Physics (Springer-Verlag, Berlin, 2003).
3:45 PM - F2.5
Predominance of Alternate Diffusion Mechanisms for Interstitial-Substitutional Impurities in Si.
Hui Li 1 , Na Li 1 , Subhash Joshi 1 , Teh Tan 1
1 Mechanical Engineering and Materials Science, Duke University, Durham, North Carolina, United States
Show AbstractWe propose a general model to describe the diffusion of interstitial-substitutional (i-s) impurities in Si. In this model the kick-out (KO) and the Frank-Turnbull (FT) mechanisms take effect simultaneously and independently. A novel factor is introduced to evaluate the relative contribution of each mechanism during the diffusion process. Satisfactory fits are obtained for a group of experimental data for both Au diffusion into Si and out of Si. The latter is facilitated by Al gettering at the same temperature of the Au indiffusion process. Our simulation showed that KO dominates for Au indiffusion, which has been well established, and FT dominates for Au outdiffusion, which did not receive the deserved attention previously.
4:30 PM - **F2.6
Defect Engineering in Oxide Semiconductors.
Chris Van de Walle 1
1 , University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractIn spite of rapid progress in materials quality, oxide semiconductors still suffer from serious problems in controlling their conductivity. We are addressing these issues by performing first-principles calculations based on density-functional theory (DFT). In addition, we have developed an approach (based on the “LDA+U” method) for overcoming the DFT band-gap problem, allowing us to more accurately compare and predict defect levels. Native point defects are still frequently invoked as sources of n-type conductivity, but our results do not support this hypothesis. Oxygen vacancies are deep donors [1]. Zinc interstitials are shallow donors, but their formation energy is high and they diffuse very rapidly, with a migration barrier as low as 0.6 eV, making it very unlikely that they would be stable. We suggest that unintentional incorporation of impurities (such as hydrogen) is a more likely explanation for n-type background doping [2]. Interstitial hydrogen acts as a shallow donor, but is not stable under prolonged high-temperature annealing. We have recently found that substitutional hydrogen (i.e., H on an oxygen site) is consistent with all experimental observations of unintentional n-type doping: it acts as a shallow donor, it is stable up to 500-600oC, and it exhibits the same dependence on partial pressure as oxygen vacancies. I will discuss results for other oxides, comparisons with experiment, and prospects for p-type doping. I acknowledge fruitful collaborations with A. Janotti, A. Singh, and S. Limpijumnong. This work was supported in part by NSF and by AFOSR through PARC, Inc.[1] A. Janotti and C. G. Van de Walle, Appl. Phys. Lett. 87, 122102 (2005).[2] C. G. Van de Walle, Phys. Rev. Lett. 85, 1012 (2000).
5:15 PM - **F2.8
Hydrogen-induced Nitrogen Passivation in Dilute Nitrides: A Novel Approach to Defect Engineering.
Antonio Polimeni 1
1 CNISM and Dipartimento di Fisica, Università di Roma ''La Sapienza'', Roma Italy
Show AbstractIn semiconducting compounds, the introduction of isoelectronic impurities with a large size and electronegativity mismatch with respect to the atoms they substitute can lead to large and highly nonlinear modifications of the physical properties of the host crystal. These defects are commonly referred to as isoelectronic traps and are the object of fundamental and application-oriented research. As an example, the incorporation of small percentages of nitrogen in III-V compounds (such as GaAs and GaP) leads to the creation of bound states in the forbidden gap and in the continuum states of the crystal, as well as to large and counterintuitive variations of the conduction band structure. These include an unexpected band gap decrease and a peculiar compositional dependence of the electron effective mass and gyromagnetic ratio.In this talk, the effects of hydrogen irradiation on highly mismatched III-N-V alloys will be discussed. Quite strikingly, hydrogen interacts strongly with isoelectronic traps, leading to the passivation of their effects on the optical and electronic properties of the material. In dilute nitrides (such as GaAsN and GaPN) the N-induced decrease of the band gap energy can be finely tuned and even fully neutralized by properly adjusting the dose of hydrogen ions diffused in the sample.These findings open the way to a novel defect engineering approach aimed at modifying in a controllable manner the whole bulk properties of dilute nitrides. In particular, the possibility of changing the energy gap of GaAsN by post-growth hydrogenation can be exploited to pattern in the growth plane the carrier potential profile on a submicron scale by spatially selective hydrogen implantation/removal.Two methods are presented.1. By deposition of hydrogen-opaque metallic masks on GaAsN and subsequent hydrogen irradiation, we artificially create wire-like zones of the crystal having the band gap of untreated GaAsN surrounded by GaAs-like barriers.2. We succeed in breaking in a spatially delimited part of a hydrogenated GaAsN sample the bonds formed between N and H by employing an intense and narrow (~100 nm) beam of electrons. As a consequence, in the spatial regions irradiated by the electron beam hydrogenated GaAsN recovers the smaller energy gap it had before hydrogen implantation.In principle, these methods could be readily exploited to attain 1D or 0D nanostructures, as well as to design optical circuits, where materials with different band gaps and refractive indexes are comprised on a same chip.
5:45 PM - F2.9
Formation of Hydrogen Related Defects and Nano-Voids in Plasma Hydrogenated ZnO
Reinhart Job 1
1 Department of Mathematics and Computer Science, University of Hagen, Hagen Germany
Show AbstractF3: Poster Session I
Session Chairs
Wednesday AM, April 11, 2007
Salon Level (Marriott)
9:00 PM - F3.1
Defect Structures of B12As2 Epitaxial Films Grown on Various 6H-SiC Substrates
Hui Chen 1 , Guan Wang 1 , Balaji Raghothamachar 1 , Michael Dudley 1 , James Edgar 2
1 Materials Sci&Eng, STONY BROOK UNIVERSITY, STONY BROOK, New York, United States, 2 Chemical Engineering, Kansas State University, Manhattan, Kansas, United States
Show AbstractIcosahedral boron arsenide (B12As2) is a wide band gap semiconductor suitable for devices operating in high temperature as well as high radiation environments and in thermoelectric and betavoltaic cells. However, the presence of defects significantly limits the crystalline quality of these films. To optimize the growth of B12As2 epitaxial layer by chemical vapor deposition (CVD), three samples containing B12As2 epilayers grown on (0001), (11-20 ) and ( 1-100)6H-SiC substrates have been studied and compared by using a series of characterization methods and also investigated with the aid of crystal modeling. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) revealed the variation of the surface morphology of B12As2 epilayer grown on each of the three different 6H-SiC substrate. The B12As2 grown on (0001)6H-SiC features two kinds of triangular defects on the surface and the one grown on (11-20 )6H-SiC features zigzag-like boundary defects on the surface. Compared with these two, the one grown on (1-200 )6H-SiC doesn’t have well-defined boundary features. However, it contains higher density of grain boundaries on the surface. Synchrotron white beam x-ray topography (SWBXT) has shown that there are a pair of B12As2 twins of {111} type existing in the both B12As2 epilayers grown on (0001)6H-SiC and ( 11-20)6H-SiC while there are three pairs of B12As2 twins of {111} type in the B12As2 grown on (1-100 )6H-SiC. High resolution transmission electron microscopy (HRTEM) has shown twin boundaries of different shapes present in the three samples. The investigation of other defects present in the B12As2 epilayers is currently underway. By comparison between the HRTEM images and crystal modeling, the formation of the defects and the growth mechanisms of the B12As2 corresponding to the three 6H-SiC substrates will be discussed.
9:00 PM - F3.10
Electronic structure of Cd, In, Sn substitutional Defects in GaSe.
Subhendra Mahanti 1 , Zsolt Rak 1 , Krishna Mandal 2 , N. Fernelius 3
1 Physics and Astronomy, Michigan State University, East Lansing, Michigan, United States, 2 , EIC Laboratories, Inc, 111 Downey Street, Norwood, Massachusetts, United States, 3 , AFRL/MLPSO, WPAFB, Dayton, Ohio, United States
Show AbstractGallium Selenide (GaSe) is a wide band gap semiconductor studied extensively for several unique properties associated with its different layered structures. Strong intra-layer covalent bonding and weak bonding between the layers, and Ga-Ga dimers oriented normal to the layers make GaSe highly anisotropic. In addition, because of its large nonlinear optical coefficient (d_22=75 pm/V), GaSe has been investigated extensively for its non-linear optical properties.[1] A major application associated with this nonlinearity is the generation and detection of THz radiation.[2,3] It also finds application in the area of radiation detectors.[4] Because of its layered structure GaSe is quite soft, but its mechanical properties improve in the presence of Indium defects.[5] Since defects play a central role in controlling the physical properties of semiconductors[6], it is important to have a fundamental understanding of the nature of these defect states. Here we report the results of ab initio electronic structure calculations of the energies of defect states associated with substitutional impurities (Cd, In and Sn at the Ga site) in GaSe using density functional theory and a supercell model. We find that in all three cases, impurities contribute to the total density of states (DOS) over the entire valence band region (coming from the p states of Se and s states of Ga). In this sense these defects can give rise to deep defect states. We find that Cd impurity introduces a defect state located between 0.1 - 0.18 eV above the valence band, in very good agreement with photoluminescence measurements[7], which give peaks at 0.13 eV and 0.18 eV. Sn changes the single particle density of states (DOS) near the bottom of the conduction band and gives rise to resonant states deep in the valence band. In, on the other hand is closest to the host Ga, it does not make noticeable change in the DOS near the band gap region and should therefore be good for band gap engineering. Since all the three defects change the DOS in the entire occupied region of the valence band, they can affect the mechanical properties of the host.1. V. G. Dmitriev, G. G. Gurzadyhan, and D. N. Nikogosyan, Handbook of Nonlinear Optical Crystals (Springer, New York, 1999), p.166.2. K. Liu, J. Xu, and X.-C. Zhang, Appl. Phys. Lett. 85 (6), 863 (2004). 3. W. Shi et al, Appl. Phys. Lett. 80 (21) 3889-3891 (2002); Optics Lett. 27 (16) 1454-6 (2002); Appl. Phys. Lett. 84 (10) 1635-7 (2003)4. C. Manfredotti, et al, Nucl. Instr. and Meth. 115 (2), 349 (1974). 5. D. H. Shure, N. B. Singh, V. Balakrishna, N. C. Fernelius and F. K. Hopkins, Optics Lett. 22(11), 775-7 (1997); V. G. Voevodin, O. V. Voevodina, S. A. Bereznaya, Z. V. Korotchenko, A. N. Morozov, S. Yu. Sarkisov, N. C. Fernelius and J. T. Goldstein, Optical Materials 26(9), 495-9 (2004).6. For a recent work on defects, see U.N. Roy et. al, App. Phy. Lett. 86, 151911 (2005). 7. S. Shigetomi, et al, J. Appl. Phys. 69 (11), 7936 (1991).
9:00 PM - F3.11
The Dynamic Ultrasound Influence on the Diffusion and Drift of the Charge Carriers in Silicon p-n Structures.
Roman Burbelo 1 , Oleg Olikh 1 , Mark Hinders 2
1 Faculty of Physics, Taras Shevchenko Kyiv National University, Kyiv Ukraine, 2 Applied Science Faculty, The College of William & Mary, Williamsburg, Virginia, United States
Show AbstractNowadays much attention is given to the ultrasound influence on electrophysical properties and defective structure of semiconductors and semiconductor structures [1,2]. In particular, a big interest is caused by the processes which take place in the material under non-equilibrium conditions, caused by the acoustic vibration [2]. This paper is devoted to the study of the ultrasonic waves dynamic influence (in situ ) on the processes of the charge transport in a silicon solar sell.The silicon structures with p-n-transition received by phosphorus ions implantation in a surface layer of Si:B plate have been chosen as the research objects. Transition is located at 0,5 microns depth from surface, n-layer electron concentration is 1019 cm-3, hole concentration is 1.3×1015 cm-3. The specified structures I-V characteristics are measured under ultrasonic fluctuations excitation conditions. The researches were carried out both without external illumination as well as under external illumination. In the latter case, additional carriers photogeneration occurred both at the p-n-transition region and at the p-area depth. Longitudinal acoustic waves were excited in structures with help of LiNbO3 transducer; the ultrasound frequency is 4.1 and 13.6 MHz; the acoustic power is up to 3 W/cm2.It is revealed, that the changes of diffusion parameters take place at the non-equilibrium conditions caused by ultrasonic lading. These changes are shown in photocurrent rising. Photocurrent increase reached up to 15 %, which corresponds to 2 times diffusion length increasing approximately. Also the essential (up to 40 %) acoustostimulated decreasing of the p-n-transition saturation current is revealed. The characteristic time of the observable effects is level with tens minutes. It is established, that dependence of the dynamic changes on ultrasound power is nonlinear. It is shown, that efficiency of an acoustostimulated influences raises with an ultrasound frequency.The analysis has shown that observable effects are connected with non-equilibrium processes of defects ionization and reorientation at an acoustic field. It specifies an opportunity of a dynamic management of a charge carriers distribution processes in semiconductor structures by ultrasonic waves.The research was supported by STCU (project # 3555).References1. Olikh O.Ya., Pinchuk T.N. Tech. Phys. Let., 2006, 32, is.6, p.5172. Romanuk A., Spassov V., Melnik V. J. Appl. Phys, 2006, 99, is.3, 034314
9:00 PM - F3.13
Paramagnetic Defects and Photoluminescence in Carbon Rich a-SiC:H Films: Role of Hydrogen and Excess of Carbon
A. Vasin 1 , A. Konchits 1 , S. Kolesnik 1 , A. Rusavskii 1 , V. Lysenko 1 , Alexei Nazarov 1 , Y. Ishikawa 2 , S. Ashok 3
1 , Institute of Semiconductor Physics, Kyiv Ukraine, 2 , Japan Fine Ceramic Center , Nagoya Japan, 3 , The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractWe have studied the effect of carbon excess on the paramagnetic defects and photoluminescence (PL) of carbon-rich a-SiC:H films as well as its evolution after vacuum annealing. Two sets of samples were characterized: one with near-stoichiometric composition (C/Si~50/50, sample CR1) and one with large carbon excess (C/Si~70/30, sample CR2).As-deposited samples exhibited high spin concentrations Ns of 2.5E19 cm-3 and 5.1E19 cm-3 for samples CR1 and CR2 respectively and similar g-factor of 2.0026 originating from carbon-related paramagnetic defects. The g-factor was nearly the same after annealing in both samples while Ns changed quite significantly.Despite higher concentration of the paramagnetic defects, the as-deposited CR2-sample showed strong white photoluminescence at 300K while sample CR1 did not show any PL within detection limits. FTIR-transmission spectra of the both as-deposited samples were almost identical, indicating a similar concentration of Si-C, Si-H and C-H bonds. Raman scattering measurements showed a barely detectable signal for sp2-coordinated amorphous carbon in CR2 and none for CR1. It was found that both samples showed similar evolution of the spin concentration after vacuum annealing at lower temperature range, i.e. decrease of Ns with a minimum after annealing at 350C (Ns~7E18 cm-3) and slow increase with increasing Ta up to 550C. However, while Ns of the CR1-sample continued to increase slowly and monotonically at higher Ta, the CR2-sample showed a sudden increase of the Ns by a factor of 7 after annealing at 650C and remained almost unchanged at higher temperature. Even at the maximum Ta of 850C the spin density of the CR2-sample remained more than 4 times higher than that of CR1-sample (2.2E20 cm-3 against 5.0E19 cm-3). The total increase of Ns at Ta above 450C is unambiguously related to effusion of hydrogen from the film. PL intensity of the sample CR2 as a function of Ta was also non-monotonic and increased until reaching a maximum at 450C and then rapidly dropping to zero at 650C. From FTIR measurements is was found that after annealing at 550-650C the concentration of C-H bonds increased by a factor of two in the carbon-rich CR2 sample while in CR1 the number of “new” C-H bonds was significantly less. It is suggested that creation of a new C-H bonds during low temperature annealing takes place due to interaction of released weakly bonded hydrogen with carbon double bonds C=C. Based on a detailed study of EPR, PL and local bonding structure in as-deposited and annealed samples it was concluded that paramagnetic defects are not solely responsible for the PL efficiency in carbon-rich a-SiC:H but that the C-H bonds too play a critical role. It is proposed that C-H bonds increase fluctuations of the inter-atomic potential in the amorphous matrix, promoting the screening of the photo-exited electron-hole pares from non-radiative recombination centers and increasing the probability of radiative recombination.
9:00 PM - F3.14
Application of Computational Intelligence to Investigation of Defect Centers in Semi-insulating Materials by Photoinduced Transient Spectroscopy.
Pawel Kaminski 1 , Stanislaw Jankowski 2 , Roman Kozlowski 3
1 , Institute of Electronic Materials Technology, Warszawa Poland, 2 Institute of Electronic Systems, Warsaw University of Technology, Warszawa Poland, 3 , Institute of Electronic Materials Technology, Warszawa Poland
Show AbstractPhotoinduced transient spectroscopy (PITS) is a very effective tool for investigation of defect centers in high-resistivity semiconductors. This technique relies on filling the defect levels with charge carriers generated by optical pulses and analyzing the photocurrent relaxation waveforms observed after switching the light off. Presently, digital recording of the photocurrent transients is used, and the analysis is based on the assumption that a photocurrent relaxation waveform is the sum of a number of exponential components whose time constants are equal to the reciprocals of the thermal emission rate. The method, however, is very time consuming, for the transients are recorded at a wide range of temperatures (20-320 K) with a high time and amplitude resolution and the analysis is performed step-by-step using a set of one-dimensional spectra determined for various emission rate windows. In this paper a new approach to extraction of trap parameters from the photocurrent relaxation waveforms recorded at various temperatures is presented. The approach is based on treatment of the waveforms as a function of two variables, time and temperature. Using the correlation procedure the experimental data are transformed into the spectral surfaces in co-ordinates of temperature and emission rate. The processes of thermal emission of charge carriers from defect centers manifest themselves as the folds on the spectral surface. The parameters of traps are obtained as the parameters of the model best fitted to the experimental surface. The fitting is performed using the support vector machine (SVM) algorithm. The modeled surface is given by the linear combination of non-linear kernel functions corresponding to the specific shape of the experimental folds. The SVM algorithm enables the number of kernel functions to be selected accordingly to the number of the folds. So, the new approach allows determining the defect electronic properties by means of one calculation procedure. Advantages of the new approach to the spectral analysis of the photocurrent relaxation waveforms are exemplified by studies of defect centers in semi-insulating (SI) InP. The defect structure of SI InP wafers annealed under the iron-phosphide and pure phosphorus atmospheres is compared.
9:00 PM - F3.15
Investigations of Current Transport on GaN Pendeo Exitaxy Substrate.
Taehoon Jang 1 , Hosun Paek 1 , Younjoon Sung 1 , Joong-kon Son 1 , Okhyun Nam 1 , Yongjo Park 1
1 Photonics, Samsung Advanced Institute of Technology, Suwon Korea (the Republic of)
Show Abstract9:00 PM - F3.16
The Study of Interfacial Misfit Dislocations in a Heteroepitaxial Island using Finite Element Analysis.
Gang Feng 1 , L. Freund 1
1 Engineering, Brown University, Providence, Rhode Island, United States
Show AbstractAdvanced semiconductor devices for demanding applications frequently involve epitaxial heterostructures with large lattice mismatch. The mitigation of defect evolution in these structures has attracted much practical and theoretical interest. In the initial stage of heterostructure deposition, sparse coherent islands typically form on the substrate surface. While still very small in size, islands become unstable against generation of interfacial misfit dislocations. The critical size for this transition arises mainly due to the competition between the self-energy of the dislocation and the strain energy relaxation accompanying introduction of the dislocation. Although the critical thickness for forming misfit dislocations in a uniform strained layer can be predicted reliably, the critical conditions for structures with more complex three-dimensional shapes are still not well studied. In this study, in order to account for proximity of free surfaces in the three-dimensional configuration, we adopt finite element analysis to investigate the dislocation mechanics in a particular heteroepitaxial system, namely, an isolated InAs island on a relatively large GaAs substrate, a situation with lattice mismatch of about 6.7%. The basic features of the model are the following: (a) the shape of the three-dimensional island is a pyramidal shape observed experimentally for this material system (Costantini et. al., Journal of Crystal Growth, v.278, 2005, 38-45); (b) the materials are assumed to be linearly elastic; (c) interfacial Volterra dislocations are introduced into the island with the dislocation core structure modeled as in the Peierls-Nabarro theory. Firstly, we show that the minimum energy position of the interfacial dislocation is the middle of the island. Then, based on dimensional analysis, we demonstrate that the state of any heteroepitaxial system may be characterized by a dimensionless parameter, fb/(eL), where b is the magnitude of the Burgers vector, e is the magnitude of the misfit strain, L is a length scale of the island, and f is a dimensionless factor depending on the characteristics of the dislocation, the shape of the island, and elastic properties of the island and the substrate. By analyzing dislocated islands with congruent shapes but different length scale parameters using the finite element approach, we are able to estimate the critical island size at which dislocation formation is first possible in the particular InAs/GaAs system. The value estimated in this way is in good agreement with experimental observation (Wagner G, Crystal Research and Technology, v.33, 1998, 681-705). In addition, the effect of multiple interface dislocations is also considered.
9:00 PM - F3.17
Stress Effects on As Activation in Si.
Chihak Ahn 1 , Scott Dunham 2 1
1 Physics, University of Washington, Seattle, Washington, United States, 2 Electrical Engineering, University of Washington, Seattl, Washington, United States
Show AbstractIn nanoscale ULSI devices, stress effects become very important as stress is employed to enhance carrier mobility. In this paper, we study stress effects on As activation in silicon, using density functional theory (DFT). As deactivation is governed by AsmV clusters, and clusters with m=2,3,4 are considered as dominant species in deactivation kinetics. We calculated AsmV cluster formation energy to predict concentration of each cluster at high temperature. At equilibrium with high concentration of As, As4V primarily determines active As concentration. To study stress effects on As activation, we must know lattice distortion due to substitutional As in silicon. There have been many experiments observing lattice contraction at high active As concentration which has been attributed to free electrons at the conduction band edge. However, DFT result shows that lattice parameter of Si63As+ is 0.35% less than that of Si64 and a free electron at the conduction band edge overcompensates this contraction, which results in total volume expansion coefficient of 0.36x10-24 cm3. In contrast, the experimental value of lattice expansion by Cargill et al. [Phys. Rev. Lett. 61, 1748 (1988)] was -0.4x10-24 cm3. To resolve this controversy, we investigated the detailed local structure around an As atom in Si matrix using DFT. In As-doped silicon, the Si-As bond length is unexpectedly larger than covalent bond length, while the distance between As and Si at 2NN or at 3NN is close to that in pure silicon. This suggests that the region within 1NN of As expands due to extra charge piled up to screen ion core, but this expansion is almost compensated within the 2NN of As and overall lattice undergoes tiny expansion. These results are supported by EXAFS experiments. Therefore, the lattice contraction should come from other than free electrons. We attribute the contraction to arsenic vacancy complexes and find that a vacancy concentration of 15% relative to As can reproduce the lattice contraction observed by Cargill et al. Based on lattice expansion coefficient, we calculated formation energy change due to applied stress and plotted the stress dependence of AsmV concentration. The result shows that stress has a minimal effect on As activation, which is consistent with experiments of Sugii et al. [ J. Appl. Phys. 96, 261 (2004)], which find no significant change in As activation under tensile stress.
9:00 PM - F3.18
Computational Model for Effects of Dislocations on Bandedge Photoluminescence Reduction and Yellow Luminescence in GaN.
Jeong Ho You 1 , H. Johnson 1
1 Mechanical Science & Engineering, University of Illinois-Urbana Champaign, Urbana, Illinois, United States
Show AbstractLarge misfit strain with available substrate materials leads to a high dislocation density in GaN epilayers, often on the order of 1010cm-2 for edge dislocations and 108cm-2 for screw dislocations. Edge dislocations are stable with a full-core and act as electron acceptors, becoming negatively charged. Screw dislocations are found in both open-core and full-core configurations. Experimental studies to investigate the effects of these defects in GaN materials show a robust photoluminescence (PL) signal in the presence of dislocations, but a large reduction of bandedge photoluminescence with increasing dislocation density above 107cm-2 suggests that dislocations are acting as non-radiative recombination centers. In the present work, the mechanisms by which edge and screw dislocations affect the optical properties of GaN epilayers are revealed using a novel theoretical approach, based on a 6x6 multiband k●p Hamiltonian calculation in three-dimensions with a real-space finite element method. The method is especially useful for this large, inhomogeneous system where knowledge of the spatial distribution of the wave function is needed to infer the optical response. For both edge and screw dislocations, it is found that moderate increases in dislocation density significantly modify the density of states and reduces the bandedge PL intensity through inhomogeneous broadening; the reduction is especially significant for dislocation densities above 107cm-2. In addition to dislocation density effects, several other observations are made that are in close agreement with available experimental data. For the edge dislocation case, dislocation cores are found to be a possible origin of yellow luminescence (YL); the observed YL intensity relative to the bandedge peak intensity is found to vary with free carrier concentration in agreement with experimental data. For screw dislocations, smaller radius defects have a stronger effect on PL for dislocation densities below 108cm-2 while larger radius dislocations have a stronger effect on PL above 108cm-2; this is due to the different relative effects of elastic strain and core-vacancy effects of screw dislocations. As free carrier concentration decreases, the bandedge peak intensity decreases. Bandedge peak intensity attenuates severely with high edge dislocation density (~1011cm-2) and low carrier concentration (~1016cm-3). That is, while GaN is more robust in the presence of dislocations than other III-V semiconductors such as GaAs, the optical performance of GaN does degrade significantly depending on the relative screening of the high density of dislocations by the low free carrier concentration.
9:00 PM - F3.19
Diffusion, Solubility And Electrical Properties Of Rare Earths Elements In Silicon.
Dilshad Nazyrov 1
1 Physics , National University of Uzbekistan, Tashkent Uzbekistan
Show Abstract9:00 PM - F3.20
Comparative Analysis of Process of Diffusion of Interstitial Oxygen Atoms and Interstitial Hydrogen Molecules in Silicon and Germanium Crystals: Quantumchemical Simulation.
Vasilii Gusakov 1
1 , Joint Institute of Solid State and Semiconductor Physics, Minsk Belarus
Show Abstract9:00 PM - F3.21
Interaction Of Gold With Samarium And Gadolinium In Silicon.
Dilshad Nazyrov 1
1 Physics , National University of Uzbekistan, Tashkent Uzbekistan
Show Abstract9:00 PM - F3.22
Deuterium Out-diffusion Kinetics in Magnesium-doped GaN.
Jacques Chevallier 1 , Francois Jomard 1 , Norbert Nickel 2 , Philippe de Mierry 3
1 Groupe d'Etude de la Matière Condensée, CNRS, Meudon France, 2 , Hahn-Meitner-Institut, Berlin Germany, 3 Centre de Recherche sur l'Hétéroépitaxie et Applications, CNRS, Valbonne France
Show Abstract9:00 PM - F3.24
Influence of Hydrogen Implantation Conditions on the Trapping of Hydrogen by Radiation-induced Damage in InP.
Peng Chen 1 , S. Lau 1 , N. Theodore 2 , Lin Shao 3 , Michael Nastasi 4 , Thomas Kuech 5
1 Department of Electrical and Computer Engineering, University of California, San Diego, La Jolla, California, United States, 2 Wireless and Packaging Systems Laboratory, Freescale Semiconductor Inc., Tempe, Arizona, United States, 3 Department of Nuclear Engineering, Texas A&M University, College Station, Texas, United States, 4 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 5 Department of Chemical and Biological Engineering, University of Wisconsin, Madison, Madison, Wisconsin, United States
Show AbstractThe influence of hydrogen ion implantation temperature, dose rate, and energy on the trapping of hydrogen by radiation-induced damage in InP substrates has been studied. A critical implantation temperature (Tc) was determined above which hydrogen implantation would not induce sufficient lattice damage for H trapping to cause surface blistering upon further annealing. The value of Tc can be estimated by using an empirical formula based on the Morehead-Crowder model. The effects of the implant dose rate and energy were incorporated into this critical temperature formulism. Implantation temperatures below Tc have the advantages of creating sufficient defects required for exfoliation, as well as reducing H out-diffusion during implantation. These results indicate that the control of H implantation conditions is critical for the defects engineering and thus trapping of H in the InP ion-cutting process.
9:00 PM - F3.25
Characterization of a Metastable Defect with the Emission Activation Energy of 0.55 eV in Hydrogen-Implanted n-Type Silicon by Deep-Level Transient Spectroscopy.
Yutaka Tokuda 1 , Takeshi Seo 1
1 Department of Electrical and Electronics Engineering, Aichi Institute of Technology, Toyota Japan
Show AbstractWe have reported the production of hydrogen-related metastable defects labeled EM1 (0.28 eV), EM2 (0.37 eV) and EM3 (0.55 eV) in oxygen-rich n-type silicon implanted with hydrogen ions at 88 K and subsequently heated to room temperature. It has been shown that EM1 and EM2 are different configurations of the same defect and are stable under reverse bias and zero bias, respectively. However, little is known about the properties of EM3. In this work, we characterize EM3 using deep-level transient spectroscopy (DLTS).The silicon samples prepared from phosphorus-doped, n-type (100) Czochralski-grown wafers with a resistivity of 1 – 2 Ωcm were implanted at 88 K with 100 keV hydrogen ions at a dose of 2x1010 cm-2 and subsequently heated to room temperature. Schottky contacts were fabricated by resistive evaporation of gold in vacuum on the implanted sides of samples. DLTS measurements were made in the temperature range of 200-280 K to observe EM3.EM3 is observed at the peak temperature of 241 K in the DLTS spectra with the DLTS time constant of 9.6 ms. EM3 is regenerated around 265 K with the reverse bias annealing for 10 min and is removed around 265 K with the zero bias annealing for 10 min. We have found that DLTS peak heights of EM3 depend on the filling pulse duration time in the range from 1 to 500 ms. The filling time dependence of EM3 peak heights gives the capture cross section of 2.5x10-21 cm2 at 241 K, which is considerably smaller than the value 5.5x10-15 cm2, obtained from the temperature dependence of emission time constants. This suggests the occurrence of the transformation from one state, unknown at present, to EM3 during the application of filling pulses as observed between EM1 and EM2.
9:00 PM - F3.27
TEM Studies of the Role of Bias in Growth of Heteroepitaxial Diamond.
Vidhya Sagar Jayaseelan 1 , Raj Singh 1
1 Chemical and Materials Engineering, University of Cincinnati, Cincinnati, Ohio, United States
Show AbstractOriented diamond films are grown by a bias enhanced nucleation process in a PECVD system. The bias parameters are systematically varied to study their effect by TEM on the orientation morphology. Films nucleated by ultrasonic agitation of the silicon substrate in diamond slurry are also grown for comparison. The films grown are also studied using Raman spectroscopy, XRD and SEM techniques. A simple mechanism involving formation of a defective sp3 carbon layer and its recrystallization to form diamond nuclei is presented to explain the BEN process.
9:00 PM - F3.3
Luminescence Mechanisms in Quaternary AlInGaN Epilayers and Multiple Quantum Wells Grown by a Pulsed Metalorganic Chemical Vapor Deposition.
Mee-Yi Ryu 1 , C. Chen 2 , M. Khan 2
1 Department of Physics, Kangwon National University, Kangwon-do Korea (the Republic of), 2 Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina, United States
Show AbstractHigh power light emitting diodes (LEDs) with emission in the 250-350 nm ultraviolet (UV) region are required for efficient pumping of phosphors for solid-state white-light applications. These UV devices require the use of AlxGa1-xN or quaternary AlxInyGa1-x-yN layers due to their appropriate wide direct band gap. Several groups have demonstrated the advantages of the use of the quaternary AlxInyGa1-x-yN layers for high quality nitride-based UV devices. Although there are many reports on the optical investigation of quaternary AlInGaN systems, the emission mechanisms of this quaternary alloy are still not fully understood. Therefore, the recombination mechanisms and optical properties of quaternary AlInGaN epilayers and AlInGaN/AlInGaN multiple quantum wells (MQWs) grown by a novel pulsed metalorganic chemical vapor deposition (PMOCVD) have been investigated by photoluminescence (PL) and time-resolved PL (TRPL) measurements. The TRPL and PL spectra were obtained using a frequency tripled Ti:sapphire laser (270 nm, 3ps) and a pulsed excimer laser (193 nm), respectively, as an excitation source. Both AlInGaN epilayers and MQWs show a strong blueshift and linewidth broadening of the emission band with increasing excitation power density, and the PL decay time increases with decreasing emission energy. These results are the characteristic of carrier/exciton recombination localized at band-tail states originating from alloy compositional fluctuations and/or interface disorders. The PMOCVD grown AlInGaN samples exhibits a stronger PL intensity, larger blueshift and linewidth broadening with excitation density, and faster decay time with emission energy than those for conventional MOCVD grown AlInGaN samples. These results indicate that the PMOCVD grown AlInGaN samples have more band-tail states than conventional MOCVD grown quaternary samples, which is beneficial to obtain a strong spontaneous emission for UV LEDs. The observed optical properties of PMOCVD grown AlInGaN materials agree well with those in InGaN materials for blue/green LEDs. This indicates that PMOCVD grown quaternary AlInGaN systems are promising materials for the active region of high power deep UV LEDs.
9:00 PM - F3.4
Point Defect Concentration Depending on In Composition and Distribution in InxGa1-xN.
Jihye Shim 1 , Jong Pa Hong 1 , Bum Jun Kim 1 , Chang Sung Kim 1 , Won Shin Lee 1
1 , Samsung Electro-Mechanics, Suwon Korea (the Republic of)
Show AbstractIn order to increase the optical efficiency of the light emitting diode (LED), it is required to understand the characteristics of InxGa1-xN epitaxy which constitutes the active layer of the quantum well (QW) structure. Especially, for the valuable long-wavelength LED which contains higher content of In, more extensive works are necessary, since structural and optical properties of InxGa1-xN crystal can vary considerably as more In atoms are incorporated. The structural variations comprise multifarious range from the formation of dislocation and pit to the phase separation, and they have been investigated in numerous studies theoretically as well as experimentally. In this study, we systematically investigated the physical trend of InxGa1-xN crystals and their native point defects which can be influential sources of mobility reduction and non-radiative transition, as composition x increases. All the calculations were performed by density functional theory (DFT) method within the spin polarized local density approximation (sp-LDA) for the exchange-correlation energy. For a start we investigated the atomic structures and band diagrams of the InxGa1-xN bulk supercells for several x's (x=0.11, 0.22, 0.25, 0.33, 0.44, and 0.50). For any x, several sets of InxGa1-xN structures were considered from highly ordered to random one. Subsequently, for highly probable point defects, the formation energies were calculated and analyzed as a function of chemical potential. The relations between the defect concentration and In concentration as well as its distribution in crystal were studied. The defect concentrations were predicted under the assumption that growth reaction is in quasi-equilibrium due to high enough temperature in MOCVD process. We also suggested the optimal condition to minimize the defect concentration. The calculation results were verified by comparison with experimental values.
9:00 PM - F3.5
Raman and Photoluminescence Characterization of PbI2 Thin Films Grown Using n.n-dimethylformamide as Solvent.
Jose Condeles 1 , Ademar Caldeira Filho 1 , Marcelo Mulato 1
1 Physics and Mathematics, University of São Paulo, Ribeirao Preto-SP, SP, Brazil
Show AbstractDue to its high atomic number and intrinsic band gap (2.3 eV), lead iodide (PbI2) is a very promising semiconductor candidate for room temperature X-ray detector and has attracted special attention in the past decades. In previous papers extensive studies on the purity of starting powder and single crystal growth (bulk) of the PbI2 have been reported by several authors. Therefore, there are few works related to the study of these materials in the polycrystalline thin film form for application in large areas, as desired by medical diagnosis. Spray pyrolysis was used as an alternative method for deposition of polycrystalline PbI2 with potential applications in X-ray direct detector for digital medical imaging. This method presents a reduced deposition time (2-3 hours) and can be easily expanded for large area. Water can be used to dissolve the powder of PbI2, and as long as the substrate deposition temperature is greater than 180°C a thin film can be formed. Nevertheless, one of the drawbacks of this solvent is the small final thickness (3μm). The n.n-dimethylformamide (DMF) organic solvent was used for dissolution of the PbI2 with higher efficiency on the grow material.The XRD experiments show that the relative crystallinity of PbI2(DMF) is 37% greater than PbI2 (H2O) in the same concentration of the PbI2 both deposited on glass substrates at 225°C. The final thickness increased from 3 μm to 60 μm with PbI2 (DMF) deposition measured by SEM. Photoluminescence spectra of the PbI2 polycrystalline were obtained at room temperature using a HeCd laser in 325 nm (3.80 eV) as an excitation source. The 2.50 eV (EB band) line is attributed to bound excitons, while the 2.43 eV (D band) band is related to donor-acceptor pairs. The broad line at 2.12 eV (G band) arises from the recombination of a trapped carrier with a free carrier, probably due to grain boundary or surface roughness defects. The PL spectrum of the PbI2(DMF) shows a decrease of the G and EB bands, thus indicating a better material in relation to PbI2(H2O). We performed Raman scattering experiments at room temperature with illumination at 514.5 nm. The shear deformation mode (75 cm-1) is unresolved at room temperature for both samples and the breathing deformation mode (95 cm-1) remains a Raman singlet. The line at 110 cm-1 consists of two peaks that are better resolved for the sample deposited at 250°C. The fourth line at 215 cm-1 is considered to be the second order band corresponding to 2LO mode. The variation of the dark current was recorded as a function of temperature in the range from 270 to 370 K. For the region above 50°C, the experiment presents an activation energy of 1.13 eV for samples deposited at 250°C. In conclusion, we show that thin films can be deposited by spray pyrolysis using DMF as solvent with high growth efficiency. At high deposition temperatures (250°C) the material presents better optical and electrical response and is more stable.
9:00 PM - F3.7
Defects Created by Plasma Based Ion Implantation of Hydrogen in Germanium.
David Marie-Laure 1 , Pailloux Frederic 1 , Drouet Michel 1 , Beaufort Marie-France 1 , Barbot Jean François 1 , Simoen Eddy 2 , Claeys Cor 2
1 Laboratoire de Métallurgie Physique, Université de Poitiers, Futuroscope-Chasseneuil Cedex France, 2 , IMEC, Leuven Belgium
Show AbstractOne important issue with respect to the deep submicron’s CMOS technology is the control of the low field mobility of carriers. This triggered the use of alternative substrates to Si such as Ge in which the electron and hole mobilities are respectively twice and four times higher than in Si. In semiconductors, defects and impurities are often tracked due to their detrimental impact on device performances. However, nowadays, defects can be also used to improve the material properties or as part of the fabrication process. As an example, H is used in silicon for the passivation of dangling bonds. H implantation is also used in combination with the wafer bonding technology for the well-known smart-cutTM process. Recently GeOI structures have attracted significant interest as well. One way to incorporate H in materials is to use Plasma Based Ion Implantation technique (PBII). The interest of this technique lies in a relatively simple and low cost instrumentation. The main drawback is the lack of selectivity in energy and mass of the implanted species. The aim of the paper is to investigate the mechanisms involved in the H-related extended defect formation created by PBII. For this purpose, (100) n-type Ge samples were implanted at high fluence (5x1016 cm-2) and at different temperatures (150 and 300°C) using a PBII equipment operating at 20 kV. The implantation-induced damage was studied by Transmission Electron Microscopy (TEM). It is shown that PBII of H in Ge at 150°C leads to the formation of a 200 nm thick highly damaged zone starting from the surface of the sample. The diffraction pattern of this region shows the presence of misorientated zones compared to the bulk Ge. A high density of tiny bubbles (1-2 nm in diameter) is distributed all over the damaged band. Microcracks, (100) platelets and rows of bubbles aligned in given directions are also observed. After annealing at 300°C, larger cavities and microcracks are observed whereas platelets and rows of bubbles have disappeared. Some cavity clusters are also found beyond the damaged region. For a 300°C PBII, many dislocation loops are also nucleated beyond the highly damaged region. At the present time, their nature (interstitial or vacancy-related) is under investigation. These results will be compared with the microstructure induced by conventional “in line” implantation.
9:00 PM - F3.8
Simulation Of Intrinsic Point Defect Properties And Clustering In Single Crystal Germanium.
Piotr Spiewak 1 2 , Krzysztof Kurzydlowski 1 , Jan Vanhellemont 3 , Piotr Wabinski 2 , Krzysztof Mlynarczyk 2 , Igor Romandic 4 , Antoon Theuwis 4
1 Faculty of Materials Science and Engineering, Materials Design Division, Warsaw University of Technology, Warsaw Poland, 2 Electro-Optic Materials, Umicore, Warsaw Poland, 3 Department of Solid State Sciences, Ghent University, Ghent Belgium, 4 Electro-Optic Materials, Umicore, Olen Belgium
Show AbstractHigh intrinsic carrier mobility makes germanium-based substrates a possible alternative to conventional silicon substrates for applications in advanced nano-electronic devices. For a successful future application as substrate material, germanium-based substrates will however have to meet the stringent requirements imposed by the International Technology Roadmap for Semiconductors (ITRS). Germanium crystals inherently contain lattice defects that can affect the yield and performance of electronic devices build on Ge substrates. A quantitative understanding of grown-in defect formation in germanium crystals is therefore of crucial importance in order to be able to optimize Ge growth either by crystal pulling or by epitaxy. Formation of void-like defects by clustering of intrinsic point defects is mainly governed by their diffusivity and solubility.In the present paper results are presented on the numerical simulation of the properties and clustering behaviour of intrinsic point defects in single crystal germanium. In contrast to silicon, the properties of intrinsic point defects in germanium are not at all well known, to a large extent due to a lack of reliable experimental data. Properties of intrinsic point defects used in this work come from our previous estimations [1, 2] based on classical molecular dynamics simulations of the formation energy and diffusion of vacancies and self-interstitials, in combination with both experimental and theoretical data available in literature.The results of the clustering simulations will be correlated to experimental observations on epi-ready germanium substrates.[1] P. Spiewak, M. Muzyk, K. J. Kurzydlowski, J. Vanhellemont, P. Wabinski, K. Mlynarczyk and I. Romandic, Proc. 5th Int. Workshop on Modeling in Crystal Growth (IWMCG-5), Bamberg (Germany), September 10-13, 2006, to be published in Journal of Crystal Growth.[2] P. Spiewak, K. J. Kurzydlowski, J. Vanhellemont, P. Claus, P. Wabinski, K. Mlynarczyk, I. Romandic and A. Theuwis, Proc. E-MRS 2006 Spring Meeting, Mat. Sci. Sem. Proc., in press.
9:00 PM - F3.9
Evidence of the De-multiplication Interactions Between Threading Dislocations in GaN Films Grown on (0001) Sapphire Substrates.
Cheng-Liang Wang 1 , Jyh-Rong Gong 1
1 Department of Physics, National Chung Hsing University, Taichung City Taiwan
Show AbstractAbstract It is well known that dislocations serve as non-radiative recombination centers for minority carriers in semiconductors. Despite the influence of threading dislocations (TDs) in GaN-based light emitting diodes (LEDs) is not prominent, the demand of high power LED chips for solid state lightings may need further reduction of TD density in GaN-based structures. Many activities have been conducted to reduce TD density in a GaN film. In wurtzite GaN films, three types of perfect dislocations have been identified as type a, type c and type a+c with the Burgers vectors being 1/3<11-20>,<0001> and 1/3<11-23>, respectively [1]. It has been reported that the reduction of TD density in a GaN film can be achieved by annihilation and de-multiplication processes [2]. Although there are reports revealing the TD reduction processes [3], few efforts have addressed how TDs in GaN films are de-multiplicated. In this paper, we employed transmission electron microscopy (TEM) to unambiguously identify the de-multiplication interactions of TDs in GaN films grown on the (0001) sapphire substrates.The GaN films used in this study were grown on (0001) sapphire substrates with LT-GaN buffer layers by reduced pressure organometallic vapor phase epitaxy. In this case, 4 μm-thick GaN films were directly deposited on the (0001) sapphire substrates. By using g.b = 0 invisibility criterion, it was found that most of the TDs in a GaN film were type a and type a+c TDs. Type a+c TDs were found to nucleate through the interactions between type a and type c TDs in GaN near the GaN/sapphire interface. Furthermore, the density of type a+c TDs was found to be higher than that of type c TDs in the wurtzite GaN films despite the fact that type a+c TDs exhibit the highest dislocation energy among the three types of TDs. Note that the formation of a TD in a GaN film will not only create an increment in dislocation energy but it may also result in a decrement of misfit strain energy in the film. Based on the consideration of misfit strain energy reduction in the GaN film by forming a TD, the nucleation of a type a+c TD is much more energetically favorable than forming a type c TD whose Burgers vector shows no displacement component along the basal plane of thin film. Accordingly, it is believed that the formation of a type a+c TD could be more favorable than the creation of a type c TD by considering both misfit strain energy reduction and dislocation energy decrement in the wurtzite GaN film. This explains why most of the TDs in a GaN film grown on the (0001) sapphire substrate are mainly type a and type a+c TDs.[1] X. H. Wu, L. M. Brown, D. Kapolnek, S. Keller, B. Keller, S. P. DenBaars and J. S. Speck, J. Appl. Phys. 80 (1996) 3228.[2] J. R. Gong, S. F. Tseng, C. W. Huang, Y. L. Tsai, W. T. Liao, C. L. Wang, B. H. Shi and T. Y. Lin, Jpn. J. Appl. Phys. 42 (2003) 6823.[3] M. Hijikuro, N. Kuwano, M. Takeuchi, and Y. Aoyagi, Phys. Stat. Sol. (c) 3 (2006) 1832.
Symposium Organizers
S. Ashok The Pennsylvania State University
Peter Kiesel Palo Alto Research Center
Jacques Chevallier CNRS
Toshio Ogino Yokohama National University
F4: Defects in Nanostructures and Organic Semiconductors
Session Chairs
Wednesday AM, April 11, 2007
Room 3004 (Moscone West)
9:30 AM - **F4.1
Investigation of ZnO Nano-crystals by High-field EPR/ENDOR: Doping and Quantum Confinement.
Sergei Orlinskii 1 2
1 Department of Molecular Physics, Huygens Lab, Leiden University, Leiden Netherlands, 2 Laboratory of Magnetic Radio Spectroscopy, Kazan State University, Kazan Russian Federation
Show Abstract10:00 AM - **F4.2
Low-Energy Irradiation Damage in Single-Walled Carbon Nanotubes
Satoru Suzuki 1 , Yoshihiro Kobayashi 1
1 Low-Dimensional Materials Research Group, NTT Basic Research Laboratories, NTT Corporation, Atsugi, Kanagawa, Japan
Show AbstractSingle-walled carbon nanotubes (SWNTs) are damaged by low-energy (20 eV-20 keV) irradiation of particles, such as electrons and photons, in a vacuum. The damage extinguishes the characteristic optical and chemical properties of SWNTs [1, 2]. The damage also changes the electric properties of SWNTs over a wide range. At a low dose, the damage converts the electric properties of a metallic SWNT to semiconducting, and the nominal semiconducting gap can be controlled by the dose [3]. Intense irradiation finally makes both metallic and semiconducting SWNTs almost insulating [4].The irradiation-induced defects also have some unique physical properties. The formation and healing of the defects are reversible, indicating that the number of carbon atoms is preserved [5]. The defect formation and healing also strongly depend on the SWNT diameter; that is, a thicker nanotube is less damaged and more easily recovers [5]. Moreover, the defects are healed even at room temperature or below, strongly suggesting that the activation energy of the defect healing is considerably small. These results suggest that the curvature-induced strain energy is essential for the damage and that the damage is specific to a rolled up graphene sheet, but not in a planar one. Based on the results, the mechanism of the damage will be discussed. We also would like to discuss present problems in defect research of SWNTs.References[1] S. Suzuki, K. Kanzaki, Y. Homma, and S. Fukuba, Jpn. J. Appl. Phys. 43, L1118 (2004).[2] S. Suzuki, D. Takagi, Y. Homma, and Y. Kobayashi, Jpn. J. Appl. Phys. 44, L133 (2005).[3] A. Vijayaraghavan, K. Kanzaki, S. Suzuki, Y. Kobayashi, H. Inokawa, Y. Ono, S. Kar, and P. M. Ajayan, Nano Lett. 5, 1575 (2005).[4] S. Suzuki, and Y. Kobayahsi, Jpn. J. Appl. Phys. 44, L1498 (2005).[5] S. Suzuki, Y. Kobayashi, Chem. Phys. Lett. 430, 370 (2006).
10:30 AM - F4.3
Photoluminescence Investigations of Nnanoscale Tubes, Rods and Dots Standing on GaN Template.
Shang-Chao Hung 1
1 , KaoMei Institution of technology, Meinong, Kaohsiung Taiwan
Show Abstract10:45 AM - F4:NanoOrganic
BREAK
11:15 AM - F4.4
Defect Contribution to the Photoluminescence from Embedded Germanium Nanocrsytals Prepared by Ion Implantation and Sputter Deposition Methods.
Pravat Giri 1 , Kaustuv Das 2 , Samit Roy 2
1 Department of Physics and Center for Nanotechnology, Indian Institute of Technology Guwahati, Guwahati, Assam, India, 2 Department of Physics and Meteorology, Indian Institute of Technology Kharagpur, Kharagpur, West Bengal, India
Show AbstractGermanium (Ge) nanocrystals (NCs) have light emitting and charge storage characteristics promising for optoelectronic and nanoscale memory devices. However, intriguing role of defects and effect of electron confinement are poorly understood in Ge NCs as compared to their counterparts in Si NCs. In this work, we present a comparative study of luminescent properties of Ge NCs prepared by two different techniques, viz., ion implantation (Process I) and radio frequency (RF) sputter deposition techniques (Process II). Process I yields Ge NCs of sizes 4-13 nm embedded in SiO2, while process II yields Ge NCs of sizes 8-14 nm embedded in SiO2 as measured using transmission electron microscopy and low frequency Raman scattering studies. Polarization dependent Raman scattering studies reveal that process I yields NCs with surface symmetrical Raman modes only, whereas process II yields additional surface quadrupolar Raman modes. Photoluminescence studies using 488 nm excitation show PL emissions peaked at ~2.1 eV and ~2.3 eV for Process I samples, while samples prepared by Process II show a weak PL emission band peaked at ~2.3 eV. To assess the contribution of defects, PL study was also performed on Ar implanted and subsequently annealed SiO2 layer. With the help of steady state and time resolved PL studies, it is found that 2.3 eV peak is originated from defects in the SiO2 matrix, while the ~2.1 eV peak is perhaps related to surface states of Ge NCs. PL studies with 325 nm excitation show additional peaks at higher energies, which are believed to be due to defects at/surrounding the Ge NCs. Our studies demonstrate that room temperature visible light emission from embedded Ge NCs is primarily controlled by the defects at the surface of the Ge NCs and the defects in the surrounding matrix.
F5: Strained Layers and Quantum Wells
Session Chairs
Wednesday PM, April 11, 2007
Room 3004 (Moscone West)
11:45 AM - F5.1
Enhancement of the Relaxation of SiGe Layers by He ion Implantation Using a delta-Si(C) Layer.
Dan Buca 1 , Michael Goryll 1 , Horst Vissel 1 , Bernd Hollaender 1 , Helmut Trinkaus 1 , Siegfried Mantl 1 , Roger Loo 2 , Matty Caymax 2
1 IBN 1-IT and cni-Center for Nanoelectronic Systems for Information Technology, Forschungszentrum Juelich, Juelich Germany, 2 , IMEC, Leuven Belgium
Show AbstractStrained silicon shows significantly enhanced carrier mobilities, particularly when bonded directly on oxide. As a substrate for the growth of strained silicon, high-quality strain relaxed SiGe buffer layers are needed. He+ ion implantation and subsequent high temperature annealing has proven to be an efficient method for relaxation of thin pseudomorphic SiGe layers. The implantation induced defects underneath the SiGe/Si interface promote strain relaxation during annealing via preferred nucleation of dislocation loops which form misfit dislocations at the interface to the substrate. A relaxation degree up to 75% can be obtained using this method for 180 nm Si0.77Ge0.23layers. The amount of strain relaxation achievable is usually limited by the broad depth distribution of He platelets, leading to locally reduced injection of dislocation loops. In this paper we present a method of increasing the efficiency of this relaxation process. A pseudomorphic delta layer modulating the lattice constant in the Si substrate below the SiGe layer can act as a preferential nucleation site for He platelets, leading to a more uniform and in-plane distribution of dislocation loop sources. In our study we employ 2 nm Si(C) with a substitutional carbon content of about 1at% that was deposited using reduced pressure chemical vapour deposition as a preferred nucleation layer. To obtain the most efficient relaxation and layer quality, the position of the Si(C) layer with respect to the SiGe layer is adjusted as well as the parameters for the He+ ion implantation. Raman spectroscopy and Rutherford backscattering spectrometry/ Ion channeling are employed to characterize the strain status of the SiGe layers before and after relaxation. The He+ bubble distribution is analysed by plane view and cross section transmission electron microscopy. Surface morphology is investigated using optical microscopy and atomic force microscopy. Relaxation degrees of the SiGe layers up to 90% are obtained for He+ implantation doses of only 7E15 at/cm2. A rigorous comparison is made between the relaxation process with and without the delta Si(C) layer concerning wafer quality.
12:00 PM - F5.2
Thermally Induced Relaxation in GaInNAs(Sb) Quantum Well Structures
Evan Pickett 1 , Seth Bank 2 , Hopil Bae 1 , Homan Yuen 3 , Tomas Sarmiento 1 , James Harris 1
1 , Stanford University, Stanford, California, United States, 2 Electrical and Computer Engineering, University of California at Santa Barbara, Santa Barbara, California, United States, 3 , Translucent, Inc., Palo Alto, California, United States
Show AbstractThe substantial progress made in the dilute nitrides over the past decade has enabled numerous novel devices, including low-threshold GaAs-based lasers at 1.3 and 1.55 microns. Improving these devices has required extensive effort, as the properties of the device active regions (usually GaInNAs or GaInNAsSb) are extremely sensitive to growth and processing parameters such as temperature, nitrogen content, flux ratios, and annealing temperature. In our work, antimony is used as a surfactant to reduce surface roughness, minimize composition and strain modulation, and increase nitrogen and indium incorporation. In this study, transmission electron microscopy (TEM), energy dispersive spectrometry (EDS), photoluminescence (PL), and x-ray diffraction (XRD) were used to analyze the degradation of GaInNAsSb quantum well structures with varied growth temperatures and varied annealing times and temperatures.This study examined several GaInNAsSb samples, each single quantum wells ~80 Å thick, with ~200 Å GaNAs barriers and GaAs buffer and cap layers. All samples were grown in a Varian Mod Gen II MBE chamber, using standard effusion cells for the Group III elements and thermal crackers for As and Sb. An RF plasma cell from SVT Associates provided reactive nitrogen. Previous studies had shown strain-induced relaxation to be the probable cause of PL degradation when QWs were over-anealed; this study will discuss the specific mechanisms responsible for that degradation, including the formation of dislocations and the nearest-neighbor-scale atomic rearrangement that occurs during annealing. During the MBE growth of GaInNAs(Sb), the local atomic arrangement is driven by local strain minimization, while annealing causes a rearrangement that is driven by reducing bond energy while increasing local strain.Several sample sets were used in this study. The first set was grown at varying temperatures between 420 and 470 C. The optimal growth temperature was found to be 440 C; this sample was then annealed for 1 min. at temperatures between 680 and 820 C. The composition was studied via EDS, and relaxation and strain examined with XRD and darkfield TEM. A second set of samples was grown at 440 C and used for an annealing study to examine the effect of longer, cooler anneals on microstructure. These samples were similarly examined. A third set of thick GaInNAsSb samples, intended for photovoltaic applications, was also examined using the above-mentioned techniques.We will review the results of these studies, and discuss the mechanisms responsible for both the improvement of PL intensity upon initial annealing and that responsible for PL degradation with over-anealing.
12:15 PM - F5.3
Misfit Relaxation and Nucleation Mechanisms of InN Quantum Dots.
Juan Lozano 1 , Ana Sanchez 1 , Rafael Garcia 1 , Miriam Herrera 3 , Sandra Ruffenach 2 , Olivier Briot 2 , David Gonzalez 1
1 Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Universidad de Cádiz, Puerto Real , Cádiz, Spain, 3 Department of Chemical Engineering and materials science , University of California-Davis, Davis, California, United States, 2 Groupe d’Etudes des Semiconducteurs, Universitè Montpellier II, Montpellier France
Show Abstract12:30 PM - F5.4
Comparison of Enhanced Interdiffusion of Ga-In and P-As in GaAs/InGaAsP Quantum Wells.
Oksana Hulko 1 , David Thompson 1 , John Simmons 1
1 CEDT, McMaster university, Hamilton, Ontario, Canada
Show AbstractThe fabrication of optically active electronic devices requires an understanding of material properties during each processing step. The diffusion mechanism of dopants and native point defects in the material affects the properties of the final device. One of the methods for post-growth bandgap modification in quantum well (QW) heterostructures is quantum well intermixing (QWI). This relies on the creation of a non-equilibrium concentration of point defects, which diffuse through the QWs and adjacent barrier layers during an anneal treatment causing changes in the QW shape, dimensions and composition, and therefore in the wavelength of light emission. Most published work on interdiffusion in III-V semiconductor QWs has combined photoluminescence (PL) with QW modeling. Here we use analytical electron microscopy for direct measurement of the width-concentration profiles of the QW annealed over a wide range of temperatures (700-925oC). This allows determination of interdiffusion coefficients and activation energies for both groups III and V at GaAs/InGaAsP interfaces. All layers were grown by molecular beam epitaxy on GaAs substrates with different group III (In/Ga) and group V (As/P) ratios for the QW and adjacent barrier layers. The active region of the structure consists of a 5 nm thick GaAs QW and 75 nm thick In.24Ga.76As.49P51 barriers. The structure is terminated with additional 50 nm In.49Ga.51P and a 100 nm GaAs layer and subsequently capped with a 100 nm thick layer of low temperature grown (at 250oC) InGaP (LT-InGaP) or with CVD grown SiO2. The LT-InGaP layers contain excess phosphorus expected to be present as P-antisite defects, which was used to enhance P/As inter-diffusion during annealing producing a blue-shift in PL response, while SiO2 leads to out-diffusion of Ga and In from GaAs layer producing group III vacancies and a red-shift. The samples were analyzed after rapid thermal annealing for 30s at 700-925oC. Reference samples, without the capping layers, were subjected to the same RTA treatments and similarly analyzed. The optical properties of the resulting QWs were determined by room temperature PL. The cross-sectional samples were examined with JEOL 2010F scanning transmission electron microscope equipped with an EDX Link ISIS system for analytical x-ray spectroscopy. The activation energies together with diffusivity obtained from Arrhenius plots for each group III and V, with and without enhanced inter-diffusion are discussed.
12:45 PM - F5.5
Atomic Scale Analysis of N Distribution in GaNAs Quantum Wells
Miriam Herrera Collado 1 , Quentin Ramasse 2 , Nigel Browning 1 3 , David Gonzalez 4 , Rafael Garcia 4 , Mark Hopkinson 5
1 Chemical Engineering and Material Science, University of California at Davis, Davis, California, United States, 2 Lawrence Berkeley National Laboratory, National Centre for Electron Microscopy, Berkeley, California, United States, 3 Chemistry, Materials and Life Sciences Directorate, Lawrence Livermore National Laboratory, Livermore, California, United States, 4 Departamento de Ciencia de los Materiales e I.M. y Q.I., Universidad de Cádiz, Puerto Real, Cadiz, Spain, 5 Department of Electronic and Electrical Engineering, University of Sheffield, Sheffield United Kingdom
Show AbstractThe quaternary compound GaInNAs has attracted recent interest due to the possibility of obtaining laser diodes in the range 1.3-1.55 µm. The main characteristic of the GaInNAs system is a strong negative bowing parameter that causes a rapid decrease of the bandgap by the addition of relatively small amounts of N (<5%) to GaInAs. Although the solubility of N in Ga(In)As is extremely low, GaInNAs layers with N content as high as 5% have been reported. Because of the small size of N, the incorporation of this element in As-sites produces a strong local strain that could highly destabilize the structure and favour the formation of alternative N-containing complexes, instead of simple substitution on the arsenic site. As such, the optimization of the optoelectronic properties of this alloy is still a challenge, as witnessed by the observation of a strong reduction in the photoluminescence intensity associated with non-radaitive recombination centres and increasing localization effects when the N content is increased above ~1%. In order to overcome these limitations, a full understanding of the incorporation mechanism associated with N alloying and its resulting atomic distribution in the alloy is essential.In this work, the structural quality of GaNAs quantum wells grown by Molecular Beam Epitaxy with different N contents in the range 0.1-2.5% is studied by scanning transmission electron microscopy (STEM). Information on the composition of the quantum wells was obtained primarily by Z-contrast imaging. In this technique, atoms with higher Z number appear brighter in the images and as such, we can obtain an atomic scale distribution of the different constituents of the alloy from the image contrast. However, first analyses at low magnification have shown striking results. Although N has a lower Z number than As, our analyses show that the GaNAs quantum wells appear brighter than the GaAs and that the contrast increases with the N composition. We propose that the origin of this effect is that the incorporation of N into GaAs does not take place on As-sites (substitutional N) but in interstitial positions. In this case, an increase in the absolute mass of the well with respect to the GaAs barrier would produce an increase in the electron scattering coming from this area and consequently a brighter contrast in the image. The results of simulations comparing the contrast observed with the images with various models for N interstitial complexes will be presented and the effect of these defects on the overall properties of the quantum wells will be discussed.
F6: Ion Implantation
Session Chairs
P.K. Giri
Esidor Ntsoenzok
Wednesday PM, April 11, 2007
Room 3004 (Moscone West)
2:30 PM - **F6.1
In-Situ TEM Studies of Ion-Irradiation Induced Defects in Silicon.
Stephen Donnelly 1 , Robert Birtcher 2 , Marie-France Beaufort 3 , Philip Edmondson 1 , Amy Gandy 1 3
1 Institute for Materials Research, University of Salford, Gtr Manchester United Kingdom, 2 Materials Science Division, Argonne National Laboratory, Argonne , Illinois, United States, 3 Laboratoire de Métallurgie Physique, Université de Poitiers, Futuroscope-Chasseneuil France
Show AbstractThe materials scientist who uses the electron microscope as a tool to understand the origins of the radiation damage that results from ion irradiation of materials is in a similar position to a scene-of-crime investigator trying to piece together the events giving rise to the crime. In both cases, evidence is studied some time after the event and investigators must attempt use deductive logic to arrive at hypotheses for the underlying causes of their observations. In-situ techniques in transmission electron microscopy (TEM), however, are almost equivalent to being present when the crime is committed – in that both the formation of defects (for in-situ ion-irradiation) and their thermal development (for in-situ annealing experiments) can be followed as they occur and be video-recorded for subsequent frame-by-frame analysis. Of course, the time-scale of the ballistic phase of damage formation is orders of magnitude shorter than the temporal resolution of the recording system; nonetheless, significant insights into many aspects of damage formation and annealing have been obtained using these techniques. With a particular focus on defect engineering of semiconductors, this paper will present the results of a number of in-situ experiments on ion-irradiated silicon and demonstrate the way in which they have led to advances in the fundamental understanding of defect processes. In particular, results will be presented on the formation and recrystallisation of amorphous zones resulting from individual heavy ion impacts; growth mechanisms of helium-induced cavities; the interaction of moving planar amorphous/crystalline interfaces with cavities and the concomitant formation of microtwin lamellae.
3:00 PM - F6.2
Characterization of Nanocavities in Silicon Using Small Angle X-Ray Scattering
Myriam Dumont 1 , Gabrielle Regula 1 , Marie-Vanessa Coulet 1 , Françoise Bley 2
1 , Paul Cezanne University, Marseille France, 2 , LTPCM, Saint Martin d'Hères France
Show AbstractSince their first use for the well known smart-cut® process by SOITEC company, nanocavities in silicon are involved in many field of applications in the semiconductor industries. Indeed, they can become getter areas for transition metals to locally purify the silicon material, they may ease the relaxation of silicon-based epitaxially grown thin films, they may control minority charge career lifetimes, they can play the role of self-interstitial or vacancy diffusion barrier, they can help in manufacturing organized systems of nanoprecipitates for either optical filters or quantum confinement etc….In order to develop and improve the defect engineering potentialities of such cavities, it is mandatory to predict their behavior in different materials and under various experimental implantation and annealing conditions. Therefore many data like their depth location, their density and size distribution are needed to feed back the simulation. So far, the most adequate technique to get this kind of information was performing transmission electron microscopy (XTEM) on thin cross sections. In this contribution, we demonstrate by comparing small angle X-ray scattering (SAXS) data with XTEM ones, that the SAXS technique is a good alternative to characterize cavities. The advantage of this method is that it is a non destructive bulk method which gives, providing that the cavity shape is known, better statistics on density and size distribution of the cavities than the XTEM technique.The experiments presented here were carried out on Float Zone (FZ) silicon single crystals. They were cascade-implanted with He+ at energies decreasing from 1.9 MeV to 0.8 MeV in steps of 0.1 MeV, with flux maintained between 5e1012 and 1e1013 He cm-2s-1. The dose was 5e1016 He cm-2 for all the energies but 0.8 MeV for which a lower dose of 3e1016 He cm-2 was used. This kind of multi-implantation was chosen to get a homogeneous buried thick (7µm) layer of cavities; necessary condition to have enough scattering particles in the X-ray beam. In order to obtain various density and size distributions of the cavities, the samples were annealed at 550°C, 700°C or 900°C under Ag atmosphere in a conventional furnace. In this work, SAXS experiments performed at the European Synchrotron Radiation Facility (CRG-D2AM ESRF France), and XTEM observations of thin foils prepared by focused ion beam are compared. The results of both techniques are matching well, which gives the implantation community a new way to study cavity growth.
3:15 PM - F6.3
On the Influence of Ions Species on Cavity Formation in Silicon.
Marie-France Beaufort 1 , Amy Gandy 2 1 , Suzana Peripolli 3 1 , Dominique Eyidi 1 , Erwan Oliviero 3 , Marie-Laure David 1 , Wim Arnoldbik 4 , Jean Francois Barbot 1 , Stephen E. Donnelly 2
1 , Laboratoire de Métallurgie Physique - UMR 6630 - Université de Poitiers, Futuroscope - Chasseneuil France, 2 , Institute for Materials Research, University of Salford, Manchester United Kingdom, 3 , Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre Brazil, 4 , Debye Institute, Utrecht University, Utrecht Netherlands
Show AbstractThere has been considerable interest in recent years in the use of nanocavities in semiconductor materials and devices for purposes such as the gettering of metallic impurities and the ion-cut or Smart Cut® process. To underpin these technological developments, there needs to be a significant fundamental understanding of the processes giving rise to nanocavity formation and of the behaviour of other extended defects that may be nucleated concomitantly with the cavities. Numerous studies have been devoted to helium implantation in silicon with a variety of implantation parameters and annealing conditions. Our recent transmission electron microscopy (TEM) investigations have shown that the formation of cavities is strongly influenced by the implantation temperature and may also lead to the formation of extended defects (dislocation loops, {113} defects, interstitial clusters). As He+ is a small light ion, the damage production rate during helium implantation is relatively low. In the present work, we take a detailed look at the cavity and defect morphology resulting from the implantation of heavy ions, namely neon and xenon into single crystalline silicon. In this way we shed light on the importance of damage production rate and inert gas mobility on the defect structures. For this purpose, Ne ions (E=50keV, fluence: 5x1016 Ne.cm-2) and Xe ions (E=250keV, fluence: 1016 Xe.cm-2) were implanted into {001} n-type silicon wafers at 250 and 350°C respectively to avoid amorphisation. TEM was used to characterize the local damage and the morphology of cavities and of associated defects.After Ne implantation an array of tiny bubbles is observed all along the neon concentration profile from the surface up to Rp + 2dRp (~250nm), Rp being the projected range and dRp the range straggling respectively. These bubbles exhibit a spherical shape and their size is depth dependent. After annealing at 800°C, the bubbles grow while the interstitial clusters agglomerate to form {113} extended defects. In addition, energy recoil detection (ERD) measurements show that nearly all the neon remained in the sample. Xe ions implantation induced a damage layer from the surface up to 400nm. Small black spherical features localised close to Rp (~100nm) are observed. No bubbles are seen. Upon annealing at 800°C, small black features grow and give rise to nanocavities filled with condensed xenon atoms. These nanoparticles are partially faceted and show Moiré fringes. These fringes result from the mismatch between lattice parameters of overlapping Si substrate and crystalline xenon particles. Characterization by TEM techniques of the nanoparticles (crystallography, shape, size, composition and orientation relationships to the matrix) are in progress. An explanation of these findings will be given in terms of damage production and gas mobility and will be compared with previous findings for helium implanted silicon.
3:30 PM - F6.4
Anormalous Evolution of Bubbles in Krypton-implanted SiO2.
Hanan Assaf 1 , Esidor Ntsoenzok 1 2 , Marie Odile Ruault 3 , S. Ashok 4
1 CERI, CNRS, Orleans France, 2 LESI, University of Orleans, Chartes France, 3 CSNSM, CNRS, Orsay France, 4 Department of Engineering Science, the Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThermally grown SiO2 was implanted at room temperature with 220 keV Kr in order to create bubbles/cavities in the amorphous oxide. This paper deals with formation and thermal evolution of these bubbles/cavities. Transmission Electron Microscopy (TEM), Rutherford Backscattering Spectrometry (RBS) and Positron Annihilation Spectroscopy (PAS) were used to provide a comprehensive characterization of defects (bubbles, vacancy, Kr and other types of defects) created by Kr implantation in SiO2 layer. Our results suggest that the bubbles observed with TEM were a consequence of the interaction between Kr and vacancies (V), with VnXem complexes created in about the whole implanted zone. In parallel, RBS measurements provide a very surprising profile of Kr: a ‘plateau like profile’. Annealing at 400°C results in an unexpected disappearance of bubbles/cavities from the sample. In the same time a strong desorption of Kr was measured with Kr keeping the ‘plateau like profile’. PAS measurements reported a decrease of vacancy concentration with the thermal annealing. Homogeneously distributed (in the whole SiO2 layer) bubbles as generated by Kr might provide very promising low-k dielectric materials. We perform capacitance measurements which show a strong decrease of the dielectric constant of the implanted SiO2: from 4 to 1.5.
3:45 PM - F6.5
Structural and Electrical Characterization of Fe Implanted GaInP.
Tiziana Cesca 1 , Andrea Gasparotto 1 , Giovanni Mattei 1 , Beatrice Fraboni 2 , Federico Boscherini 2 , Giuliana Impellizzeri 3 , Francesco Priolo 3 , Massimo Longo 4 , Luciano Tarricone 4
1 Physics Department, University of Padova, Padova Italy, 2 Physics Department, University of Bologna, Bologna Italy, 3 INFM CNR-MATIS and Department of Physics and Astronomy, University of Catania, Catania Italy, 4 Physics Department, University of Parma, Parma Italy
Show AbstractFe doping of InP-based materials is a well known and widely used methodology to impart semi-insulating behavior to both bulk substrates and epitaxially grown layers. In the past the authors have extensively studied the properties of Fe impurities introduced in InP by high temperature ion implantation, demonstrating that this method is effective in incorporating very high concentrations of Fe atoms in substitutional sites of the host lattice, with a low crystal damage production. The effect of post-implantation thermal treatments has been also investigated in details, together with the role of the substrate doping density. Nonetheless, in contrast with the InP case, and in spite of the interest for potential applications, the knowledge about the Fe-related properties in ternary InP-related materials is at present very little. Only recently we showed that high temperature implantation can be successfully used to incorporate iron atoms in GaInP layers, and n-to-semi-insulating conversion of highly doped GaInP layers has been obtained. This system has many interesting issues to be addressed from the point of view of defect engineering. In fact implant induced crystal defects, both point and extended, form and interact with each other during the post-implantation annealing treatments; moreover, they interact with the implanted impurities determining their final configuration in the crystal lattice and therefore the global properties (electrical first, but also optical and magnetic) of the samples. A complete characterization of the structural and electrical properties of the Fe implanted GaInP layers is therefore essential in order to fully understand the various processes leading to the activation of the implanted impurities and numerous potential device applications may be envisaged.In this work we present the results of structural characterizations performed by Rutherford Backscattering (RBS) and Proton Induced X-ray Emission (PIXE) in channeling conditions and X-ray Absorption Fine Structure spectroscopy (XAFS) and those of electrical characterizations obtained by Current-Voltage-Temperature measurements (I-V-T) and deep level transient spectroscopy either in the capacitance (DLTS) or in the photo-induced current mode (PICTS). The aim is to correlate the structural behavior of the implanted Fe centers to their electrical activation as compensating deep traps. For this study n-doped GaInP layers have been grown by MOVPE on GaAs substrates with different background doping concentration (2x1016 cm-3 and 5x1018 cm-3), and implanted with Fe atoms up to 300 keV at a temperature above 200°C. The effect of post-implantation annealing and the role of the layer doping will be discussed.
4:00 PM - F6:IonImplant
BREAK
F7: Defect Characterization
Session Chairs
Patrick Lenahan
Bhushan Sopori
Wednesday PM, April 11, 2007
Room 3004 (Moscone West)
4:30 PM - **F7.1
Characterization of the Indium Distribution in InGaN by Electron Microscopy
Christian Kisielowski 1 , Til Bartel 2 , Petra Specht 3
1 Materials Sciences Division / LBNL, National Center for Electron Microscopy, Berkeley, California, United States, 2 MSD/LBNL, NCEM, Berkeley, California, United States, 3 UC Berkeley, NCEM, Berkeley, California, United States
Show AbstractThis talk addresses ongoing investigations of InGaN heterostructures utilizing electron beams. High Resolution Transmission Electron Microscopy (HRTEM), Scanning Transmission Electron Microscopy (STEM) and spectroscopy are employed to quantitatively characterize the indium distribution and the composition dependent band-to-band transitions in InGaN at an atomic scale. In particular, the ability to differentiate a statistical distribution of indium atoms from the formation indium clusters in quantum wells is addressed because it is of importance to the debated exciton localization in such materials. Our investigations provide strong evidence that spinodal decomposition is responsible for the formation of dot-like indium clusters in quantum wells and that the kinetics of the cluster formation determines the final indium distribution. Quantitative procedures are outlined that determine detection limits, which vary from experiment to experiment. If applied they can remove many of the seemingly contradicting results that can be found in literature. However, a full characterization of the indium distribution in GaN will require locating single indium atoms in three dimensions. Already, our ability to detect single atoms greatly benefits from ongoing technological improvements of electron microscopes by correcting lens aberrations (http://www.lbl.gov/LBL-Programs/TEAM/) and it is shown that aiming at single atom analyses in three dimensions is reasonable.
5:00 PM - F7.2
XPS Analysis of Nitrogen Bondings in ZnO:(N,Ga) Thin Films.
Hui Wang 1 , Ho-pui Ho 1
1 Dept. of Electronic Engineering, The Chinese University of Hong Kong, Hong Kong China
Show Abstract5:15 PM - F7.3
Cathodoluminescence Study of V-defects in AlGaAs-based High-power Laser Bars.
Matthieu Pommies 1 , Alonso Martin 1 , Manuel Avella 1 , Juan Jimenez 1 , Myriam Oudart 2 , Julien Nagle 3
1 Fisica Materia Condensada, Universidad de Valladolid, Valladolid Spain, 2 , Alcatel Thales 3-5lab, Palaiseau France, 3 , Thales Research and Technology, Palaiseau France
Show AbstractHigh-power semiconductor lasers are required to be more and more powerful, efficient and reliable for applications such as solid-state lasers pumping, materials processing, and thermal printing among others. The understanding of the degradation mechanisms is essential to improve the high power laser reliability The highest power emission is achieved with multi-emitter laser cm-bars, which present problems related to packaging induced stress. A very harmful defect in this type of devices is the so-called V defect. Typically, the V defects are observed in the mirror facets after laser aging. Normally, these defects cross the full laser structure and have two branches forming an V shape with an angle of 70o. The two branches form an angle of 54o with the (100) epitaxial plane, which means that they emerge in the intersection of (110) and (111) crystal planes. These defects can be observed by optical microscopy in differential interference contrast (DIC) mode, when they are very large; however, luminescence imaging techniques are the best way to study them. In fact, they appear as dark straight lines in luminescence images, showing a high non radiative recombination activity. We present herein a cathodoluminescence study of V-defects in 808 nm AlGaAs based high power laser bars. The degraded regions are spectrally characterized. The possible origin of these defects is discussed.
5:30 PM - F7.4
Comparison of Silicon Photoluminescence and Photoconductive Decay for Material Quality Characterization.
S. Johnston 1 , R. Ahrenkiel 2 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States, 2 Department of Physics and Astronomy, University of Denver, Denver, Colorado, United States
Show AbstractMinority-carrier lifetime in silicon directly relates to defect- and impurity-related recombination, and thus gives a measure of material quality. In the photovoltaics industry, cost reduction imposes the use of lower quality silicon and thinner wafers and ribbons. Lifetime measurements are therefore useful in research laboratories and commercial production environments as an indicator for process development and quality control. The reduced wafer and ribbon thicknesses are also increasing the importance of addressing surface recombination. Photoconductivity techniques for measuring lifetime include microwave reflection, quasi-static photoconductance, and resonant-coupled photoconductive decay. In recent years, photoluminescence has been used to characterize lifetime in silicon, particularly because of the measurement speed of imaging an entire wafer or ribbon to show non-uniformities. The intensity of band-to-band photoluminescence is theoretically proportional to the effective lifetime, which includes bulk and surface components, if carrier diffusion is neglected and silicon properties, such as carrier concentration and the radiative recombination coefficient, are constant. We show data that compare lifetimes from photoconductivity techniques to photoluminescence intensity for varying-resistivity, single-crystal silicon. Effects of surface passivation and excess-carrier injection level are also investigated. This abstract is subject to government rights.
5:45 PM - F7.5
DLTS: A Promising Technique for Understanding the Physics and Engineering of the Point Defects in Optoelectronic Devices.
Aurangzeb Khan 1 , Masafumi Yamaguchi 2 , Tatsuya Takamoto 3
1 Electrical and computer engineering, University Of South Alabama, Mobile, Alabama, United States, 2 Semiconductor Laboratory, Toyota Technological Institute, Nagoya Japan, 3 Sharp Corporation, Sharp Corporation, Nara Japan
Show AbstractDeep level transient spectroscopy (DLTS) is the best technique for monitoring and characterizing deep levels introduced intentionally or occurring naturally in semiconductor materials and complete devices. DLTS has the advantage over all the techniques used to-date in that it fulfils almost all the requirements for a complete characterization of a deep centre and their correlation with the device properties. In particular, the method can determine the activation energy of a deep level, its capture cross-section and concentration and can distinguish between traps and recombination centers. In this presentation we provide an overview of the extensive R & D work that has been carrier out by the authors on the identification of the recombination and compensator centers in Si and III-V compound materials for space solar cells. In addition, we present an overview of key problems that remain in the understanding of the role of the point defects and their correlation with the solar cell parameters. In particular the characterization and understanding the behavior of the defects in the new emerging and complex nanostructured photovoltaic devices will be presented.
Symposium Organizers
S. Ashok The Pennsylvania State University
Peter Kiesel Palo Alto Research Center
Jacques Chevallier CNRS
Toshio Ogino Yokohama National University
F8: Heterojunctions and Interfaces
Session Chairs
Andreas Hangleiter
Michael Seibt
Thursday AM, April 12, 2007
Room 3004 (Moscone West)
9:30 AM - F8.1
Schottky Barrier Height Engineering in NiGe/n-Ge(001) Contacts by Germanidation Induced Dopant Segregation
SiaoLi Liew 1 , Dongzhi Chi 1 , CheeTee Chua 1 , KweeChoo Chua 1
1 , Institute of Materials Research & Engineering, Singapore Singapore
Show AbstractThe potential use of Ge in future metal-oxide semiconductor field-effect transistors (MOSFETs) has triggered the active search for suitable germanides, which can be formed in a self-aligned manner through solid phase reactions of Ge and metals, as contact materials. To date, germanides of metals, such as Ti, Co, and Ni, have been investigated. Of these, NiGe is highly attractive due to its low formation temperature (~250 °C), low electrical resistivity which is comparable to that of NiSi, and low Schottky barrier height to p-Ge(001). While the low Schottky barrier height of NiGe to p-Ge(001) makes it an ideal candidate for the use either as ohmic contact to p+ source and drain (S/D) or directly as Schottky S/D in Ge pMOSFETs, the large barrier height of ~ 0.57 eV to n-Ge(001) means a high contact resistance to p+ S/D as the contact resistance is an exponential function of Schottky barrier height. For NiGe to be used as electrical contact of low resistance in Ge nMOSFETs, it is therefore essential to find an effective method to reduce its barrier height. In this paper, we demonstrate Schottky barrier height engineering of NiGe on n-Ge(001) by germanidation induced dopant segregation. As and BF2 were implanted into n-Ge(001) with varying implant doses (1E13 – 1E15 cm-2) at implant energies of 47 and 40 KeV (respectively for As and BF2), before 60nm Ni deposition and subsequent RTA germanidation. Significant reduction of barrier height was observed for NiGe formed on As-implanted n-Ge(001) substrate as evidenced by the gradual change of diode I-V characteristics from a typical rectifying behavior for control sample to an ohmic contact characteristics in high dose implanted samples. As the implant-induced damaged region is completely consumed after germanidaton, this reduction of barrier height is attributed to As segregation at the NiGe/Ge interface, as revealed by SIMS profiling measurement. Tuning of barrier height by dopant segregation was also observed for Er-germanide formed on BF2 implanted n-Ge(001), where a gradual increase of barrier height with increasing implant dose was observed. Our study thus demonstrates that germanidation induced dopant segregation is a viable method for engineering Schottky barrier heights in Ge-MOSFETs.
9:45 AM - F8.2
Characterization of the Segregation of Arsenic at the Interface SiO2/Si.
Christian Steen 1 , Peter Pichler 2 1 , Heiner Ryssel 1 2 , Lirong Pei 3 , Gerd Duscher 3 4 , Matt Werner 5 , Jaap van den Berg 5 , Naveen Gupta 6 , Wolfgang Windl 6
1 , Chair of Electron Devices, University Erlangen-Nuremberg, Erlangen Germany, 2 , Fraunhofer Institute of Integrated Systems and Device Technology, Erlangen Germany, 3 , Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 4 , Condensed Matter Sciences Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 5 , Joule Physics Laboratory, IMR, University of Salford, Salford United Kingdom, 6 , Department of Materials Science and Engineering, Ohio State University, Columbus, Ohio, United States
Show AbstractDue to the continuous shrinking of advanced semiconductor devices, dopant activation is an ever increasing problem. One of its limiting factors is the segregation of dopants to the interface SiO2/Si. The characterization of this phenomenon is a challenge for the resolution of standard analytical methods because a large fraction of the implanted dose segregates within a narrow layer of about 2 nm or less. Therefore, conventional measurement techniques like Secondary Ion Mass Spectroscopy (SIMS) are not well suited because of matrix effects and the effects of ion beam mixing.In this study we investigated As-doped samples by Grazing Incidence X-Ray Fluorescence Spectroscopy (GI-XRF) combined with successive etching in order to characterize the concentration profile at the interface. Using GI-XRF the integral dose of implanted impurities was determined with high precision because the penetration depth of the X-ray exceeds that of the impurities for sufficiently large incidence angles. Combined with successive etching of the surface of the silicon wafer it is possible to determine the concentration via the resulting loss of dopant atoms. The highest resolution is obtained by the formation of an oxide by immersion in deionized water and subsequent removal by a dip in HF acid. Thicker oxides were realized by anodic oxidation and likewise removed by a dip in HF acid. With this method it is possible to distinguish clearly between the segregated atoms and the atoms in the bulk, and to quantify them over a large range of implantation doses from 3*1013 cm-2 to 1*1016 cm-2. The samples were annealed at 900 °C and 1000 °C, respectively, for times long enough to make sure that the segregation reflects an equilibrium effect. The area concentration of arsenic segregated as a function of the arsenic concentration is nonlinear even for small concentrations. This indicates a formation of complexes at the interface comprising at least two arsenic atoms.The results obtained by GI-XRF combined with successive etching were confirmed by medium energy ion scattering, Z-contrast measurements and electron energy loss spectroscopy. With these methods it was possible to corroborate that the As atoms segregate at the silicon side of the interface within about 2 nm from the interface.To get an insight into the physical nature of this effect, we performed ab initio calculations comparing different configurations of As in silicon. We started from a substitutional arrangement, where active As atoms are surrounded by four Si atoms. We found that for high As concentration the most favorable configurations are SiAs precipitates and threefoldcoordinated As interface layers, in which the As atoms are inactive.
10:00 AM - **F8.3
Recent Progress in Low-Temperature Epitaxy of Silicon Based Heterostructures for Novel Devices.
Masanobu Miyao 1 , Hiroshi Kanno 1 , Koji Ueda 1 , Taizoh Sadoh 1
1 Department of Electronics, Kyushu University, Fukuoka Japan
Show Abstract Research and development for new semiconductor devices which enable ultrahigh speed operation and/or ultra low power dissipation are strongly required, because these high performance devices are essential for highly intelligent information and telecommunication systems. In line with this, Si based heterostructure technologies have been widely developed in a quarter century. Among them, development of the SiGe heteroepitaxy technique on Si and/or Ge substrates enabled formation of modulation doping and quantum well structures, which achieved significant enhancement of carrier mobility and electroluminescence efficiency. And today, strained Si epitaxially grown on relaxed SiGe buffer layers becomes the mainstream of CMOS (complementary metal-oxide-semiconductor) structures. These results demonstrated the important role of the SiGe heteroepitaxy on the 21st century electronics. What is the next trend? To explore the possibility of the future SiGe heterostructure technologies, we have been investigating SiGe crystal growth on insulating films for the purpose of achieving system-in-displays and 3-dimensional devices. In addition, we have started to investigate novel epitaxial growth of ferromagnetic silicide on Si and Ge substrates. This is the key technique to open new era of Si-based spintronics devices. Present paper reviews such a new progress of the author’s group. Main subjects to be discussed in this symposium are as followers: (1) Metal-induced low-temperature crystallization of a-SiGe on glass substrates has been investigated by using catalysis (Ni, Cu, Al et. al). Growth features of a-SiGe strongly depended on the Ge fraction, i.e., plane growth for low Ge fractions and dendrite growth for high Ge fractions. (2) To control MILC phenomena, effects of electric fields during growth were examined. As a result, uniform crystallization became possible even for a-SiGe with high Ge fractions. In addition, directional growth aligned to the electric field was achieved under an extremely high field. (3) New type SiGe-TFTs with Schottky S/D structures were fabricated and showed good ambipolar operation characteristics. The possible application of these TFTs to advanced system-in-displays will be discussed. (4) Novel epitaxial growth of Fe3Si on Si and Ge substrates was examined. Fe3Si (111) layers were successfully epitaxially grown on Si (111) and Ge (111) substrates at 60-300 degree. This is an advantage for realization of Si-based spintronics devices.
10:30 AM - F8.4
Shallow Junction Engineering by Phosphorus and Carbon Co-implantation: Optimization of Carbon Dose and Energy.
Nathalie Cagnat 1 , Cyrille Laviron 4 , Daniel Mathiot 5 , Chris Rando 3 , Marc Juhel 1 , Julien Singer 2 , Frederic Salvetti 2 , Christophe Wyon 4
1 , STMicroelectronics, Crolles France, 4 , CEA-Leti, Grenoble France, 5 , InESS, Strasbourg France, 3 , Freescale, Crolles France, 2 , NXP, Crolles France
Show AbstractThe continual decrease of the transistor size, necessary to improve the performances of integrated circuits, induces the emergence of new secondary effects, which were of minor importance until now. Among them, residual defects in Silicon are a critical issue for present and future CMOS technologies. Indeed, beyond the fact that interstitials and/or vacancies help dopant diffusion, defects remaining after annealing are the root causes of some deleterious effects like hot carriers, salicide encroachment, leakages… In order to minimize these effects, Phosphorus is more and more considered as an alternative to Arsenic for the shallow junctions of NMOS devices. However, Phosphorus diffusion is much less controllable than Arsenic, as it is a light ion which behaves more like Boron (interstitial diffusion mechanism). A possible technological way to meet the junction depth and abruptness requirements is to use co-implantation of non-doping species with classical implantations. Carbon co-implantation after pre-amorphization implantation (PAI) has been studied for Boron shallow implants [1, 2] and can be also used to reduce Phosphorus diffusion [3]. The expected role of carbon is to trap Si interstitials responsible of Phosphorus diffusion [3]. A known drawback of this kind of co-implantation is junction leakage caused carbon deep levels [4]. To find a compromise between diffusion reduction and leakages, it is necessary to optimize the location and the amount of Carbon in comparison with those of Si interstitials.In this work, we present full sheet experiments optimizing Carbon implanted dose and energy in order to minimize Phosphorus diffusion. First, we performed a PAI with Germanium. Then C was implanted at several energies and doses to locate its projected range (Rp) at various locations with respect to the Ph. peak and the amorphous/crystalline interface. Finally the Phosphorus implant is placed totally in the amorphized area. Dopants are activated by a spike anneal at 1055°C. SIMS analysis, Rs measurements and TEM cross sections are used to understand Carbon action on Phosphorus diffusion, activation and remaining defects. Atomistic simulations of interstitials behavior are also correlated to experimental results. We demonstrate that the minimal Phosphorus diffusion is reached with a high carbon dose in the amorphous region.[1] H. Graoui, M. Hilkene, B. McComb, M. Castle, S. Felch, A. Al-Bayati, A. Tjandra, M.A. Foad - Nucl. Instr. And Meth. In Phys. Res B, vol. 237, p. 46-52, 2005[2] B.J. Pawlak, R. Duffy, T. Janssens, B. Brijs, W. Vandervorst, E.J.H. Collart, S.B. Felch & N.E.B. Cowern - Appl. Phys. Lett, vol 89, 062110-1 to 3, 2006[3] B.J. Pawlak, R. Duffy, T. Janssens, W. Vandervorst, S.B. Felch, E.J.H. Collart, N.E.B. Cowern, Applied Physics Letters, vol 89, n° 6, p 62102-1-3, 2006[4] C.F. Tan, E.F. Chor, H. Lee, E. Quek & L. Chan – IEEE Electron Device Letters, 2006 (http://ieeexplore.ieee.org/iel5/55/21998/101109LED2006874127.pdf)
10:45 AM - F8.5
Effect of Strain and Polarization Grading on Hole Transport across Tunneling Barriers between Metals and Wurtzite Indium Gallium Nitride
Choudhury Praharaj 1 2
1 , Intel Corporation, Santa Clara, California, United States, 2 ( formerly with ) Department of Electrical and Computer Engineering, ( formerly with ) Cornell University, Ithaca, New York, United States
Show AbstractWe theoretically model the transport of carriers across graded wurtzite Indium Gallium Nitride layers with large barriers to metals. The effect of continuous strain grading and the resulting piezoelectric grading is explicitly taken into account. As data about critical thicknesses for dislocation creation are scarce for these materials, the grading widths considered for the materials are deliberately kept small to ensure that the layers are below the Matthews-Blakeslee-type critical thickness limits. The spatial variation of spontaneous and piezoelectric polarization creates bulk bound polarization charges that have a strong effect on the electrostatics of the layers, and creates the optimum conditions for efficient tunneling of holes. The graded layers are doped p-type to enhance the electric field variation. Our model includes the effect of the different hole masses for the valence band. Three orders of magnitude increase of tunneling intensity is demonstrated for split-off holes with effective masses of 0.15 for the case of moderate grading from 30 percent Indium to zero percent Indium over 30 angstroms, compared to the case without grading. The case of very aggressive grading of the same change in composition over 10 angstroms leads to a decrease in tunneling intensity. The electric field for more aggressive grading dominates the electric field for the moderate grading both near the top and the bottom of the barrier. However, the effective barrier width at the valence band edge becomes higher for the case of aggressive grading, and most of the carriers see a damped tunneling amplitude. Our results provide new design methodologies for nitride semiconductor nanostructures, and for achieving very high tunneling fluxes at polarization-based nanoscale heterojunctions
11:00 AM - F8:HeteroInterfa
BREAK
F9: Process-Induced Defects
Session Chairs
Tiziana Cesca
Petra Specht
Thursday PM, April 12, 2007
Room 3004 (Moscone West)
11:30 AM - F9.1
Modeling of Cu Surface Precipitation and Out-Diffusion from Silicon Wafers
Hsiu-Wu Guo 1 , Scott Dunham 1
1 Electrical Engineering, University of Washington, Seattle, Washington, United States
Show AbstractCopper can be easily introduced during silicon device fabrication due to its high solubility and diffusivity in silicon, with negative effects on gate oxide integrity and junction leakage. Several Cu gettering techniques are used to reduce impurity concentrations in wafers during cooling after high temperature annealing. It has been reported that out-diffusion of bulk Cu depends on the wafer surface condition, which can be altered by using different wet treatments. Ohkubo et al. [Jpn. J. Appl. Phys. 44 (2005)3793-7] studied the correlation between Cu out-diffusion and absorbed organics on the surface of boron-doped wafers and also reported that Cu precipitates lower the surface potential and enhance Cu out-diffusion to the wafer surface. In this work, we investigate copper precipitation models that provide the foundation for simulating copper out-diffusion and surface precipitation processes. Three major mechanisms were considered for copper redistribution in silicon: pairing, diffusion, and surface precipitation. Positively charged copper (Cu+) can pair with ionized acceptors (e.g., B-). The transport of Cu+ is due to both concentration gradient and electrical field. At the surface, copper forms silicide precipitates, with associated nucleation and growth kinetics.The Fermi level at the surface is often pinned near mid-gap due to surface states, generating an electrical field which can retard Cu+ out-diffusion. However, the presence of surface Cu precipitates lowers the surface potential and enhances the out-diffusion process. A physically based model is desired to describe the Cu evolution at the wafer surface, and predict out-diffusion behavior. Hence, we have adapted our fully kinetic precipitation model to surface precipitation, including the shift of Fermi level due to organic molecules and Cu precipitates. Simulation results well describe the kinetics of Cu out-diffusion process under different surface conditions as observed experimentally, providing a basis for understanding and controlling copper contamination during fabrication processes.
11:45 AM - F9.2
Fluorine Enriched SOI (FSOI): A Novel Solution for Future Ultra Shallow Junction Devices.
Huda El Mubarek 1 , Peter Ashburn 1
1 , University of Southampton, Southampton United Kingdom
Show AbstractThe rapid uptake of SOI substrates by the semiconductor market is creating an urgent demand for solutions to create ultra shallow junctions for the next generation SOI CMOS devices. Vacancy engineering using silicon implantation in SOI layers has been investigated and an increase in boron activation reported [1]. In our previous work we have shown that vacancy-fluorine clusters created by the fluorine implant result in an under saturation of interstitials in the vicinity of the boron, hence, suppressing boron diffusion [2]. However, a fluorine implant also results in a region of dislocation loops around the range of the fluorine implant [2]. The presence of these dislocation loops in the depletion regions of a transistor could result in leakage which is detrimental for the device performance. In this work we study fluorine enriched SOI for shallow junction formation in SOI devices. Vacancy-fluorine clusters are separated from the fluorine induced dislocation loops using the buried oxide layer of the SOI wafers as a barrier between the two regions, hence creating an SOI layer which is rich in vacancy-fluorine clusters (FSOI). Two different fluorine implant energies were studied: a 185keV F+ implant, with a range of 0.4µm, which lies in the centre of the buried oxide layer and a 400keV F+ implant, with a range of 0.8µm, which lies 0.2µm below the buried oxide layer. A 5keV B+ implant at two different boron doses (1×1014cm-2 and 1×1015cm-2) was used to study boron intrinsic and extrinsic diffusion respectively. The samples were then annealed for 10s in nitrogen at 1000°C. Fluorine profiles for both energies show fluorine peaks in the SOI layers, indicating that fluorine enriched SOI (FSOI) layers are created using this process. Interesting fluorine peaks in the buried oxide layers indicate fluorine trapping in the buried oxide and at the oxide/silicon interfaces. Boron profiles for both boron doses of F+ implanted samples show that the fluorine implants have dramatically suppressed both intrinsic and extrinsic boron diffusion in the SOI layers. The reduction in junction depth at 1×1017cm-3 for an implanted boron dose of 1×1014cm-2 is 37nm for the 185keV F+ compared to 25nm for the 400keV F+ implant. The fluorine profiles show more fluorine trapped in the SOI layer for the 185keV F+ implant compared to the 400keV F+ implant. These results indicate that a 185keV F+ implant is more effective in reducing boron diffusion due to a higher concentration of vacancy-fluorine clusters in the SOI layer in comparison with the 400keV F+ implant. This process is easily applicable to SOI processes and promises reduced dopant diffusion for future ultra shallow junction devices. 1.A. J. Smith, B. Colombeau, N. Bennett, R. Gwilliam, N. Cowern and B. Sealy, MRS Symp. Proc., 864, p. E7.1.1 (2005).2.H.A.W.El Mubarek, M.Karunaratne, J.M.Bonar, G.D.Dilliway, Y.Wang R.Price, J.Zhang, P.L.F.Hemment, A.F.Willoughby, P.Ward, P.Ashburn, J. Appl. Phys., 96, p. 4114 (2004)
12:00 PM - F9.3
Fabrication of Strain Relaxed Silicon-Germanium-on-Insulator (Si0.35Ge0.65OI) Wafers Using Cyclical Thermal Oxidation and Annealing.
Huiqi Grace Wang 1 2 , Eng-Huat Toh 1 2 , Yong-Lim Foo 3 , Chih-Hang Tung 2 , Ganesh Samudra 1 , Yee-Chia Yeo 1
1 , National University of Singapore, Singapore Singapore, 2 , Institute of Microelectronics, Singapore Singapore, 3 , Institute of Materials Research and Engineering, Singapore Singapore
Show Abstract Complementary Metal-Oxide-Semiconductor (CMOS) transistors fabricated on high mobility channel materials enable the realization of integrated circuits with higher speed performance for a given supply voltage VDD or lower power consumption for a given speed performance compared to conventional CMOS transistors. High quality stress relaxed SGOI substrates are required for the integration of SiGe-channel transistors. Defects and rough SGOI substrate surface degrade transistor drive current and gate oxide quality. Ideally, the SGOI layer has to be dislocation free and atomically flat with uniform Ge concentration. However, the fabrication of such SGOI substrates is challenging using existing processing techniques such as Ge condensation using a single oxidation step, as it leads to cross-hatch patterns in the SGOI layer. A novel fabrication scheme for strained Si0.35Ge0.65-on-insulator (SGOI) is proposed. In this work, the strain evolution of the SiGe film with cyclical thermal oxidation and annealing (CTOA) process is explored for the first time. CTOA is introduced to mitigate issues associated with surface roughening and non-uniformity due to enhanced germanium (Ge) content during SiGe epitaxial growth and oxidation. SiGe prebake temperature can be reduced from 8000C to 6400C during the epitaxial growth to improve Ge compositional uniformity. Annealing in an inert ambient can be introduced between each oxidation phase to homogenize the Ge content. The conservation of the total amount of Ge atoms in the SGOI layer is confirmed by structural and compositional analysis. The strain evolution of the SiGe layer with each oxidation-anneal phase is studied in depth. High resolution X-Ray-Diffraction spectrum reveals that, the strained Si0.35Ge0.65 layer is partially relaxed, with the introduction of annealing. Cycles of oxidation and annealing prevents compressive strain build-up, thus relaxation is achieved without the need for release of stress via dislocation formation. Oxide reflow at 1050 0C may also aid in avoiding compressive strain build-up. During oxidation at 10500C, the strain level of the SiGe film is -0.33% when CTOA is employed, as compared to a similar film which bears a stress level of -0.62% when only oxidation is used to condense the SiGe film. The final Si0.35Ge0.65 layer retains a compressive strain of -1.05% after the CTOA process, as compared to a similar Si0.35Ge0.65 that has been formed by conventional Ge condensation, where it retains a strain of -1.80%. This result is confirmed by Raman Spectroscopy scan. No visible cross hatches were seen. With the introduction of CTOA, a high quality SGOI substrate of excellent Ge composition uniformity is achieved. In conclusion, this technique is promising for the fabrication of strain relaxed dislocation-free SGOI and GOI layers for scaled applications in high mobility metal-oxide-semiconductor field-effect transistors.
12:15 PM - F9.4
DLTS Study of Dislocations in SiGe/Si heterostructures: Effect of Iron Contamination and Phosphorus Gettering.
Jinggang Lu 1 , Yongkook Park 1 , George Rozgonyi 1
1 Dept of Materials and Engineering, NC State University, Raleigh, North Carolina, United States
Show AbstractKnowledge on dislocation-impurity interactions is important for silicon solar cell and IC device application. In this paper, we examined dislocation-iron interactions by DLTS using a SiGe/Si sample, which has a 1um, 25% Ge constant layer and a 2.5um compositional graded layer on Si substrate. SIMS depth profiles of Si and Ge, and the apparent doping profile from C-V measurements show that the substrate doping is ~7E14 cm-3 and the SiGe/Si substrate interface is at 3.2um depth. Iron contamination was realized by dipping the sample in Fe spiked, modified RCA-1 solution for 5 min, rinsing in DI water for 2 min, annealing at 900oC for 1h in Ar in a vertical furnace, and quenching in ethylene glycol. P-diffusion gettering was performed by dipping samples in 10% wt phosphorous acid for 1 min and drying with compressed N2, followed by 900oC 40min and 800oC 2h annealing in Ar ambient. Al Schottky diodes were used for DLTS study of the as-received and Fe-contaminated samples, while mesa N+P diodes prepared by wax covering and chemical etching were used for the p-diffused sample. Since high density of misfit dislocations in the graded layer(4E9 cm-2 for 10%Ge/um grading rate) prevent reliable DLTS characterization and interpretation, this study focus on dislocations penetrating into the Si substrate. Cross-sectional TEM shows that many dislocation loops propagate 0.5um beyond the SiGe/substrate interface, and some can penetrate even deeper. The reverse bias (Ur) and pulse voltage (Up)of DLTS measurements were carefully selected to probe different depth below the SiGe/Si interface. Fours samples: as-received, Fe diffused-4h after quenching, Fe diffused-4days after quenching, and Fe contaminated sample after p-gettering, were examined by DLTS, and results are summarized in the table and outlined below: 1) DLTS signal from as-received sample is small (<2fF), suggesting clean dislocations are not associated with deep levels. 2)More than 70% of Fei has transformed into Fe-B during 4h storage at RT after quenching. All Fei disappeared, and Fe-B correspondingly increased after 4 days RT storage. 3)Dislocation activity dramaticlly increased during RT storage, suggesting Fe decoration of dislocations at RT. 4)Fei or Fe-B concentration was below detection limit in the p-gettered sample, and the dislocation band intensity was also greatly reduced compared with the contaminated sample.
12:30 PM - F9.5
Germanium Layer Exfoliation by Ion-Cut Processes
Reinhart Job 1 , Wolfgang Duengen 1
1 Mathematics and Computer Science, University of Hagen, Hagen Germany
Show Abstract12:45 PM - F9.6
On the Impact of Metal Impurities on the Carrier Lifetime in N-type Germanium.
Eugenijus Gaubas 1 , Jan Vanhellemont 2 , Eddy Simoen 3 , Antoon Theuwis 4 , Paul Clauws 2
1 Institute of Materials Science and Applied Research, Vilnius University, Vilnius Lithuania, 2 Department of Solid State Sciences, Ghent University, Ghent Belgium, 3 , IMEC, Leuven Belgium, 4 , Umicore Electro-Optic Materials, Olen Belgium
Show AbstractThe impact of metallic impurities on the carrier lifetime in n-type germanium is studied using microwave absorption and reflection techniques. Co, Fe, Ti and Cr are introduced by ion implantation followed by an annealing at 500°C for 5 min in order to remove the implantation damage and to diffuse in the metal. After the heat treatment the samples are quenched to room temperature. Excess carrier decay transients are examined by combining microwave (MW) reflection (R) and absorption (A) probing of the pulsed excited area of the sample. The MW probing is carried out by using a slit of 120 μm for MWR (at 21 GHz) and 500 μm for MWA (at 10 GHz) and a coaxial needle-tip antenna for MWR in order to obtain a high spatial resolution. Excess carriers are generated by lasers with 1062 and 1064 nm wavelengths and by using pulses of 700 ps and 10 ns. The excitation wavelength is varied by using harmonics generation, i.e. 1064 and 532 nm wavelengths are used. The variation of the excess carrier decay is examined by MWR and MWA as a function of the excitation level and wavelength [1]. The carrier lifetime is studied for the different metals as a function of carrier injection level and the results are compared with those obtained on as-grown germanium [2]. The measured carrier lifetime decreases after the metal implantation and the subsequent anneal. Furthermore, the carrier lifetime increases with excitation level for most metals studied. A detailed analysis allows evaluating the ratio of the capture cross-sections for minority and majority carriers revealing an acceptor-like character of the metal induced traps in n-Ge. Cross-sectional lifetime measurements show an inhomogeneous U-shaped depth distribution with the lowest lifetimes in the bulk of the wafer.The lifetime results are also correlated with those of deep level transient spectroscopy results on the same samples (Table I) in order to clarify the properties of the dominant metal related recombination centres. Fe and Co are shown to be the most effective lifetime killers in germanium while Cr has the least influence.[1] E. Gaubas and J. Vanhellemont, J. Appl. Phys. 80, 6293 (1996).[2] E. Gaubas and J. Vanhellemont, Appl. Phys. Lett. 89, 142106 (2006).[3] S. Forment, J. Vanhellemont, P. Clauws, J. Van Steenbergen, S. Sioncke, M. Meuris, E. Simoen and A. Theuwis, proceedings symposium T of E-MRS Spring Meeting 2006, Materials Science in Semiconductor Processing, in press (2006).
F10: Dopants and Defects in Group IV Semiconductors
Session Chairs
Steve Donnelly
Masanobu Miyao
Thursday PM, April 12, 2007
Room 3004 (Moscone West)
2:30 PM - F10.1
Efficient TCAD Model for the Evolution of Interstitial Clusters, {311} Defects, and Dislocation Loops in Silicon.
Nikolas Zographos 1 , Christoph Zechner 1 , Ibrahim Avci 2
1 , Synopsys Switzerland LLC, Zurich Switzerland, 2 , Synopsys, Inc, Mountain View, California, United States
Show AbstractIon implantation in silicon creates silicon self-interstitials, which enhance the point defect-mediated diffusion of dopants during successive thermal annealings. These interstitials also form immobile agglomerates that undergo an Ostwald ripening process and act as a temporary storage of interstitials. The kinetics of the point-defect cluster formation and dissolution governs the time evolution of the interstitial supersaturation and, thereby, the transient-enhanced diffusion of dopants. Three different types of interstitial cluster have been reported: small irregular interstitial clusters, rod-like {311} defects, and disk-like perfect or faulted dislocation loops. Recently, one more defect type has been observed, rod-like {111} defects, which exist as an intermediate state between {311} defects and dislocation loops [S.Boninelli et al., Proceedings of EMRS, 2006].The simulation of deep-submicron silicon-device manufacturing processes relies on predictive models for extended defect clusters. For small interstitial clusters and {311} defects, an efficient and highly accurate model for process simulation has been developed and calibrated recently [C.Zechner et al., Mat. Sci. Eng. B 124-125, 2005]. This model combines equations for three small interstitial clusters and two moments for {311} defects. In this work, we extend this model to include dislocation loops and to reproduce a greatly increased range of experimental data, including thermal annealing after amorphizing implants.The dislocation loops are modeled by two fields: one for loop density and one for the concentration of interstitials trapped in the loops. While the growth of loops depends on the free interstitial concentration, the dissolution is governed by the interstitial equilibrium concentration in the vicinity of the loops, which is higher than the usual concentration due to the strain and internal energy of the loops [R.Huang and R.Dutton, JAP 74, 5821-5827, 1993]. The nucleation of dislocations is described by a transformation of {311} defects into dislocation loops, for which the rate depends on the size of the {311} defects.The calibration of the transient-enhanced diffusion model is based on interstitial supersaturation evolution data extracted from boron marker layer experiments and on extended defect data extracted from TEM measurements. We present a good and consistent agreement between simulation results and experimental data from various sources and for a large range of conditions, including variations in implant species, energy, and dose, and in annealing temperature and time.
2:45 PM - F10.2
An Experimental and Simulation Study of Arsenic Diffusion Behavior in Point Defect Engineered Silicon.
Ning Kong 1 , Taras Kirichenko 2 , Mark Foisy 2 , Sanjay Banerjee 1
1 Microelectronics Research Center, University of Texas at Austin, Austin, Texas, United States, 2 , Freescale Semiconductor Inc., Austin, Texas, United States
Show AbstractAs the size of Si transistors is continuously scaled down, low energy dopant implant and high temperature annealing are commonly used in semiconductor fabrication to achieve ultra shallow junction (USJ) and high dopant activation level. However, this process is always made difficult by dopant transient enhanced diffusion (TED) and clustering. In recent years, the Vacancy Engineering Implant (VEI) has emerged as an effective solution for boron TED control. The vacancy rich region can be made to overlap with the boron region and remove local interstitials by IV recombination, thus suppressing boron interstitial enhanced diffusion. However, the application of VEI to control arsenic(As) TED is not as straightforward. Unlike boron TED which is solely assisted by interstitials and suppressed by vacancies, As diffusion may be affected by both interstitial and vacancy mechanisms. Therefore, the VEI introduction of either interstitials or vacancies may even enhance the As diffusion. However, during post implant As diffusion, one of the two point defects, interstitial or vacancy, may play a dominant role. In this work, we seek to identify and suppress the mechanism of As TED and activation using VEI. The As TED can be controlled and solutions for USJ formation can be developed based on this approach. In this talk, we will present our experimental and simulation study of As diffusion in interstitial or vacancy rich regions. Arsenic is implanted at 6e13cm-2 and 1e15cm-2 doses, followed by a Si implant with energy 15keV and 160keV to create interstitial and vacancy rich regions, respectively. A range of post anneals are performed and Secondary Ion Mass Spectrometry (SIMS) is used to analyze the diffusion profiles. We observed that As diffusion is enhanced in interstitial rich region and retarded in vacancy rich region. From additional experiments, we suggest that: i) the diffusion retardation is attributed to the IV recombination between interstitials introduced by As implant and vacancies introduced by VEI, ii) the clustering effects may also contribute to the retardation when As doses are high and iii) interface effects play an important role during USJ formation.Based on first-principle calculation results we implemented new kinetic Monte Carlo models for As-interstitial pairs(Asi) and As-interstitial clusters(AsnIm). A novel interface-trap model is implemented to simulate As uphill diffusion in Si/SiO2 interface region. For model calibration we used vacancy-rich and interstitial-rich conditions to highlight point defect contributions to As diffusion and clustering. The mechanisms of retarded and enhanced diffusion are examined. The intermediate role of AsnIm during clustering process is also recognized. The simulation shows a good match with the experimental results. This work was supported in part by SRC.
3:00 PM - **F10.3
Strain and Dislocations in Group IV Semiconductor Heterostructures.
Akira Sakai 1 , Osamu Nakatsuka 2 , Masaki Ogawa 3 , Shigeaki Zaima 1
1 Graduate School of Engineering, Nagoya University, Nagoya Japan, 2 ESI, Nagoya University, Nagoya Japan, 3 CCRAST, Nagoya University, Nagoya Japan
Show AbstractA limitation of the scaling of Si-based metal-oxide-semiconductor field effect transistors (MOSFETs) gives rise to increasing demands for novel channel materials in devices. Strained Si and Ge channels are now attracting much attention because of their promising properties showing higher carrier mobility than that of conventional Si. Thus they have a potential of realizing both high drive current and low power consumption simultaneously in future MOSFETs. A buffer layer is indispensable when forming a channel with materials different from Si, which often acts as a stressor for the channel and/or accommodates strain caused by the channel-substrate lattice mismatch. Misfit dislocations at the heterointerface play an essential role in altering lattice parameter of the buffer layer. Since dislocations in the vicinity of the channel often deteriorate carrier mobility, dislocation engineering is crucial to exploit the attractive properties of the strained channel. Particularly in the MOSFET gate region down-sized to a sub-100 nm scale, dislocations and inhomogeneous strain relevant to the dislocation severely induce the fluctuation of electrical property transistor-by-transistor. Therefore, a strict control of strain and a precise characterization for both strain and dislocations are thoroughly needed. In this work, we performed growth and characterization of group IV semiconductor heterostructures which we intend to apply to advanced MOSFET devices. Strain-relaxed SiGe buffer layers on Si(001) and Ge virtual substrates (fully-strain-relaxed Ge on Si(001)) have been formed with engineering misfit dislocations at the heterointerface. Combination of transmission electron microscopy with X-ray diffraction characterization clarifies the relationship between the dislocation behavior and strain relaxation mechanism: fine textures of the grown films critically depend on the character and distribution of misfit dislocations and the size of films patterned into sub-micron scales. Uniformity of strain distribution induced by the pure-edge dislocation network is drastically improved compared with that by conventional 60 degree dislocations. Furthermore, we will present our recent results on the growth of GeSn buffer layers, which have larger lattice parameters than that of Ge, and the dislocation behavior for strain relaxation on Ge virtual substrates. This material has a potential of forming a biaxial tensile Ge channel which might have much higher carrier mobility compared with Ge.
4:30 PM - F10.5
Defect Reduction of Selective Ge Epitaxy in Trenches on Si(001) Substrates Using Aspect Ratio Trapping
Ji-Soo Park 1 , Jie Bai 1 , Mike Curtin 1 , Bunmi Adekore 1 , Mark Carroll 1 , Anthony Lochtefeld 1
1 , AmberWave Systems Corp., Salem, New Hampshire, United States
Show AbstractDefect-free germanium has been demonstrated in SiO2 trenches on silicon via aspect ratio trapping (ART), whereby defects arising from lattice mismatch are trapped by laterally confining sidewalls. Results were achieved through a combination of conventional photolithography, reactive ion etching of SiO2, and selective growth of Ge using rapid-thermal chemical vapor deposition as thin as 450 nm. All the dislocations originating at the Ge/Si interface were trapped at the oxide sidewall without the additional formation of defects at the sidewall for trenches with 400 nm width having aspect ratio > 1 and arbitrary length. The feature size achieved is large enough to serve as the active area for leading-edge CMOS logic transistors. By removing the dislocation-trapping region in sample preparation for plan-view transmission electron microscopy, defect-free Ge has been demonstrated. In addition, propagation of threading dislocations and their trapping behavior were investigated in oxide trenches along <110> and <100> and their mechanisms will also be discussed. Finally, post-epi planarization of ART regions as well as the potential applicability of ART to III-V materials will be demonstrated. These results offer a great promise for adding new semiconducting materials to the Si CMOS technology platform, for adding functionality unavailable in Si, and ultimately replacing Si as the CMOS channel with Ge and with III-V materials.
4:45 PM - F10.6
Dislocation Density Reduction in Ge Epilayers on Si by Low-Temperature Deposition and Insulator-Capped Post-Growth Annealing and Subsequent Integration of High-Quality GaAs on Ge/Si
Qiming Li 1 , Keith Gallow 1 , Ganesh Balakrishnan 2 , Noppadon Nuntawong 2 , Diana Huffaker 2 , Sang Han 1 2
1 Chemical & Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico, United States, 2 Center for High Technology Materials, University of New Mexico, Albuquerque, New Mexico, United States
Show AbstractFollowing the work of Sakai et al. [APL, 86, 221916 (2005)], we demonstrate that the quality of pure Ge epilayers on Si(100) can be significantly improved by a simple two-step process. The first step is to deposit an ultra-thin (30-100 nm) layer of Ge on Si at 200 °C, using molecular beam epitaxy (MBE). The low-temperature deposition leads to a smooth film surface, eliminating the need for chemical mechanical planarization for subsequent film growth steps. The second step is to anneal the Ge layer at ~600 °C for 10 min after capping the Ge epilayer with Si3N4, using plasma enhanced chemical vapor deposition. The resulting samples are characterized by x-ray diffraction, transmission electron microscopy, atomic force microscopy, and etch pit density measurement. The Ge epilayer deposited at 200 °C has a single crystalline frame with regions of amorphous phase. After the annealing, the amorphous phase transforms into single crystalline, and an in-plane network of pure edge dislocations forms at the Ge/Si interface. The spacing between the pure edge dislocations is 10 nm, such that the dislocation network relaxes the 4.2% lattice mismatch strain between Ge and Si. The etch pit density is measured to be below 2×104 cm-2. The formation of the pure edge dislocation network and the low defect density are attributed to the threading dislocations gliding along <110> directions during the annealing step. The Si3N4 capping layer prevents the formation of hillocks, which are known to hinder the threading dislocation motion and lead to dislocation pileups. GaAs epilayers with low dislocation density are further grown on the Ge epilayers using MBE and metalorganic chemical vapor deposition to demonstrate the high-quality of the Ge epilayer on Si.
5:00 PM - F10.7
Effect of Dopants in Silicon Carbide for Solid-State Lighting.
Sachin Bet 1 , Nathaniel Quick 3 , Aravinda Kar 2 1
1 MMAE/CREOL, University of Central Florida, Orlando, Florida, United States, 3 , Applicote Associates, LLC, Sanford, Florida, United States, 2 College of Optics and Photonics, University of Central Florida, Orlando, Florida, United States
Show AbstractWhite light emitting diodes (LEDs) have been successfully fabricated for the first time in silicon carbide substrates (4H-SiC and 6H-SiC) using a novel laser doping technique. Laser doping allows incorporation of both conventional and unconventional dopants. Chromium (Cr), which is an unconventional dopant that produces multiple acceptor sites per atom, was successfully incorporated into SiC for the first time using laser doping. Aluminum (Al) and nitrogen (N), which are considered conventional dopants since each produces single acceptor and donor sites per atom respectively, were also laser-doped into SiC. The dopant profiles have been characterized using secondary ion mass spectroscopy. Cr and Al behave as acceptors while N behaves as a donor. A broad spectrum extending from 380 to 900 nm was observed. Green (521-575 nm) and blue (460-498 nm) wavelengths are observed due to radiative recombination transitions between donor-acceptors pairs of N-Cr and N-Al respectively, while a prominent violet (408 nm) wavelength was observed due to transitions from the nitrogen level to the valence band level. The red (698-738 nm) luminescence was mainly due to nitrogen excitons and other defect levels. This RGB combination produces the observed broadband white light. The color space tri-stimulus values are X = 0.3322, Y = 0.3320 and Z = 0.3358 as per 1931 CIE (International Commission on Illumination) for 4H-SiC, rendering the color temperature of 5510 K, which is very close to average daylight (5500 K). The quantum output of these LEDs is low. Optimization of laser doping to achieve higher dopant concentration levels is anticipated to increase quantum output by increasing the radiative recombination rate and creating direct bandgap semiconductor band structure in doped SiC.
F11: Poster Session II
Session Chairs
Jacques Chevallier
Peter Kiesel
Friday AM, April 13, 2007
Salon Level (Marriott)
9:00 PM - F11.1
Characteristics of Strained GaAsSb(N)/InP Quantum Wells Grown by Metalorganic Chemical Vapor Deposition on InP Substrates.
Dapeng Xu 1 , Juno Yu-Ting Huang 1 , Joo Hyung Park 1 , Luke Mawst 1 , Thomas Kuech 2 , Xueyan Song 3 , Susan Babcock 3
1 Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractThe novel III-V GaAsSb(N) alloy is a potentially important material for InP-based microelectronics and optoelectronic devices. InGaAs/GaAsSb type-II "W” QW structures on InP substrate have been realized by Peter et al. employing a superlattice active region consisting of compressively-strained InGaAs and tensile-strained GaAsSb. Here we show how InP-based GaAsSbN/GaAsSb type-II QW structure can be used for achieving emission in the 2-3 um wavelength region.Due to the difficulties of growing high-quality GaAsSb/InP QWs, there are few studies existing on the optical properties of highly strained GaAsSb QWs on InP substrates, as most previous work has involved bulk material. Band offsets, the Sb content resulting in a transition from a type-I to a type-II QW, and luminescent properties have not been established for strained QWs on InP. We have undertaken a comprehensive study of the characteristics of strained GaAsSb QWs on InP substrates. The addition of nitrogen into GaAsSb further reduces the bandgap energy to access longer emission wavelength. To our knowledge, there are no previous reports of the growth and properties of strained GaAsSbN QWs on InP substrates. Five-period GaAsSb (N) /InP quantum well (QW) structures were grown on InP substrates by metalorganic vapor phase epitaxy (MOCVD) at a growth temperature of 550 °C. The layer thicknesses and Sb concentrations, ranging from 0.2 to 0.7, were determined by high-resolution x-ray diffraction (HRXRD) and transmission electron microscopy (TEM). For thick InP (~25nm) layers, low-temperature photoluminescence (PL) revealed different features for compressive and tensile strained QW structures. Due to weak electron confinement in tensile structures, electrons from both InP and GaAsSb layer can contribute to emission. The compressively strained structures exhibit one PL peak, which falls between the expected type-I and type-II emission wavelengths as simulated by a 10-band k*p model. Considering the very small overlap between electron wavefunctions in the InP layers and hole wavefunctions in the GaAsSb layers, a significant amount of type-II transition was not expected and we attribute the emission from interfacial layer recombination. By optimizing the compressive structures (thinning the InP layers in QWs by a factor of 4), type-II emission is observed. Experimental data establishes that the transition from a type-I to a type-II heterostructure occurs for an Sb-content of approximately 40%, which agrees well with the prediction by Model Solid Theory. Preliminary experiments on N incorporation into strained GaAsSb QWs indicate that, N incorporation into GaAsSb layer dramatically changes the As/Sb compositions as confirmed by HRXRD, SIMS, and low-temperature PL. The authors gratefully acknowledges support from the ARO MURI W911NF-05-1-0262 (Dr. John Prater) and NSF Grant no. ECS-0355442.
9:00 PM - F11.12
Defect Engineering of Electric Properties in In/As2S3 Metal-semiconductor Structure by Means of Gamma-irradiation.
Halyna Khlyap 1 2
1 , University of Technology, Kaiserslautern Germany, 2 , State Pedagogical University, Drohobych Ukraine
Show Abstract9:00 PM - F11.13
An Evaluation of an Automated Ddetection Algorithm to Count Defects Present in X-ray Topographical Images of SiC wafers.
Ian Brazil 1 , Patrick McNally 1 , Lisa O'Reilly 1 , Stanislav Soloviev 2 , Larry Rowland 2 , Peter Sandvik 2 , Turkka Tuomi 3 , Aapo Lankinen 3 , Antti Saynatjaki 3 , Andreas Danilewsky 4 , Rolf Simon 5
1 RINCE, Dublin City University, Dublin Ireland, 2 , GE Global Research, Niskayuna, New York, United States, 3 , Helsinki University of Technology, Helsinki Finland, 4 Kristallographisches Institute, Universität Freiburg, Freiburg Germany, 5 , Institut für Synchrotronstrahlung, Karlsruhe Germany
Show Abstract9:00 PM - F11.15
Au/n-ZnO Rectifying Contacts Fabricated with Hydrogen Peroxide and Ozone Pre-treatment.
Qilin Gu 1 , Chi-Chung Ling 1 , Jiaming Luo 1 , Xudong Chen 1 , Aleksandra Djurisic 1 , Gerhard Brauer 2 , Wolfgang Anwand 2 , Wolfgang Skorupa 2 , Helfried Reuther 2 , Hock-Chun Ong 3
1 Department of Physics, The University of Hong Kong, Hong Kong China, 2 Institut für Ionenstrahlphysik und Materialforschung, Forschungszentrum Rossendorf, Dresden Germany, 3 Department of Physics, Chinese University of Hong Kong, Hong Kong China
Show Abstract9:00 PM - F11.16
The Deformation Interaction between the Layered Bismuth Selenide Single Crystals and Propolis Films
S. Drapak 1 , S. Gavrylyuk 1 , V. Kaminskii 1 , Z. Kovalyuk 1
1 Chernivtsi Department, I.M. Frantsevich Institute of Materials Science Problems, National Academy of Sciences of Ukraine, Chernivtsi Ukraine
Show Abstract9:00 PM - F11.17
Modeling Ultra Shallow Junctions Formed by Phosphorus-Carbon and Boron-Carbon Co-implantation
Christoph Zechner 1 , Dmitri Matveev 1 , Nikolas Zographos 1 , Victor Moroz 2 , Bartek Pawlak 3
1 , Synopsys Switzerland LLC, Zurich Switzerland, 2 , Synopsys, Incorporated, Mountain View, California, United States, 3 , NXP Semiconductors, Leuven Belgium
Show AbstractA new carbon-interstitial clustering model has been developed. It is demonstrated that various experimental data can be reproduced consistently with only three types of carbon clusters: C2I, C3I2 and C3I3. Clusters are formed by following reactions: Cs + Ci <=> C2I, C2I + Ci <=> C3I2, C3I2 <=> C3I3 + V,where Ci is the mobile carbon interstitial, Cs is the immobile substitutional carbon. The first two reactions are necessary for accurate simulation of interstitial trapping by carbon. The third one explains enhanced antimony diffusion during carbon clustering observed in experiments. Carbon diffusion is modeled assuming kick-out mechanism: Cs + I <=> Ci.Different point defect and carbon interstitial charge states are taken into account in the model for accurate simulation of the carbon cluster formation in the high doping level region.The developed model has been implemented into Sentaurus Process simulator using the Alagator script language. Model parameters have been calibrated using fundamental marker layer experiments. Boron diffusion retardation in the carbon doped layer as well as antimony diffusion enhancement in the region close to a layer with high carbon concentration are successfully simulated.The calibrated model has been applied to simulations of ultra-shallow junction formation by high dose boron-carbon and phosphorus-carbon co-implantation. It is found that an accurate definition of initial conditions for diffusion steps is important for successful simulations. In regions which are amorphized by ion implantation and recrystallize by solid phase epitaxy, carbon is assumed to be in substitutional state right after recrystallization. In contrast, in non-amorphized regions, carbon is assumed to be in clusters at the beginning of thermal annealing. A good agreement between simulation and experimental results has been achieved. The dependence of dopant diffusion on implanted carbon dose and spike annealing temperature has been reproduced. Almost complete suppression of transient enhanced diffusion after silicon preamorphization and carbon co-implantation followed by conventional rapid thermal annealing was successfully simulated with the proposed model.
9:00 PM - F11.18
The Charge Carriers Transport Mechanism Through the Interface Layer of the p-GaSe(Cu)/n+GaAs Heterojunctions
Elmira Cuculescu 1 , Mihail Caraman 1
1 Physics, Moldova State University, Chisinau Moldova (the Republic of)
Show Abstract The p-GaSe(Cu)/n+GaAs heterojunctions have been fabricated by optical contact method. Monocrystalline GaSe(Cu) layer has been deposited on the chemically etched n+GaAs single cristal plate. Also, p-GaSe(Cu)/n+GaAs heterojunctions, having a GaSe layer deposited by thermal evaporation of GaSe (0.2 % at. Cu) compound at ≈980 K, have been manufactured. The GaSe layer thickness varied in the range of 1.5÷4.0 μm. A thin 150÷200 Å copper layer has been deposited onto GaSe by vacuum (5*10-6 Torr) thermal evaporation. Copper diffusion process has been done by thermal annealing of GaSe in vacuum (10-6 Torr) at 620 K for 40 min.¶ The current-voltage dependencies along with photoconductivity spectral dependencies have been studied. The photoluminesce spectra of the interface layer has been studied for He-Ne laser excitation (0.6328 μm).¶ The resistivity of GaSe(Cu) layer has been determined from analysis of the current-voltage dependencies at direct biases. Its value for the monocristalline layer, determined from the liniar slope, is 780 Ω. The resistivity of the GaSe(Cu) layer obtained by sublimation of the pre-synthesized GaSe(Cu) layer is 280 Ω.¶ The photoluminescence spectrum of monocristalline p-GaSe(Cu)/n+GaAs heterojunction for excitation through GaSe layer has a weak emission band localized in the 830÷870 nm spectral region at 78 K, while the heterojunctions, having the GaSe layer deposited by sublimation of GaSe(Cu) single cristals have an intense PL band in the fundamental absorption band edge region of GaAs and a high energy wing. The heterojunction photosensitivity ″stretches″ also to this spectral region.¶ As it came from the analysis of the photocurrent and photoluminescence spectral dependencies and especially from the analysis of the photoconductivity kinetics for monochromatic light excitation, the presence of an intermediary layer with a variable composition has been established at the interface of the p-GaSe-policrystalline/GaAs heterojunction. The relaxation time decreases from 2.5*10-5 s for p-GaSe (λ=0.6 μm excitation wavelength) down to τ<10-6 s for excitation (λ=0.82 μm) of the nonequilibrium charge carriers in GaAs layer.
9:00 PM - F11.19
Hydrostatic Pressure Studies of GaN/AlGaN/GaN Heterostructure Devices with Varying AlGaN Thickness and Composition.
Isaiah Steinke 1 , Mohammed Zahed Kauser 1 , P. Paul Ruden 1 , Xianfeng Ni 2 , Hadis Morkoç 2 , Kyung-ah Son 3
1 Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States, 2 Department of Electrical Engineering, Virginia Commonwealth University, Richmond, Virginia, United States, 3 , Jet Propulsion Laboratory, Pasadena, California, United States
Show Abstract9:00 PM - F11.2
Structural Evolution and Thermal Stability of Interstitial Clusters in Silicon Under Strain and Non-strain Conditions.
Sangheon Lee 1 , Robert Bondi 1 , Gyeong Hwang 1
1 Chemical Engineering, The University of Texas at Austin, Austin, Texas, United States
Show Abstract9:00 PM - F11.20
A Compliant Substrate Obtained by the Double Bonding Technique.
Damien Bordel 1 2 , Lea Di Cioccio 1 , Philippe Regreny 2 , Jean-Louis Leclercq 2 , Genevieve Grenet 2
1 CEA-DRT-LETI, DTS-CEA, Grenoble France, 2 Ecole centrale de Lyon, LEOM, UMR CNRS 5512, Lyon France
Show AbstractActually, the lack of substrates for every composition of epitaxial layers of compound semiconductors limits application developments. In fact, when the elastic energy stored in lattice-mismatched epitaxial layers becomes too large, threading dislocations appear and propagate through them, and severely limit the quality of the devices. In order to grow thick mismatched device structures without threading dislocation, a solution is to use a substrate that has the capacity to adapt itself to the epitaxial layer lattice parameters. This idea was first introduced by Lo [1]. Since this pioneer work, many researches have been done [2-5]. The most promising idea in compliance is the relaxation of a pseudomorphic mismatched layer before final epitaxy. The main limitations are the re-epitaxy quality and the size of devices.We propose a new compliant substrate realisation based on the double bonding technique. We used an elastomer, the polydimethylsiloxane (PDMS), as a temporary compliant substrate. A pseudomorphic layer of 30 nm In0.65Ga0.35As strained at -0.8% in compression (epi-grown onto InP substrate) was bonded onto a thick PDMS substrate. Once this epilayer was free from its substrate, the PDMS - thanks to its great elasticity - allowed the relaxation of the epilayer strain. With this method, we relaxed mesa of 700µm size. As soon as the layer was relaxed, it was transferred by hydrophilic bonding onto a host InP substrate and the PDMS was removed. The transfer onto an InP substrate prevents from the apparition of defects resulting from a difference of thermal expansion coefficient because the layer of InGaAs initially strained on the InP substrate was bonded onto the InP substrate at this point with its natural lattice parameter. A thick epitaxial growth of lattice-matched In0.65Ga0.35As was done on this substrate. Several characterisations showed the good quality of the re-epitaxy.Therefore, PDMS appears promising as a compliant substrate for the development of new devices. This technique applied to III – V semiconductors in this work can easily be extended to other systems like SiGe.[1] Y. H. Lo, Appl. Phys. Lett. 59, 2311 (1991)[2] A. Brown, J. Vac. Sci. tech, B16, 2308(1998)[3] K. D. Hobart, F. J. Kub, M. Fatemi, M. E. Twigg, P. E. Thompson, T. S. Kuan, C. K. Inoki, J. Electronic. Mat. 29, 897 (2000)[4] H.Yin, R. Huang, K. D. Hobart, J. Liang and Z. Suo, S. R. Shieh and T. S. Duffy, F. J. Kub, J. C. Sturm,J. Appl. Phys. 94,6875 (2003)[5] M. Kostrzewa, G. Grenet, P. Regreny, J.L. Leclercq, P. Perreau, E. Jalaguier, L. Di Cioccio, G. Hollinger, J. of Cryst. Growth 275,157 (2005)
9:00 PM - F11.21
Gettering Effect in Low and High Density Structural Defects Regions of the Cast Multi-Crystalline-Silicon Wafer.
Yongkook Park 1 , Jinggang Lu 1 , G. Rozgonyi 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractTwo cast multi-crystalline silicon sister wafers before and after solar cell processing were investigated to explore the gettering effect in low and high density structural defects regions. For as-grown wafer, the minority carrier lifetime was generally several μs and had little change across the different structural defects density regions. For the processed wafer, the lifetime was correlated to the structural defects distribution. The lifetime was enhanced to about 20~25μs in the low density structural defects regions, while there was no improvement in the high density regions. A deep level transient spectroscopy (DLTS) study was performed to explore what kinds of impurities are present in the low and high density structural defects regions of as-grown wafer and the processed wafer. First, for the low density regions of as-grown wafer, no peaks were detected by DLTS indicating that most of the impurities exist as precipitates. The sample was annealed at 900°C for 30 min. followed by quenching in water to dissolve the precipitates and freeze the impurities in their dissolved states. Cr-B pair peak was detected for the low defective regions of as-grown wafer. The identification of Cr-B was confirmed by the dissociation and association experiment. In the case of the processed wafer, the concentration of Cr was decreased due to phosphorus diffusion gettering. Therefore, the reduction of Cr concentration due to P diffusion gettering contributes to the enhancement in lifetime in the low density regions. On the other hand, for the high defective regions of as-grown wafer, no peaks appeared after annealing at 900°C for 30 min. and quenching. We suspect that annealing at 900°C is not effective to release impurities incorporated at dislocation core. So, the sample was annealed again at higher temperature 1,200°C for 1hour and then quenched in ethylene glycol. After this procedure, peak appeared near 165K which is the peak position of Cr-B pair, but more work is required for the identification. It is considered that occurrence of this peak is due to the release of Cr from the structural defects at 1,200°C. For the same region of the processed wafer, peak was also detected near 165K, but the broad peaks nearby confused the identification of Cr-B pair. The concentration level of Cr in the high density regions before and after processing was almost same. Based on this fact, it can be inferred that the poor lifetime in these regions after cell processing is due to high density dislocations decorated by transition metals, which cannot be gettered effectively during 900°C P diffusion.
9:00 PM - F11.22
Effect of Interdistance of Nanoscale Windows in W Templates on Coalescence and Defect Density During Selective Molecular Beam Epitaxy of Ge on Si
Darin Leonhardt 1 2 , Qiming Li 1 2 , Sang Han 1 2
1 Chemical & Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico, United States, 2 Center for High Technology Materials, University of New Mexico, Albuquerque, New Mexico, United States
Show AbstractWe have previously demonstrated that high-quality Ge can be grown on Si, using highly selective molecular beam epitaxy (MBE) [APL 85(11), 1928 (2004)]. An ultrathin (~1.2 nm) layer of chemical SiO2, perforated with nanoscale (< 7 nm) windows, allowed the selective growth of Ge seeds and their lateral growth over the remaining template surface and eventual coalescence. By quantitatively measuring the desorption activation energy (42 ± 3 kJ/mol) and characteristic diffusion length (>> 1 μm) of Ge adspecies on the template surface, we have also discovered that the interdistance between windows must be less than the characteristic diffusion length to ensure selectivity. Here, we test these findings with a W template, whose thermal expansion coefficient (4.5x10-6 per °C) lies between those of Ge and Si. The windows in the W template are created by spin-coating a disperse layer of silica beads as a mask, followed by W deposition and lift-off. We have created a series of W templates that have a controllable number of openings (≤ 5x106 cm-2)) in the mask and therefore a controlled average interdistance between windows on the order of microns. Our results indicate that Ge grows selectively only in the windows when the interdistance is less than the characteristic diffusion length, whereas random nucleation on the template surface is observed under the opposite condition. We will further present the impact of interdistance of windows on coalescence and dislocation density in the Ge epilayer.
9:00 PM - F11.23
Design and Simulation of High Efficiency Silicon Light-Emitting Diodes (from April 1,2006 to November 1, 2006)
Jaime Peretzman 1 , Liping Ren 2 , Grant Pan 1
1 Microfabrication Laboratory, Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, California, United States, 2 Nanoelectronics and Nanophotonics Laboratory, Global Nanosystems, Inc., Los Angeles, California, United States
Show AbstractHigh efficiency silicon-based light sources including silicon p-n junction light-emitting diodes (Si LEDs) are greatly desired for the advanced integrated nanoelectronics and emerging nanophotonics in data communication and signal processing. It has been recently found that internal quantum efficiency of Si LEDs as high as 10-3 can be achieved from defect engineering of boron-implant-induced extended {113} defects. It is known that the physical, electrical and optical properties of the Si LEDs are strongly dependent on their structure design and integration process. In this paper, a number of boron-implanted p-n junction Si LED structures were first designed and then simulated in terms of their physical, electrical, and optical properties by using Silvaco process and device simulators. The process simulation were performed in a way that all LED structure designs and their physical properties are achieved under the identical integration process of various implant parameters (e.g. energy and dosage) and post-implant anneal conditions (e.g. temperature and time). The device simulations were carried out so that all electrical and optical properties of the LEDs are extracted under the identical set of various models (e.g. Shockley-Read-Hall recombination, mobility and radiative recombination models). The simulated properties include but not limited to junction depth, dopant distribution, electron and hole distributions, electrical field, current density, current-voltage relation, maximum and total recombination rate, as well as maximum and total radiative recombination rate, etc. Extensive comparison and analyses from more than 200 simulations indicated that a pillar-like structure design with a p-n junction profile wrapped all around the pillar has the greatest max and total radiative recombination rate and the overall electrical and optical characteristics among other structures such as a simple planar p-n junction pillar structure and a planar p-n junction structure from the so-called local area isolation. It was also found that regardless the implant energy and anneal temperature the higher the implant dosage always yields the greater the max and total recombination rate, however, the increment is non-linear and saturated when a critical dosage is reached. Furthermore, the post-implant anneal temperature has the substantial influence on the max and total radiative recombination rate of the LED designs. It was interestingly found that for all the implant parameters simulated, there exists a peak in max radiative recombination rate at 900 °C as the temperature increases from 800 to 1100 °C, where the boron-implant-induced extended defects, such as {113} rodlike defects and {111} dislocation loops, precipitate during the anneal. This means that in order to achieve ever-high quantum efficiency of silicon p-n junction LEDs, comprehensive considerations in structure design and integration process are as vital as extended defect engineering.
9:00 PM - F11.24
Investigation of the Electrical Field Enhamcement of the Deep Level Emission Rates in InAsxP1-x/InP Multiquantum Well Solar Cells Structures.
Aurangzeb Khan 1 , Alex Freundlich 2 , Jihua Gou 3
1 Electrical and computer engineering, University Of South Alabama, Mobile, Alabama, United States, 2 Photovoltaic and Nanostructure Group, Texas Center for Superconductivity and Advanced Materials,, University of Houston, Houston, Texas, United States, 3 Department of Mechanical Engineering, University of South Alabama, Mobile, Arkansas, United States
Show Abstract9:00 PM - F11.3
Investigation of Biaxial Strain in Strained Silicon on Insulator (SSOI) Using High-Resolution X-ray Diffraction.
Yeongseok Zoo 1 , David Theodore 2 , Terry Alford 2
1 School or Materials, Arizona state university, Tempe, Arizona, United States, 2 , Freescale Semiconductor Inc., Tempe, Arizona, United States
Show AbstractIntrinsic biaxial strain values of strained Si on insulator (SSOI) layers were measured using symmetric Bragg-Brentano configuration (i.e., {004} θ-2θ scans) and asymmetric pole figure analysis (i.e., {224} rocking curves). The resulting calculated values showed that the compressive perpendicular strain and the tensile parallel strain were consistent with expected values. We confirmed that the twist angle between the layer and substrate can be incorporated into the biaxial strain equations for epitaxial layers. Moreover, as the samples were annealed up to 1200oC, the absolute values of the compressive perpendicular strains decreased, which is indicative of strain relaxation along the surface normal. The tensile parallel strains however increased from 0.56% to 0.7% when annealing. The expansion of the strained Si lattice along the parallel direction is due to differences in coefficients of thermal expansion between Si and SiO2. At and across the Si/SiO2 interface, compressively strained oxide is balanced with tensilely strained overlying Si. This balanced strain structure of the bonded wafers conforms to equilibrium conditions that depend on the relative thicknesses of the individual layers as well as the heat treatment used during and after bonding of the wafers.
9:00 PM - F11.4
Strained Si/Strained SiGe Dual-Channel Hetero-Structures on Relaxed SGOI Substrate Formed by Ge Condensation
Sang Hoon Kim 1 , Hyun Cheol Bae 1 , Sang Heung Lee 1
1 , SiGe Circuit Team, RF Circuit Group, Electronics and Telecommunications Research Institute, Daejeon Korea (the Republic of)
Show Abstract9:00 PM - F11.5
Effects of Substrate Stress on the Formation of Nickel Silicide and Nickel Germanosilicide.
Cheng-Cheh Tan 1 , Andrew See Weng Wong 1 , DongZhi Chi 1
1 , Institute of Material Research and Engineering, Singapore Singapore
Show AbstractStrained Si or SiGe substrates, with higher carrier mobility, are promising candidates for advanced MOSFET devices. In this work, we present 2 studies to show the effects of using strained Si and strained SiGe substrates on the formation of NiSi and NiSiGe. In the first study, we sputtered Ni onto thin strained Si on Si1-xGex/Si (x = 0 - 0.3). After Rapid Thermal Processing (RTP) in temperatures ranging 400°C - 600°C, resistance measurements and Field Emission Secondary Electron Microscopy (FESEM) images taken show not only NiSi agglomeration with increasing RTP temperatures but also with decreasing Ge (x) content. This indicates that NiSi resists agglomeration with increasing substrate tensile stress. In the second study, we sputtered Ni onto Si1-yGey/Si and SiO2-buffered Si1-yGey/Si (y = 0.2 – 0.4), this is again followed by RTP in temperatures of 400°C – 600°C. Again, agglomeration of NiSiGe was observed not only at higher temperatures but also higher Ge (y) content. Also, for all Ge (y) content, sheet resistance measurements and FESEM images show higher sheet resistance and NiSiGe agglomeration for Ni deposited onto SiO2-buffered Si1-yGey/Si. This suggests that NiSiGe, like NiSi in the first study, resists agglomeration with increasing substrate tensile stress.
9:00 PM - F11.6
Microscopy-Related-Analyses of Silver Trapped at Cavities Created by High Energy Helium Implantation in Single Crystals of Silicon
Rachid El Bouayadi 2 , Gabrielle Regula 1 , Maryse Lancin 1 , Esidor Ntsoenzok 3 , Bernard Pichaud 1
2 , University of Oujda, Oujda Morocco, 1 , Paul Cezanne University, Marseille France, 3 , University of Orleans, Chartres France
Show AbstractMetal contamination of silicon wafers is one of the major problems in the optimization of the performances of Si-based electronic devices. Numerous studies of gettering metal by cavities created by gas ion implantation were already carried out but little information is available on silver, despite of its frequent use as a contact element for silicon devices. Indeed, due to its high diffusivity in SiO2 even at low temperature, Ag can rapidly penetrate the protecting oxide layer of electronic device in MOS technology and precipitate at the SiO2/Si interface causing detrimental effects. To tackle them, nanocavities induced by high dose (5e16 He+cm-2) helium implantation (for instance) and subsequent annealing may be used as sinks for Ag atoms. Since it was reported in the literature that the saturation of the internal surface of cavities with Ag is not limited to a monolayer coverage on the contrary of what was observed for Pt, we address in this contribution the gettering behavior of silver in the damaged-implanted area. For this purpose, {111} p-type Czochralski single-crystal silicon wafers were implanted at room temperature with 1.6 MeV helium ions. Then, silver contact paste was spread on the back surface of the samples. They were annealed at 1323K for 2 hours under argon gas flow in a quartz tube using a conventional furnace, and then cooled down (-4.5 °C/s) to room temperature. High resolution cross section transmission electron microscopy (HRXTEM) observations coupled with energy dispersive X-rays spectroscopy (EDS) was performed to characterize the Ag-precipitates, and to demonstrate that unlike other transition elements, Ag precipitates easily in the damaged area both at cavities and at dislocation loops. There, we determined the structure of pure silver phases the size of which ranged from 20 to 50 nm. Most of the precipitates had the faceted-shape characteristic of “clean” cavities which suggests that there is no astride effect (silver atoms gather at cavity in their liquid phase). In HRXTEM mode they exhibited two epitaxial relationships with respect to the silicon lattice, named A and B as for the CoSi2 or NiSi2/Si{111}. Moreover, many of them contained bands of A and B phases alternatively which is in accordance with the low value of the stacking fault energy. Nevertheless, due to the poor amount of the B phase, its fingerprint could not be evidenced by nanodiffraction. Further annealing experiments and thin foil sample preparations by focused ion beam stand the following decreasing ranking in silver binding energy with i) silver ii) cavities and iii) dislocations.
9:00 PM - F11.7
Microwave Initiated Exfoliation in Ion–cut Silicon Layer Transfer.
D. Thompson 1 , T. Alford 1 , J. Mayer 1 , T. Hochbauer 2 , M. Nastasi 2 , N. Theodore 3
1 School of Materials, Arizona State University, Tempe, Arizona, United States, 2 Materials Science & Technology Division, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 3 Wireless & Packaging Systems Lab., Freescale Semiconductor Inc., Tempe, Arizona, United States
Show AbstractMicrowave heating is used to initiate the ion-cut process for transfer of coherent silicon-layers onto insulator substrates. Hydrogen and boron co implanted silicon was bonded to an insulative substrate before processing inside a 2.45 GHz, 1300 W cavity applicator microwave system for time-durations between 12 and 180 seconds. Sample temperatures measured using a pyrometer were comparable to previous ion – cut studies. Selected samples were further annealed to repair any damage created in the ion implant process. Rutherford backscattering spectrometry, and selective area electron diffraction patterns show high crystallinity in transferred layers before and after radiation repair anneals. RUMP simulation of backscattering spectra and cross-sectional transmission electron microscopy demonstrate that thicknesses of the transferred layers are comparable to corresponding values from previous anneal techniques. The root mean square micro roughness, measured using atomic force microscopy was 5.3 nm. Hall measurements used to characterize electrical properties in pre-cut, post-cut, and repair annealed samples show that exfoliated samples change from p to n type majority carriers after exfoliation, and return to p type majority carriers upon further annealing. The mobility and carrier density of microwave activated ion – cut silicon on insulator processed samples compares well with previous annealing techniques.
9:00 PM - F11.8
Nanocavity Buffer Induced by Gas Ion Implantation in Silicon Substrate for Strain Relaxation of Heteroepitaxial Si1-xGex/Si Thin Layers
Mahfoudh Raissi 2 , Gabrielle Regula 1 , Chokri Hadj Belgacem 2 , Maryse Lancin 1 , Mustapha Fnaiech 2 , Esidor Ntsoenzok 3 , Jean-Louis Lazzari 4
2 , Monastir University, Monastir Tunisia, 1 , Paul Cezanne University, Marseille France, 3 , Orleans University, Chartres France, 4 , Mediterranean and Paul Cezanne Universities , Marseille France
Show Abstract9:00 PM - F11.9
Evolution of the Vacancy Defects Induced by High Energy Implantation.
Xiangkun Yu 3 1 , Ki Ma 3 , Lin Shao 2 , Q. y. Chen 3 , Jiarui Liu 3 , Wei-Kan Chu 3 1
3 Department of Physics and Texas Center for Superconductivity at University of Houston , University of Houston , Houston, Texas, United States, 1 Physics, University of Houston, Houston, Texas, United States, 2 Ion Beam Laboratory, Department of Nuclear Engineering, Texas A&M University, College Station, Texas, United States
Show Abstract