Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

2007 MRS Spring Meeting Logo2007 MRS Spring Meeting & Exhibit


April 9-13, 2007
| San Francisco
Meeting Chairs: Timothy J. Bunning, Harold Y. Hwang, Debra Kaiser, Jennifer A. Lewis

Symposium C : Advances and Challenges in Chemical Mechanical Planarization

2007-04-10   Show All Abstracts

Symposium Organizers

Christopher Borst University at Albany
Laertis Economikos IBM Microelectronics
Ara Philipossian The University of Arizona
Gerfried Zwicker Fraunhofer Institut fuer Siliziumtechnologie ISIT
C1: Polishing Pad and Conditioning Disc Characterization and Wear Mechanisms
Session Chairs
Christopher Borst
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)

9:30 AM - **C1.1
CMP Active Diamond Characterization and Conditioner Wear.

Leonard Borucki 1 , Rumin Zhuang 2 , Yun Zhuang 1 2 , Ara Philipossian 1 2 , Naoki Rikita 3
1 , Araca Incorporated, Tucson, Arizona, United States, 2 Chemical and Environmental Engineering, The University of Arizona, Tucson, Arizona, United States, 3 , Mitsubishi Materials Corp., Fukushima Japan

Show Abstract

10:00 AM - C1.2
The Impact of Diamond Conditioning on Surface Contact in CMP Pads.

Carolina Elmufdi 1 , Muldowney Gregory 1
1 Pad Engineering Research, Rohm and Haas Electronic Materials, Newark, Delaware, United States

Show Abstract

10:15 AM - C1.3
Surface Chemical Characteristics of CMP Polyurethane Pads.

Hongqi Xiang 1 , Abaneshwar Prasad 1 , Edward Remsen 1
1 , Cabot Microelectronics Corporation, Aurora, Illinois, United States

Show Abstract

10:30 AM - C1.4
Determining Pad-Wafer Contact using Dual Emission Laser Induced Fluorescence

Caprice Gray 1 , Chris Rogers 1 , Vincent Manno 1 , Sriram Anjur 3 , Mansour Moinpour 2 , Chris Barns 2
1 Mechanical Engineering, Tufts University, Medford, Massachusetts, United States, 3 , Cabot Microelectronics, Aurora, Illinois, United States, 2 , Intel Corporation, Santa Clara, California, United States

Show Abstract

10:45 AM - *
Break

11:15 AM - C1.5
Computational Solid Mechanics Modeling of Asperity Deformation and Pad-Wafer Contact in CMP.

Bo Jiang 1 , Gregory Muldowney 1
1 Pad Engineering Research Group, Rohm and Haas Electronic Materials CMP Technologies, Newark, Delaware, United States

Show Abstract

11:30 AM - C1.6
Development of Low Defect CMP Slurries using Hybrid Abrasive Particles of different Surface Morphologies

Subrahmanya Mudhivarthi 2 , Cecil Coutinho 2 , Ashok Kumar 2 , Vinay Gupta 2
2 , University of South Florida, Tampa, Florida, United States

Show Abstract

11:45 AM - C1.7
The Effect of Conditioning on Pad Topography and Shear-Induced Flow Resistance during CMP.

Ting Sun 1 , Len Borucki 2 , Rumin Zhuang 1 , Yun Zhuang 1 2 , Ara Philipossian 1 2
1 Department of Chemical and Environmental Engineering, The University of Arizona, Tucson, Arizona, United States, 2 , Araca Inc., Tucson, Arizona, United States

Show Abstract

C2: Post-CMP Cleaning
Session Chairs
Christopher Borst
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)

12:00 PM - **C2.1
Fundamentals of Post-CMP Cleaning

Jingoo Park 1
1 Materials Engineering, Hanyang University, Ansan Korea (the Republic of)

Show Abstract

12:30 PM - C2.2
Spectroscopic and Topographic Investigations of Nanoparticle Abrasive retention in Polyurethane CMP Pads for Cu CMP

Iftikhar Ul-hasan 1 , Robert Geer 1
1 College of Nanoscale Science and Engineering, University at Albany - SUNY, Albany, New York, United States

Show Abstract

12:45 PM - C2.3
Influence of Post-CMP Cleaning on Cu Surface

Jeffrey Barnes 1 , Peng Zhang 1 , Micheal Hughes 1 , David Angst 1 , Kevin Yanders 1 , Alfred Miller 2
1 , ATMI, Allentown, Pennsylvania, United States, 2 Zettlemoyer Center for Surface Studies, Lehigh University, Bethlehem, Pennsylvania, United States

Show Abstract

C3: Consumables for Ultra-low Topography and Advanced Barrier Metallization
Session Chairs
Len Borucki
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)

2:30 PM - **C3.1
Newly Developed Abrasive-free Copper CMP Slurry Based on Electrochemical Analysis.

Jin Amanokura 1 , Katsumi Mabuchi 2 , Takafumi Sakurada 1 , Yutaka Nomura 3 , Masanobu Habiro 1 , Haruo Akahoshi 2
1 Semiconductor Materials Division, Hitachi Chemical Co., Ltd., Hitachi-shi, Ibaraki, Japan, 2 Materials Research Laboratory, Hitachi Ltd., Hitachi-shi, Ibaraki, Japan, 3 Electronic Materials R&D center, Hitachi Chemical Co., Ltd., Hitachi-shi, Ibaraki, Japan

Show Abstract

3:00 PM - C3.2
Comparison of Different Carboxylic Acids as Complexing Agents for Copper Planarization.

S. Babu 1 , S. Pandija 1 , S. Ramakrisnan 1 , S. Janjam 1
1 , Clarkson University, Potsdam, New York, United States

Show Abstract

3:15 PM - *
Break

3:45 PM - C3.3
Effect of CMP Solution Chemistry on Nanoporous MSSQ Thin Films.

Markus Ong 1 , Patrick Leduc 2 , Daniel McKenzie 3 , Thierry Farjot 2 , Gerard Passemard 4 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 D2NT, CEA-LETI, Grenoble France, 3 Chemical Engineering, University of South Carolina, Columbia, South Carolina, United States, 4 , STMicroelectronics, Crolles France

Show Abstract

C4: Advances in Slurry Particle Mechanics, Surface Chemistry, and Metrology
Session Chairs
Len Borucki
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)

4:00 PM - **C4.1
Chemical Mechanical Planarization at the Nanometer Size Scale.

Tom Dickinson 1
1 Physics, Washington State University, Pullman, Washington, United States

Show Abstract

4:30 PM - **C4.2
Particle Metrology in CMP Slurries – Potential and Limitations of Relevant Measuring Methods.

Michael Stintz 1 , Herbert Barthel 2 , Mansour Moinpour 3
1 Inst. of Chemical Engineering, TU Dresden, Dresden Germany, 2 , Wacker Chemie AG, Munich Germany, 3 , Intel Corporation, Santa Clara, California, United States

Show Abstract

5:00 PM - C4.3
Advances in the Characterization of Particle Size Distributions of Abrasive Particles used in CMP.

Mungai Kamiti 1 , Stacey Popadowski 1 , Edward Remsen 1
1 Metrology, Cabot Microelectronics Corp., Aurora, Illinois, United States

Show Abstract

5:15 PM - C4.4
Low Defect Ceria for ILD CMP.

Jai Kasthurirangan 1 , John Parker 1 , Tiffany Bettis 1 , Charles Dowell 1
1 Research & Development, Cabot Microelectronics Corporation, Aurora, Illinois, United States

Show Abstract

5:30 PM - C4.5
Impact of Ceria Particle Properties on STI CMP Performance.

Jo De Messemaeker 1 , Fabrice Sinapi 2 , Stijn Put 1 , Jeroen van den Bosch 1 , Yvan Strauven 1 , Katia Devriendt 2
1 , Umicore R&D, Olen Belgium, 2 SPDT/AMPS Division, IMEC, Leuven Belgium

Show Abstract

2007-04-11   Show All Abstracts

Symposium Organizers

Christopher Borst University at Albany
Laertis Economikos IBM Microelectronics
Ara Philipossian The University of Arizona
Gerfried Zwicker Fraunhofer Institut fuer Siliziumtechnologie ISIT
C5: Chemical and Physical Mechanisms of Metal and Dielectric CMP
Session Chairs
Gerfried Zwicker
Wednesday AM, April 11, 2007
Room 3000 (Moscone West)

9:30 AM - **C5.1
Overview of Chemical Mechanical Polishing of Metal Films for Advanced IC Device Applications.

Chris Yu 1
1 , Anji Microelectronic Co., Ltd., Shanghai China

Show Abstract

10:00 AM - C5.2
Properties and Mechanical Response of Passive Films formed during Copper CMP.

Shantanu Tripathi 1 , Fiona Doyle 2 , David Dornfeld 1
1 Mechanical Engineering, University of California at Berkeley, Berkeley, California, United States, 2 Materials Science & Engineering, University of California at Berkeley, Berkeley, California, United States

Show Abstract

10:15 AM - C5.3
Measurement of Interactions between Abrasive Silica Particles and Copper, Titanium, Tungsten, and Tantalum.

Ruslan Burtovyy 1 , Alex Tregub 2 , Mansour Moinpour 2 , Mark Buehler 3 , Igor Luzinov 1
1 School of Materials S&E, Clemson University, Clemson, South Carolina, United States, 2 CMO/FMO, Intel Corporation , Santa Clara, California, United States, 3 PTD, Intel Corporation , Hillsboro, Oregon, United States

Show Abstract

10:30 AM - C5.4
Influence of Copper Microstructure on Aggressive Chemical Mechanical Planarization Processes.

Patrick Andersen 1 , Megan Frary 1
1 Materials Science and Engineering, Boise State University, Boise, Idaho, United States

Show Abstract

10:45 AM - C5.5
Particles as Transport Carriers in CMP Slurries

Daniela White 1 , John Parker 1 , Shuyou Li 2 , Vinayak Dravid 2
1 R&D Enabling Design, Cabot Microelectronics, Aurora, Illinois, United States, 2 NUANCE, Northwestern University, Evanston, Illinois, United States

Show Abstract

11:00 AM - *
Break

C6: CMP Unit Process Simulation and Monitoring
Session Chairs
Gerfried Zwicker
Wednesday PM, April 11, 2007
Room 3000 (Moscone West)

11:30 AM - **C6.1
Advances in Understanding and Control of CMP Performance:Contact-Hydrodynamics at Wafer, Groove, and Asperity Scale

Gregory Muldowney 1
1 Pad Engineering Research Group, Rohm and Haas Electronic Materials CMP Technologies, Newark, Delaware, United States

Show Abstract

12:00 PM - C6.2
Experimental Investigation and Numerical Simulation of Pad Stain Formation during Copper CMP

Hyosang Lee 1 , Leonard Borucki 2 , Yun Zhuang 1 2 , Fergal O'Moore 3 , Steve Schultz 3 , Sooyun Joh 3 , Ara Philipossian 1 2
1 Chemical Engineering, University of Arizona, Tucson, Arizona, United States, 2 , Araca Inc., Tucson, Arizona, United States, 3 , Novellus Systems Inc., San Jose, California, United States

Show Abstract

12:15 PM - C6.3
Micromachined Shear Stress Sensors for Characterization of Surface Forces During Chemical Mechanical Polishing.

Andrew Mueller 1 , Robert White 1 , Vincent Manno 1 , Chris Rogers 1 , Sriram Anjur 2 , Chris Barns 3 , Mansour Moinpour 4
1 Mechanical Engineering, Tufts University, Medford, Massachusetts, United States, 2 , Cabot Microelectronics Corp., Aurora, Illinois, United States, 3 , Intel Corp., Hillsboro, Oregon, United States, 4 , Intel Corp., Santa Clara, California, United States

Show Abstract

12:30 PM - C6.4
An Approach to Modeling Particle-Based and Contact-Based Wear in CMP.

Elon Terrell 1 , C. Higgs 1
1 Mechanical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States

Show Abstract

C7: Alternative Planarization Techniques and CMP in Emerging Technologies
Session Chairs
Stan Smith
Wednesday PM, April 11, 2007
Room 3000 (Moscone West)

3:00 PM - C7.2
High Rate Silicon Carbide Polishing to Ultra-smooth Surfaces.

Michael White 1 , Kevin Moeggenborg 1 , Stan Reggie 1 , Jeffrey Gilliland 1 , Francois Batllo 1 , Nevin Naguib 1
1 , Cabot Microelectronics, Aurora, Illinois, United States

Show Abstract

3:15 PM - C7.3
Atomically Terraced SiC Substrates by CMP Process

Arul Chakkaravarthi Arjunan 1 , Rajiv Singh 1 , P. Kumar 1 , D. Stodilka 1 , Cammy Abernathy 1 , Stephan Pearton 1 , Deepika Singh 2
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 , Sinmat Inc, Gainesville, Florida, United States

Show Abstract

3:30 PM - C7.4
Gentle Chemical Mechanical Polishing of GaN Substrates.

Arul Chakkaravarthi Arjunan 1 , Hung-Ta Wang 2 , Rajiv Singh 1 , Stephan Pearton 1 , Sahil Sahni 1 , Fen Ren 2 , S. Lahiri 3 , Deepika Singh 3
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 Department of Chemical Engineering, University of Florida, Gainesville, Florida, United States, 3 2153 Hawthorne Road, Sinmat, Gainesville, Florida, United States

Show Abstract

3:45 PM - *
Break

C8: CMP Defect and Corrosion Mechanisms
Session Chairs
Stan Smith
Wednesday PM, April 11, 2007
Room 3000 (Moscone West)

4:15 PM - **C8.1
Cu Post-CMP Displacement Cleaning: A Mechanistic Product Development Approach Based on Selected Thermodynamic and Kinetic Data.

Darryl Peters 1
1 , Surface Chemistry Discoveries, Bethlehem, Pennsylvania, United States

Show Abstract

4:45 PM - C8.2
Nano-scale Characterization of Surface Defects on Polished Si Wafers by Atomic Force Microscopy Combined with Laser Light Scattering.

Kenta Arima 1 , Takushi Shigetoshi 1 , Haruyuki Inoue 1 , Tsukasa Kawashima 1 , Takaaki Hirokane 1 , Toshihiko Kataoka 1 , Mizuho Morita 1
1 Department of Precision Science and Technology, Osaka University, Suita, Osaka, Japan

Show Abstract

5:00 PM - C8.3
Detection of Copper CMP Slurry Abnormalities Using Real-Time Frictional Force Measurement

Yun Zhuang 1 2 , Yasa Sampurno 1 , Fransisca Sudargho 1 2 , Geoff Steward 1 , Anita Lee 1 , Herbert Barthel 3 , Erwin Mayer 3 , Michael Stintz 4 , Michael Goldstein 5 , Ara Philipossian 1 2
1 Department of Chemical and Environmental Engineering, University of Arizona, Tucson, Arizona, United States, 2 , Araca, Inc., Tucson, Arizona, United States, 3 , Wacker Chemie AG, Burghausen Germany, 4 , Technical University Dresden, Dresden Germany, 5 , Intel Corporation, Santa Clara, California, United States

Show Abstract

5:15 PM - C8.4
Composite Polymer Core – Ceria Shell Abrasive Particles during Silicon Oxide CMP

Silvia Armini 1 2 , Joke De Messemaeker 3 , Ruslan Burtovyy 4 , Igor Luzinov 4 , Caroline Whelan 1 , Karen Maex 2 , Mansour Moinpour 5
1 SPDT-AMPS-CMP2, IMEC, Leuven Belgium, 2 ESAT, KU Leuven, Leuven Belgium, 3 R&D, Umicore , Olen Belgium, 4 School of Materials Science and Engineering, Clemson University, Clemson, South Carolina, United States, 5 , Intel Corporation, Santa Clara, California, United States

Show Abstract

C9: Poster Session
Session Chairs
Thursday AM, April 12, 2007
Salon Level (Marriott)

9:00 PM - C9.1
New Particle Metrology for CMP Slurries

Kim Williams 1 , Ilyong Park 1 , Edward Remsen 2 , Mansour Moinpour 3 , Anthony Kim 3
1 Chemistry and Geochemistry Department, Colorado School of Mines, Golden, Colorado, United States, 2 , Cabot Microelectronics, Aurora, Illinois, United States, 3 , Intel Corporation, Santa Clara, California, United States

Show Abstract

9:00 PM - C9.2
Improved Defect Classification Techniques of a Laser Scattering Detection System for IC Wafers in CMP

Daniel Mateja 1 , Toshi Kasai 1 , Michael Denham 1 , Haresh Siriwardane 1
1 R&D, Cabot Microelectronics, Aurora, Illinois, United States

Show Abstract

9:00 PM - C9.3
Surfactants in Controlling Removal Rates and Selectivity in Barrier Slurry for Cu CMP

Jinru Bian 1
1 , Rohm&Haas Electronic Materials CMPT, Newark, Delaware, United States

Show Abstract

9:00 PM - C9.4
A Study in Selectivity Variations of WCMP Slurries Related to pH, ζ-potential and Dilutions with De-ionized Water.

Davide Gianni 1 2 , Silvia Ardizzone 3 , Giuseppe Cappelletti 3 , Angelo Maspero 2 , Damiano Monticelli 2 , Giulia Spinolo 1 , Norberto Masciocchi 2
1 Advanced R&D – Non Volatile Memories & Derivatives, Front-End Technology and Manufacturing, STMicroelectronics, Agrate Brianza, MI, Italy, 2 Dipartimento di Scienze Chimiche ed Ambientali, Università dell'Insubria, Como, CO, Italy, 3 Dipartimento di Chimica Fisica ed Elettrochimica, Università degli Studi di Milano, Milano, MI, Italy

Show Abstract

9:00 PM - C9.6
Effect of Wettability of Poly Silicon on CMP Behavior.

Young-Jae Kang 1 , Yi-Koan Hong 1 , JIn-Goo Park 1 , Sang-Yeob Han 2 , Seong-Kyu Yun 2 , Bo-Un Yoon 2 , Chang-Ki Hong 2
1 Division of Materials and Chemical Engineering, Hanyang University, Ansan Korea (the Republic of), 2 , Samsung Electronics Co., LTD, Yongin Korea (the Republic of)

Show Abstract

9:00 PM - C9.7
Investigation of Physical and Chemical Changes in CMP Pads due to Slurry Temperature

Veera Raghava Kakireddy 1 3 , Subrahamanya Mudhivarthi 2 3 , Ashok Kumar 2 3
1 Department of Electrical Engineering, University of South Florida, Tampa, Florida, United States, 3 Nanomaterials and Nanomanufacturing Research Center, University of South Florida, Tampa, Florida, United States, 2 Department of Mechanical Engineering, University of South Florida, Tampa, Florida, United States

Show Abstract

9:00 PM - C9.8
Diffusion Limited Agglomeration Processes and Defect Generation during Chemical Mechanical Planarization

Rana Biswas 1 2 , Yingying Han 3 , Abhijit Chandra 4
1 Physics & ECpE, Iowa State University, Ames, Iowa, United States, 2 Microelectronics Res. Ctr. & Ames Lab, Iowa State University, Ames, Iowa, United States, 3 Aerospace Engineering, Iowa State University, Ames, Iowa, United States, 4 Mechanical Engineering, Iowa State University, Ames, Iowa, United States

Show Abstract

9:00 PM - C9.9
Evaluation of Surface Chemistry and Topographical Variation during Electro-Chemical Mechanical Planarization of Copper (E-CmP)

Sameer Deshpande 1 , Abhilash Vincent 1 , Sudipta Seal 1
1 Mechanical Materials and Aerospace Engineering, University of Central Florida, Orlando, Florida, United States

Show Abstract

2007-04-12   Show All Abstracts

Symposium Organizers

Christopher Borst University at Albany
Laertis Economikos IBM Microelectronics
Ara Philipossian The University of Arizona
Gerfried Zwicker Fraunhofer Institut fuer Siliziumtechnologie ISIT
C10: Challenges in CMP Integration and Reliability for 45nm and Beyond
Session Chairs
Laertis Economikos
Thursday AM, April 12, 2007
Room 3000 (Moscone West)

10:00 AM - **C10.2
Recent Progress in Modeling the Interaction of One- and Two-component Abrasives with Metal, Oxide and Low-k Films.

S. Babu 1 , V. Devarapalli 1 , G. Ahmadi 1 , Q. Zhong 1 , M. Peterson 1 , H. Tam 1 , T. Konno 1
1 , Clarkson University, Potsdam, New York, United States

Show Abstract

10:30 AM - C10.3
Optimizing Solution Chemistry for Reduced Damage during CMP

Taek-Soo Kim 1 , Qiping Zhong 2 , Maria Peterson 2 , Halbert Tam 2 , Tomohisa Konno 2 , Reinhold Dauskardt 3
1 Mechanical Engineering, Stanford University, Stanford, California, United States, 2 Yokkaichi Research Center, JSR Corporation, Kawajiri-cho, Yokkaichi, Japan, 3 Materials Science and Engineering, Stanford University, Stanford, California, United States

Show Abstract

10:45 AM - C10.4
Development and Optimization of Slurry for Ru CMP

In-Kwon Kim 1 , Tae-Young Kwon 1 , Jin-Goo Park 1 , Hyung-Soon Park 2
1 Division of Materials and Chemical Engineering, Hanyang Univ., Ansan Korea (the Republic of), 2 Advanced Process, Memory Research & Development Division, Hynix Semiconductor Inc., Icheon Korea (the Republic of)

Show Abstract

11:00 AM - *
Break

C11: Tool/process Developments such as eCMP and Low-shear CMP
Session Chairs
Laertis Economikos
Thursday PM, April 12, 2007
Room 3000 (Moscone West)

11:30 AM - **C11.1
Enabling Damascene Solutions for 45 nm Beyond.

Manabu Tsujimura 1
1 Precision Machinery Company, Ebara Corporation, Fujisawa-city, Kanagawa, Japan

Show Abstract

12:00 PM - C11.2
Effect of Additives in KOH Based Electrolytes on Cu ECMP.

Tae-Young Kwon 1 , In-Kwon Kim 1 , Jin-Goo Park 1
1 , Hanyang University, Ansan Korea (the Republic of)

Show Abstract

12:15 PM - C11.3
ECMP Enhances the Planarization Efficiency — A Phenomenon of Reverse Topography during Cu Removal.

Feng Q Liu 1 , Wei-Yung Hsu 1 , Alain Duboust 1 , Liang Chen 1 , Tianbao Du 1 , Stan Tsai 1
1 , Applied Materials, Sunnyvale, California, United States

Show Abstract

12:30 PM - C11.4
Wafer Level Modeling of Electrochemical-Mechanical Polishing (ECMP)

Daniel Truque 1 , Xiaolin Xie 1 , Duane Boning 1
1 Microsystems Technology Labs, MIT, Cambridge, Massachusetts, United States

Show Abstract

C12: Advanced CMP Process Control Techniques
Session Chairs
Duane Boning
Thursday PM, April 12, 2007
Room 3000 (Moscone West)

3:00 PM - C12.2
Non-Destructive Cu CMP Metrology using μ-XRF and fast-XRR Techniques.

Dileep Agnihotri 1
1 , Jordan Valley Semiconductors, Inc., Austin, Texas, United States

Show Abstract

3:15 PM - C12.3
Application of Scatterometry to BEOL Measurements: Post Cu CMP Measurements.

Deepak Kulkarni 1 , Shom Ponoth 2 , Li Wu 3 , Alex Elia 3
1 , IBM, Hopewell Junction, New York, United States, 2 , IBM Research, Hopewell Junction, New York, United States, 3 , Timbre Technologies INC, Santa Clara, California, United States

Show Abstract

3:30 PM - *
Break

C13: Multi-scale Modeling of Feature Evolution During CMP
Session Chairs
Duane Boning
Thursday PM, April 12, 2007
Room 3000 (Moscone West)

4:00 PM - **C13.1
On a Particle-Augmented Mixed Lubrication Approach to Predicting CMP

C. Fred Higgs 1 , Elon Terrell 1 , Joseph Bonivel 1
1 Mechanical Engineering, Carnegie Mellon Univ, Pittsburgh, Pennsylvania, United States

Show Abstract

4:30 PM - C13.2
Contact Model for a Pad Asperity and a Wafer Surface in the Presence of Abrasive Particles for Chemical Mechanical Polishing

Sinan Muftu 1 , Dincer Bozkaya 1
1 Department of Mechanical Engineering, Northeastern University, Boston, Massachusetts, United States

Show Abstract

4:45 PM - C13.3
Single Asperity Tribochemical Wear of Silicon by Atomic Force Microscopy.

Futoshi Katsuki 1
1 Corporate R&D Labs., Sumitomo Metal Industries, Limited., Amagasaki Japan

Show Abstract

5:00 PM - C13.4
Physical-based Die-Level CMP Model.

Xiaolin Xie 1 , Duane Boning 1
1 Microsystems Technology Laboratories, MIT, Cambridge, Massachusetts, United States

Show Abstract

5:15 PM - C13.5
Towards a Quantitative Description of Pattern-dependent Planarization with Ceria Slurries.

Roland Rzehak 1 , Thomas Vogel 1 2
1 , Qimonda Dresden GmbH & Co. OHG, Dresden Germany, 2 , Technical University Dresden, Dresden Germany

Show Abstract