Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

Spring 1999 logo1999 MRS Spring Meeting & Exhibit

April 5-9, 1999 | San Francisco
Meeting Chairs: Katayun Barmak, James S. Speck, Raymond T. Tung, Paul D. Calvert



Symposium O—Low-Dielectric Constant Materials and Applications in Microelectronics

Chairs

Kazuhiko Endo 
Microelectronics Res Lab 
NEC Corp 
Ibaraki, 305 JAPAN 
81-298-50-1187

John Humme
Semiconductor R&D Ctr
IBM Corp
Hopewell Junction, NY 12533
914-892-3140

Wei William Lee 
F-6 
TSMC 
Science-Based Park 
Hsin-chu, TAIWAN 
886-3-578-1688 x3858

Michael Mills 
Dow Chemical Co
1714 Bldg
Midland, MI 48674
517-636-9131

Shi-Qing Wang 
Allied Signal Corp 
Sunnyvale, CA 94089 
408-962-2009

Symposium Support 
*FSI International

Proceedings published as Volume 565 
of the Materials Research Society 
Symposium Proceedings Series.

* Invited paper
TUTORIAL 
STO: LOW-DIELECTRIC CONSTANT MATERIALS FOR B.E.O.L. HIGH-PERFORMANCE INTEGRATED CIRCUITS 
Monday, April 5, 1:30 - 5:00 p.m. 
Golden Gate A1 (Marriott Hotel)
demands of lower power consumption, faster speed and reduced crosstalk continue to pressure semiconductor companies to replace SiO2 with a low-dielectric constant material as their intermetal dielectric of choice. In this tutorial we will give an overview of low-dielectric constant materials and their several ways of being incorporated into both aluminum and copper-based metallization schemes. This will include their various methods of deposition as well as their subsequent characterization. This tutorial would be suitable for technologists who have limited experience in working with low-dielectric constant materials but have strong traditional backgrounds in CVD/SOG/PVD dielectric and metallization technology.

Instructors: 
Kelly Taylor, Texas Instruments, Inc. 
Changming Jin, Sematech 
 

SESSION O1: POROUS FILMS: ORGANIC AND INORGANIC 
Chairs: John P. Hummel and Shi-Qing Wang 
Tuesday Morning, April 6, 1999 
Golden Gate A1 (M)
8:30 AM *O1.1 
LOW DIELECTRIC CONSTANT MATERIALS IN MICROELECTRONICS; IMPACTS ON THE INDUSTRY. Kenneth A. Monnig , SEMATECH Inc., Austin, TX.

This paper will briefly describe impacts of Low-K dielectrics: why we want them, how close we are to fulfilling the want, and how they will impact the microelectronics industry. The technology will be discussed, but this paper will focus more on the economic drivers for and the impacts of the transition to low-K materials. The electronics industry, fueled by the constant innovations in microelectronics, has become a major driver of not only our personal livelihoods but the livelihoods of nations as well. The improvements of microelectronic performance that fostered these innovations where in the past paced by our ability to build smaller, and therefore faster, transistors. Today the pace of innovation is being governed by our ability to build interconnections between these ever smaller and exponentially more numerous transistors. As a result the entire industry is embarking on the first major revision of integrated circuit interconnect technology since the original Robert Noyce invention of over 30 years ago. This transition calls for a change in all of the materials used to fabricate integrated circuit interconnects as well as the tools and methods by which we build them. In short, we plan to change everything. Why this transition is occurring today, will be outlined, highlighting both the technical and the economic drivers. The short history and the current status of the technology transition will also be reviewed. Finally the various business and marketing impacts will also be presented. Changing everything in the technology is not simply going to impact the end products; it will also impact the entire industry and infrastructure of semiconductor manufacturing.

9:00 AM *O1.2 
POROUS ORGANOSILICATES AS LOW-K INSULATORS FOR DIELECTRIC APPLICATIONS. R.D. Miller , K.R. Carter, J.L. Hedrick, C.J. Hawker, D.Y. Yoon, W. Volksen, J. Remenar, M. Trollsas, C. Nguyen, IBM Almaden Research Center, San Jose, CA.

Decreased device dimensions and increased device densities lead to degraded performance manifested by increased crosstalk and capacitive coupling between metal interconnects. These problems could be significantly improved by replacing the current on-chip insulator (silicon dioxide) by a new material of substantially lower dielectric constant. Because of the complex integration issues associated with the introduction of a new dielectric insulator, extendibility of dielectric materials through a number of device generations has become and important issue. At present, there seems to be no viable route to dielectric extendibility, particularly encompassing ultralow k materials (k < 2.0), without embracing the concept of porosity. While porosity substantially lowers the insulator dielectric constant, it creates a new and unique set of integration issues. 
We have developed a new route to porous organosilicates via a reactive blending approach involving silsesquioxane (SSQ's) precursor polymers and organic macromolecular pore generators (porogens). The matrix materials, when fully cured and densified, have dielectric constants ranging from 2.6 - 3.1; values considerable lower than silicon dioxide (k = 4.0). This allows the attainment of the dielectric target goals with substantially lower porosities than would be required for porous silica. The porogen molecules are highly branched (star, dendrimer, hyperbranched etc.) functionalized macromolecules with controlled molecular architectures which template the vitrification of the SSQ prepolymers and are subsequently removed by thermal processing to produce voids. For porogen loading levels ranging from 20 - 25 wt.%, nanoscopic inorganic-organic hybrids are produced from which closed cell, nanoporous organosilicates with dielectric constants of < 2.2 are generated upon thermal processing. The applicability of these materials for thin film dielectric applications will be discussed.

9:30 AM O1.3 
STUDY OF A NEW LOW DIELECTRIC CONSTANT MATERIAL (k < 2): MICROSTRUCTURE, ELECTRICAL PROPERTIES AND MECHANICAL PROPERTIES. Yi-Pin Tsai , C.N. Liao, Yuhuan Xu, and K.N. Tu, Department of Materials Science and Engineering, UCLA, CA; Bin Zhao, Q.Z. Liu and Maureen Brongo, Conexant Systems, Newport Beach, CA.

Low dielectric constant materials are required to achieve advantages in high speed VLSI interconnects having low dynamic power dissipation and low cross talk noise. To accompany low resistance Cu, we have synthesized a porous polymer dielectric material which has a dielectric constant of 1.8. The polymer film was prepared by spin-on coating on bare silicon and metal-deposited wafers and cured at temperatures near 400C. It is a two-phase composite with about 35% porosity. The microstructure of these pores has been investigated by high resolution Transmission Electron Microscopy and the image shows that the pore size is smaller than 50. The stability of the dielectric properties has been measured with respect to time and temperature. The results show almost no water absorption in the material. Typical test wiring patterns were used for measuring capacitance, leakage current and trench-filling. The mechanical properties of the porous polymer, such as thermal stress and Young's modulus, have been measured on a Flexus with a dual laser beam reflection method. The thermal expansion coefficient and Poisson's ratio have been obtained. Hardness of the film has also been measured.

9:45 AM O1.4 
WATER UPTAKE IN THIN FILM NANOPOROUS DIELECTRICS BY QUARTZ CRYSTAL MICROBALANCE. Kenneth R. Carter , Martha Harbison, Craig J. Hawker, James L. Hedrick, Robert D. Miller, Robert Waltman.

One approach towards lowering a polymer's bulk dielectric constant is to synthesize a porous structure. In porous polymers designed for use in microelectronics devices, the pore sizes must be much smaller than the film thickness or any microelectronic features (150 nm), thus the term nanopore. Additionally, the porous polymer should possess as many of the favorable properties of the parent material as possible (i.e. low solvent penetration, stability under conditions of fabrication and use). Since water vapor adsorption can substantially change the dielectric response for these types of films, we desired to understand what effect nanoporosity has on moisture uptake. In this report, the utility of applying quartz crystal microbalance technology for determining the permeability of water in a variety of nanoporous thin film (1 um) polymers will be discussed.

10:30 AM O1.5 
NOVEL POROUS PARYLENE-N DIELECTRIC FILMS VAPOR DEPOSITED AT LIQUID NITROGEN TEMPERATURES. James Erjavec , John Sikita, Gregory B. Raupp, Stephen P. Beaudoin, Arizona State University, Tempe, AZ.

According to the National Technology Roadmap for Semiconductors, advanced generations of microchips will require interlayer dielectrics with dielectric constants below 2.0. If polymers are to be employed, this physical property limitation will require that the films be porous. Novel Parylene dielectric films have been grown at low temperatures. Vapor deposition of Parylene-N films at -196C produces optically opaque, highly porous films. The porosity of these films is approximately 80%. The dielectric constant can be approximated from the dielectric constant of nonporous films and the porosity, and is estimated at 1.28. Deposition rates, measured as the change in film thickness per unit time, averaged 8.3m/min. This rate is more than two orders of magnitude greater than the deposition rates of nonporous films near room temperatures at otherwise fixed conditions of monomer delivery rate and deposition chamber pressure. A new technique has been developed to seal, or cap, these porous films. Variation of process conditions allows for alternate layers of conventional and porous films. These new capped or 'sandwich' films can more readily integrated into manufacturing processes than the corresponding fully porous films.

10:45 AM *O1.6 
PROCESSING AND CHARACTERIZATION OF SILICA XEROGEL FILMS FOR LOW-K DIELECTRIC APPLICATIONS. Anurag Jain, Satya V. Nitta, Svetlana Rogojevic, Willian N. Gill, Peter C. Wayner, Jr. and Joel L. Plawsky , Dept. of Chemical Eng., Rensselaer Poly. Inst., Troy, NY.

Silica xerogel films of high porosity (60-90%) and uniform thickness (0.4 - 2 microns) were fabricated at ambient pressure on a variety of common substrates. Aging time and temperature were varied to produce films with a wide range of different porosities and microstructures. The rheology of the xerogel sol was shown to greatly affect the thickness uniformity of the final film. A relationship between the dried film thickness and the spin speed was developed. Moisture absorption was studied as a function of film porosity and surface treatment at 100% relative humidity using a quartz crystal microbalance. Depending on the degree of surface treatment, moisture absorption could be as high as 30% or below 2%. The following properties were also characterized: fracture toughness, thermal conductivity, modulus of elasticity, and stress. The dielectric constant and breakdown strength were measured for these films as a function of porosity. Thin films (< 50 nm) of Cu and Ta were deposited on the xerogel films and subjected to thermal annealing (with and without bias). The diffusion and drift characteristics were studied for films as a function of porosity and annealing conditions.

11:15 AM *O1.7 
LOW K MESOPOROUS SILICA FILMS: SYNTHESIS, PORE STRUCTURE AND PROPERTIES. Suresh Baskaran , Jun Liu, Karel Domansky, Xiahong Li, Nathan Kohler, Glen Fryxell, S. Thevuthasan and R.E. Williford, Pacific Northwest National Laboratory, Richland, WA.

Highly porous silica films with pore sizes in the nanometer scale are potentially useful as interlevel dielectrics with k in the range of 1.5 to 2.5. Since our early report* on low k mesoporous silica films using micellar surfactant structures to template porosity in spin-on sol-gel silica, we have made significant progress in developing low k films, using both cationic and non-ionic surfactants, that could meet device performance requirements. The focus of our recent work has been demonstration of functional films with k in the range 1.8-2.2. In this paper, we present information on (1) film deposition conditions for controlling film thickness (2) solution chemistry for controlling film thickness uniformity and surface texture (3) surfactant chemistry for designing pore volume and structure (4) post-cure procedures to treat pore surfaces and ensure low dielectric constant in films prepared with both cationic and non-ionic surfactants (5) the effect of humidity and aging on dielectric response of films (6) accuracy in porosity determination using nuclear reaction analysis/Rutherford backscattering spectroscopy and (7) mechanical properties of highly porous films from picoindentation experiments. Further research work required to address potential integration challenges with open-pore surfactant-templated structures, and the prospects for closed pore structures will be briefly discussed. *P.J. Bruinsma, J. R. Bontha, J. Liu and S. Baskaran, pp105-110 in Low Dielectric Constant Materials II, MRS Symposium Proceedings Vol. 443 (1997)

11:45 AM O1.8 
POROUS SILICON OXYNITRIDE FILMS DERIVED FROM POLYSILAZANE AS A NOVEL LOW-DIELECTRIC CONSTANT MATERIAL. Tomoko Aoki , Yasuo Shimizu, Tonen Corporation, Polysilazane Division, Saitama, JAPAN; Takamaro Kikkawa, Hiroshima University, Hiroshima, JAPAN.

A novel spin on material derived from perhydropolysilazane (PPSZ) which converts into ultra-low k inorganic films is described in this paper. PPSZ films cured at 400C in nitrogen atmosphere exhibit dielectric constants as low as 2.0, and these values do not change after holding the wafers in the clean-room ambient for a month. Other film properties are as follows: density: 0.8 g/cm3, refractive index: 1.2, stress: 30 MPa (tensile). PPSZ also shows crack-free characteristics up to 5m in thickness on plain wafers. The cross-sectional SEM images of PPSZ cured films show the aggregation of small pores with diameters ranging from 5 to 30 nm. PPSZ films can be obtained by conventional SOG process: spin-coating, baking and curing, without an additional process such as a hydrophobic treatment. IR spectra of PPSZ cured films reveal absorptions at 2250 cm-1 (Si-H), 2180 cm-1 (Si-H2), 1170 cm-1 (Si-O) and 950 cm-1 (Si-N). The average atomic compositions of the films are Si/O/N = 50/40/10 (atomic ) by XPS analysis. These results indicate PPSZ films have hydrogen silicon oxynitride structures. No evolution of H2O and NH3 were detected by TDS analysis in the temperature range of RT to 800C. Hydrophobic Si-H and Si-H2 groups might prevent the water absorption, resulting in the low dielectric constant. The remainder of Si-H and Si-H2 constituents in the cured films is the results from the selective oxidation reactions of perhydropolysilazane in the baking process with use of a specific catalyst. The structures of PPSZ films are tailored by altering the amount of the catalyst. In this study, we also demonstrate the relationship between the effect of the catalyst and the film properties. 

SESSION O2: POROUS FILMS: INORGANIC/LOW-K INTEGRATION 
Chairs: Robert C. Birtcher and William N. Gill 
Tuesday Afternoon, April 6, 1999 
Golden Gate A1 (M)
1:30 PM O2.1 
PHENYL SILICA GLASSES FOR FORMATION OF POROUS DIELECTRIC FILM. Kazuhiko Endo , Keisuke Shinoda and Toru Tatsumi, Silicon Systems Res Labs, NEC Corp, Ibaraki, JAPAN.

As feature sizes in integrated circuits approach 0.1 micron, it is required to reduce dielectric constant of interlayer dielectrics below 2. For this reason, decreasing a density of the film is required. Recently, porous silica films are formed by drying of liquid precursors after gelation. However, precise control of pH, temperature, and catalysis are required. In this study, we modified a formation process of porous films by using chemical vapor deposition (CVD) method. We deposited a phenyl silica glass and decreased the density by evaporating the phenyl group from the film after deposition. The films were deposited by a parallel-plate plasma enhanced CVD from phenylsilane or silane+toluene or their oxygen mixture. We used FTIR to measure properties of the films and found that the phenyl groups did not decompose during deposition and were introduced into Si-O network. The dielectric constant of the film was 2.8. This value is lower than the conventional SiO2 films because of lower density of the film due to an incorporation of phenyl groups. By annealing them at 300C in O2, we found that the phenyl groups were evaporated from the film. As the concentration of the phenyl group reduced, the dielectric constant of the film decreased further and achieved 2.4. This can be well explained by the reduction of the density of the film due to the evaporation of phenyl group. Reduction of refractive index as the annealing temperature increased also indicates the reduction of the density. In summary, formation of phenyl silica glass by PE-CVD and evaporation of phenyl group from the film is effective for reducing the density of the silica glass. Thus, it is promising to use this method to achieve low-dielectric-constant below 2.

1:45 PM O2.2 
EVAPORATION-INDUCED SELF-ASSEMBLY OF ORDERED NANOPOROUS SILICA AND ORGANOSILICATE LOW K FILMS. C.J. Brinker , Y. Lu, H. Fan and C.S. Sriram, The Sandia National Laboratories, University of New Mexico Advanced Materials Lab, Albuquerque, NM.

Ideal low k materials for microelectronics applications would combine thermal, chemical, and mechanical stability with small pore size, narrow pore size distribution and tailorable pore volume fraction. This combination of properties is achievable in the family of ordered, surfactant-templated mesoporous silicas discovered by Mobil researchers in 1992 (so-called MCM materials). Although MCM materials were developed as powders, we recently demonstrated the rapid, continuous formation of ordered mesostructured films by evaporation-induced self-assembly during dip- or spin-coating. Through variation of the surfactant type (cationic, non-ionic, block co-polymer) and framework composition, we have developed a rich variety of mesoporous silica and organosilicate films exhibiting hexagonal, cubic, or composite architectures (dense skin layers over mesoporous interiors). This paper will describe our synthetic approach to ordered nanoporous films along with their characterization using 2-D grazing incidence XRD, cantilever beam stress determination, surface acoustic wave-based gas sorption, spectroscopic ellipsometry, and C-V measurements. Compared to aerogel or xerogel films with comparable porosity, we show that ordered mesoporous films exhibit greater mechanical and dielectric strengths important for the reliable integration of low k materials. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under Contract DE-AC04-94AL85000.

2:00 PM O2.3 
CRACKING BEHAVIOR OF XEROGEL FILMS ON SILICON SUBSTRATES. Loren Chow , Y.H. Xu, Bruce Dunn, K.N. Tu, UCLA, Dept. of Materials Science and Engineering, Los Angeles, CA; Chien Chiang, Intel Corporation, Santa Clara, CA.

An analysis of the cracking behavior of sol-gel derived silica, xerogel, films on silicon substrates is presented. At the onset of film cracking, xerogel films on <100> Si substrates show a crosshatched crack pattern, while such films on <111> Si substrates show a random pattern. This is explained by the fact that, for an isotropic film, the critical film thickness for cracking decreases for increasing substrate compliance. For a <100> Si wafer, the directions of highest compliance in the plane of the wafer are in the <100> directions, which lead to cracks in the film parallel to them. A <111> Si substrate is isotropic in the plane of the wafer and hence there is no preferred direction for film cracking. A random pattern is the result. Also discussed here is the critical crack energy release rate of the xerogel film, which offers the critical film thickness as a function of substrate compliance.

2:15 PM O2.4 
LOW-K, POROUS SPIN-ON-GLASS. Paul A. Kohl , Agnes Padovani, Sue Ann Bidstrup-Allen, School of Chemical Engineering, Georgia Institute of Technology, Atlanta, GA; Robert Shick, Larry Rhodes, BFGoodrich Co., Brecksville, OH.

Low dielectric constant, porous silica was made from commercially available silsesquioxanes by the addition of a sacrificial polymer, substituted norbornene polymer containing triethoxysilyl groups (NB), to the silsesquioxanes. The silsesquioxane-NB polymer films were thermally cured followed by decomposition of the NB at temperatures above 400C. The dielectric constant of the methyl silsesquioxane (MSQ) film was lowered from 2.7 to 2.3 by creating 70 nm pores. The voids created in the MSQ exhibited a closed-pore structure. The concentration of NB in the MSQ affected the number of pores but not their size. Porous films were also created in a methyl siloxane spin-on-glass and its dielectric constant was lowered from 3.1 to 2.7. Infrared spectroscopy was used to follow the curing of the MSQ and decomposition of the NB.

2:30 PM O2.5 
FILM PROPERTIES OF LOW DENSITY AND ULTRA-LOW DIELECTRIC CONSTANT MATERIAL. Ryo Muraguchi , Miki Egami, Hiroki Arao, Atsushi Tounai, Akira Nakashima, Michio Komatsu, Catalysts & Chemicals Ind. Co., Ltd., Kitakyushu, JAPAN.

As low dielectric constant materials below 2.5, many porous materials have been studying. It was found that, however, it is not easy to apply these materials to IMD process because of their disadvantages such as weak film strength, degassing behavior, poor adhesion with upper/lower layers, and interpenetrating due to their porous characteristics. To solve these problems, we have been developing a novel low dielectric constant material, IPS, based on the new concept that is designed as low density material. Interpenetrated SOG(IPS) is composed of the low density binder and the silica sol. The low density binder is prepared by the interpenetration of SOG network and decomposable organic polymer network. The homogeneous low density film which have very small pores is obtained by the decomposition of the organic polymer after the film formation. IPS demonstrates low dielectric constant below 2.0 due to its low film density induced by the decomposition of the organic polymer. The dielectric constant of IPS is able to tailor from 3.0 to 1.5 by controlling the film density and it has good film properties with pore diameter less than 5 nm. Film properties such as dielectric constant, stud-pull film strength, degassing behavior, heat resistance, and chemical stability will be discussed from the point of the film density and porous characteristics.

3:15 PM *O2.6 
STRUCTURE-PROPERTY CORRELATION IN LOW K DIELECTRIC MATERIALS. Michael Morgen , Jie-Hua Zhao, Michael Hay, Taiheui Cho and Paul S. Ho, Institute for Materials Science, University of Texas, Austin, TX.

In recent years there have been widespread efforts to identify low dielectric constant materials that can satisfy a number of diverse performance requirements necessary for successful integration into IC devices. This has led to extensive efforts to develop low k materials and the associated process integration. A particularly difficult challenge for material development has been to find the combination of low dielectric constant and good thermal and mechanical stability. In this paper recent characterization results for low k materials obtained at the University of Texas will be reviewed, with an emphasis on relating the chemical structure to the aforementioned key material properties. For example, measurements showing the effect of film porosity on dielectric, thermal, mechanical properties is presented. This data, as well as that for various fully dense materials, demonstrates the tradeoffs between dielectric constant and thermo-mechanical properties that are often made during the course of material development.

3:45 PM O2.7 
CALCULATION OF PORE SIZE DISTRIBUTION IN THE ELLIPSOMETRIC POROSIMETRY. F.N. Dultsev, K.P. Mogilnikov , V.G. Polovinkin, Institute of Semiconductor Physics, Novosibirsk, RUSSIA; M.R. Baklanov, IMEC, Leuven, BELGIUM.

The ellipsometric porosimetry (ELP) is a new non-destructive method for characterisation of porous films. This method is going to be extremely important for development of new generations of porous low-K dielectrics in advanced microelectronics. The main idea of the ELP is to obtain an adsorption isotherm of some vapours in porous film from the ellipsometric measurements. In this work we are discussing two important issues of the ELP. 1. First of them is related with choice of an optimal adsorptive. We studied adsorption of toluene, hexane end ethanol vapours in silica films. The measurements were carried out in a vacuum chamber with special ellipsometric windows. It was found that the pore size distribution does not depend very much on type of the above adsorbtives. In all cases, vapour is absorbing in porous films (porosity 60-70%) simultaneously of the whole film volume. This fact allows to conclude that the all pores were interconnected. When the film porosity is below than the percolation threshold (quazi-close porosity) the change of the ellipsometric characteristics are much less. However, the pore size distribution also can be calculated. Some difference in the experimental curves are related with intermolecular interactions in adsorption layer in the case of polar solvents. In the last case the film swelling is more pronounced than for non-polar adsorptive. ELP allows also to observe the beginning of the vapour condensation on the film surface. 2. Second issue is related with experimental errors which are important for interpretation of the ellipsometric results. It is easy to determine the ellipsometric angles psi and delta from optical model of system substrate/film, but the inverted problem - identification of the optical system from measured psi and delta is not so straightforward. There are some definite reasons for this situation: 1. Properties of a real optical system are always fare from an ideal; 2. Measurement errors; 3. Several optical models can give the same values of psi and delta. We have analysed the ellipsometric characteristics for different optical models. This analysis showed that in practical cases we may use the concept of phase thickness to determine the quantity of adsorption gas. It is shown that the results of the ELP measurements are quite feasible for practical application and correlate with the results of the microbalance porosimetry. ELP give also additional information related with film swelling and rigidness. This information can not be obtained in traditional nitrogen porosimetry.

4:00 PM O2.8 
THERMAL CONDUCTIVITY MEASUREMENT OF LOW-K INTER-LEVEL DIELECTRICS. C.N. Liao , Y. Xu, Y.P. Tsai and K.N. Tu, UCLA, Dept of Materials Science and Engineering, Los Angeles, CA.

In order to reduce interconnect delay and cross-talk noise, replacement of existing inter-level dielectric, SiO2, is necessary for advanced IC fabrication. It motivates a good deal of research on low-k dielectric development, material characterization and process integration in recent years.