Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

spring 1998 logo1998 MRS Spring Meeting & Exhibit

April 13 - 17, 1998 | San Francisco
Meeting Chairs: John A. Emerson, Ronald Gibala, Caroline A. Ross, Leo J. Schowalter









Symposium W—Rapid Thermal and Integrated Processing VII

Chairs 

Mehmet Ozturk 
Dept of Electrical and Computer Engr 
North Carolina State Univ 
Raleigh, NC 27695-7911 
919-515-5245

Sylvia Pas
Mfg Science & Technology Ctr
Texas Instruments Inc
MS 944
Dallas, TX 75243
972-995-1696

Fred Roozeboom 
Microsystems Tech Group 
Philips Research Laboratories 
WA 14 
Eindhoven, 5656 AA NETHERLANDS 
31-40-2742767

Paul Timans
AG Associates
San Jose, CA 95134-2300
408-935-2235

Symposium Support 
*AG Associates 
*Applied Materials, Inc. 
*ASM America 
*CVC 
Dainippon Screen Mfg. Co., Ltd. 
*Eaton Thermal Processing Systems 
*J.I.P. ELEC 
*Philips Lighting 
*SensArray Corporation 
*Texas Instruments, Inc. 
*Textron Systems 
*U.S.A., Inc. 

Proceedings published as Volume 525 
of the Materials Research Society 
Symposium Proceedings Series.
 


* Invited paper

SESSION W1: RTP EQUIPMENT & MODELING 
Chairs: Jeffrey P. Hebb and Paul J. Timans 
Monday Morning, April 13, 1998 
Salon 10
8:30 AM *W1.1 COMPARISON OF THROUGHPUT BETWEEN SMALL BATCH FAST RAMP AND SINGLE WAFER RTP TECHOLOGIES. Rien Van Driel1, Tania Claasen-Vujcic1, Albert Hasper2 and Sybrand Radelaar11AASM International, NETHERLANDS; 2 ASM Europe. 

Some authors have predicted that eventaully all batch processes would be replaced by single wafer processes. Obviously there are area's where single wafer systems have distinct process advantages. However, although the CAGR (compound annual growth rate) of the single wafer market as a whole is definitely larger than for the competing batch technology, the market penetration of single wafer technologies like RTO in area's where there is a competing batch process is considerably slower. The recent upsurge in fast ramp furnace technology makes it worthwhile to reconsider the single wafer vs. batch issue. In this paper we will discuss comparisons of throughput-cycle time and CoO (cost of ownership) of single wafer versus small batch fast ramp systems for a number of typical processes using ASM's unique propietary simulation package. We will also take into account the consequences of fab and area logistics for typical factories. 

9:00 AM W1.2 
EFFECT OF WAFER PARTIAL TRANSPARENCY DURING RAPID THERMAL PROCESSING. Alexis R. Abramson, Ioannis N. Miaoulis, and Peter Y. Wong, Tufts University, Thermal Analysis of Materials Processing Laboratory, Medford, MA; Patricia Nieva, Paul Zavracky, Northeastern University, Dept of Electrical and Computer Engineering, Boston, MA. 

Over a large portion of the temperature range experienced by a wafer undergoing rapid thermal processing (RTP), a low doped n-type silicon wafer of a thickness below  1 mm will allow a significant amount of infrared radiation to be transmitted through the entire substrate. The effects of partial transparency are critical to consider when modeling the total absorptivity and emissivity (integrated over the thermal radiative spectrum) of the wafer. Moreover, the total absorptivity is also dependent on the heat source, typically a W-halogen lamp. Increasing wafer temperature or doping level decreases the partial transparency of the wafer. During RTP, the radiative properties will fluctuate non-linearly with temperature and wavelength, thereby influencing the heat transfer during processing. Previously, partial transparency effects have been considered for specific temperature and wavelength ranges rather than over the entire thermal radiation spectrum of the heat source, and most studies do not discuss all three primary absorption mechanisms: band gap, free carrier, and lattice absorption. A numerical model has been developed to thoroughly model partial transparency effects and other microscale and heat transfer phenomena experienced by an n-type silicon wafer undergoing RTP. There are various parameters to consider in this simulation such as doping level, thin-film structures, wafer thickness and temperature, and the thermal radiative spectrum of the heating source. This paper presents the results of the numerical investigation and compares the predicted thermal behavior of a silicon wafer undergoing RTP to data collected through experimentation. 

9:15 AM W1.3 
THE EFFECT OF CHAMBER COMPONENTS ON WAFER TEMPERATURE RESPONSE IN AN RTP SYSTEM. Narasimha Acharya, Paul Timans, A.G. Associates, San Jose, CA. 

In this work, the thermal interaction between a wafer, a slip-free ring, and a reflector plate are examined for their effects on wafer temperature in an axisymmetric RTP system. The analysis is based on gross view factor calculations between chamber components. Analytical expressions are derived for fluxes using steady state radiation heat balance. These flux expressions are then used as boundary conditions within the framework of a one-dimensional simulation model to extract radial wafer temperatures. The model incorporates in-plane conduction and surface radiation, and ignores the effects of thermal convection. Lamp irradiation is included, but in order to render the analysis tractable, calculations are performed in a black box environment. The study shows that chamber radiative properties significantly influence both the mean and distribution of wafer temperature. Results showing the effects of plate reflectivity and slip-free ring emissivity are presented, along with sample comparisons to experimental data. 

9:30 AM W1.4 
ON THE ENTRANCE EFFECTS AND THE INFLUENCE OF BUOYANCY FORCES ON THE FLUID FLOW IN RTP REACTORS. Yulya P. Rainova, Konstantin I. Antonenko, Moscow State Institute of Electronic Engineering, Dept. of Physical Chemistry Fundamentals of Microelectronics Technology, Moscow, RUSSIA; Joerg Pezoldt, Andre Schenk, Gerd Eichhorn, TU Ilmenau, Institut fuer Festkörperlelktronik, Ilmenau, GERMANY. 

Flow and heat transfer effects play a critical role in chemical vapour deposition (CVD) reactors. Holographic interferometry offers the possibility to study the flow dynamics of an entire region in real time under process conditions with negligible disturbances of the investigated process. The advantages of the method are the absence of inertial errors and the possibility of accurate measurements of flow patterns and temperature profiles in a fast dynamic process under actual experimental conditions. We used interferometric holography for the study of the developing fluid flow in a cylinder symmetrical RTP reactor. The influence of the gas inlets system and buoyancy forces on the resulting fluid flow was investigated for hydrogen and argon carrier gases having different thermophysical properties. A special attention was drawn on the visualization of edge effects. The experimental results were compared with the flow field simulations. 

10:15 AM *W1.5 
THERMAL RADIATIVE PROPERTIES OF COATED SILICON SAMPLES. Henrik Rogne, SINTEF Electronics and Cybernetics, Oslo, NORWAY; Haroon Ahmed, Microelectronics Research Centre, University of Cambridge, UNITED KINGDOM. 

Isothermal electron beam heating combined with in situ optical measurements have been used to measure the emissivity of coated silicon samples at elevated temperatures. The coatings include a number of oxide, nitride, and silicon films. Infrared emission spectra were recorded from 1 to 9 m, for temperatures between 750 and 1200ºC. The experimental results were compared with calculated theoretical values, which were predicted from the theory of thin film coatings, using a matrix model incorporating the optical constants for the materials. A good match between experimental and theoretical values validates the use of the infrared optical constants for theoretical modelling related to control and temperature measurements in rapid thermal processing systems. 

10:45 AM W1.6 
MODEL BASED TEMPERATURE CONTROL IN RTP YIELDING 0.2 ºC ACCURACY ON A 1000 ºC 1 SECOND SPIKE ANNEAL. Peter Vandenabeele, SensArray, Nijlen, BELGIUM; Wayne Renken, SensArray, Santa Clara, CA. 

Feedback control of RTP has traditionally been executed with basic PID control algorithms and intuitive tuning of the control parameters. For the very tight control of short processes (spike anneals) an accurate Model Based Control is required. The Model Based Control incorporates a 4 state model of the system. The model states are the lamp filament temperature, the wafer Si temperature, the indicated thermocouple (TC) temperature and the quartz temperature. In first instance, the model is used (inverted) to predict that lamp voltage that will lead to the programmed Si wafer temperature. In second instance, small residual errors between the expected TC temperature and the measured TC temperature are used to correct the model and reach exactly the setpoint temperature. Because the combination of the inverted model and the actual RTP oven is very close to a unity gain system, very high feedback gains can be used without oscillation. On a 1000 ºC, 1 second cycle with 100 C/s ramp rates, the control accuracy is 0.2 ºC over the complete steady state profile. Also longer cycles of e.g. 20 second at 1000 ºC can be controlled within 0.2 ºC. 

11:00 AM W1.7 
EMMISSIVITY MEASUREMENTS AND MODELING - APPLICATIONS TO SEMICONDUCTORS. N.M. Ravindra, S. Abedrabbo, O.H. Gokce and F.M. Tong, New Jersey Institute of Technology, Newark, NJ. 

The status of emissivity measurements and modeling and its applications to silicon related materials, III-V and II-VI compound semiconductors is summarized in this study. The experimental methodology considered in the study focuses on spectral emissometry. Correlation between wavelength and temperature dependent emissivities obtained from first principles and experimental methods are discussed in detail. This investigation concludes with a recommendation of choice of wavelengths of interest for pyrometry in rapid thermal processing (RTP). Comparisons of the results of emissivity obtained by various groups has been performed. 

11:15 AM W1.8 
RESIDUAL GASES AND THEIR INFLUENCE ON PROCESSES IN AN ATMOSPHERIC RAPID THERMAL PROCESSING REACTOR. Yao Zhi Hu, Sing Pin Tay and Steve Campbell, AG Associates, San Jose, CA. 

The residual impurity gases in the atmospheric rapid thermal processing (RTP) equipment are becoming an important factor in sub-micron ULSI industry. For example, 1% nitrogen in oxygen retards the RT oxide growth by about 15% for thickness in the <10 nm regime. Also, small amount of moisture or oxygen in nitrogen may strongly affects the RT titanium silicidation. The effective method to reduce the residual gases is to use gas purging the chamber. In the present paper the gas purging mechanisms were investigated in the flow rate range of 5 to 60 slpm using a Quadrupole Residual Gas Analyser (RGA) and gas sensors. The gases for purging studies are N2, O2, He and Ar. It has been found that there are two regimes in the dependence of the residual gas concentration on purging time. In the initial regime the concentration dependence can be easily interpolated by a ``perfectly stirred tank reactor'' model and the purging time can be evaluated. The second regime (residual gas concentration <1000ppm) is more complex and dependent on the chamber configuration, type of gas and desorption from the wall and wafer. The experimental results of the influence of residual impurity gas on RT oxidation and silicidation will be presented in this paper. The limitation of RGA usage in an atmospheric RTP system will also be discussed. 

11:30 AM W1.9 
IN-LINE AMBIENT IMPURITY MEASUREMENT OF A RAPID THERMAL PROCESS CHAMBER BY USING ATMOSPHERIC PRESSURE IONIZATION MASS SPECTROMETER. E. Kondoh, G. Vereecke, K. Maex, IMEC, Leuven, Belgium; T. Gutt, Z. Néynei, Steag AST Electronik, Dornstadt, GERMANY. 

Gaseous impurities incorporated in the annealing ambient of an SHS2800 rapid thermal processor are studied quantitatively by using atmospheric pressure ionization mass spectrometer (APIMS). Nitrogen gas, purified by an active-type purifier, is used as a process gas. The ambient gas is sampled, just downstream the wafer, within the chamber. The sampled gas is then diluted by the pure N2 before being fed to the APIMS head. When the chamber is purged for a long time, the highest impurity is water, of which concentration is as low as the supplied N2 level (ca 50 ppb), indicative no significant leakage. Water, O2, CO2, CH4, NH3 are successfully measured during thermal processing on the wafers having different kinds of film. The impurity amount changes depending on process parameters such as temperature, N2 flow rate, and lamp power. In-situ monitoring of typical silicidation processes shows that water and oxygen are major impurities. The presence of other impurities is also confirmed. The main source of water and oxygen is supposed to be the clean room environment incorporated into the chamber during wafer loading; however, the wafer itself can be an origin as well, depending on process conditions. An in-line zirconia oxygen sensor is equipped at the gas sampling line close to the chamber, and the measured oxygen level is compared to that from APIMS. The effect of impurities on the quality of silicides is under investigation and will be reported. 

11:45 AM W1.10 
BEYOND THERMAL BUDGET: USING Dt IN KINETIC OPTIMIZATION OF RTP. R. Ditchfield, E. G. Seebauer, University of Illinois, Chemical Engineering Department, Urbana, IL. 

Up to now, kinetic effects in rapid thermal processing have been assessed using the concept of thermal budget, with the idea that thermal budget minimization should minimize dopant diffusion and interface degradation. Experiments in this laboratory have previously shown that a T-t definition for budget actually fails to yield kinetic optimization. However, some embodiments of thermal budget employ the product of diffusivity and time (Dt). Our experiments (involving CVD vs. diffusion) indicate that a Dt definition does better than T-t. Nevertheless, we show that minimization of Dt by itself is not sufficient to kinetically optimize an RTP process; account must be taken of the kinetics of the desired process (oxidation, silicidation, etc.). We present a straightforward but rigorous method for doing so. 

SESSION W2: TEMPERATURE MEASUREMENT & NEW CONCEPTS IN RTP EQUIPMENT 
Chairs: John Hauser and Terrence J. Riley 
Monday Afternoon, April 13, 1998 
Salon 10
1:30 PM *W2.1 
ADVANCES IN RTP TEMPERATURE MEASUREMENT AND CONTROL. Gary Miner, Bruce Peuse, Mark Yam, Applied Materials, RTP Division, Santa Clara, CA; Curtis Elia, Oakleaf Engineering, Inc., Redwood City, CA. 

The accurate and repeatable measurement and control of wafer temperature is a key technology for successful RTP. This paper outlines work leading to several advances in RTP temperature measurement technology. Innovations in RTP optical thermometry are described which result in a real time in-situ spectral emissivity measurement tool enabling emissivity independent temperature measurement. In addition, a novel temperature calibration tool is described which enables process chamber matching to better that 2C. A temperature control system consisting of a multi-input multi-output (MIMO) optima control architecture is discussed. An RTP system which incorporates these technologies is described. Process results for RTO and RTA demonstrating an equivalent process temperature performance of 5C 3-sigma, all-points-all-wafers are presented. 

2:00 PM W2.2 
RTP CALIBRATION WAFER USING THIN FILM THERMOCOUPLES. K.G. Kreider, D.P. DeWitt, D.W. Allen, and G.J. Gillen, NIST, Gaithersburg, MD. 

Rapid thermal processing is a key technology for the cluster tool, single wafer manufacturing approach that is used to produce integrated circuits at lower cost with reduced line widths and thermal budgets. However, various problems associated with wafer temperature measurements and dynamic temperature uniformity have hindered the widespread use of RTP in semiconductor device manufacturing. The current technology for calibrating the radiation measurements employs a thermocouple instrumented wafer. We plan to accomplish significant improvements in the accuracy of these measurements through the use of thin-film thermocouples and the new Pt/Pd thermocouple system. These new calibration wafers could reduce the uncertainty in wafer temperature measurement technology by (1) reducing the perturbation due to heat transfer at the thermocouple junctions and (2) replacing conventional thermocouples with the superior Pt/Pd system. To construct the test wafers we have sputter coated the thin-film Pt/Pd and Rh/Pt thermocouple arrays and welded specially calibrated 0.25 mm Pt/Pd thermocouple wires to the films on 200 mm commercial type calibration wafers. The on board thin-film thermocouples were calibrated using proof specimens cofabricated with the 200 mm wafers. The thin-film sensors were compared with the commercial thermocouple performance and with radiation temperature measurements in the NIST RTP sensor test bed. The commercial thermocouples yielded temperature measurements within 3C of the thin-film Rh/Pt and Pt/Pd thermocouples on the 200 mm calibration wafer between 725C and 875 C. The Pt/Pd thin-film thermocouples proved less durable than the Rh/Pt thin films and the limitations of these systems are discussed. We also present a comparison of the radiometric measurements with the thermocouple measurements. 

2:15 PM W2.3 
DIFFERENCE BETWEEN WAFER TEMPERATURE AND THERMOCOUPLE READING DURING RAPID THERMAL PROCESSING. Theodorian Borca-Tasciuc and Gang Chen, University of California at Los Angeles, Mechanical and Aerospace Engineering Dept., Los Angeles, CA. 

Thermocouples are often used as a calibration standard for rapid thermal processing. Although it has been recognized that the thermocouple temperature can be different from the wafer temperature, the magnitude of the temperature difference is difficult to quantify. In this work, we present a series of experimental results to demonstrate the difference between the thermocouple temperature and the true wafer temperature. The results show that a large difference can exist between the thermocouple and the wafer temperature. This is because the optical and thermophysical properties of the thermocouple and the glue material are different from those of the wafer. 

2:30 PM W2.4 
CALIBRATION OF WAFER TEMPERATURE TO NIST TRACEABLE STANDARDS USING AN ISOTHERMAL CAVITY. Peter Vandenabeele, SensArray, Nijlen, BELGIUM; Wayne Renken, SensArray, Santa Clara, CA. 

Thermocouple (TC) wafers have been used as the main temperature standard in RTP systems. In a non isothermal system, such as an RTP system, thermocouple wafers do not read exactly the Si temperature due to an offset (of approx. 2 degree C) between the junction temperature and the Si temperature. For calibration to NIST traceable standards, a traceable reference TC is enclosed in an isothermal cavity formed between two Si wafers. Two separate lamp banks are controlled to reach exact isothermal conditions in the cavity. Under this condition, the temperature of the two Si wafers is known to be exactly equal to the indicated temperature of the reference TC. At a test temperature of e.g. 1000 degree C, thermocouples, mounted on the outside of the cavity, indicate a temperature that is 2 to 3 degree C below the actual Si temperature. In this way, the indicated temperature of a TC mounted in a typical double side heated RTP system, can be calibrated to the exact Si temperature indicated by the traceable sensor mounted inside the isothermal cavity. 

3:15 PM W2.5 
Abstract Withdrawn. 

3:30 PM W2.6 
RTP TEMPERATURE MEASUREMENTS USING Si GRATING PREPARED BY LASER ABLATION FOR LARGE DIAMETER WAFER APPLICATIONS. C.W. Liu, C.Y. Chao, C.Y. Chen, and C. C. Yang, Dept of Electrical Engineering and Graduate Inst. of Electro-optical Engineering, National Taiwan University, Taipei, TAIWAN; Y. Chang, Dept of Mechanical Engineering, Chung Cheng Inst. of Technology, Taoyuan, TAIWAN. 

Although a sensitivity of 0.75 C of the grating temperature measurements has been demonstrated[1] in RTP process, the conventional etching process to fabricate grating on large diameter wafers makes it impractical for the real production process. We, therefore, used the laser ablation technique to fabricated such Si gratings without any lithography and etching process. To increase the sensitivity of measurements, a large-angle diffracted beam (-1 order, 80) was used, by optimizing the incident angle and the grating period. As a result, an improvement of sensitivity by a factor 5 could be obtained in a non-differential mode, compared to the previous report[1], whtch used nearly normal diffraction. The Si gratings were fabricated by the interference of two high power laser beams with the wavelength of 266 nm (the 4th harmonic of Nd:YAG laser). The grating period was determined by interference condition, and could be varied from 180 to 500 nm, which would be beneficial to increase the measurement sensitivity. Note that similar gratings could be also fabricated on III-V compounds. The HeNe laser (632.8nm) was used as the light source to measure the thermal expansion of grating periods for the temperature measurements. The incident direction and the 0-the order diffraction direction were used to define the normal of the wafer surface, and then the diffraction angle of the -1 order beam could be determined. As a preliminary result, the Si wafer temperature from the room temperature to 800C were measured. This work was supported by National Science Council, Taiwan (87-2218-E-002-005, 86-2215-E-002-010) 1. S. R. J. Brueck, MRS, Vol. 303, p.117 (1993) 

3:45 PM W2.7 
MEETING RTP TEMPERATURE ACCURACY REQUIREMENTS: MEASUREMENT AND CALIBRATIONS AT NIST. F.J. Lovas, B.K. Tsai, and C.E. Gibson, Optical Technology Division, National Institute of Standards and Technology, Gaithersburg, MD. 

Radiometric temperature measurements in RTP have substantially improved in terms of repeatability, uniformity, and active power control. This has prompted the 1997 National Technology Roadmap for semiconductors to suggest a 2C tolerance goal on temperature control due to thinner gate oxide thickness, which will continue the challenge in temperature measurement and control. In this paper we will discuss the NIST absolute radiometric temperature measurements, calibrations, uncertainty analyses, and issues of traceability and practice. In the NIST Radiance Temperature Calibration Laboratory, the International Temperature Scale of 1990 (ITS-90) is realized and disseminated. The NIST scale is based on measurements of the spectral radiance of the freezing point of gold (t90 = 1064.18C) with the NIST Photoelectric Pyrometer. Ribbon filament lamps are calibrated as radiance temperature standards in the temperature range from 800C to 2300C by spectral comparison to a working standard lamp. Radiation thermometers and pyrometers are measured from 700C to 2700C by comparison to a variable temperature blackbody. A second calibration facility is under development for radiometric temperature measurement in the range from 10C to 960C. A variety of variable temperature blackbody sources have been constructed and are currently being characterized for uniformity and compliance with ITS-90. These range from a temperature stabilized water bath blackbody (10C to 90C) to pressure controlled cesium and sodium heatpipe blackbodies (350C to 960C) and pure metal freezing point blackbodies (Ga, In, Sn, Zn, Al, Ag, and Au). The equipment employed, measurement methods, and derived temperature uncertainties will be described. Recent experiences in the calibration of pyrometers and optical fiber radiation thermometers for the temperature range of 350C to 960C will illustrate issues related to reproducibility, sources of uncertainty, traceability, and practice. 

4:00 PM W2.8 
LASER ULTRASOUND INSTRUMENTATION FOR ACCURATE TEMPERATURE MEASUREMENT OF SILICON WAFERS IN RAPID THERMAL PROCESSING SYSTEMS. Dan Klimek, Agostino Abbate, and Petros Kotidis, Textron Systems, Wilmington, MA; Brian Anthony, MIT, Artificial Intelligence Laboratory, Cambridge, MA. 

There are many processes within the semiconductors manufacturing industry where temperature uniformity and control are critical. Such processes include Rapid Thermal Processing (RTP), Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), and plasma etch. The ability to measure and map the silicon wafer temperature during processing is an enabling technology for most processes, especially the Rapid Thermal Process. Textron Systems has introduced a new product line, the LaserWavetm Analyzer, to apply the methods of laser ultrasound to industrial process control. This technology is currently being optimized to measure the temperature of silicon wafers with sufficient accuracy and spatial resolution to satisfy the needs of the RTP industry. Laser ultrasound provides a non-contact, emissivity independent technology for determining the temperature of the silicon wafers inside a rapid thermal processing system. Two lasers are incorporated in the LaserWavetm Analyzer; one to generate the ultrasound, and one to measure its characteristics. By carefully controlling the characteristic of the excitation process, and using innovative signal processing, temperatures from room temperature to the upper limit of RTP systems have been measured with an accuracy of 1ºC, 1. Since this methodology does not depend on the measurement of radiative emission from the wafer, there is no lower limit to the temperatures that can be accurately measured. There is also no upper temperature limit to this technology, and it has been tested with silicon wafers to >1000ºC. This paper will describe the LaserWavetm instrument as well as the results of recent tests to evaluate its temperature measuring capability.