Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

spring 1998 logo1998 MRS Spring Meeting & Exhibit

April 13 - 17, 1998 | San Francisco
Meeting Chairs: John A. Emerson, Ronald Gibala, Caroline A. Ross, Leo J. Schowalter









Symposium EE—Silicon Front-End Technology - Materials Processing and Modeling

Chairs 

Nick Cowern 
Philips Research Laboratories 
Bldg WL-01 
Eindhoven, 5656 AA NETHERLANDS 
31-40-2742709

Peter Griffin
Integrated Circuits Laboratory
Stanford Univ
CISX 301
Stanford, CA 94305-4070
(415) 725-3718

Dale Jacobson 
Bell Labs, Lucent Technologies 
Rm 1E-365 
Murray Hill, NJ 07974 
908-582-6557

Paul Packan
Dept of Technology CAD
Intel Corp
RA1-305
Hillsboro, OR 97124-6497
(503) 613-9869

Roger Webb 
Dept of E&EE 
Univ of Surrey 
Surrey, GU2 5XH UNITED KINGDOM 
44-1483-259830 

Symposium Support 
*Applied Materials, Inc. 
*Charles Evans & Associates 
*Eaton Corporation 
*Genus Inc. 
*National Electrostatics Corporation 
*Sematech, Inc. 
*Technology Modeling Associates, Inc. 
*Varian Associates, Inc. 

1998 Spring Exhibitor
Proceedings published as Volume 532 
of the Materials Research Society 
Symposium Proceedings Series.
 

* Invited paper
SESSION EE1: SHALLOW JUNCTIONS AND TRANSIENT ENHANCED DIFFUSION 
Chair: Dale C. Jacobson 
Monday Morning, April 13, 1998 
Pacific J
8:30 AM *EE1.1 
ULTRA SHALLOW JUNCTION FORMATION BY RTA AT HIGH TEMPERATURE FOR SHORT HEATING CYCLE TIME. Shuichi Saito, Seiichi Shishiguchi, Akira Mineji, NEC, ULSI Device Development Labs., 1120, Shimokuzawa, Sagamihara, Kanagawa 229-11 JAPAN. 

Ultra shallow junction formation has extensively progressed by development of ultra low energy implant and RTA technologies, combined with shallow dopant profile measurement. This paper presents the effectiveness of high temperature and short heating time RTA to fabricate ultra shallow junction. In order to realize a fixed junction depth, two methods are considered. One is the use of ultra low energy implantation, followed by RTA at low temperature for several 10 seconds. Another is the use of RTA at high temperature for short time to suppress dopant diffusion. Implantation and RTA conditions were optimized; RTA temperature from 950 to 1150ºC, RTA time from 50 msec to 30 seconds, ramp up rate from 100 to 400ºC/sec, implant energy from 0.2 to 2 keV with a dose of 11015 cm-2. Junction depth below 40nm was fabricated using those two methods; one was B implantation at 0.2keV, followed by RTA at 950ºC for 10 seconds and another was RTA at 1050ºC for 50 msec with ramp up rate of 400ºC/sec after 1keV B implant. However, electrical characteristics measurement suggests that RTA at high temperature is effective to obtain a good performance in device characteristics such as junction leakage current. These results indicate high temperature process in RTA after B implantation for ultra shallow junction formation. 

9:00 AM EE1.2 
ULTRA SHALLOW JUNCTION FORMATION BY B+/BF2+ IMPLANTATION AT ENERGY OF 0.5 KEV. Masataka Kase, Yoshio Kikuchi, Hiroe Niwa, Tomohiro Kubo, Takafumi Kimura, Fujitsu Ltd, Device Development Div, Kawasaki, JAPAN. 

For B+/BF2+ 0.5 keV implantation, the implant damage in Si and transient enhanced diffusion (TED) are discussed. We demonstrate the formation of 19 nm junction depth using BF2+ 0.5 keV implantation. Ion implantation were performed to <100>-oriented n-type wafers in conditions of B+ and BF2+ at an energy of 0.5 keV with doses ranging from 1x1013 to 1x1015 cm-2. Some samples are previously Ge-preamorphized. Rapid thermal annealing (RTA) was performed at 950 ºC and 10 s in N2 ambient. B depth profiles were corrected at secondary ions mass spectroscopy (SIMS). For the B and BF2 implanted with a dose of 1x1014 cm-2 into the crystalline sample, the depth profiles at the post-RTA have 31 nm and 19 nm of the junction depth, respectively, and TED is not observed. The proflle of Ge-preamorphized sample becomes deeper due to TED. The cross sectional transmission electron microscopy shows existing the surface-localized defect that has no-amorphous-structure, which is seemed to suppress TED. In the profiles of B implanted at 0.5 keV with 1x1015 cm-2 at the post-RTA, the immovable B, that is electrically inactive, is observed at the region of higher concentration of B than 1x1020 cm-3, i.e., the solid solubility limit at 950ºC. At the higher dose than 5x10-4 cm-2, the sheet resistivity does not decrease adequately with increasing the dose. Also, the junction depth becomes deep. It means that the dose should be optimized with decreasing the implant energy. 

9:15 AM EE1.3 
ENHANCED DIFFUSION FROM DECABORANE (B10H14) MOLECULAR ION IMPLANTATION. Aditya Agarwal, H.-J. Gossmann, L. Pelaz, D.J. Eaglesham, and D.C. Jacobson, Bell Laboratories, Lucent Technologies, Murray Hill, NJ; M. Sosnowski and J.M. Poate, New Jersey Institute of Technology, University Heights, Newark, NJ; J. Matsuo and I. Yamada, Kyoto University, Sakyo, Kyoto, Japan; J. Jackson and Yu. E. Erokhin, Eaton Corporation, Beverly, MA. 

Implantation of decaborane molecular ions (B10H14) is of great technological interest as an alternative to ultra-low energy monomer boron implantation. We have quantified and compared enhanced diffusion from implantation of 5 keV B10H14and 0.5 keV B ions at nominal boron doses of 1014 and 1015 B cm-2. The B diffusivity during annealing was extracted from secondary ion mass spectroscopy of marker layers in boron doping-superlattices. The actual B dose introduced by the different implants was independently measured using the p-$\alpha$ nuclear reaction technique. After adjusting for actual dose we find the same diffusion enhancement from decaborane as from monomer B ions of equivalent energy. Thus, while the diffusion enhancement from B10H14 is greatly reduced, compared with higher energy B implants, it is identical to that from 0.5 keV B. There is no detectable effect in the diffusion profiles which can be attributed to a difference in the ion-damage produced by the decaborane cluster, as compared to the monomer boron. In both cases the reduction in the enhancement is due only to the proximity of the surface to the implantation-induced excess interstitials. 

9:30 AM EE1.4 
CLUSTER ION IMPLANTATION FOR ULTRA SHALLOW JUNCTION FORMATION. Jiro Matsuo and Isao Yamada, Ion Beam Engineering Experimental Laboratory, Kyoto University, Sakyo, Kyoto, JAPAN; Ken-ichi Goto, Toshihiro Sugii, Fujitsu Labs Ltd., Atsugi, JAPAN. 

Shallow junction formation is a critical issue in deep sub-micron devices. Many technological challenges concerning ion implantation for very shallow junctions must be solved. A cluster ion consists of a number of atoms sharing a single charge. Relative to conventional ion beams, cluster ion beams can carry the equivalent of higher ion beam currents at lower energies per atom. By using cluster ions at moderate energies, it is possible to realize low effective energy ion beams for shallow junction formation. Cluster ions help to reduce ion beam divergence caused by space charge effects. Because of the high mass-charge ratios, wafer charging problem can be suppressed. Poly-atomic cluster ions of decaborane(B10H14), each containing ten boron atoms, have been used as the implantation source for shallow junction formation. The decaborane, which is solid at room temperature, is safer than diborane(B2H6) as a dopant source. Using decaborane implantation, we have successfully developed a high performance 40mn p-MOSFET with 7nm deep ultra shallow junction. The process technology and device performance will be discussed. 

9:45 AM EE1.5 
DOPANT LOSS ORIGINS OF LOW ENERGY IMPLANTED ARSENIC AND ANTIMONY FOR ULTRA SHALLOW JUNCTION FORMATION. Kentaro Shibahara, Hiroaki Furumoto, Kazuhiko Egusa, Shin Yokoyama, Hiroshima Univ., Research Center for Nanodevices and Systems, Higashi-Hiroshima, JAPAN; Meishoku Koh, JST, Higashi-Hiroshima, JAPAN. 

Low energy As or Sb implantation for source and drain extensions formation is an important technique for n-MOSFETs scaling. For example, ultra shallow 19 nm depth junction was obtained with 10 keV 1x1014 cm-2 Sb implantation with annealing at 850 ºC for 30 min(1). However, sheet resistance increased as implantation energy decreases for the both As and Sb. By SIMS analysis, we found the implanted dopant loss having the same tendency as the sheet resistance. In the cases of heavy ions, such as Sb and As, sputtering of the Si surface is a possible origin of the dopant loss. However, by our estimation using known sputtering rate, the loss due to the sputtering is negligible for the doses less than 5x1015cm-2. The pile-up in the vicinity of the Si surface is considered to be a major origin of the loss. We investigated the variation in Sb pile-up changing annealing time. Implantation dose and energy were 1x1014 cm-2 and 10 keV, respectively. Within the 5 min, 56  of implanted Sb piled up. After the 30 min annealing, the amount of the pile-up increased to 66  of the initial dose. Slow pile-up similar to the variation from 5 min to 30 min are already known(2). In the early stage of annealing the pile-up is probably enhanced by the transport of the dopants due to solid phase regrowth of an amorphized layer by the implantation. 

10:30 AM *EE1.6 
TRANSIENT DIFFUSION EFFECTS IN SILICON TECHNOLOGY. Conor Rafferty, Bell Labs, Lucent Technologies, Murray Hill, NJ. 

In modern silicon technology, there is a steady trend to reduce the "thermal budget" of fabrication processes. The intent has been to reduce the thermal diffusion of dopants. However low temperatures have exposed significant non-equilibrium diffusion effects. The most striking of these, transient enhanced diffusion, is now the single largest contribution to dopant spread in many modern technologies. Transient diffusion (TED) is the enhanced diffusion rate of dopants due to point defects introduced during ion implantation. The enhancement can be as much as four decades above thermal diffusion rates. TED by its nature is cooperative in nature, where implanting one species can lead to enhanced diffusion of all the other species in the wafer, even those located some distance from the implantation window. The effects of such local and remote diffusion transients on transistors is manifold. In some cases the transistors may fail completely to function as intended, in others their properties may be degraded or shifted from their intended targets. This talk describes some of the experimentally obvserved impacts of transient diffusion in technology. It is shown how a better understanding of the materials science involved can lead to better devices. 

11:00 AM EE1.7 
THE IMPORTANCE OF PAIRING REACTIONS FOR THE MODELING OF DEFECT-DOPANT INTERACTIONS IN SILICON. Ingo Bork, Andreas v. Schwerin, Siemens AG, Semiconductors, Munich, GERMANY. 

Models for the coupled diffusion of point defects and dopant-defect pairs in silicon often are based on the assumption that pairing reactions are in local equilibrium (see Suprem4, Prophet, Dios, Floops). This assumption has been justified recently (C. Rafferty, p.1, SISPAD 1997) by estimating the overall pairing time of boron, to be less than a few milliseconds at 800C. However, transient enhanced diffusion (TED) starts well below 800C. Significant TED of B markers at 670C has been reported (P. Stolk et al., J. Appl. Phys. 81(9), 1997) and even below 670C TED is likely to occur. At these temperatures, pairing reactions are relatively slow. For example, at 600C the overall time of B to pair is approximately 30 minutes. Apparently the equilibrium approximation is questionable under these conditions. By means of a five equation system, we show that dynamic pairing indeed has a significant influence on diffusion at 600C but can be neglected for isothermal diffusion at 800C. Since the initial stage of a diffusion process after ion implantation is very important for TED, a realistic temperature profile should be taken into account for accurate profile predictions. If we include temperature ramping starting from 600C, the effect of dynamic pairing is even visible for a two hour furnace annealing process at 800C. For a typical LDD phosphorus implant, the diffusion at a concentration of 1017/cm3 for example, is about 25% higher in case of the generally used equilibrium approximation. 

11:15 AM EE1.8 
ION MASS AND DOSE EFFECT ON TED: DEVIATION FROM THE ``1'' MODEL. Lourdes Pelaz, G.H. Gilmer, S.B. Herner, H.-J. Gossmann, D.J. Eaglesham, G. Hobler, C.S. Rafferty, D.C. Jacobson, Bell Laboratories, Lucent Technologies, Murray Hill, NJ; M. Jaraiz, Universidad de Valladolid, SPAIN. 

The effect of ion mass and dose on transient enhanced diffusion (TED) and defect evolution during implantation and annealing in Si have been studied by atomistic simulation and experiments. The binary collision simulator MARLOWE is used to generate the implantation cascade. We have carried out two kinds of simulations: a``+1'' model, and a model which includes all interstitials and vacancies generated during implantation. In both cases, we use our Monte Carlo diffusion code (BLAST) to simulate the subsequent anaealing. The results are compared with experiments on wafers with B marker layers after Si or Pb ion implantation and annealing, along with the counting of the interstitials in {311} defects. The simulation results are consistent with the experimental data but contradict the ``+1'' model: implants with equal dose and equal range show that heavier ions produce more TED than lighter ions. We show that a larger redistribution of the Si atoms in the crystal is produced by heavier ions. We also discuss the dose dependence of TED. The ``+1'' model can be modified by using a higher effective ``+n'' to account for the TED produced by heavy ions or low doses. 

11:30 AM EE1.9 
TRANSIENT ENHANCED DIFFUSION FOR ULTRA LOW ENERGY BORON, PHOSPHORUS, AND ARSENIC IMPLANTATION IN SILICON. Ning Yu, Amitabh Jain, and Doug Mercer, Texas Instruments, Semiconductor Process and Device Center, Dallas, TX. 

The SIA roadmap predicts that junction depths of 500 Å  or less are required for CMOS technology nodes of 0.18 m or beyond by the year 2001. There are several ultra-shallow junction doping techniques currently under investigation. These include beamline ion implantation, plasma immersion ion implantation, and gas immersion laser doping. This study was based on beamline ion implantation of B, P, and As into single-crystal Si wafers at 0.25-2 keV to doses of (2-10)x1014at./cm2 with minimized beam energy contamination. Rapid thermal annealing was applied to the implanted wafers at 1050ºC for 10 sec at a ramp rate of 50ºC/s in a N2 ambient. Transient enhanced diffusion was observed for all three implant species. For example, the depth of 0.25 keV B measured by SIMS increases from 250 to 520 Å  at a concentration level of 1x1017 at./cm3 upon RTA. To minimize the TED, several schemes of defect engineering were applied prior to low energy implantation, including pre-amorphization and implantation of other species. A comparison of TED for different implantation conditions is given with the aim of process development for minimizing TED. The impact of energy contamination on ultra shallow junctions is also addressed. 

SESSION EE2: EXTENDED DEFECTS AND TRANSIENT ENHANCED DIFFUSION 
Chair: Peter B. Griffin 
Monday Afternoon, April 13, 1998 
Pacific J
1:30 PM *EE2.1 
TEM STUDIES OF DEFECT EVOLUTION IN ION IMPLANTED SILICON USING. K.S. Jones and J.H. Li, University of Florida, Gainesville, FL. 

There appears to be a strong correlation between extended defect evolution and transient enhanced diffusion in ion implanted silicon. Transmission electron microscopy studies have been done with both in-situ and ex-situ annealing to study the evolution of the extended defects after ion implantation. By utilizing ex-situ annealing of the same region the source of the sub-threshold (non-amorphizing) loops is identified. The unfaulting of the {311} defect is shown to be the source of the loops. Additional studies on the evolution of the defects for amorphizing Si+ implants will be reported. These studies are being correlated with TED results from doping superlattices. All of the aforementioned studies are utilizing ex-situ annealing. In addition to ex-situ annealing, in-situ annealing using a heated holder is being explored. In-situ annealing was used to study the evolution of ultra-low energy (sub 3keV As+) implants. Again these results will be correlated with SIMS studies of TED. 

2:00 PM EE2.2 
BORON TED IN PRE-AMORPHISED SI : ROLE OF THE A/C INTERFACE. D. Mathiot, ERM-PHASE, ENSPS, Bd. S. Brant, Illkirch, FRANCE; C. Bonafos, M. Omri, CEMES/CNRS, Toulouse, FRANCE, D. Alquier, A. Martinez, LAAS/CNRS, Toulouse, FRANCE; A. Claverie, CEMES/CNRS, Toulouse, FRANCE. 

In this contribution we examine the contradictory experimental results published so far on the existence or not of TED for B implanted in pre-amorphized Si, focusing on the links existing between the evolution of the EOR defects, the corresponding self-interstitial supersaturation level, and the amount of TED. From this brief review it is shown that the self-interstitial supersaturation in equilibrium with the EOR defects during their coarsening stage is too low to account for the strong TED observed in some experimental situation. It is then concluded that the appearance of the TED necessarily occurs at the very beginning of the anneal, during the nucleation stage of the EOR defects, i.e. while the self-interstitial supersaturation arising from the implant damage is still very high. However, at that time, since it is not correctly formed, the EOR band cannot be an efficient barrier for the self-interstitials, and thus approximately the same amount of TED should be observed for marker layers located either in the bulk side or in the surface side of the original a/c interface. This is obviously in contradiction with other experimental observations, and thus another barrier does exist. We suggest that the a/c interface is this barrier, and we show that the contradictory experimental results can be reconciled within this model. The existence, or not, of TED is the result of the competition between the kinetics of the SPE regrowth and of the EOR nucleation, and depends on the distance between the as-implanted profile and the original a/c interface : significant TED can occur only if, during the SPE regrowth, the moving a/c interface has time to reach the B profile before the nucleation of the EOR defects is over. This model permits also to account for the influence of the B dose on the amount of TED. 

2:15 PM EE2.3 
EFFECT OF THE END OF RANGE LOOPS ON THE EVOLUTION OF 311 DEFECTS. R. P. Raman and M. E. Law, University of Florida, Dept of Electrical Engineering, Gainesville, FL; V. Krishnamoorthy and K.S. Jones, University of Florida, Materials Science and Engineering, Gainesville, FL. 

The interactions between type II (end of range) dislocation loops and 311 defects as a function of their proximity was studied. The dislocation loops were introduced at 2600A by a dual 1E15/cm2, 30 keV and a 1E15/cm2, 120 keV Si+ implantation into Silicon followed by a anneal at 850C for 30 minutes. The depth of the loop layer from the surface was varied from 2600A to 1800A and 1000A without changing the size or the density of the loops by polishing off the Si surface using a CMP technique. A 1E14/cm2, 40 keV Si+ implantation was used to create 311 defects at the projected range of 580A. The wafers were annealed at 700C, 800C and 900C and plan-view TEM study was performed. The two controls used were the loop control wafer with no 311 implant and a 311 control wafer with no loops. It was found that the number of interstitials in 311 defects decreases linearly as the 311 are brought closer to the loop layer. A higher number of unfaulted 311 defects were found with decreasing distance between the 311 defects and loops. Preliminary observations also show no significant change in loop size or density as a result of its proximity to the 311 defects and that the 311 defects disappear quickly at higher temperatures and longer times. 

2:30 PM EE2.4 
ON THE ``ASYMMETRICAL'' BEHAVIOR OF TED IN AMORPHIZED Si. D. Alquier1, A. Martinez1-2, C. Armand2, D. Mathiot3, P. Pichler4, and A. Claverie511LAAS/CNRS, Toulouse, FRANCE; 2INSA, Complexe Scientifique de Ranguail, Toulouse, FRANCE; 3ERM/PHASE-ENSPS, Illkirch, FRANCE; 4FhG IlS-B, Erlangen, GERMANY; 5CEMES/CNRS, Toulouse, FRANCE. 

The possible existence of Transient Enhanced Diffusion of boron during annealing in pre-amorphised Si wafers is still controversial. Different authors have reported apparently contradictory results and it is still unclear under which experimental conditions TED of boron is observed and why it occurs or not depending on those conditions. In this work, we have studied the diffusion of boron in pre-amorphised silicon as a function of the thermal budget focusing on the influence of the relative depth positions of the boron ``tails'' and of the c/a interface. For this, Si wafers were pre-amorphised by Ge at either 150 or 120 keV. Boron was further implanted at either 3, 6 or 25 keV resulting in profiles well confined within the amorphous layer or extending deeper than the c/a interface, respectively. SIMS experiments were performed on these samples after annealing at 850-1050 C and from 1 to 400 s. The analysis of these results was done by extracting the ``enhanced'' diffusivities (Dboron(enh.) = K x Dboron') needed to fit the experimental profiles when using the SSUPREM4 code along with the so-called Fermi model. From there results it is clearly seen that TED exists in both cases (K varies typically from 50 to 2) and that its magnitude does depend on the experimental situations. Moreover, the amplitude of the diffusivity enhancement is about 10 times smaller when the boron tall was entirely confined within the amorphous layer. These results and those from the literature are consistent with the idea that a diffusion barrier exists in the vicinity of the EOR defrects. Kinetircs arguments are in favor of the c/a interface being itself this barrier (even during the SPE regrowth and defect nucleation) and not necessarily the extended defects. 

2:45 PM EE2.5 
INTERSTITIAL CLUSTER EVOLUTION IN SI ION-IMPLANTED SILICON. J.L. Benton, Bell Labs, Lucent Technologies, Murray Hill, NJ; K.H. Schneider, Bell Labs, Lucent Technologies, Murray Hill, NJ; S. Libertino, INFM and Dipartimento di Fisica, Universita' di Catania, Catania, ITALY; D.J. Eaglesham, Bell Labs, Lucent Technologies, Murray Hill, NJ; S. Coffa, CNR-IMETEM, Catania, ITALY. 

Deep level transient spectroscopy, DLTS, offers a unique experimental method of investigating the evolution of small interstitial clusters in ion-implanted Si, an understanding of which is important for the development of process modeling and for the optimization of ion-implantation in the fabrication of devices. We complete the identification of the DLTS signatures related to interstitial clusters in n- and p-type Si, created by ion-implantation at fluences of 11013-51013 Si/cm2. Two deep levels in n-type Si, E(0.29eV) and E(0.49eV), are associated with the same defects as the previously reported ëb-linesí in p-type Si, H(0.36eV) and H(0.53eV); and in addition, there are new signatures (ëk-linesí) related to three other distinct interstitial cluster configurations. Isothermal anneals at 680ºC indicate that the average cluster size increases with ion-implantation dose, and at 51013 Si/cm2, the interstitial clusters exhibit thermal stability similar to the extended 311 defect. The effect of bulk impurities, C and O, is apparent in the comparison of isochronal anneals of Czochralski and epitaxial Si, which suggests that larger numbers of smaller clusters form in the presence of impurities and that these defects dissolve at lower temperatures. Oxygen and carbon impurities act as nucleation sites for Si self-interstitial agglomeration, with the additional result that cluster defect formation occurs at lower ion-implantation fluences. 

3:30 PM *EE2.6 
ATOMISTIC MODELING OF POINT AND EXTENDED DEFECTS IN CRYSTALLINE AND POLYCRYSTALLINE MATERIALS. Martin Jaraiz, Lourdes Pelas, J. Emiliano Rubio, Juan Barbolla, Dept. de Electronics, Univ. de Valladolid, SPAIN; George H. Gilmer, David J. Eaglesham, Hans J. Grossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; John M. Poate, NJIT, Newark, NJ. 

Atomistic process modeling, a kinetic Monte Carlo simulation techniques, has the advantage of being both conceptually simple and extremely powerful. Instead of reaction equations it is based on the definition of the interactions between individual atoms and defects. Those interactions can be derived either directly from Molecular Dynamics or first principles calculations, or from experiments. The limit to its use is set by the size dimensions that it can handle, but the level of performance achieved by even workstations and PC's, together with the design of efficient simulation scheme, has revealed it as a good candidate for building the next generation of process simulators, as an extension of existing continuum modeling codes into the submicron size regime. Over the last few years it has provided a unique insight into the atomistic mechanisms of defect formation and dopant diffusion during ion implantation and annealing in silicon. Object-oriented programming can be very helpful in cutting software development time, but care has to be taken not to degrade performance in the critical inner calculation loops. We discuss these techniques and results with the help of an object-oriented atomistic simulator recently developed for the simulation of full submicron CMOS source-drain structures. New features include the incorporation of the specific geometry of extended defects such as 311's and dislocation loops, and the simulation of arbitrary temperature transients. The consideration of the actual defect geometry is essential to ultra-low energy implant simulations, while the temperature ramp up can become dominant in short, high temperature RTP stages. First results from an atomistic polycrystal simulator, applied to the study of doposition and grain boundary evolution of aluminum thin film, will also be presented. 

4:00 PM EE2.7 
CORRELATION BETWEEN ELECTRICAL AND STRUCTURAL PROPERTIES OF INTERSTITIAL CLUSTERS IN ION IMPLANTED Si. Sebania Libertino, INFM and Dipartimento di Fisica, Universita di Catania, Catania, ITALY; Janet L. Benton, David J. Eaglesham, Bell Labs, Lucent Technologies, Murray Hill, NJ; Salvo Coffa, CNR-IMETEM, Catania, ITALY. 

Ion beam damage is responsible of several phenomena, such as transient enhanced diffusion (TED) of dopants, which severely hamper the use of ion implantation in the fabrication of sub-micron devices.