Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

spring 1997 logo1997 MRS Spring Meeting & Exhibit

March 31 - April 4, 1997 | San Francisco
Meeting Chairs: Linda G. Griffith-Cima, David J. Eaglesham, Alexander H. King

Symposium N—Low-Dielectric Constant Materials and Applications in Microelectronics

Chairs

Carlye Case, Bell Labs, Lucent Technologies
Takamaro Kikkawa, NEC Corporation
Paul Kohl, Georgia Inst of Technology
Wei Lee, Texas Instruments Inc

Symposium Support

  • Allied Signal
  • Applied Materials, Inc.
  • Texas Instruments, Inc.

Proceedings published as Volume 476
of the Materials Research Society
Symposium Proceedings Series.

In sessions below "*" indicates an invited paper.

SESSION N1: ORGANIC AND INORGANIC DIELECTRICS 
Chair: Carlye Case
Wednesday Morning, April 2, 1997
Golden Gate B3

8:30 AM *N1.1 
POLYNORBORNENE FOR LOW K INTERCONNECTION, Sue Ann Bidstrup Allen, Paul Kohl, Nicole Grove, Georgia Inst of Technology, Dept of Chemical Engr, Atlanta, GA; Robert Schick, Brian Goodall, Sairumar Jayaraman, B.F. Goodrich, Technology Group, Brecksville, OH.

Within the microelectronics industry, there is an ongoing trend toward miniaturization coupled with higher performance. The scaling of transistors toward smaller dimension, higher speeds, and lower power, has coated an urgent need for low dielectric constant interlevel insulators. Low dielectric constant interlevel dielectrics have already been identified as being critical to the realization of high performance integrated circuits in the SIA Roadmap. Thus, there exists a need in the microelectronics industry for a thermally stable, noncorrosive low dielectric constant polymer with good solvent resistance, high glass transition temperature, good mechanical performance and good adhesive properties, particularly to copper. In addition, the desired dielectric material should be capable of being processed in environmentally friendly solvents, and the final thermal and electrical performance should not be affected by manufacturing or post environmental conditions. High glass transition temperature polynorbornenes are being developed which provide many of these desired features. This polymer family is produced via a new transition metal catalyzed polymerization. Attributes which make polynorbornene particularly attractive in microelectronics include (i) excellent thermal performance, (ii) adhesion to conductors without the use of adhesion promoters or barrier layers, (iii) very low moisture absorption (0.1 wt), (iv) low dielectric constant (2.3-2.5), and (v) simple solution process. Side groups have been added to the polynorbornene backbone to improve adhesion, dielectric properties and mechanical properties.

9:00 AM *N1.2 
ORGANIC LOW K DIELECTRICS FOR ULSI INTERCONNECTION STRUCTURES, Paul H. Townsend, Dow Chemical Co, Midland, MI; J. Godschalx, S. J. Martin, D. Castillo, J. Marshall, S. Froelicher, Dow Chemical Co, ME Pruitt Research Ctr, Midland, MI.

Organic dielectrics offer the possibility for increasing interconnect performance parameters while increasing manufacturing throughput and lowering production costs. The processing and integration of these materials within existing interconnect processes offers challenges in the material properties and integration technology. Engineering decisions may be required to strike the balance between dielectric properties and process technology This work investigates the advantages of organic dielectric implementation within present and future Interconnect processing schemes.

9:30 AM N1.3 
ADHESION OF a-C:F DURING OXYGEN PLASMA ANNEALING, Yoshihisa Matsubara, NEC Corporation, ULSI Device Development Lab, Kanagawa, JAPAN; Kazuhiko Endo, Toru Tatsumi, NEC Corporation, Microelectronics Res Lab, Ibaraki, JAPAN; Tadahiko Horiuchi, NEC Corporation, ULSI Device Development Lab, Kanagawa, JAPAN.

Organic film with a low-k dielectric constant is known to be a promising material. However, incorporation of the film into the conventional interlayer process is difficult because of its low resistance to oxygen plasma. To overcome low resistance to the oxygen plasma, organic film sandwiched between layers of SiO has been a desirable interlayer dielectric (ILD) structure. In this study, we have investigated adhesion of fluorinated amorphous carbon (a-C:F) sandwiched between layers of SiO during oxygen plasma annealing. aC:F with a dielectric constant of 2.3, sandwiched between layers of SiO, were formed on silicon substrate in sequential by high density plasma-chemical vapor deposition (HDP-CVD) technique. The SiO was deposited at the thickness of 0.5 m on a-C:F. After depositing, annealing was performed 150C to 400C with and without oxygen plasma ambient. We found two different degradation mode of adhesion between a-C:F and SiO. In the case of annealing at over 200C without oxygen plasma, the peeling of the SiO on a-C:F occurred by thinning of a-C:F film. Thermal desorption spectroscopy (TDS) shows that the a-C:F decomposes into CF gas. In the case of annealing with oxygen plasma ambient, SiO under a-C:F and silicon substrate were etched off at the area of a-C:F thinning. CFgas which came from decomposed a-C:F acts as an etching gas for SiO and silicon with oxygen plasma ambient. Therefore, etching behavior as well as thinning of a-C:F is related to peeling of SiO on a-C:F. In conclusion, adhesion of a-C:F during oxygen plasma annealing determines the limit of heat treatment temperature of the low dielectric constant interlayer using a-C:F.

10:15 AM *N1.4 
PROPERTIES OF NEW LOW DIELECTRIC CONSTANT SPIN-ON SILICON OXIDE BASED POLYMERS, Nigel P. Hacker, Gary Davis, Lisa Figge, Todd Krajewski, Scott Lefferts, Jan Nedbal, Richard Spear, AlliedSignal, Dept of Microelectronic Matls, Santa Clara, CA.

Low dielectric constant materials (k < 3.0) have the advantage that higher performance IC devices may be manufactured with minimal increases in chip size. The reduced capacitance given by these materials permits shrinking spacing between metal lines to below 0.25 m and the ability to decrease the number of levels of metal in a device. The technologies being considered for low k applications are CVD or spin-on of inorganic or organic polymeric materials. Traditional spin-on silicates or siloxanes have been used as planarizing dielectrics during the last 15 years and usually have k > 3.0. More recent advances in Si-O based polymer chemistry have seen the development of new materials that have k = 2.6-3.0 by changing the chemical structure of the polymer. These new materials have many of the mechanical properties of oxide-like materials, they have improved crack resistance over traditional spin-on silicates and have thermal stabilities that can exceed 550C. Because Si-O based spin-on polymers are chemically similar to SiO, they will be more readily integrated into processing than organic polymers. Most of these materials have hydrogen or carbon attached to the backbone and tend to have unique polymer structures. For example ladder or cage-like Si-O based polymers crosslink after bake and cure to give more ordered networks than the random structures associated with silicate glasses. In general this imparts lower stress in the final polymer. One of the key advantages of these materials is the lack of SiOH groups after bake and cure. Residual SiOH in conventional silicates is responsible for moisture absorption in films left standing in ambient after cure. SiOH is undetectable in these newer Si-O polymers and the unprotected films are stable to moisture absorption for periods of weeks to months in relative humidity. The structures and general properties of these new spin-on low dielectric constant polymers will be described along with the some of the integration issues associated with these new materials.

10:45 AM N1.5 
CHARACTERIZATION OF THERMALLY STABLE, LOW DIELECTRIC CONSTANT, HIGH Tg POLY(ARYLENE ETHERS) FOR IC IMD, Kreisler S. Lau, Emma Brouk, Tim A. Chen, Boris A. Korolev, Paul E. Schilling, Heike W. Thompson, AlliedSignal, Adv Microelectronics Matls, Santa Clara, CA.

Shrinking spacing between metal lines toward 0.25 mum and narrower dimensions and increasing aspect ratios in multilevel interconnect ICs demand lower dielectric constant and better gap-filling ability of the dielectrics. Aromatic polymers, such as FLARE poly(arylene ethers), represent a promising class of low k dielectrics for sub-quarter micron multilevel interconnect applications. The high thermal stability, low moisture absorption, low isotropic dielectric constant values, and retention of storage modulus above Tg inherent in this class of polymers are relevant to enhanced circuit performance (higher speed, lower power consumption, and reduced signals noise ratios). For standard subtractive aluminum processing technology, thermomechanical stability to tungsten and hot adjourn depositions is one of the most stringent requirements for an organic polymer. These harsh conditions demand a high Tg in the materials. Synthetic efforts designed to tailor and optimize FLARE poly(arylene ethers) to meet specific application requirements have been pursued. Recent results on a new series of FLARE poly(arylene ethers) indicate excellent chemical stability when exposed to extreme thermal stress during multiple thermal cycling to 450C. Stress and thermomechanical measurements (DMA and TMA) show no stress hysteresis and no discernible Tg . These results represent a significant improvement on previous FLARE polymers and are among the best of all organic dielectrics reported. These new FLARE polymers also show good adhesion, fill narrow gaps completely, are patternable with oxygen plasma, and provide local planarization. This report summarizes recent characterization efforts on relevant electrical and thermomechanical properties of the new FLARE polymers.

11:00 AM N1.6 
TEMPERATURE STABILITY OF A-C:F,H FILMS DEPOSITED BY ECR PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION, Jeremy A. Theil, Hewlett Packard Co, ULSI Research, Palo Alto, CA.

For interconnect structures used in ULSI applications, one promising class of materials for interlayer dielectric films is organic films deposited by PECVD. These materials are expected to have dielectric constants as low as 2.0, excellent gap fill, and relatively simple implementation. One major issue for such organic films is temperature stability during subsequent processing steps which typically reach 400C. We have investigated material deposited in an ECR plasma, and find that the F:H ratio of the gas mixture is a good guide to material properties. Films deposited at 20C were placed in a vacuum oven at 400C to simulate back-end processing temperature excursions. Capacitors were fabricated to measure the dielectric constant. The film thickness, dielectric constant, and infrared absorption spectrum change with the F:H ratio of the incoming gas and thermal cycling. In particular, the dielectric constant and thermal stability decrease with increasing F:H ratio. Good thermal stability is found for F:H ratios of <1.5, which result in films with a dielectric constant of 2.3.

11:15 AM N1.7 
CURE OF FOX FLOWABLE OXIDE FOR INTERMETAL DIELECTRIC APPLICATIONS, J. N. Bremmer, Y. Liu, K. G. Grusztnski, F. C. Dall, Dow Corning Corp, Midland, MI.

FO flowable oxide is inorganic hydrogen silsesquioxane spin on material commercialized by the Dow Corning Corporation for intermetal dielectric applications. In addition to a dielectric constant of k < 3.0, the capability to gap fill and planarize metal features for nonetch back process technology has been production proven. After spin coating and hot plate baking, a cure process is employed at temperature of 400C for one hour in an inert environment to impart mechanical integrity for stability to subsequent processing. The cure process affects the structure and properties of hydrogen silsesquioxane and as such is examined. A Box-Benken designed experiment was performed with factors of soak temperature, time, and gas concentration spanning production relevant ranges of 350 to 450C, 0.5 to 1.5 hour, and purity levels, respectively. Response properties of dielectric constant, SiH bond density, refractive index, density, stress, shrinkage, and silanol/water formation were characterized. Structure/property relationships are explored, and an empirical quadratic function model based on the cure process parameters was developed for each response property. Effect of a two-week storage time after cure in clean room conditions on stress, SiH bond density, and silanol concentration was also analyzed. Results of the study emphasize the importance of an inert environment during cure at temperature of 400C to provide stable low dielectric constant. A 350C cure temperature is a more robust process with similar low k properties as the 400C cure. Short cure times resulted in similar properties as one hour or greater cure time. This study suggests that lower temperature cures for shorter time may provide value worth investigating by integrated circuit manufacturers.

11:30 AM N1.8 
INTEGRATED PROCESS OF LOW k SOG ETCHBACK AND TEOS CAPPING, K. G. Huang, Applied Materials Inc, Santa Clara, CA; Anand Gupta, Applied Materials Inc, DVCDI, Santa Clara, CA; D. Cheung, Applied Materials Inc, Santa Clara, CA; D. Nguyen, L. Forester, AlliedSignal, Santa Clara, CA.

Spin-on glass (SOG) materials are being used in the semiconductor industry for submicron gap fill and IMD planarization due to its characteristics of low dielectric constant (k) and flowable nature. Thin CVD films (PE-oxide or oxynitride) are usually deposited onto metal lines as liners prior to SOG coating. SOG etchback requires chamber hardware and process offering high etch rate, low etch nonuniformity, desired selectivity between the SOG materials and liner films, low particle counts and high throughput. The integration of SOG etchback and oxide deposition processes onto single system allow good adhesion of the capping layer to SOG surface. New MxP etch chamber has been integrated to the CVD Optima and Centura systems for SOG etchback application in fab production, which allow the SOG etchback and capping layer deposition to be done sequentially without exposing surface to air moisture, preventing the delamination of the capping films. SOG etchback processes have been developed with MxP chamber on Allied Signal's Accuspin 418 (k = 2.7) with PE oxide (TEOS) or oxynitride (SiON) as liner materials using CHF/CF/Ar chemistry. Planarization was optimized by selecting an appropriate etch rate ratio between the SOG and liner films. Experiments have been carried out to study the process trends of our new product MxP chamber in low k SOG and liner film etchback. The typical etch rate and etch nonuniformity for TEOS, SiON, and SOG-418 are (4200 , <3), (3500 , <4), and (4000 , <5), respectively. The etch rate selectivity between the SOG and liner films can be tuned by varying the process factors to meet the device requirement. In-situ surface treatment by Ar sputtering in MxP etch chamber was also investigated in order to obtain better capping layer adhesion on SOG surface. TEOS oxide was deposited after the etchback as capping layer in the integrated system with etch and CVD chambers. This process avoids the SOG surface from exposure to air moisture, which prevents the delamination of the cap layer. Data on MxP SOG etchback process and integration with TEOS capping will be presented and discussed.

11:45 AM N1.9 
DIRECT PATTERNING LOW-K MATERIAL FOR DAMASCENE PROCESS, Jay Chu, C. T. Chu, Q. Wei, Chemat Technologies, Northridge, CA.

SAI roadmap calls for chip speed increase and feature size reduction from 0.25 m currently to 0.1 m in year 2007. Such aggressive progression imposes a great challenge on finding a suitable insulating material with low dielectric constant to reduce the RC delay. Currently. the low-k materials under development. both inorganic and organic all have their limitations and none of them meets all the requirements. Fluorinated SiO can only survive one generation because of its relatively high dielectric constant (above 3). The polymeric dielectrics, on the other hand. suffer from poor thermal stability and many other integration issues, even though their dielectric constants are lower than F-SiO (<3). Chemat Technology has developed a new material system. addressing the issues which limit the usage of current low-k rnaterials. Our new process controlled low-k material has dielectric constant as low as 2.0 with excellent thermal stability. It has low moisture uptake and good mechanical properties. This material has low viscosity al low temperature, providing good reflow characteristics to achieve excellent planarization and gap fill capability. Moreover, it has been demonstrated that this material is photosensitive at deep-UV range. This photosensitive property presents a possibility of direct patterning on the low-k dielectric and eliminating many complicated process steps and chemicals associated with lithography. The process can be simplified significantly. Damascene and dual damascene processes are possible using this new low-k material. Such scheme would be much simpler compared to the traditional ones.

SESSION N2: INTERACES AND POROUS MATERIALS 
Chair: Takamaro Kikkawa
Wednesday Afternoon, April 2, 1997
Golden Gate B3

1:30 PM *N2.1 
Al AND Cu METALLIZATION OF POLYMER SURFACES BY MOCVD, Jeff A. Kelber, Ron Sutcliffe, David Martini, Dan Pavlica, Univ of North Texas, Dept of Chemistry, Denton, TX.

The metallization of low-dielectric polymer surfaces is of considerable significance for the fabrication of modern multilevel metallization architectures. Metal-organic chemical vapor deposition (MOCVD) is of particular interest in the metallization of sub-0.25 micron geometries due to superior step coverage. Of concern, however, are precursor reaction pathways as a function of polymer surface composition, as well as the stability of the metal/polymer interface. The interactions of trimethyl aluminum (TMA) and Cu(I)hfac(COD) precursor interactions with the surface of Teflon-AF have been followed using x-ray photoelectron spectroscopy (XPS). TMA reacts with the Teflon-AF surface below 300 K, and forms an interface consisting of an inner region of Al-C bonded species, followed by a region of Al-F bonded species and an outer region of Al-O bonded species. Macroscopically thick films with high oxygen contents (Al-O) can be deposited, with adhesion which survives the Scotch tape test. Cu(I)hfac(COD) deposited on such surfaces reacts to form Cu(O) below 600 K. Al-O films and Cu deposited on such films do not appear to diffuse into the polymer upon limited annealing . These results and those of other workers will be discussed in relation to developing stable adhesion/diffusion barriers of low-dielectric microelectronic applications.

2:00 PM N2.2 
THE INFLUENCE OF FLORINE DESORPTION FROM ECR-CVD SiOF FILM, Tatsuya Usami, Hiraku Ishikawa, Hidaki Gomi, NEC Corporation, ULSI Device Development Lab, Kanagawa, JAPAN.

The influence of fluorine desorption from the SiOF film deposited by biased ECR-CVD was studied. In order to fill 0.4 m space of metal wiring with low dielectric constant material, SiOF was deposited by a biased ECR-CVD technique with CF,SiH,O and Ar gas mixture. A CMOS device structure which had Ti salicides was prepared. Ti salicide was covered with a BPSG film. After the first metal wiring was formed on the surface of the BPSG film, the SiOF was deposited with various CVD conditions followed by CMP. After the second metal formation, blistering and peeling of the films were observed. It was found that the blistering and peeling occurred at the interface between the Ti salicide and the BPSG film, depending on SiOF condition. SIMS result showed that fluorine atoms were built-up at the interface between the Ti salicide and the BPSG for the wafer with blisters. On the other hand, the fluorine concentration at the interface was much lower for the wafer without blisters. Furthermore, TDS (Temperature Desorption Spectroscopy) result showed fluorine (M/z = 19) gas desorption temperature was approximately 300C for the wafer with blisters which was much lower than the temperature for the wafer without blisters (600C). In conclusion, it was found that the blister and peeling were attributed to the low desorption temperature of fluorine atoms. The desorbed fluorine atoms diffused into the under layer during thermal processing after SiOF deposition so that they reacted with Ti salicide forming TiFx.

2:15 PM N2.3 
X-RAY PHOTOELECTRON STUDY OF METAL/LOW POLYMER INTERFACE, Mei Du, Robert L. Opila, Carlye Case, Bell Labs, Lucent Technologies, Murray Hill, NJ.

One of the key requirements for the evaluation of low organic polymer for future integrated circuits is the integration with the patterned metal, including the adhesion to the patterned metal and prevention of the metal diffusion through the organic polymer. Conventionally, glue and barrier layers are used between insulating dielectric and interconnect metal lines to promote the adhesion and block the metal (Al, W or Cu) diffusion. TiTiN structure has been used in the current IC device as glue/barrier layers. Ti interface with various polymers has been studied. Recently, more materials have been studied for Cu metallization, including Ta. In this work, we choose FLARE ( Fluorinated poly(arylene ether)) to study the chemical interaction at metal/low polymer interface. We studied Ti and Ta interface with FLARE and the results are compared with our previous work on Cu/FLARE interface. Ti, Ta and Cu are sputtered onto FLARE and directly transferred to an x-ray photoelectron spectrometer. Core level spectra have been taken as the function of metal coverage. The spectra evolution and binding energy change provide important information about metal/FLARE interface formation. We find the binding energy of Ti2p as the function of effective thickness of titanium film did not shift as in the case of Cu, indicating Ti and Cu have different film formation on FLARE where copper follows island growth. Cls spectra have dramatic change in Ti deposition and indicate both defluorination and carbide formation. F1s spectra as a function of Ti deposition show an interesting evolution: at initial Ti deposition, titanium forms chemical bonding with fluorine which gives distinct feature in F1s spectra, the percentage of this Ti-F feature increases as more Ti is deposited until it reached the steady number. We discuss this trend as the result of two processes: titanium forms chemical bond with fluorine in the polymer and some titanium fluoride leaves polymer surface.

2:30 PM N2.4 
REACTIONS BETWEEN LOW-K DIELECTRIC AND METAL LAYERS, Huayu Tong, Univ of California-Irvine, School of Engr, Irvine, CA; Frank G. Shi, Univ of California-Irvine, Dept of Chem Engr & Matls Science, Irvine, CA; Bin Zhao, SEMATECH Inc, Strategic Tech, Austin, TX; S. Q. Wang, SEMATECH Inc, Austin, TX; P. Vasudev, SEMATECH Inc, Strategic Technology, Austin, TX.

Possible interfacial reactions between interlevel dielectrics (ILD) and metal (Al) have been investigated by XRD and TEM over a wide temperature range. It was found that some low dielectric constant (low-k) materials caused severe problems, such as linewidth reductions, for the metal lines after the wafers went through the thermal cycles. We propose an oxidation mechanism to elucidate the metal linewidth reduction behavior due to thermal cycles. Our results suggest that the oxygen from the dielectric materials and processing atmosphere may result in the oxidation of the contacted metal layers both on the surface and along the grain boundary. This oxidation mechanism may be responsible for the metal linewidth reduction, which is usually inferred from the resistivity measurement after thermal cycles above a critical temperature.

2:45 PM N2.5 
MATERIAL CHARACTERIZATION OF CuTi ALLOY FILMS METALLIZED ON POLYIMIDE, E. Kondoh, IMEC, Leuven, BELGIUM; T. P. Nguyen, Inst des Materiaux de Nantes, Lab de Physique Cristalline, Nantes, FRANCE.

Metallization of polyimide with Cu has been a large concern because of its importance in microelectronics from interconnects in integrated circuits to printed circuit boards to packaging. Since Cu diffuses easily across PIinterface and has poor adhesion, interfacial layers, such as Ta, between Cu and PI are used to suppress Cu diffusion and to promote adhesion. Alloying of Cu is another approach for generating such effects without creating an interfacial layer and has been demonstrated on SiO substrate. We report the effects of small amount of Ti addition on material characteristics and chemistry at interface. Cu-1wtTi films were deposited by DC magnetron sputtering on PMDA ODA polyimide films which were spun-on Si wafers. The polyimide film was pretreated by O2Ar plasma prior to deposition without breaking vacuum. Metallized polyimide films were then annealed to enhance interface properties. The CuTi films and interfaces were examined by RBS, XPS, XTEM, x-ray (polar plots), etc. The treated (O plasma + annealing) CuTi films had the following differences compared to untreated films: large increase in adhesion strength, improvement (111) texture, decrease in resistivity, and nitrogen piling up at the interface.

3:00 PM N2.6 
SURFACE MODIFICATION OF SILICA SOL-GEL FILMS FOR INTERMETAL DIELECTRIC APPLICATIONS, Stacey A. Yamanaka, Changming Jin, Mark Anthony, Texas Instruments Inc, Dallas, TX.

Traditionally, highly porous silica sol-gel materials, aerogels, have been obtained by complex supercritical drying procedures. It was later discovered that pore collapse and densification that occur during drying under ambient conditions could be prevented by a much simpler technique involving surface modification. From the latter technique, low density silica sol-gel films, with dielectric constants less than 2, have evolved as potential candidates for intermetal dielectric applications. The dielectric permittivity of the films is known to decrease as the porosity increases. Thus, tailoring porosity by surface modification may result in dielectric permittivity changes. In addition, surface modification has the potential to impact other issues important to interconnect applications such as increased hydrophobicity, thermal stability and chemical resistance of the films. This study will explore the effects of different surface modifying agents on these properties.

3:45 PM *N2.7 
RECENT ADVANCES IN LOW K POLYMERIC MATERIALS, Kenneth R. Carter, James L. Hedrick, Robert D. Miller, Do Y. Yoon, IBM Almaden Research Center, San Jose, CA.

Much of the work in our laboratories is devoted towards the development of new polymeric materials for use in the fabrication of microelectronic devices. As microelectronic device dimensions decrease and functionality density increases, a change in interconnect materials, both conductors and insulators, must change from currently used materials. To this end, we ar actively in search of low dielectric constant materials that can be integrated into integrated circuit production. The greatest limiting factor in materials qualification are the stringent IC processing conditions (thermal stability, resistance to chemical/mechanical treatments). Current specifications for back-end-of-the-line (BEOL) thin film insulators call for materials with dielectric constants of 3.0-3.5 and turn of the century CMOS devices may require materials with dielectric constants approaching 2.0. While there are a number of possible candidates for current uses, the list of usable materials with dielectric constants < 3.0 are very limited. Future low K candidates being examined include fluorinated polyimides and porous materials.

4:15 PM N2.8 
TEMPERATURE AND MOISTURE DEPENDENCE OF DIELECTRIC CONSTANT FOR BULK SILICA AEROGELS, Lawrence W. Hrubesh, Lawrence Livermore National Laboratory, Chemistry & Materials Science Dept, Livermore, CA.

The dielectric constants for silica aerogels are among the lowest measured for any solid material. The silica aerogels also exhibit low thermal expansion and are thermally stable to temperatures exceeding 400C. However, due to the high porosity and unusual nanostructure of aerogels, the dielectric constant depends strongly on moisture content and thus also, on temperature. This paper presents data for the dielectric constants of silica aerogels as a function of moisture content and for temperatures in the range from 20C to 100C. Dielectric constant data is also given for silica aerogels which have been heat treated in vacuum to temperatures up to 500C, then cooled to 20C for measurements in dry air. The measurements are made on bulk aerogel pieces at 22 GHz microwave frequency, using a cavity perturbation method. The results of the dependence found here for bulk materials can be inferred to apply also to thin films of silica aerogels having similar nanostructures.

4:30 PM N2.9 
THE PROCESSING AND CHARACTERIZATION OF HYBRID SILICA-BASED XEROGEL FILMS, Loren A. Chow, Bruce Dunn, Ted Yu, Univ of California-Los Angeles, Dept of MS&E, Los Angeles, CA; Chien Chiang, Intel Corp, Santa Clara, CA; K. N. Tu, Univ of California-Los Angeles, Dept of MS&E, Los Angeles, CA.

The preparation of organically modified silicates by sol-gel polymerization methods offers the opportunity to design low dielectric constant materials with controlled physical and chemical properties. In the present investigation, hybrid organic-inorganIc materials were prepared as porous thin films deposited by spinning the precursor sol on silicon substrates. These hybrid xerogel films were characterized by a variety of methods in order to characterize their physical, electrical, thermal and chemical properties. Hybrid films of various compositions were prepared using combinations of the following precursors: methyltrimethoxysilanes, dimethoxydimethylsilane and tetramethoxysilane (TMOS). The replacement by methyl groups in the film produces materials which are not as brittle and far less susceptible to fracture than silica films based on TMOS (i.e., without organic modification). The hybrid films exhibit excellent gap-filling capabilities (0.60 micron trenches) and certain compositions can be heated to 450C without cracking. Current-voltage measurements show the hybrid films possess a voltage breakdown that surpasses 1 MV/cm. Gas chromatography/mass spectrometry experiments indicate that heating to 150C removes most of the moisture and volatile organic constituents present in the as-cast film. The thermal stability of the hybrid films may be correlated with their chemical composition. The presence of moisture in these materials is of fundamental importance and the interrelationship between water absorption and dielectric properties is discussed.

4:45 PM N2.10 
ORGANIC/INORGANIC HYBRIDS AS LOW-DIELECTRIC CONSTANT MATERIALS, James L. Hedrick, Robert D. Miller, Do Y. Yoon, Rick DiPetro, Kenneth R. Carter, IBM Almaden Research Center, San Jose, CA.

As device dimensions shrink and functionality density increases, the use of an interlayer dielectric, ILD material with a dielectric constant lower than that currently employed (silicon dioxide, 4-0) could significantly improve device performance. Network silicate derivatives (e.g., spin-on-glass, silsesquinoxanes, etc.) possess the requisite thermal and mechanical properties for ILD materials. However, these highly crosslinked polymers are extremely brittle and tend to crack at thicknesses approaching 1 um. We will describe our recent work on toughened organic/inorganic hybrids using functional high temperature polymers which include polyimide and poly(phenylquinoxaline). Noncracking films as thick as five to ten microns can be prepared either by single or multiple coating techniques and can be cured to 400 425C without degradation.

SESSION N3: MEASUREMENT AND CHARACTERIZATION 
Chair: Paul Kohl
Thursday Morning, April 3, 1997
Golden Gate B3

8:30 AM *N3.1 
LOW-DIELECTRIC-CONSTANT FLUORINATED POLYMIDES FOR INTERLAYER DIELECTRIC APPLICATIONS, Robert Fox, John G. Pellerin, Huei-Min Ho, SEMATECH Inc, Austin, TX.

This paper presents the results of development, characterization and integration screening of low dielectric constant (low k) fluorinated polyimides for interlayer dielectric applications. Evolution of these materials has progressed with the intent of improving fundamental thin film properties, such as thermal stress behavior, modulus, CTE, and dielectric constant. Further refinements to fluorinated polyimides have been to improve their process compatibility and integration characteristics, primarily in the area of deep sub-micron gap filling. The avenues taken to attain these objectives will be illustrated. Subsequent integration of low k fluorinated polyimides has been achieved for a completed single-level metal BEOL test vehicle to highlight the impacts of the film's adhesion, mechanical and thermomechanical properties. In addition, the completed fluorinated polyimide / single-level metal structures have been used to characterize electrical performance in contrast to single-level metal structures with TEOS dielectric. Intralevel capacitance and leakage Modeling has been applied to verify dielectric constant in submicron geometries from the capacitance measurements.

9:00 AM N3.2 
EFFECTS OF ELECTRON BEAM EXPOSURE ON POLY(ARYLENE ETHER) DIELECTRIC FILMS, James S. Drage, D. K. Choi, AlliedSignal, Dept of Adv Microelectronics Matls, Santa Clara, CA; Kreisler S. Lau, Paul E. Schilling, AlliedSignal, Adv Microelectronics Matls, Santa Clara, CA; Ron Katsanes, AlliedSignal, Dept of Adv Microelectronics Matls, Santa Clara, CA; Matt Ross, AlliedSignal, Dept of Electron Vision, San Diego, CA.

An electron beam flood exposure tool was used to modify and improve the structure and properties of poly(arylene ether) dielectric films. Poly(arylene ether)s are low dielectric constant materials being developed as intermetal and interlevel dielectric films for ULSI integrated circuit fabrication. These films are usually cured in a furnace at 400-425C in nitrogen at 1 atm. for up to one hour. The electron beam tool used here exposes all areas of a Si wafer (100-200 mm diameter) coated with dielectric films. In this study, poly(arylene ether) films were thermally processed to varying extents and then treated with electron beam exposure. The electron beam energy level, dosage, and temperature of substrate were varied the experiments. The electron beam exposure was found to essentially cure the films without the use of a standard high temperature furnace cure. The electron beam process is run at 200C:. Various properties of the films were measured after electron beam treatment: resistance to hot solvent (NMP), film thickness, refractive index, dielectric constant (out of plane at l MHz), adhesion strength to various substrates, thermal stability, glass transition temperature, and modulus of elasticity. The electron beam cures the films by inducing extensive cross linking without causing thickness shrinkage or major changes in the polymer structure.

9:15 AM N3.3 
TOWARD IMPROVED THIN FILM DENSITY MEASUREMENT METHODS: NOVEL APPLICATIONS OF X-RAY AND NEUTRON REFLECTIVITY, William E. Wallace, NIST, Gaithersburg, MD; Wen-li Wu, NIST, Polymers Div, Gaithersburg, MD.

There has been much recent activity centered on measuring the physical properties of a wide variety of proposed insulating thin film materials for microelectronics applications. The proper material must have superior performance in the areas of, for example, dielectric constant at high frequencies, breakdown strength at high field, chemical and dimensional stability at elevated temperatures, and moisture uptake in humid environments. One property that underpins much of thin film behavior is mass density, which can vary substantially as a function of processing conditions for most materials and is difficult to measure accurately. Several new methods of measuring thin film density, each currently under development, will be discussed. The first, energy-dispersive x-ray reflectivity, has been demonstrated to improve the accuracy of the measurement five-fold but is most useful for films thicker than about one-half micron. For thinner films, a new technique employing angle dependent x-ray fluorescence is being implemented. It shows the potential for being useful for films as thin as 10 nanometers. Lastly, for films of all thicknesses, ''symmetric'' neutron reflectivity (two curves, one incident from the free surface and one from the substrate side of the film) serves as a useful complement to the x-ray techniques. Examples will be given covering both organic and inorganic low-dielectric constant films.

9:30 AM N3.4 
ELECTRICAL EXTRACTION OF THE IN-PLANE DIELECTRIC CONSTANT OF FLUORINATED POLYIMIDE, Alvin L.S. Loke, Stanford Univ, Dept of Electrical Engr, Stanford, CA; Jeffrey T. Wetzel, John J. Stankus, Motorola Inc, Matls Research & Strategic Technologies, Austin, TX; S. Simon Wong, Stanford Univ, Dept of Electrical Engr, Stanford, CA.

Fluorinated polyimides can potentially replace TEOS as an interlevel dielectric in future ULSI interconnect technologies because their lower dielectric constants offer reduced crosstalk, signal propagation delays and dynamic power dissipation. One issue associated with polyimides is the anisotropy in dielectric constant (K), where the out-of-plane dielectric constant, typically measured using parallel-plate capacitors, often exaggerates the capacitance advantage. In this paper, we present a novel electrical technique to estimate the in-plane dielectric constant of DuPont FPI-136M fluorinated polyimide without requiring dielectric gapfill. The technique consists of measuring the crosstalk capacitance between interdigitated inlaid Al(0.5%Cu) structures that are passivated by FPI-136M. Identical inlaid structures passivated by air and TEOS are also fabricated for capacitance calibration. Differences in measured capacitances reflect electric fields fringing in the various passivation materials above the inlaid metal. With the dielectric constants of air and TEOS both known, the effective dielectric constant of dry FPI-136M at 150C is interpolated to be 2.8. Interconnect simulations confirm that the effective dielectric constant extraction technique is valid and accurate provided that there is a minimum passivation layer thickness to contain essentially all the fringing fields. To estimate the in-plane dielectric constant, we use simulations to determine the in-plane dielectric constant required to yield the extracted effective isotropic dielectric constant. With an out-of-plane dielectric constant of 2.6, the in-plane dielectric constant of FPI-136M is estimated to be approximately 3.0.

10:15 AM *N3.5 
NEW METHODOLOGIES FOR CHARACTERIZATION OF LOW DIELECTRIC CONSTANT THIN FILMS, E. Todd Ryan, Univ of Texas-Austin, Ctr for Matls Science, Austin, TX; Taiheui Cho, Univ of Texas-Austin, Ctr for Materials Science & Engr, Austin, TX; Irfan Malik, Jeff Zhao, Univ of Texas-Austin, Ctr for Materials Science & Engr, Austin, TX; Paul S. Ho, Univ of Texas-Austin, Dept of MS&E, Austin, TX.

The measurement of thermal and mechanical properties such as the Young's modulus (E) and the thermal expansion coefficient (TEL) generally require greater than 1 m thick free-standing films (FSF), thus very little data is available on very thin films (less than 1 m), brittle films, and materials which cannot be easily prepared as FSFs such as Xerogels. New methodologies are being developed in our laboratory which allow measurements of E and TEC for these materials. The first employs a bending beam measurement of stress vs. Temperature. We measure the thermal stress of a given film on two different substrates, Si and GaAs. The use of two substrates allows both E and TEC to be determined. The technique is demonstrated using a polysiloxane spin-on-glass. Another technique is a variation of the stress-strain measurement of E. The brittle material of interest is coated onto a polymer substrate, and the composite film is elongated to obtain the stress-strain curve of the composite film. Subtraction of the polymer substrate contribution to this curve yields the stress-strain behavior of the brittle film and allows E to be determined. The out-of-plane dielectric constant of a 1 m film is easily determined using MIM or MIS structures as parallel plate capacitors. The fringe capacitance at the plate edges is negligible because of the large plate area. The in-plane dielectric constant is determined from the interline capacitance between metal lines in the film. In this case, the fringe capacitance is large and leads an overestimate of the in-plane dielectric constant. We have developed an finite difference model to account for the fringe capacitance, and it allows the in-plane dielectric constant to be extracted. The model is unique in that potential anisotropy in the film can be determined.

10:45 AM N3.6 
EFFECTS OF COMPRESSIVE STRESSES ON DIELECTRIC PERFORMANCE OF ORTHOTROPIC POLYMER FILMS, Shalabh Tandon, Richard J. Farris, Univ of Massachusetts, Dept of Polymer Sci & Engr, Amherst, MA.

It is well known that tenterframe drawn polymeric films are anisotropic in nature and can have vastly different elastic constants I the three orthotropic directions. In the capacitor industry, thin polymeric films are used (6-9 m thick) as dielectric materials which are coated with a thin layer (50 nm) of metal, usually zinc or aluminum, to act as a conductive layer. These films are cylindrically wound on top of each other to create high energy density capacitors. We will present data which completely characterize the film in terms of its elastic constants. This has required the use of unorthodox techniques such as vibrational holography and high-pressure gas dilatometry, as well as traditional techniques to determine the 9 independent elastic constants and the three coefficients of thermal expansion (CTEs). In a capacitor, each accreting layer is wound under tension on top of the previous layer. These capacitors undergo a thermal cycle, as well as localized electrical heating, where the temperature differential can be up to 100 between use and storage temperature. The out-of-plane CTE () of these films is large compared to the in-plane () which again leads to excessive stresses within the layers of these rolls. Using modeling techniques, we have found that due to Poisson's effect (and thermal expansion), the inner layers of these rolls experience compressive radial and hoop stresses of the order of 5-7 MPa (dependent upon winding stress). We will present data to show the influence of compressive stress on the dielectric strength of these films, which is reduced by40 under compression. Work done on dielectric breakdown of single layers subjected to high interfacial pressures will be presented.

11:00 AM N3.7 
LOW-K DIELECTRIC MATERIAL CHEMICAL MECHANICAL POLISHING (CMP) PROCESS MONITORING USING ACOUSTIC EMISSION, Jianshe Tang, Carsten Unger, Yongsik Moon, David Dornfeld, Univ of California-Berkeley, Berkeley, CA.

CMP is an emerging technology that can successfully meet the stringent requirements of ultraplanarized surfaces in semiconductor manufacturing. This research relates to a novel method and apparatus for in-situ monitoring of a chemical mechanical polishing of low-k dielectric materials using acoustic emission. Acoustic emission is the class of phenomena where transient elastic waves are generated by the rapid release of energy from localized sources within a material. This research first identified the possible AE sources in a CMP process, which include both acoustical active chemical reaction such as dissolution of abraded material and mechanical source such as slurry particle-oxide layer abrasion and pad-oxide layer interaction. To enhance the transmission of acoustic emission signals in high attenuation CMP pad material, a method to improve a pad structure for the benefit of AE transmission was proposed. CMP experimental tests showed that the magnitude of AE signals increased more than 100 by the use of a structure improved pad. Systematic investigations of CMP process parameters on AE signals were then carried out. The sensitivity of AE to the wafer surface roughness, pad conditions, loading conditions, slurry concentration and polishing speed were verified. The results showed that, under steady state, the AE rms signal increases with increasing wafer surface roughness, pad roughness, applied load, slurry concentration and polishing speed. A close relationship between AE rms and material removal rate was observed, which could be used for in-process monitoring of MRR in CMP process. Future work will be focused on using the AE signal for the determination of process state in CMP.

11:15 AM N3.8 
CHEMICAL-MECHANICAL POLISHING OF POLYMER FILMS: COMPARISON OF BCB AND PARYLENE-N FILMS BY XPS AND AFM , Guangrong Yang, Yiping Zhao, Jan M. Neirynck, Rensselaer Polytechnic Inst, Dept of Physics, Troy, NY; Ronald J. Gutmann, Rensselaer Polytechnic Inst, Dept of ECSE, Troy, NY.

In this paper, we compare the chemical-mechanical polishing(CMP)results for BCB films and Parylene-N(PA-N) films. It is shown that the quality of BCB and Parylene-N (PA-N)film, as determined by XPS and atomic force microscopy (AFM), after chemical-mechanical polishing is influenced by 3 factors: quality of the as-deposited film or post-deposition treated film, polishing time, and slurry composition. Our XPS result shows that the higher the quality of the as-deposited film or post-deposition treated film, the higher the quality of the polished film. The polishing time has little effect on the high quality PA-N films, but is unexpectedly sensitive with BCB films, which is due to the higher structure and themo- stability and higher acid/alkali resistance of PA-N. The RMS surface roughness, measured by AFM, for as-deposited PA-N is 90Å, and for polished film(best value) is 200Å, while that for as-spin-coated and polished BCB film is 5Å, and 30Å, respectively. The morphology of PA-N film, either as-deposited or polished, is not as good as BCB film. Both XPS and AFM shows that a slurry which is good for BCB polishing is not good for PA-N polishing, and vice versa. This result indicates that the nature of the polymer film, including its chemical structure as well as the quality of the as-deposited/post-deposition treated film, plays an important role in polymer CMP.

11:30 AM N3.9 
THERMOMECHANICAL PROPERTIES OF HYDROGEN SILSEQUIOXANES, Wei-Yan Shih, Andrew J. McKerrow, Texas Instruments Inc, Semiconductor Process & Device Ctr, Dallas, TX; Jianai Zhao, Univ of Texas-Austin, Dept of Chem & Biochem, Austin, TX; Paul S. Ho, Univ of Texas-Austin, Dept of MS&E, Austin, TX.

Low dielectric-constant materials are becoming indispensable in the construction of high-performance microprocessors. The integration of such materials in ULSI ICs necessitates that potential materials be able to withstand processing steps including thermal cycles and chemical mechanical polishing (CMP), as well as suppress metal-line extrusion during wafer processing and eventual device use. To address these issues, it is essential to acquire the thermomechanical properties of candidate materials. The coefficient of thermal expansion (CTE) and the basic elastic moduli are of particular interests for the purpose of technology CAD analyses. Results from such analyses will help direct our effort in material development and selection in search optimum reliability. Hydrogen silsesquioxane (HSQ) thin films are prepared on Si and GaAs substrates. Using the bending beam technique, it is possible to deduce the CTE and the biaxial modulus for this material. Details of the experiments, reference measurement on PE-TEOS films, as well as efforts to determine the Young's modulus for both thin films will be discussed.

11:45 AM N3.10 
COST-PER-WAFER OF VARIOUS LOW-K DIELECTRIC INTEGRATION SCHEMES, Ed Korczynski, Solid State Technology, Campbell, CA.

Though most research in the development of low dielectric constant materials has focused on fundamental material properties, there are many processing and economic constraints on the integration of interconnect dielectrics in semiconductor manufacturing. Recent process development work has focused on overall process integration; acceptance by industry will be gated by integration costs. Traditional cost models are unsuitable for this evaluation. While cost-of-ownership (COO) models (such as SEMATECH's) are useful in managing overall process flow in manufacturing, such models are difficult to use in comparing different hardware or core process technologies for a given process module. Wafer and die yield factors overwhelm all other variables and may result in misleading final calculations. A modified COO model that examines strictly the burdened cost of performing the required process step without factoring in ''costs'' due to yield losses is proposed as the most useful tool for evaluating fundamentally different technologies. This model is particularly useful for comparing competing low-k dielectric deposition techniques, where a great variety of chemical vapor deposition (CVD) and spin-on liquid process have been advanced as suitable for manufacturing. Quantified results are for the complete cost of incorporating the various films in a 0.25 micron interconnect stack. It is assumed that comparable process substeps in high-volume manufacturing (such as wafer transfers, depositions, post-treatments, etc.) will eventually be optimized to produce small identical quantities of particles. Thus, processes that require more steps to achieve the same final film are assumed to be ultimately more expensive, though this is not quantified. A more clear comparison between the mayor categories of potential low-k dielectric deposition processes is thus established for evaluation in the context of complete interconnect integration.

SESSION N4: VAPOR DEPOSITED MATERIALS 
Chair: Wei W. Lee
Thursday Afternoon, April 3, 1997
Golden Gate B3

1:30 PM *N4.1 
DIAGNOSTICS, MECHANISM OF DEPOSITION, AND PROCESS CONTROL OF THIN FLUOROPOLYMERIC FILMS IN RF DISCHARGES, Riccardo d'Agostino, Univ di Bari, Dept of Chem, Bari, ITALY.

The category of plasma deposited fluoropolymer films includes a vast variety of materials, ranging from teflon-like, even with oriented chains, to fluorinated diamond-like films: an important issue due to the many possible applications in different fields. Emission spectroscopy, and in particular actinometry, can be utilized as a tool which enables the continuous and convenient diagnostics of glow deposition processes of fluoropolymer films. The combination of surface diagnostics, such as XPS and/or FT-IR, with gas phase allows one to give a rationale to coating mechanisms and to control the various internal parameters for optimizing performance. This opens a road to self-tuning of experimental conditions. It should be emphasized, however, that it is also very important to have good control of reactor architecture and electrical parameters. It will be shown that the process of deposition can be fully defined by tuning the relative importance of ion, radical, and atom fluxes. In conclusion, the effects on fluoropolymer films ascribable to changes of feeds, bias, substrate temperature, reactor pressure and electrode architecture will be examined in connection with species fluxes.

2:00 PM *N4.2 
PARYLENE COPOLYMERS, Kelly Taylor, Mona Eissa, Justin Gaynor, Shin-Puu Jeng, Hoan Nguyen, Texas Instruments Inc, Semiconductor Process & Device Ctr, Dallas, TX.

Parylenes are a class of vapor depositable polymers which nearly meet the high standards of the low-k triumvirate, namely: 1) adhesion, particularly to SiO, 2) thermal stability above 400 Celsius, and 3) permittivity less than 2.7. Parylene-N has been incorporated into both aluminum and copper- based metallization schemes; however, improvements in the adhesion and thermal stability would be gladly received by the industry since it would simplify and increase the robustness of the integration schemes. Additionally, a reduction in the permittivity would be beneficial from both device performance and extendability points-of-view. We have synthesized parylene-N-based copolymers that improved adhesion, thermal stability, and permittivity. In particular, parylene-N/siloxane copolymer thin films have permittivities down to 2.1 while also improving the adhesion and thermal stability compared to pure parylene-N thin films. Directly fluoridating parylene-N makes a copolymer with a permittivities as low as 2.3, although adhesion was impaired compared to the homopolymer. Computer simulations suggest that by changing the comonomer, further improvements in thermal stabilizer and permittivity are still possible. If these improvements can be paired with improved adhesion, the parylenes will be a viable intermetal dielectric for future high performance integrated circuits.

2:30 PM N4.3 
CHEMICAL VAPOR DEPOSITED TEFLON AMORPHOUS FLUOROPOLYMER AS AN INTERLEVEL DIELECTRIC MATERIAL FOR LOW POWER INTEGRATED CIRCUITS, Rahul Sharangpani, Rahebdra Singh, Clemson Univ, Dept of E&CE, Clemson, SC.

The development of materials with dielectric constant (K) less than silicon dioxide (K=3.9) is essential to meet the speed, power dissipation and crosstalk requirements that are driving the low power integrated circuit (IC) paradigm. Both the low K material and the processing methodology used for it should satisfy several important criteria before the technique can be accepted in future mainstream low power IC manufacturing. We had reported earlier a chemical vapor deposition (CVD) technique for the deposition of Dupont's Teflon Amorphous Fluoropolymer 1600 (K=1.93) using the principle of direct liquid injection. The processing was carried out with and without an ultra violet (UV) light source in a computerized rapid isothermal processing system. Recently, we have extensively characterized the films to determine their overall suitability in advanced interconnect structures. The CVD technique used has been examined in light of the ecologically friendly, high throughput IC manufacturing standards projected for future generations. Our results indicate that the films exceed several of the established dielectric performance standards outlined in recent roadmaps for sub 0.25 micron ICs. The film properties were optimized when the UV source was used during processing. CVD processed films in general exhibited significant improvements in terms of manufacturability, cost, throughput and performance over conventional methods such as spin coating, thermolysis and laser ablation. Recent results and critical theoretical analyses of primary operating mechanisms will be discussed in this paper.

3:15 PM N4.4 
MATERIALS LIMITS IN INTERLAYER DIELECTRICS FOR GIGASCALE INTEGRATION, Toh-Ming Lu, Rensselaer Polytechnic Inst, Dept of Physics, Troy, NY.

The interlayer dielectric materials used in future gigascale integration are required to have a dielectric constant below 2. Recently, porous materials which possess a dielectric constant ranging between 1 (air) and 2, have generated great interest among researchers. However, applications of these materials are far from realistic. One of the most important issues is the passivation (capping) of these materials to prevent moisture absorption and metal diffusion when used in multilevel interconnect. In this talk, I shall discuss the ultimate limits of these materials that can be realistically employed as interlayer dielectrics and the effective dielectric constant one can achieve after the passivation of these materials. I shall also discuss the possibility of using vapor deposited polymers such as parylene and polynapthalene families as the passivation materials. These polymers themselves possess a low dielectric constant (<2.5) and high thermostability. They are also known to have the ability to fill gaps and are particularly important for the passivation of deep via walls. Interaction of these materials with liners will be discussed.

3:30 PM N4.5 
THE EFFECT OF DEPOSITION CONDITIONS ON THE PROPERTIES OF VAPOR-DEPOSITED PARYLENE AF-4 FILMS, Mary Anne Plano, Novellus Systems Inc, Dept of Technology, San Jose, CA; Devendra Kumar, Thomas J. Cleary, Novellus Systems Inc, San Jose, CA.

There is a need for intermetal dielectric materials with low dielectric constant (2.5) for 0.18 m and smaller geometries. Lowering the dielectric constant reduces RC time delays, allowing faster intrachip and interchip communications. Spin-on glasses used or considered today may not meet the desired requirements. The focus of our present effort is to develop a CVD technology to deposit organic polymers for such applications. 
Poly (-tetrafluoro p-xylylene), parylene AF-4, films were vapor-deposited on silicon wafers by pyrolytic decomposition of the cyclic dimer, cyclodi(-tetrafluoro-p-xylylene). The solid cyclic dimer is sublimed to dimer gas in the vaporizer. The dimer gas flows through a furnace, where it is converted into monomer gas, and then into the deposition chamber. It was found that the deposition rate of parylene AF-4 films can be controlled by controlling the chamber pressure, the vaporizer temperature and the wafer temperature. High vaporizer temperature and low wafer temperature give the highest deposition rates. Parylene AF-4 films of the same thickness deposited under different deposition conditions do not have the same film properties. For example, surface roughness of the films shows a strong dependence on the deposition parameters. The films deposited at a low vaporizer temperature exhibit a smooth appearance while those deposited at high vaporizer temperature have a rough surface. The surface roughness shows a lesser dependence on wafer temperature. Deposition rate and surface roughness can be optimized by controlling the deposition conditions.

3:45 PM N4.6 
CHARACTERIZATION OF PARYLENE-N THIN FILMS FOR LOW-K VLSI APPLICATIONS, Steve C. Selbrede, Martin L. Zucker, Mattson Technology, Fremont, CA.

One of the key challenges for advanced VLSI interconnect technologies is the development of intermetal dielectric (IMD) films that can be integrated with aluminum and copper interconnect processes, have sufficiently low dielectric constant, and have good gap-filling properties. CVD parylene films show great promise for subquarter micron applications, achieving dielectric constants less than 2.75 with 100 conformity. In this study, parylene-N thin films were characterized from the viewpoint of VLSI IMD applications. All films were deposited in a prototype production system that included a vacuum chamber, electrostatic cold chuck, and parylene delivery system. Wafer temperatures as low as -90C were achieved. This is important since the deposition rate is strongly dependent on wafer temperature, increasing significantly as temperature is lowered. The parylene source can be heated up to 200C, allowing high vapor pressures and high parylene flow rates. The parylene is delivered via high conductance vacuum line with carrier gas capability. Many film properties were measured, including dielectric constant, refractive index, stress, adhesion, conformality, OH-content, metals content and thermal stability. Deposition rate, uniformity, and conformality were characterized as a function of wafer temperature, parylene flow, carrier gas flow, and process pressure. Thermal stability was characterized as a function of anneal temperature and time.

4:00 PM N4.7 
EFFECT OF DEPOSITION AND ANNEALING ON THE THERMOMECHANICAL PROPERTIES OF PARYLENE FILMS, E. Todd Ryan, Mikel Miller, Chung Lee, Univ of Texas-Austin, Ctr for Matls Science, Austin, TX; Paul S. Ho, Univ of Texas-Austin, Dept of MS&E, Austin, TX.

The semiconductor industry is vigorously investigating a variety of low dielectric constant materials for interlayer dielectric applications. Many of the materials studied are spin-cast organic polymers such as polyimides. Spin-cast polymers require the use of solvents and are generally cured at high temperatures to remove solvent and/or initiate polymerization. The stresses which build up during curing due to polymerization and polymer shrinkage as solvent is removed, significantly limit the gap-fill ability of many polymer systems. Vapor deposited polymers are an attractive alternative to spin-cast polymers because they form conformal coatings and are deposited using methods which parallel those used in semiconductor circuit production. Parylene derived polymers are vapor deposited and form conformal semicrystalline films. The properties of these films are sensitive to the chemical structure (degree of cross-linking, fluorination, etc.) and morphology. The chemical structure can be modified by using different precursors and by modifying the deposition process. The morphology is dependent upon deposition conditions such as deposition temperature, rate, and pressure. The morphology can also be altered by annealing the film after deposition. The thermal and mechanical properties of parylene films which have been chemically modified by varying the deposition process have been studied using FTIR, DSC, TMA, stress-strain, and the bending beam technique. Changes in the film properties upon annealing were also studied. The results show that properties such as the Young's modulus and thermal expansion coefficient can be controlled significantly by the deposition process and subsequent annealing conditions.

4:15 PM N4.8 
SPIN-COAT PROCESSING OF PTFE THIN FILMS FOR ULSI, T. Rosenmayer, John Bartz, W. L. Gore & Assoc, Eau Clair, WI.

Previous work has demonstrated the potential of spin-coated PTFE thin films for ULSI applications. The films are deposited from PTFE nanoemulsions. They have a dielectric constant of about 2.0 and a dielectric strength of about 1.6 MV/cm. They are thermally stable (isothermal weight loss /hr at 450C), uniform (thickness standard deviation ), and have excellent gapfill properties (viscosity of 1.55 cP and low surface tension). The films are inert with respect to all known semiconductor process chemicals, yet they are easily etched in an oxygen plasma. This paper discusses the processing technology that has been developed to process PTFE films with these properties. Specifically, it addresses two recent discoveries: I ) Good adhesion of spin-coated PTFE to SiO surfaces; and 2) high dielectric strength of PTFE thin films spin-coat- deposited onto rigid substrates. The adhesion-promoting and thermal treatments necessary to produce these properties are detailed. Stud pull test results and I-V test results from metal-insulator-metal (MIM) capacitor structures are given.

4:30 PM N4.9 
THIN-FILM CHARACTERIZATION OF HIGH-DENSITY PLASMA CHEMICAL VAPOR DEPOSITED -CARBON AND -FLUORINATED CARBON FOR ULTRA LOW-DIELECTRIC CONSTANT MICROELECTRONIC APPLICATIONS, Stuardo A. Robles, L. Vasquez, Applied Materials Inc, Santa Clara, CA; Moshe Eizenberg, Technion-Israel Inst of Tech, Materials Engr, Haifa, ISRAEL; Farhad Moghadam, Applied Materials Inc, Santa Clara, CA.

This work presents a process characterization of high density plasma (HDP) chemical vapor deposited (CVD) -carbon and fluorinated carbon films using methane (CH) and acetylene (CH) as the carbon precursors and carbon tetrafluoride (CF) and nitrogen trifluoride (NF) as the fluorine precursors. A single wafer, 200 mm HDP CVD reactor was used for this study in order to deposit high density films (thermally stable) with excellent gap filling capability. These films were characterized using RBS/HFS, x-ray diffraction, stress-temperature, thermal desorption (TDS), Raman spectroscopy, Auger, chemical mechanical polishing (CMP), ellipsometry and capacitive-voltage analyses. The effects of deposition pressure, ICP (source) power, bias power, carbon precursor flow, and fluorine precursor flow on dielectric constant, deposition rate, film stability, and film stress are presented. Our results show that the chemical, optical, and mechanical properties of HDP CVD -carbon and fluorinated carbon films can be easily manipulated. Furthermore, our results indicate that stable (chemical and mechanical) HDP CVD carbon and -fluorinated carbon films with deposition rates /min and with dielectric constants <2.7, may be used as an alternate intermetal dielectric (IMD) for ultralarge-scale integrated (ULSI) device applications.

SESSION N5: FLUORINATED OXIDES AND POLYIMIDES 
Chair: Neil H. Hendricks
Friday Morning, April 4, 1997
Golden Gate B3

8:30 AM *N5.1 
LOW-DIELECTRIC CONSTANT FLUORINE-DOPED TEOS FILMS, Viren V.S. Rana, Applied Materials Inc, Dielectric CVD Div I, Santa Clara, CA; Anand Gupta, Applied Materials Inc, DVCDI, Santa Clara, CA; Soonil Hong, David Cheung, Peter Lee, Applied Materials Inc, Dielectric CVD Div I, Santa Clara, CA.

Fluorine doping of TEOS films (FTEOS) has been of considerable interest since the fluorine doping has been known to reduce the dielectric constant and also improve the step coverage. Dielectric films with a lower dielectric constant (k) than the current SiO (k = 4.0-4.2) are required at feature sizes below 0.5 m to reduce the interconnect RC delays. Also, the improved step coverage can help as the intrametal gap aspect ratios increase with decreasing feature size. For application in sub-half-micron ULSI devices, the FTEOS films besides having a low dielectric constant need to be stable to a high temperature (C) and have chemical and electrical compatibility with devices. Precursors such as C, FTES, and SIF have been used to obtain low dielectric constant FTEOS films. For similar fluorine concentrations the film stability and the step coverage is different for different dopant precursor. At high [F] concentrations films become thermally unstable leading to fluorine evolution. The fluorine stability is related to the presence of SiF bonds. The films can be made stable by changing the process conditions that increase the decomposition of TEOS and the precursor, and densify the film. It is easiest to make the SIF based films stable. Stable films with a dielectric constant of 3.5, containing about 7 atomic fluorine have been obtained. This appears to be the limit of [F] doping while maintaining the film stability. There appears to be a tradeoff between step coverage and film stability. As the films are made stable the step coverage degrades. A choice between low dielectric constant and enhanced gap fill may need to be made. These applications will be highlighted.

9:00 AM N5.2 
NOVEL APPROACHES TO LINEAR POLYIMIDES, J. P. Marasco, J. Garapon, Bernard D. Sillion, CNRS, Lab des Matls Organiques a Prop Specif, Vernaison, FRANCE.

The mechanical and dielectric properties of the thin coating of polyimides made these polymers very important for application, as permanent dielectrics in the manufacture of integrated circuits (IC) and multichip modulus (MCM). From the IC and MCM manufacturer point of view, the polyamic acid intermediates is an important drawback and many pathways have been explored to produce polyimide through more stable intermediates. In this paper, we discuss two new approaches based on the polyimide orthoamide chemistry: 
1) the bis-isoimides react with aromatic diamine. A model compound study shows that the rate of isoimide reaction with an amine is correlated to the Hammet coefficient of the p-substituent carried by Ar A nitrogroup gives the highest rate for the preparation of the amide and the highest selectivity (100 ) during the cyclization catalyzed by the bases. The hydrolytic stability of the Intermediate polyamide o-amide is excellent.
2) the second way is based on the chemistry of bis-secondary amide bisimidazolide; the p-isomer can be obtained with high purity and allows the formation of soluble rod-like stable intermediates which behave as stable Iyotropic solutions.

9:15 AM N5.3 
CHARACTERIZATION OF PHOTOSENSITIVE AND SELF-ADHESIVE POLYIMIDE, Terry L. Alford, Y. Lee Zou, James W. Mayer, Arizona State Univ, Dept of Chem Biochem & Matls Engr, Tempe, AZ.

A modified ODPA/DDBP polyimide is definable in i-line stepper, and it does not require an external adhesion promoter. Since physical and properties are essential for resolution in photolithography, as well as for adhesion of polyimide to the substrate, various techniques were used to characterize the polymeric material. Thermal mechanical analysis (TMA) has shown a low coefficient of thermal expansion (20 ppm/C) and a high glass transition temperature (320C). The thermal stability was further evaluated by measuring reproducibility of a pattern and film thickness change before and after the curing. The surface chemistry was studied using a time-of flight secondary ion mass spectrometry (TOF-SIMS), which identified adhesion promoting functional groups. Contact angles of three liquids on polyimide film were measured by dynamic contact angle analyzer (DCA). The surface energies were derived based on the contact angles and were correlated to the degree of imidization.

9:30 AM N5.4 
THERMAL STABILITY STUDY OF THE INTERCONNECT SYSTEM WITH FLUORINATED SILICATE GLASS AS IMD LAYERS, Weidan Li, Wilbur Catabay, LSI Logic, R&D Div, Santa Clara, CA .

Fluorinated silicate glass (FSG) has been studied as a low k intermetal dielectric (IMD) material in the multilevel interconnect systems. In such a system, FSG is usually combined with undoped oxide or polymer materials to form IMD layers. The thermal stability of the FSG film will have significant impact on the device reliability. In this study, the thermal stability of different types of PE-CVD FSG films was evaluated with TDS, SIMS, and SEM analysis. The TDS data were compared with the F diffusion date. It was observed that F is easier to diffuse into an undoped film than to be desorbed at elevated temperatures. The data indicate that F diffuses 3.5 times faster in a silicon oxide film than in a silicon nitride film. The FSG films were applied to a sub-half-micron VLSI as the IMD layers. The devices were tested using an intensive thermal stability testing methodology in which wafers were heated up to 420C for 30 min and then cooled down to room temperature. The thermal cycle was repeated seven times. Electric data did not show significant drift after the thermal cycles. However, failure analysis indicated that in some splits, TiSi reacted with F diffused from the FSG film. The reaction caused TiSi delamination. It was also observed that diffused F also reacted with Ti, resulting in metal blistering. All these reactions will cause device reliability degradation. Barriers were studied to solve the problem. With an optimized process and interconnect structure, the problem was eliminated as demonstrated using the intensive thermal stability test.

10:15 AM N5.5 
THERMAL STRESSES, INTERFACIAL REACTIONS AND MICROSTRUCTURES OF Al/Ti AND Al/TiN THIN FILMS ENCAPSULATED BY FLUORINATED SILICON DIOXIDES, Wei-Tsu Tseng, National Chiao Tung Univ, National Nanl Device Laboratories, Hsinchu, TAIWAN; Li-Wen Chen, G.-C. Tu, Chiao-Tung Univ, Inst of MS&E, Hsinchu, TAIWAN.

The incorporation of low-dielectric-constant (low-k) fluorinated silicon dioxide (SiOF) into the multilevel interconnect structures poses potential reliability concerns due to the existence of fluorine. While SiOF may help mitigate the thermally-induced tensile stress in metallizations, the out diffusion of fluorine into metallization layers during thermal cycles would lead to increase in electrical resistance and deterioration of metallizations. In this study, thermal stresses and microstructures of Al alloy thin films encapsulated by SiOF with varying fluorine concentrations are investigated. Barrier layers such as Ti and TiN are inserted between SiOF and Al layers and their blocking capability against fluorine diffusion is examined. Preliminary results suggest that the penetration of fluorine alters the Al microstructures significantly. In addition, mechanical characteristics of the overlying dielectric layers are found to affect the stresses and microstructures of Al notably. The formation of Al-Ti intermetallics during thermal cycles and its impacts on stresses, microstructures and fluorine contamination in Al layers are also investigated. Finally, the correlations between stresses, microstructures and fluorine contamination and their significance in the designs of metallizations for reliability will be discussed.

10:30 AM N5.6 
MICROSCOPIC MECHANISMS FOR REDUCED STATIC DIELECTRIC CONSTANTS IN SI-O-F FILMS, Hong Yang, North Carolina State Univ, Dept of Chemistry, Raleigh, NC; Gerald Lucovsky, North Carolina State Univ, Dept of Physics, Raleigh, NC.

There is considerable interest in insulating films with static dielectric constants lower than that of SiO. One alloy system that has attracted recent attention is Si-O-F. Incorporation of F atoms into SiO leads to significant changes in the infrared (IR) absorption in the SiO bond-stretching and bond-bending bands at 1060 cm and 800 cm, respectively. An upward shift of the bond-stretching spectral peak indicates an upward shift in the distribution of Si-O-Si bond angles. The large changes in the static dielectric constants in Si-O-F films can not be explained by the replacement of Si-F for Si-O-Si groups. For the concentration ranges of technological interest to about 10 at. %, F atoms are incorporated into monohydride bo groups in which each Si-F is back-bonded to three Si-O-Si groups. IR experiments are interpreted by a model in which the vibrational absorptions of the back bonded Si-O-Si groups are reduced by inductive effects from the Si-F group. The mechanism of inductively reduced IR activity has been explored and verified by ab initio calculations. Calculations have been performed on molecular clusters to first obtain the harmonic force constants, , and IR effective charges, , for the three normal Si-O-Si modes as a function of the Si-O-Si bond angle, and then to determine changes induced by the F atom substitutions. The and of the bond-stretching and bond-bending modes of the Si-O-Si groups show complementary behaviors with respect to the dependence on the Si-O-Si bond angles. The large changes in the static dielectric constants of Si-O-F alloys are fully explained by a combination of i) replacement of weaker IR active Si-F bonds for stronger Si-O bonds, and ii) inductive effects of the Si-F group on the three back-bonded Si-O-Si groups which reduce their IR effective charges.

10:45 AM N5.7 
THE EFFECT OF OH CONTENT ON THE DIELECTRIC CONSTANT OF FLUORO-SILICATE GLASS THIN FILMS, Mark T. Weise, Steve C. Selbrede, Mattson Technology, Fremont, CA.

In a work previously published by the authors, it was shown that OH incorporation was the dominant effect in unstable fluorinated silicon dioxide (FSG) films. This work will investigate the effect of OH incorporation on FSG dielectric constant . The data indicate that OH incorporation has a substantial, deleterious effect on the dielectric constant of FSG films. FSG films were deposited from a TEOS, O and C mixture in a commercially available PECVD reactor. The depositions were performed using dual RF frequency power at low pressure, 500-750 mTorr. The dielectric constant of the FSG films was investigated as a function of various film properties. The effects of gas flow ratios, refractive index, fluorine content, and OH content on dielectric constant were examined. The dielectric constant of the films was found to depend on both fluorine and OH content. Adding fluorine resulted in a lowered dielectric constant. Increasing OH content of FSG films raised the dielectric constant, which is consistent with previously reported results for undoped SiO films. In some cases the increase in dielectric constant from OH can completely offset the dielectric constant reduction from fluorine incorporation. This observation has important ramifications in the handling of FSG films because FSG films have a tendency to absorb moisture upon exposure to room air.

11:00 AM N5.8 
ADHESION STRENGTH AND DEFORMATION BEHAVIOR OF Al(Cu)/BPDA-PDA-LAYERED STRUCTURES, Peter P. Abramowitz, Ennis T. Ogawa, Univ of Texas-Austin, Austin, TX; Paul S. Ho, Univ of Texas-Austin, Dept of MS&E, Austin, TX; Jeffrey T. Wetzel, Motorola Inc, Matls Research & Strategic Technologies, Austin, TX.

As the dimensions of interconnects decrease it becomes more important to understand how the metal/polymer interface affects the deformation properties of the system. We have performed uniaxial strain tests on Al(Cu) lines with thickness between 0.2 and 1 m on BPDA-PDA samples which have undergone various amount of RF-sputter pretreatment. We find that the adhesion energy of the Al(Cu) lures are greatly enhanced on any sample where the BPDA-PDA was RF-sputtered. However, the amount of sputtering had little effect on the adhesion strength. We also looked at the deformation of the metal lines with scanning probe microscopy (SPM) and found that plastic deformation develops in both sputtered and nonsputtered cases; however, more extensive deformation occurs in the sputtered cases, especially at strains larger than 15. We then performed uniaxial strain tests of blanket Al(Cu) lines on sputtered and nonsputtered BPDA-PDA so that the differences in deformation between these systems can be explored. We found that the energy needed to strain the sputtered BPDA-PDA case is significantly larger than the nonsputtered case. However, we have confirmed by experiment that this change of energy does not come from a hardening of the polymer by sputtering, nor by delamination. It most probably comes from a different amount of interaction between the polymer and metal. This effect cannot be modeled by continuum mechanics as the metal and polymer in both systems are identical, are being exposed to the same strain, and the interface retains its integrity. This highlights how the interaction between the metal and polymer can affect the deformation characteristics of both materials and how increased adhesion energies can lead to increased interaction between the layers.

11:15 AM N5.9 
EFFECT OF POST PLASMA TREATMENT ON RELIABILITY AND DIELECTRIC PROPERTIES OF SiOF FILMS DEPOSITED BY ECRCVD WITH SiF AND O, Seoghyeong Lee, Jae-Yoon Yoo, Jong-Wan Park, Hanyang Univ, Dept of Metall Engr, Seoul, SOUTH KOREA.

The effect of post plasma treatment on moisture absorption and dielectric properties of SiOF films was studied for application to intermetal dielectric (IMD) in multilevel metallization. SiOF films were deposited as a function of the SiF gas flow ratio. Post plasma treatments of SiOF films were carried out in-situ after deposition using N, and NO gases. Changes in water absorption and dielectric properties of SiOF films were monitored as a function of exposure time in the atmosphere to learn the effect of the post plasma treatment. The wet etching rates were measured by dissolving films in a BOE (6:1) solution and FTIR spectra were examined in order to investigate differences in the chemical properties of SiOF films before and after the plasma treatments. XPS was also taken to investigate the effect of the plasma treatment on the surface chemical composition and depth profile of SiOF films. By FTIR analysis, after O plasma treatment, no appreciable peak directly related to water absorbance was detected. It is regarded that the O plasma treated SiOF film is more dense than nonplasma treated films because of ion bombardment effect of O plasma. And it is also thought that some of F atoms in the very top layers of the SiOF film are replaced by oxygen atoms. In order to clarify the thermal stability of SiOF films as an IMD, the HTS test was carried out for various metallization systems such as Al (or Cu)/TiN/SiOF and Al(or Cu)/WN/SiOF. Furthermore, gap filling capability and step coverage are discussed in terms of cross sectional morphology of SiOF films deposited on patterned substrates under various deposition conditions.

11:30 AM N5.10 
DIELECTRIC AND ELECTRICAL PROPERTIES OF LARC-Si: A SELF-BONDING POLYIMIDE MATERIAL, Xing-Zhong Zhao, Pennsylvania State Univ, University Park, PA; Joseph P. Dougherty, Sei-Joo Jang, L. Eric Cross, Pennsylvania State Univ, Ctr for Dielectric Studies, University Park, PA.

The self-bonding polyimide material called LARC-SI (Langley Research Center-Soluble Imide) developed by NASA is a promising candidate for producing adhesiveless, multilayer flexible circuits. Dielectric and electrical properties characterization is important to identify the most suitable application for this material. The relative dielectric constant and dielectric loss of this material have been measured over a temperature range of -60 to 250C and a frequency range of 1 KHz to 2 MHz. The influence of sample thickness, heating and cooling cycle, glass transition of the material and environmental humidity on the dielectric properties are also studied. Temperature dependence of DC conductivity under various DC drive, temperature dependence of I-V characteristics, and time dependence of charging and recharging current measurement results are also presented to clarify the steady and transient current characteristics of this material. The application of this material to microelectronics is discussed.

11:45 AM N5.11 
MATERIAL PROPERTIES AND PROCESS SEQUENCE INTEGRATION OF LOW-K HIGH-DENSITY PLASMA CVD FLUOROSILICATE GLASS FILMS FOR ADVANCED INTERMETAL DIELECTRIC APPLICATIONS, Maciek E. Orczyk, Laxman Murugesh, Pravin Narwankar, Mihrimah Ozkan, Shijian Li, Turgut Sahin, Farhad Moghadam, Applied Materials Inc, Santa Clara, CA.

Increased complexity of advanced IC devices is the driving force for progressing radical shrinkage of critical device dimensions, and also the main stimulus for the application of novel low-k IMD materials. Fluorosilicate glass (FSG) dielectric deposited using High Density Plasma (HDP) CVD technology is considered to be the main candidate for the near future device generations. In the case of undoped silica glass, HDP-CVD has already been proven from the point of view of robust film properties and very good intermetal gap-fill capabilities. In this communication we report on material characterization, process window description, and process sequence integration of low-k FSG films deposited using HDP-CVD technology. A brief overview of second-generation High Density Plasma CVD reactor type for low-k processing is presented. In the fIrst part, the differences between HDP-FSG films deposited from different fluorine precursors are discussed. Also, the essential resulting there from conclusions regarding thermal and time stability of the dielectric are shared. In the second part, we focus on important physical parameters of the dielectric, and on their dependence on process conditions. Most important process window defining trends are discussed. Data regarding film's dielectric constant, refractive index, stress, FT-IR spectrum, uniformity of doping, and depth-profile atomic spectroscopy are demonstrated. We also present results of process integration of low-k HDP-FSG films with other VLSI/ULSI IC processing technologies. Results and recommendations regarding integration with dielectric etch, different advanced metallization schemes, and chemical-mechanical planarization will be shared and discussed.