Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

spring 1996 logo

1996 MRS Spring Meeting & Exhibit

April 8-12, 1996 | San Francisco
Meeting Chairs
: Thomas F. Kuech, Clifford L. Renschler, Chuang Chuang Tsai



Symposium K: Advanced Metallization for Future ULSI

Chairs

K.N. Tu J.W. Mayer
University of California at Los Angeles Arizona State University

J.M. Poate L.J. Chen
AT&T Bell Laboratories National Tsing Hua University

Symposium Support

Applied Materials
Vanguard Semiconductor


TUTORIAL
STK: CHEMICAL MECHANICAL PLANARIZATION
Instructor: Shyam Murarka, Rensselaer Polytechnic Institute
Sunday, April 7, 6:30 - 11:00 P.M.
Golden Gate A1

The CMP process and historical motivations:
*The present status of CMP particularly focusing on the need to establish advanced metallization schemes and planarization;
*The large number of variables that control the process;
* The science of CMP -- mechanical and chemical concepts important in understanding the CMP fundamentals;
*The CMP of the SiO2 films, the most commonly used insulator interlayer dielectric CMP of two most studied metals, W and Cu.

In spite of being a historically ancient technology, Chemical Mechanical Planarization (CMP) has never attracted so much attention as it has in the last few years. This is because of its applicability in planarizing the dielectrics and metal films used in the silicon integrated circuit (SiIC) fabrication. Continued miniature-ization of the device dimensions and related need to interconnect all these devices on a chip have led to building multilevel interconnections on planarized levels. The difference between the historical uses of CMP and those in the SiIC fabrication lies in the amount of material that can be removed prior to achieving the desired planarity. Very thin volumes of materials have to be precisely removed ending up on a different material and on a sea of embedded metal and dielectric surfaces. Maintaining the precise control on the remaining thickness, which is also very small, to within 0.01-0.05 microns while maintaining the integrity of the underlying structures are added requirements. This severity of qualifiers of CMP has challenged the scientists and engineers alike. Understanding the CMP process with a large number of variables and the science of pad, the abrasives, the chemistry of the slurry, post-CMP cleaning, feature size dependency, etc. have become essential in developing a reliable high performance and cost effective CMP process.

This has led to an unprecedented research and development activity both in the industrial and university sector as well as in tool and consumable manufacturers. Rarely, there has been seen such a close association between these different groups and among various branches of science and engineering.

*Invited Paper

SESSION K1: TECHNOLOGY ROAD MAPS FOR ULSI
Chairs: K.N. Tu and J.W. Mayer
Monday Morning, April 8
Golden Gate A1

8:30 A.M. *K1.1
0.1 um TECHNOLOGY AND THE SIA ROAD MAP, Tom Seidel, SEMATECH, Austin, TX.

9:00 A.M. *K1.2
THE NATIONAL TECHNOLOGY ROAD MAP FOR BACK-END-OF-LINE, D.B. Fraser, Intel Corporation, Santa Clara, CA.

9:30 A.M. *K1.3
0.1-um TECHNOLOGY AND BEOL, Tak H. Ning, IBM T.J. Watson Research Center, Yorktown Heights, NY.

10:00 A.M. BREAK

10:30 A.M. *K1.4
INTERCONNECTS AND FABRICATION TOOLS, Ashok K. Sinha, Applied Materials, Inc., Santa Clara, CA.

11:00 A.M. *K1.5
THE CHALLENGE OF ULSI SEMICONDUCTOR MEMORY INTERCONNECTION TECHNOLOGY, C.Y. Lu, Vanguard International Semiconductor Corporation, Hsinchu, Taiwan.
11:30 A.M. *K1.6
A COMPLETE STOCHASTIC WIRING DISTRIBUTION FOR ULSI, Jeff Davis, John Eble, Vivek De and James Meindl, Georgia Institute of Technology, Atlanta, GA.

SESSION K2: METROLOGY OF SUBMICRON STRUCTURES
Chairs: J.M. Poate and L.J. Chen
Monday Afternoon, April 8
Golden Gate A1

1:30 P.M. *K2.1
METROLOGY OF THIN FILM ADHESION, W.D. Nix, J.J. Vlassak, R.J. Hohlfelder and J.T. Sizemore, Stanford University, Department of Materials Science and Engineering, Stanford, CA.

2:00 P.M. *K2.2
X-RAY MICRODIFFRACTION FOR VLSI, P.-C. Wang, G.S. Cargill III, Columbia University, New York, NY; I.C. Noyan, E.G. Liniger, C.-K. Hu and K.Y. Lee, IBM T.J. Watson Research Center, Yorktown Heights, NY.

2:30 P.M. K2.3
MECHANICAL STRESS IN AND SURROUNDING CoSi2 AND TiSi2 LINES STUDIED USING XRD AND RAMAN SPECTROSCOPY, I. DeWolf, D.J. Howard, K. Maex, H.E. Maes, IMEC, Leuven, Belgium; and M. Ignat, Domaine Universitaire, INPGrenoble, Grenoble, France.

2:45 P.M. BREAK

3:15 P.M. *K2.4
NUCLEAR MICROPROBE ANALYSIS OF SILICIDES, M. Takai, Osaka University, Faculty of Engineering Science and Research Center for Extreme Materials, Toyonaka, Japan.

3:45 P.M. *K2.5
BARRIER METALS: THE CHALLENGE OF MICRO PROPERTY CHARACTERIZATION, Shi-Qing Wang, Sematech, Austin, TX and National Semiconductor Corporation, Fairchild Research Center, Santa Clara, CA.

4:15 P.M. K2.6
ATOMIC FORCE MICROSCOPY STUDIES OF INITIAL NUCLEATION OF ELECTROLESS COPPER DEPOSITION ON PALLADIUM SILICIDE, Brian Johnson, Department of Materials Engineering, San Luis Obispo, CA.

4:30 P.M. K2.7
FERMI SURFACE MEASUREMENTS ON ULTRATHIN FILMS, Gary J. Mankey, Krishnan Subramanian and Roger L. Stockbauer, Louisiana State University, Physics and Astronomy Department, Baton Rouge, LA.

4:45 P.M. K2.8
SIMS AND MOKE STUDIES OF Fe/Gd MULTILAYERS ON Si, Li-Shing Hsu, National Chang-Hua University of Education, Department of Physics, Chang-Hua, Taiwan; C.-K. Lo and Y.D. Yao, Academia Sinica, Institute of Physics, Taipei, Taiwan.

SESSION K3: MLM-I: Cu METALLIZATION
Chairs: A.Z. Kaloyeros and D.N. Lee
Tuesday Morning, April 9
Golden Gate A1

8:30 A.M. *K3.1
A REVIEW OF THE CHEMICAL MECHANICAL POLISHING OF COPPER THIN FILMS, Shyam P. Murarka, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY.

9:00 A.M. *K3.2
COPPER CVD BASED METALLIZATION SCHEMES FOR ULSI, G. Brackelmann, D. Manger, J. Kelsey, S. Lane, I. Lou, G. Peterson and A.E. Kaloyeros, University at Albany, New York State Center for Advanced Technology and Physics Department, Albany, NY.

9:30 A.M. *K3.3
TEXTURE AND RELATED PHENOMENA OF COPPER ELECTRODEPOSITS, Dong Nyung Lee, Seoul National University, Department of metallurgical Engineering, Seoul, Korea.

10:00 A.M. K3.4
SUB-HALF MICRON ELECTROLESS Cu METALLIZATION, Valery M. Dubin, Yosi Shacham-Diamand, Cornell University and NNF, Ithaca, NY; Bin Zhao, P.K. Vasudev, SEMATECH, Austin TX; and Chiu H. Ting, AMD, Integrated Technology, Sunnyvale, CA.

10:15 A.M. BREAK

10:45 A.M. K3.5
Cu DEPOSITION CHARACTERISTICS INTO SUBMICRON CONTACT HOLES EMPLOYING SELF-SPUTTERING WITH A HIGH IONZATION RATE, S. Shingubara, A. Sano, H. Sakaue, T. Takahagi, Hiuroshima University, Department of Electrical Engineering, Higashi-hiroshima, Japan; Z.J. Radzimski, North Carolina State University, Department of Materials Sciences, Raleigh, NC; and W.M. Posadowski, Technical University of Wroklaw, Wroklaw, Poland.

11:00 A.M. K3.6
REACTIVE ION ETCHING PROPERTIES OF COPPER THIN FILMS IN CHLORINE-CASED ECR PLASMA, Sung-Kwon Lee, Won-Jong Lee and Soung-Soon Chun, KAIST, Department of Materials Science and Engineering, Taejon, South Korea.

11:15 A.M. K3.7
SURFACE CHEMISTRY OF MOCVD Cu: Ta vs TiN, G.M. Nuesca and J. Kelber, University of North Texas, Department of Chemistry, Denton, TX.

11:30 A.M. K3.8
MICROSTRUCTURE OF Cu(002) FILMS GROWN ON Ge(001) AND Si(001) BY PRIMARY ION DEPOSITION, Brian W. Karr, I. Petrov, D.B. Bergstrom, David G. Cahill and J.E. Greene, University of Illinois, Department of Materials Science, Urbana, IL; L.D. Madsen and J.-E. Sundgren, Linköping University, Physics Department, Linköping, Sweden.

11:45 A.M. K3.9
SURFACE SCIENCE STUDY OF COPPER DEPOSITION ON Si(111) BY OMCVD, T.Q. Cheng, K. Griffiths, P.R. Norton and R.J. Puddephatt, University of Western Ontario, Department of Chemistry, London, Canada.

JOINT SESSION K4/L4: RELIABILITY ISSUES
FOR Cu METALLIZATION
Chairs: S. Murarka and R. Rosenberg
Tuesday Afternoon, April 9
Golden Gate A1

1:30 P.M. *K4.1/L4.1
ANNEALED Cu/Al/SiO2 BILAYERS: A SIMPLE, FLEXIBLE METALLIZATION SCHEME? W.A. Lanford, Physics Department, State University of New York, Albany, Albany, NY; P. Isberg and B. Hjorvarsson, University of Uppsala, Physics Department, Uppsala, Sweden.

2:00 P.M. K4.2/L4.2
OXIDATION RESISTANT COPPER (BORON) ALLOYS FOR INTERCONNECTIONS IN SILICON INTEGRATED, S. Hymes, K.S. Kumar and S.P. Murarka, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY; W. Wang and W. Lanford, University at Albany, Department of Physics, Albany, NY.

2:15 P.M. K4.3/L4.3
OXIDATION RESISTANCE OF COPPER ALLOY THIN FILMS FORMED BY CHEMICAL VAPOR DEPOSITION, V. Bhaskaran, A. Ludviksson, P. Atanasova, University of New Mexico, Department of Nuclear and Chemical Engineering, Albuquerque, NM; T.T. Kodas, University of New Mexico, Department of Chemical Engineering, Albuquerque, NM; and M.J. Hampden-Smith, University of New Mexico, Department of Chemistry, Albuquerque, NM.

2:30 P.M. K4.4/L4.4
ADHESION RELIABILITY OF Cu-Cr ALLOY FILMS TO POLYMIDE, Jin Yu, KAIST, Department of Materials Science and Engineering, Seoul, Korea.

2:45 P.M. BREAK

3:30 P.M. *K4.5/L4.5
IN-SITU STUDY OF ELECTROMIGRATION IN CU FILMS, Richard W. Vook, Syracuse University, Physics Department, Syracuse, NY.

4:00 P.M. *K4.6/L4.6
ELECTOMIGRATION AND DIFFUSION IN PURE Cu AND Cu(Sn) ALLOYS, C.-K. Hu, K.L. Lee and D. Gupta, IBM T.J. Watson Research Center, Yorktown, NY.

4:30 P.M. K4.7/L4.7
DETERMINATION OF ACTIVATION ENERGY OF ELECTROMIGRATION IN COPPER THIN FILM CONDUCTOR LINES, A. Gladkikh and Y. Lereah, Tel Aviv University, Department of Physical Electronics, Tel Aviv, Israel; M.Karpovski and A. Palevski, Tel Aviv University, Department of Physics, Tel Aviv, Israel.

4:45 P.M. K4.8/L4.8
ELECTROMIGRATION IN SUBMICRON WIDE COPPER LINES, Oleg V. Kononenko, Victor N. Matveev, Yurij I. Koval' and Sergey V. Dubonos, Institute of Microelectronics Technology and High Purity Materials, RAS, Moscow, Russia.

SESSION K5: POSTER SESSION I
Chairs: K.N. Tu, J.W. Mayer, J.M. Poate and L.J. Chen
Tuesday Evening, April 9
8:00 P.M.
Presidio Ballroom

K5.1 LOW TEMPERATURE EPITAXIAL GROWTH OF CoGe2(001)GaAs(100) FILMS USING THE PARTIALLY IONIZED BEAM DEPOSITION TECHNIQUE, K.E. Mello, S.R. Soss, S.P. Murarka and T.-M. Lu, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY.

K5.2 A MICROSTRUCTURAL AND ELECTRICAL INVESTIGATION OF Pd/Ge/Ti/Au OHMIC CONTACT TO n-TYPE GaAs, J.S. Kwak, H.K. Baik, Yonsei University, Department of Metallurgical Engineering, Seoul, Korea; J.-L. Lee, Semiconductor Technology Division ETRI, Deajon, Korea; D.W. Shin and C.G. Park, Department of Materials Science and Engineering, POSTECH, Pohang, Korea.

K5.3 THE LOW RESISTANCE Au/Ge/Pd OHMIC CONTACTS TO n-GaAs BASED ON MULTIPLE MECHANISMS, P.H. Hao, L.C. Wang, Texas A&M University, Electrical Engineering Department, College Station, TX; Fei Deng, S.S. Lau, University of California, Department of Electrical and Computer Engineering, San Diego, CA; and J.Y. Cheny, AT&T Bell Laboratory, Murray Hill, NJ.

K5.4 Si/Pd OHMIC CONTACT TO n-GaP BASED ON THE SOLID PHASE REGROWTH PRINCIPLE, Moon-Ho Park, L.C. Wang, C. Dufner, Texas A&M University, College Stantion, TX; Fei Deng, S.S. Lau, University of California, San Diego, CA; I.H. Tan and F. Kish, Hewlett-Packard, San Jose, CA.

K5.5 EVOLUTION OF INTERFACIAL PHASES AND ITS EFFECTS ON OHMIC CONTACTS TO n-GaAs IN Ni-Ge-Ti METALLIZATION, T.J. Kim, V. Krishnamoorthy, M.P. Lambers, E.S. Lambers and P.H. Holloway, University of Florida, Department of Materials Science and Engineering, Gainesville, FL.

K5.6 COMPARISON OF Pd/Sn AND Pd/Sn/Au THIN-FILM SYSTEMS FOR DEVICE METALLIZATION, M.S. Islam, Patrick J. McNally, David C. Cameron, Dublin City University, School of Electronic Engineering, Dublin, Ireland; and P.A.F. Herbert, National Microelectronics Research Centre (NMRC), Cork, Ireland.

K5.7 COBALT AND TITANIUM METALLIZATION OF SiGeC FOR SHALLOW CONTACTS, A.E. Bair, T.L. Alford, Z. Atzmon, Arizona State University, Department of Chemical, Bio and Materials Engineering, Tempe, AZ; and J.W. Mayer, Arizona State University, Center for Solid State Science, Tempe, AZ.

K5.8 HIGH QUALITY GdSi1.7 LAYERS FORMED BY HIGH DOSE CHANNELED IMPLANTATION, M.F. Wu, Peking University, Department of Technical Physics, Beijing, China and University of Leuven, Instituut voor Kern- en Stralingsfysika, Leuven, Belgium; A. Vantomme, H. Pattyn, G. Langouche, University of Leuven, Instituut voor Kern- en Stralingsfysika, Leuven Belgium; and H. Bender, IMEC, Leuven, Belgium.

K5.9 THERMAL STABILITY OF NICKEL SILICIDE FILMS ON UNDOPED AND DOPED SILICON SUBSTRATES AS A FUNCTION OF FILM THICKNESS, Suhit R. Das, Dan-Xia Xu, National Research Council of Canada, Institute for Microstructural Sciences, Ottawa, Canada; Abdalla Naem, National Semiconductor Corporation, Santa Clara, CA; Mantreh Nournia and Les LeBrun, National Research Council of Canada, Institute for Microstructural Sciences, Ottawa, Canada.

K5.10 EPTAXIAL GROWTH OF NiSi2 AND TiSi2 INSIDE DEEP SUBMICROM SIZE OXIDE OPENINGS, J.Y. Yew, L.J. Chen, National Tsing Hua University, Department of Materials Science and Engineering, Hsinchu Taiwan; and K. Nakamura, National Nano Device Laboratory, Hsinchu, Taiwan.

K5.11 DEPENDENCE OF CRYSTALLOGRAPHIC TEXTURE OF C54 TiSi2ON THICKNESS AND LINEWIDTH IN SUBMICRON CMOS STRUCTURES, V. Svilan, Massachusetts Institute of Technology, Cambridge, MA; K.P. Rodbell, L.A. Clevenger, C. Cabral Jr., R.A. Roy, C. Lavoie, J. Jordan-Sweet and J.M.E. Harper, IBM T.J. Watson Research Center, Yorktown Heights, NY.

K5.12 IN-SITU LIGHT SCATTERING MEASUREMENT TOPOGRAPHY DURING TITANIUM SILICIDE FOR AGGLOMERATION, C. Lavoie, C. Cabral Jr., L.A. Clevenger, James M.E. Harper, R. Carruthers and F. Doany, IBM T.J. Watson Research Center, Yorktown Heights, NY.

K5.13 IN SITU CHEMICAL VAPOR DEPOSITION OF COPPER ALLOY FILMS, V. Bhaskaran, A. Ludviksson, The University of New Mexico, Department of Chemical and Nuclear Engineering, Albuquerque, NM; P. Atanasova, T.T. Kodas, The University of New Mexico, Center for Micro-Engineered Ceramics, Albuquerque, NM; and M.J. Hampden-Smith, The University of New Mexico, Department of Chemistry, Albuquerque, NM.

K5.14 ENCAPSULATION OF SILVER VIA NITRIDATION OF SILVER-REFRACTORY METAL BILAYERS IN NH3, T.L. Alford, Daniel Adams, T. Laursen, Arizona State University, Department of Chemical, Biology and Materials Engineering, Tempe, AZ; J.W. Mayer, Arizona State University, Center for Solid State Science, Tempe, AZ; and K.N. Tu, University of California, Department of Materials Science and Engineering, Los Angeles, CA.
K5.15 THE INFLUENCE OF Sn ON Cu GRAIN GROWTH, J. Zhang, C. Assunta, J.S. Huang and K.N. Tu, University of California at Los Angeles, Department of Materials Science and Engineering, Los Angeles, CA.

K5.16 STUDY OF INITIAL Cu CRYSTALIZATION ON A Pd SUBSTRATE FROM AN ELECTROLESS Cu BATH USING ATOMIC FORCE MICROSCOPY, Ron Amster, Department of Mechanical Engineering, San Luis Obispo, CA.

K5.17 IMPROVED COPPER CHEMICAL VAPOR DEPOSITION PROCESS BY APPLYING SUBSTRATE BIAS, Won-Jun Lee, Sa-Kyun Rha, Seung-Yun Lee, KAIST, Department of Materials Science and Engineering, Taejon, Korea; Dong-Won Kim, Kyonggi University, Department of Materials Science and Engineering, Suwon, Korea; Soung-Soon Chun, Chong-Ook Park, KAIST, Department of Materials Science and Engineering, Taejon, Korea.

K5.18 DEPOSITION OF Cu FILMS ON Si BT PARTIALLY IONIZED BEAM DEPOSITION, Seok-Keun Koh, Ki-Hwan Kim, Hong-Gui Jang and Hyung-Jin Jung, Korea Institute of Science and technology, Division of Ceramics, Seoul, Korea.

K5.19 MOCVD OF COPPER FROM NEW AND LIQUID PRECURSOR (hfac)CuL, H.-K. Shin, S.-J. Lin, D.-J Yoo, H.-J. Yoo, Ultra Pure Chemical Inc., MOCVD, Kyungkido, Korea; J.-T. Back, C.-H. Hun and Y.-T. Kim, Electronics and Telecommunications Research Institute, Semiconductor Division, Daejeon, Korea.

K5.20 COPPER FILM GROWTH BY CHEMICAL VAPOR DEPOSITION: INFLUENCE OF THE SEEDING LAYER, Seok Kim, Kyoung-Ryul Yoon, Ki-Hwan Kim, Doo-Jin Choi, Yonseil University, Department of Ceramic Engineering, Seoul, Korea.

K5.21 DEPOSITION KINETICS OF Cu-Pd ALLOY FILM FORMATION BY METALORGANIC CHEMICAL VAPOR DEPOSITION, V. Bhaskaran, A. Ludviksson, The University of New Mexico, Department of Chemical and Nuclear Engineering, Albuquerque, NM; P. Atanasova, T.T. Kodas and M.J. Hampden-Smith, The University of New Mexico, Center for Micro-Engineered Ceramics, Albuquerque, NM.

K5.22 PLASMA ASSISTED CHEMICAL VAPOR DEPOSITION OF ALUMINUM FOR METALLIZATION IN ULSI, Dong-Chan Kim, Young-Soung Kim and Seung-Ki Joo, Seoul National University, Department of Metallurgical Engineering, Seoul, Korea.

K5.23 RELIABILITY OF PERFECTLY PLANARIZED QUARTER MICRON MULTILEVEL INTERCONNECTIONS PREPARED BY CHEMICAL VAPOR DEPOSITION OF ALUMINUM, Kyung-II Lee, Jin-Won Park and Jae-Jeong Kim, ULSI Research Center, LG Semicon Corporation, Cheongiu, Korea.

K5.24 THE CHEMICAL VAPOR DEPOSITION OF Al-Cu FILMS UTILIZING INDEPENDENT ALUMINUM AND COPPER ORGANOMETALLIC SOURCES IN A SIMULTANEOUS DEPOSITION, Matthew D. Healy, John A.T. Norman and A.K. Hochberg, Schumacher, Inc., Technology Department, Carlsbad, CA.

K5.25 TEMPERATURE DEPENDENCE OF RESISTIVITY FOR TiN AND Ti-Si-N FILMS, U. Gottlieb, Laboratoire des Matériaux et du Génie Physique, France; X. Sun, E. Kolawa and M.A. Nicolet, California Institute of Technology, Pasadena, CA.

K5.26 TANTALUM AS A DIFFUSION BARRIER BETWEEN Cu AND Si: EFFECT OF CeO2 ADDITION, Dong Soo Yoon, Gi Bum Kim, Jae Hwa Kim, Hong Koo Baik, Yonsei University, Department of Metallurgical Engineering, Seoul, Koreal Sung Man Lee, Kangwon National University, Department Materials Engineering, Chuncheon, Korea.

K5.27 IMPACT OF RAPID THERMAL ANNEALING OF Ti/TiN BILAYERS IN AMMONIA ON SUBSEQUENT CHEMICAL VAPOR DEPOSITION OF TUNGSTEN, A. Mouroux, KTH-Electrum, Department of Electronics, Kista, Sweden; R. Palmans, IMEC Leuven, Belgium; J. Keinonen, University of Helsinki, Accelerator Laboratory, Helsinki, Finland; S.-L. Zhang, KTH-Electrum, Department of Electronics, Kista, Sweden; and K. Maex, IMEC, KTH-Electrom, Leuven, Belgium; and S. Petersson, Kista, Sweden.

K5.28 COMPARISON OF TiN FILMS PRODUCED BY TDEAT (Ti[N(C2H5)2]4), TDMAT (Ti[N(CH3)2]4), AND NEW PRECURSOR TEMAT (Ti[N(CH3)C2H5]4) J.-G. Lee, J.-H. Kim, Kookmin University, Department of Metallurgical Engineering, Seoul, Korea; H.-K. Shin, Ultra Pure Chemical Inc., Suwon Kyungkido, Korea; and S.-J. Park, APEX, Ohjungdong, Daedukgu Daejeon, Korea.

K5.29 STRUCTURES AND PROPERTIES OF TiW AND TiWN BARRIERS BETWEEN GOLD THIN FILMS AND SILICON, C.R. Chen and L.J. Chen, National Tsing Hua University, Department of Materials Science and Engineering, Taiwan, China.

K5.30 PROCESS OPTIMIZATION AND INTEGRATION OF BARRIER METAL SYSTEM FOR W-PLUG TECHNOLOGY, Chin-Kun Wang, National Chiao Tung University, Department of Electronics Engineering and Institute of Electronics, Hsinchu, Taiwan; Lu Min Liu, Winbond Electronics Corporation, Technology Development Division, Hsinchu, Taiwan; and Dedui Marvin Liao, Applied Materials, MCVD Division, Santa Clara, CA.

K5.31 GROWTH OF W-SiN FILMS BY LOW TEMPERATURE CHEMICAL VAPOR DEPOSITION, J.G. Fleming, E.L. Roherty-Osmun, J.S. Custer, Sandia National Laboratories, Albuquerque, NM.

K5.32 THE EFFECT OF CONTACT IMPLANTS ON THE PATTERNING OF TUNGSTEN DAMASCENE INTERCONNECTS, Jeff Gambino, Mark Jaso and Ernie Levine, IBM East Fishkill, Hopewell Junction, NY.

K5.33 THE USE OF CVD TiN AS A BARRIER IN SUB-MICRON CONTACT PLUGS, Garo Derderian, Sujit Sharan, Gurtej Sandhu and Anand Seinivasan, Micron Technology, R&D, Boise, ID.

K5.34 ANORMALOUS SELECTIVE TUNGSTEN GROWTH BY CHEMICAL VAPOR DEPOSITION, Yu-Jan Mei, National Chiao Tung University, Department of ELectronics Engineering and Institute of Electronics, Hsin-Chu, Taiwan; Ting-Chang Chang, National Nano Device Laboratory, Hsin-Chu, Taiwan; Jeng-Dong Sheu, Wen-Kuan Yeh, National Chiao Tung University, Department of Electrical Engineering and Institute of Electronics, Hsin-Chu, Taiwan; Fu-Ming Pan, National Nano Device Laboratory, Hsin-Chu, Taiwan; and Chun-Yen Chang, National Nano Device Laboratory, Hsin-Chu, Taiwan.

K5.35 (ABSTRACT WITHDRAWN)

K5.36 IMPROVEMENT IN WET ETCH OF TiW FUSIBLE LINKS IN AlCu/TiW/PtSi METALLIZATION FOR 0.8uM BiCMOS, Samuel Nagalingam, Suketu Parikh, Steve Sharpe, Ron Ross and Larry Anderson, Silicon Systems, Inc., Advanced Process Development, Santa Cruz, CA.

K5.37 METAL AND METAL ALLOY THIN FILM DEPOSITION BY AEROSOL-ASSISTED (AA) CVD USING REACTIVE CARRIER GASES, Chongying Xu, Mark J. Hampden-Smith, University of New Mexico, Department of Chemistry, Albuquerque, NM; and Toivo Toivo T. Kodas, University of New Mexico, Department of Chemical Engineering, Albuquerque, NM.

K5.38 IN-SITU DEPOSITION OF TUNGSTEN SILICIDES ON Si(100) USING Nd:YAG LASER RADIATION, Sang-Hyeob Kim, Hoong-Sun Im, Korea Research Institute of Standards and Science, Taejon, Korea; Young-Koo Choi and Young-Woo Jung, Wonkwang University, Department of Chemistry, Iksan, Korea.

K5.39 CVD MOLYBDENUM FOR ULSI METALLIZATION, A. Ivanova, The University at Albany-SUNY, New York State Center for Advanced Technology and Physics Department, Albany, NY; L. Chen, The University at Albany-SUNY, New York State Center for Advanced Technology and Physics Department, Albany, NY and Presently at Applied Materials, Santa Clara, CA; and A.E. Kaloyeros, The University at Albany-SUNY, New York State Center for Advanced Technology and Physics Department, Albany, NY.

K5.40 SURFACE CLEANING OF COPPER BY THERMAL AND PLASMA TREATMENT IN REDUCING INERT AMBIENTS: AND ITS IMPACT ON THE FORMATION OF SILICIDES ON COPPER SURFACES EXPOSED TO DILUTE SILANE, S. Hymes, K.S. Kumar, S.P. Murarka, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY; W. Wang and W. Landford, University at Albany, Department of Physics, Albany, NY.

K5.41 CHEMICAL-MECHANICAL POLISHING OF COPPER IN GLYCEROL BASED SLURRIES, K.S. Kumar and S.P. Murarka, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY.

K5.42 REACTIVE ION ETCHING OF THE FLUORINATED POLYIMIDE THIN FILM, Y.K. Lee, S.P. Murarka, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY.

K5.43 EVALUATION OF AMORPHOUS BORON NITRIDE FILMS AS LOW DIELECTRIC CONSTANT MATERIALS, M.Z. Karim, S.T. Hsu, Sharp Microelectronics technology, Camas, WA; and R.A. Levy, New Jersey Institute of Technology, University Heights, Newark, NJ.

K5.44 PTFE MICROEMULSIONS AS SPIN-ON, LOW DIELECTRIC CONSTANT MATERIALS FOR ULSI APPLICATIONS, Tom Rosenmayer and Huey Wu, W.L. Gore and Associates Inc., Elkton, MD.

K5.45 HIGH-DENSITY PLASMA CLEANING OF SUB-MICRON CONTACTS USING Ar:NF3, Anand Srinivasan, Sujit Sharan and Gurtej Sandhu, Micron Technology, Research and Development, Boise, ID.

SESSION K6: RELIABILITY SCIENCE
Chairs: R.S. Sorbello and M. Ruhle
Wednesday Morning, April 10
Golden Gate A1

8:30 A.M. *K6.1
MICROSCOPIC DRIVING FORCES FOR ELECTROMIGRATION, Richard S. Sorbello, University of Wisconsin-Milwaukee, Department of Physics, Milwaukee, WI.

9:00 A.M. *K6.2
GRAIN BOUNDARY STRUCTURE AND COMPOSITION IN Cu BICRYSTALS, M. Rühle, Max-Planck-Institut für Metalforschung, Stuttgart, Germany.

9:30 A.M. K6.3
SEGREGATION OF Cu TO THE Al(Cu)/Al2O3 INTERFACE, M. Copel, K.P. Rodbell and R.M. Tromp, IBM T.J. Watson Research Center, Yorktown Height, NY.

9:45 A.M. K6.4
INTERDIFFUSION AND PHASE FORMATION IN CU(SN) ALLOY THIN FILMS, L.A. Clevenger, IBM T.J. Watson Research Center, Yorktown Heights, NY; B. Arcot, Intel Corporation, Hilsboro, OR; W. Ziegler, Tubingeen University, Tubingee, Germany; E.G. Colgan, IBM T.J. Watson Research Center, Yorktown Heights, NY; Q.Z. Hong, Texas Instruments Inc., Semiconductor Processing and Device Center, Dallas. TX; F.M. d'Heurle, C. Cabral Jr., T. Gallo and J.M.E. Harper, IBM T.J. Watson Research Center, Yorktown Heights, NY.

10:00 A.M. K6.5
POLARITY EFFECT OF ELECTROMIGRATION IN Ni2Si CONTACTS ON Si, J.S. Huang, H.K. Liou and K.N. Tu, University of California at Los Angeles, Department of Materials Science and Engineering, Los Angeles, CA.

10:15 A.M. BREAK

10:45 A.M. K6.6
ELECTROMIGRATION FAILURE DISTRIBUTIONS FOR MULTI-LAYER INTERCONNECTS AS A FUNCTION OF LINE WIDTH: EXPERIMENTS AND SIMULATION, Dirk D. Brown, John E. Sanchez Jr., Van Pham, Advanced Micro Devices, Sunnyvale, CA; Matt A. Korhonen, Che-Yu Li, Cornell University, Materials Science Department, Ithaca, NY.

11:00 A.M. K6.7
MODELING OF TEMPERATURE INCREASE DUE TO JOULE HEATING DURING ELECTROMIGRATION MEASUREMENTS, H.C. Louie and S.P. Murarka, Rensselaer Polytechnic Institute, Center for Integrated Electronics and Electronics Manufacturing, Troy, NY.

11:15 A.M. K6.8
MODELLING GEOMETRICAL EFFECTS OF PARASITIC AND CONTACT RESISTANCE OF FET DEVICES, G. Reeves, RMIT, Melbourne, Australia; P. Leech, Telstra, Research Laboratories, Clayton, Australia; and B. Harrison, Griffith University, Microelectronics, Nathan, Australia

11:30 A.M. K6.9
ULTRA-LOW CONTACT RESISTIVITY BY HIGH CONCENTRATION GERMANIUM AND BORON DOPING COMBINED WITH LOW-TEMPERATURE ANNEALING, A. Murakoshi, M. Iwase, Toshiba Corporation, ULSI Research Laboratories, Kawasaki, Japan; M. Koike, Toshiba Corporation, Environmental Engineering Laboratories, Kawasaki, Japan; H. Niiyama and K. Suguro, ULSI Research Laboratories, Kawasaki, Japan.

11:45 A.M. K6.10
NEW TECHNIQUE FOR OHMIC FORMATION, S. Hara, Electrotechnical Laboratory, Materials Science Division, Ibaraki, Japan; T. Teraji, Electrotechnical Laboratory, Materials Science Division, Ibaraki, Japan, and University of Tsukuba, Faculty of Materials Science, Ibaraki, Japan; K. Okuda, H. Okushi, Electrotechnical Laboratory, Materials Science Division, Ibaraki, Japan; and K. Kajimura, Electrotechnical Laboratory, Materials Science Division, Ibaraki, Japan, and University of Tsukuba, Faculty of Materials Science, Ibaraki, Japan.

SESSION K7: MLM-II: INTERCONNECT AND VIA
Chairs: H.J. Barth and L.T. Shi
Wednesday Afternoon, April 10
Golden Gate A1

1:30 P.M. *K7.1
INTEGRATION OF Al-FILL PROCESSES FOR CONTACTS AND VIAS, H.J. Barth, Siemens AG, Components Group, Munich, Germany.

2:00 P.M. *K7.2
STRESS MODELING OF ASYMMETRICAL VIA/LINE STRUCTURES, L.T. Shi, IBM T.J. Watson Research Center, Yorktown Heights, NY.

2:30 P.M. *K7.3
CLUSTER ION BEAM PROCESSING FOR ULSI FABRICATION, I. Yamada and J. Matsuo, Kyoto University, Ion Beam Engineering Experimental Laboratory, Kyoto, Japan.

3:00 P.M. K7.4
CHEMICAL VAPOR DEPOSITION OF Al FILMS FROM DIMETHYLETHYLAMINE ALANE ON GaAs(001)2x4 SURFACES, I. Karpov, J. Campbell, S. Venkateswaran, W. Gladfelter and A. Franciosi, University of Minnesota, Center for Interfacial Engineering, Minneapolis, MN.

3:15 P.M. BREAK

3:45 P.M. K7.5
CHARACTERIZATION OF DICHLOROSILANE BASED TUNGSTEN SILICIDE FILMS FOR LOCAL INTERCONNECTS, Cengiz S. Ozkan, Stanford University, Materials Science and Engineering Department, Stanford, CA; Mansour Moinpour, California Technology Development, Intel Corporation, Santa Clara, CA; and Mehmet Sarikaya, University of Washington, Materials Science and Engineering Department, Seattle, WA.

4:00 P.M. K7.6
NEW SURFACE CLEANING METHOD FOR HEAVILY-DOPED Si AND ITS APPLICATION TO SELECTIVE CVD-W CLAD LAYER FORMATION ON SINGLE- AND POLY-CRYSTALLINE Si, Toshihiko Kosugi, Hiromu Ishii, Arita Yoshinobu and Sato Yasuhiro, NTT LSI Laboratories, Kanagawa, Japan.

4:15 P.M. K7.7
COMPARISON OF CVD AND PVD TUNGSTEN FOR GIGABIT-SCALE DRAM INTERCONNECTIONS, John Mark Drynan and Kuniaki Koyama, NEC Corporation, ULSI Device Development Laboratories, Kanagawa, Japan.

4:30 P.M. K7.8
THE MORPHOLOGY OF SELECTIVE TUNGSTEN GROWN ON TiN AND ITS CONTROL FOR THE APPLICATION OF CAPACITOR ELECTRODE IN DRAM, Young J. Lee, ULSI Research Center of LG Semicon Co. Ltd., Chungbuk, Korea; Narishi Gonohe, Engineering Department Semiconductor Equipment Division 1 of ULVAC, Shizuoka, Japan; Do H. Kim and Hong S. Kim, ULSI Research Center of LG Semicon Co. Ltd., Chungbuk, Korea.

4:45 P.M. K7.9
GROWTH AND ANALYSIS OF POLYCRYSTALLINE CARBON FOR MOS APPLICATIONS, S.C.H. Hung, J.L. Hoyt, J.F. Gibbons, Stanford University, Solid State Electronics Laboratory, Stanford, CA.

SESSION K8: MLM-III: BARRIER METAL AND
LOW-K DIELECTRIC
Chairs: M. Eizenberg and B. Zhao
Thursday Morning, April 11
Golden Gate A1

8:30 A.M. *K8.1
CHEMICAL VAPOR DEPOSITION OF TiN FOR ULSI APPLICATIONS, M. Eizenberg, Technion-Israel Institute of Technology, Department of Materials Engineering and Solid State Institute, Haifa, Israel.

9:00 A.M. K8.2
LOW-RESISTIVITY CVD TiN BARRIER LAYERS FOR 0.25 MICRON TECHNOLOGY, Garo J. Derderian, Sujit Sharan, Gurtej Sandhu, Micron Technology, Research and Development, Boise, ID; Michal Danek and Marvin Liao, Applied Materials, Research and Development, Santa Clara, CA.

9:15 A.M. K8.3
THE FORMATION OF TiN-ENCAPSULATED SILVER FILMS BY NITRIDATION OF SILVER-REFRACTORY METAL ALLOYS IN NH2, Daniel Adams, T.L. Alford, T. Laursen, Arizona State University, Department of Chemical, Biology and Materials Engineering, Tempe, AZ; J.W. Mayer, Arizona State University, Center for Solid State Science, Tempe, AZ; F. Deng and S.S. Lau, University of California, Department of Electrical and Computer Engineering, La Jolla, CA.

9:30 A.M. K8.4
CHEMICAL VAPOR DEPOSITION OF Ti-Si-N FILMS FOR DIFFUSION BARRIER APPLICATIONS, J.S. Custer, Paul Martin Smith, Ronald V. Jones, Sandia National Laboratories, Albuquerque, NM; Andrew W. Maverick, Louisiana State University, Baton Rouge, LA; David A. Roberts, J.A.T. Norman, Arthur K. Hochberg, Schumacher, Inc., Carlsbad, CA; Gang Gai, Intel Corporation, Santa Clara, CA; Jason S. Reid and Marc-A. Nicolet, California Institute of Technology, Pasadena, CA.

9:45 A.M. K8.5
LOW TEMPERATURE DEPOSITION OF TaCN FILMS USING PENTAKIS(DIETHYLAMIDO) TANTALUM, Gyu-Chang Jun, Sung-Lae Chao and Ki-Bum Kim, Seoul National University, Department of Metallurgical Engineering, Seoul, Korea.

10:00 A.M. BREAK

10:30 A.M. *K8.6
ON ADVANCED INTERCONNECT USING LOW DIELECTRIC CONSTANT MATERIALS AS INTER-LEVEL DIELECTRICS, Bin Zhao, SEMATECH, Austin, TX.
11:00 A.M. K8.7
SYNTHESIS AND CHARACTERIZATION OF SiOF THIN FILMS DEPOSITED BY ECRCVD FOR ULSI MULTILEVEL INTERCONNECTIONS, Jong-Wan Park and Seoghyeong Lee, Hanyang University, Department of Metallurgical, Seoul, Korea.

11:15 A.M. K8.8
THERMAL STABILITY AND INTERACTION BETWEEN SiOF AND Cu FILM, Yu-Jan Mei, Jeng-Dong Sheu, Wen-Kuan Yeh, Chun-Yen Chang, National Chiao Tung University, Department of Electronics Engineering and Institute of Electronics, Hsin-Chu, Taiwan; Ting-Chang Chang and Fu-Ming Pan, National Nano Device Laboratory, Hsin-Chu, Taiwan.

11:30 A.M. K8.9
MATERIAL CHARACTERIZATION AND CHEMICAL MECHANICAL POLISHING OF LOW-DIELECTRIC CONSTANT FLUORINATED SILICON DIOXIDE FILMS, Charles C.-F. Lin, Winbond Electronics Corporation, Science-Based Industrial Park, Hsinchu, Taiwan; Wei-Tsu Tseng, National Nano Device Laboratory, Hsinchu, Taiwan; Yuan-Tsu Hsieh, M.-S. Feng, National Chiao-Tung University, Institute of Materials Science and Engineering, Hsinchu, Taiwan; and H.J. Yung, Winbond ELectronics Corporation, Science-Based Industrial Park, Hsinchu, Taiwan.

11:45 A.M. K8.10
FABRICATION OF DUAL-DAMASCENE STRUCTURES IN LOW-DIELECTRIC-CONSTANT POLYMERS FOR MULTILEVEL INTERCONNECTS, R. Tacito and Christoph Steinbruchel, Rensselaer Polytechnic Institute, Troy, NY.

SESSION K9: CONTACT TO Si
Chairs: R. Tung and S. Ogawa
Thursday Afternoon, April 11
Golden Gate A1

1:30 P.M. *K9.1
GROWTH OF EPITAXIAL SILICIDES THROUGH A THIN DIFFUSION BARRIER, R.T. Tung, AT&T Bell Laboratories, Murray Hill, NJ.

2:15 P.M. K9.2
EFFECTS OF Ni-Si DISORDERED INTERLAYER ON THE ELECTRONIC PROPERTIES OF Ni SILICIDE BARRIER CONTACTS ON SILICON, A.C. Rastogi, National Physical Laboratory, New Delhi, India; and P.K. John, University of Western Ontario, Department of Physics, London, Canada.

2:15 P.M. K9.3
ELECTRICAL CHARACTERIZATION OF ULTRA-SHALLOW JUNCTION FORMED BY DIFFUSION FROM A CoSi2 DIFFUSION SOURCE, F. La Via, CNR-IMETEM Catania, Italy; and E. Rimini, CNR-IMETEM, Physics Department, Catania, Italy.

2:30 P.M. K9.4
EFFECT OF FLUORINE IMPLANTATION ON THE ELECTRICAL PROPERTIES OF SALICIDED JUNCTION, Jeong S. Byun, Byung H. Lee, Jeong M. Seon, Jae S. Kwon, Hyun S. Hwang, Jin W. Park and Jac J. Kim, ULSI Research Center of LG Semicon Co Ltd., Cheongiu-su, Korea.

2:45 P.M. K9.5
SIZE EFFECTS OF DEEP SUBMICRON OXIDE OPENINGS ON THE FORMATION OF SILICIDES ON SILICON, L.J. Chen, National Tsing Hua University, Department of Materials Science and Engineering, Hsinchu, Taiwan.

3:00 P.M. BREAK

3:30 P.M. *K9.6
REACTIONS IN Ti,Co SILICIDES FORMATION FOR SHALLOW JUNCTIONS, Shinichi Ogawa, Matsushita Electronics Corporation, Kyoto Research Laboratory, Kyoto, Japan.

4:00 P.M. K9.7
MECHANISMS OF THIN FILM Ti AND Co SILICIDE PHASE FORMATION ON DEEP SUBMICRON GEOMETRIES AND THEIR IMPLICATIONS AND APPLICATIONS TO 0.18 um CMOS AND BEYOND, Jorge A. Kittl and Qi-Zhong Hong, Texas Instruments Inc., Semiconductor Process and Device Center, Dallas, TX.

4:15 P.M. K9.8
INITERDIFFUSION AND PHASE FORMATION DURING THERMAL ANNEALING OF Ti/Mo BILAYERS ON Si SUBSTRATES, A. Mouroux, S.-L. Zhang, KTH-Electrum, Department of Electronics, Kist, Sweden; W. Kaplan, Industrial Microelectronics Center, Kista, Sweden; S. Nygren, Ericsson Components, Kista, Sweden; M. Ostling and S. Petersson, KTH-Electrum, Kista, Sweden.

4:30 P.M. K9.9
Ti SALICIDE TECHNOLOGY USING NITROGEN DIFFUSION FROM TiN CAP IN ARGON AMBIENT, T. Matsubara, M. Iguchi and T. Horiuchi, NEC Corporation, ULSI Device Development Laboratories, Kanagawa, Japan.

4:45 P.M. K9.10
SELECTIVE RAPID THERMAL CHEMICAL VAPOR FORMATION OF TiSi2 FROM TiCl4(g) AND H2(g), D.B. Gladden, North Carolina State University, Department of Materials Science and Engineering, Raleigh, NC; C.E. Weintraub and M.C. Oztürk, North Carolina State University, Department of Electrical and Computer Engineering, Raleigh, NC.


The following exhibitors have identified their products and services as directly related to your research:

Academic Press
American Institute of Physics
Axic, Inc.
Cabot Corporation
CVC Products, Inc.
Elsevier Science, Inc.
IOP Publishing, Inc.
JEOL USA, Inc.
Kluwer Academic Publishers
Philips Semiconductors/Materials Analysis Group
Pure Tech, Inc.
Research & PVD Materials Corp.
Rigaku/USA, Inc.
Thermionics Laboratory, Inc.

See page 6 for a complete list of exhibitors.