Symposium Organizers
Reuben Collins, Colorado School of Mines
Bahman Hekmatshoar, IBM T.J. Watson Research Center
Zachary Holman, Arizona State University
Paul Stradins, National Renewable Energy Laboratory
Akira Terakawa, Panasonic Corporation
Symposium Support
AIP Iota; Applied Physics Letters
Ecole Polytechnique Feacute;deacute;rale de Lausanne (EPFL)
Forschungszentrum Juuml;lich GmbH
IBM T.J. Watson Research Center
National Renewable Energy Laboratory
A3: Advanced Characterization and Simulation I
Session Chairs
Tuesday PM, April 07, 2015
Moscone West, Level 3, Room 3002
2:30 AM - A3.01
Surface Damage Introduced by Diamond Wire Sawing of Si Wafers: Measuring In-Depth and the Lateral Distributions for Different Cutting Parameters
Bhushan L. Sopori 1 3 Srinivas Devayajanam 1 2 Prakash Basnyat 1 2 Santosh Kumar Sahoo 2 James Gee 3 Ferdinando Severico 3 Antoine Manens 3 Hubert Seigneur 4 Winston V Schoenfeld 4 Steve Preece 4 Jeff Binns 5 Jesse Appel 5
1National Renewable Energy Laboratory Lakewood United States2New Jersey Institute of Technology Newark United States3Applied Materials Santa Clara United States4PVMC Orlando United States5SunEdison St. Peters United States
Show AbstractWafering of Si ingots by diamond wire cutting offers potential for higher throughput at a lower cost compared to slurry cutting, while maintaining desired total thickness variation (TTV), low surface roughness, low kerf, and a low surface damage. Although saw manufacturers and wafer suppliers can easily measure TTV and other physical parameters of wafers, there are no easy ways to measure depth and distribution of damage. Yet, this information is needed by sawing companies for optimizing wafering throughput. It is also needed by the solar cell manufacturer to ensure that all the damage is etched away during wafer preparation before solar cell processing. We have developed a new technique for determination of the damage depth and its distribution. This technique is based on measurement of effective minority carrier lifetime as a function of depth. The procedure consists of removing thin layers from the wafer surfaces by chemical etching and measuring the minority carrier lifetime after each etch step. The effective lifetime increases as the thickness is removed and reaches a constant value. The thickness removed when the lifetime reaches the peak value is the damage depth. This new method is very fast and accurate, and has many advantages, including the fact that it can be easily adapted in a solar cell facility. We will describe: (i) a new etch that allows highly-controlled removal of thin layers from large-area wafers, (ii) results of extensive investigations on diamond cut wafers under different cutting parameters, which include: wire size, diamond size and distribution, wire reciprocation frequency, and wire usage, (iii) analyses of wafer surface morphologies by Fourier transformation to retrieve cutting history. Some salient results of our investigations are: (a) the surface damage occurs as dislocation loops “frozen into” Si. There is also a phase change into amorphous Si (and other crystalline forms). However, in most cases, the regions of micro-cleavage have no phase change; (b) The damage depth depends primarily on the effective size of the diamond wire (wire size + diamond size) and, to a second degree, on the wire usage; (c) The degree and the in-depth distribution of surface damage can be defined in terms of effective surface recombination velocity as function of depth; (d) surface damage is laterally nonuniform and follows striation pattern; (e) We have determined, for the first time, that a history of cutting parameters is “imprinted” on the wafer surface, which can be retrieved from spatial frequencies representing diamond size, wire size, wire reciprocation, rocking frequency, and vibrations of the wafers during cutting. We will also present a comparison of damage depth obtained by our technique and by the standard angle polishing and defect etching.
2:45 AM - A3.02
Ultrafast Imaging of Carrier Dynamics at the p-n Junction Interface
Ebrahim Najafi 1 Timothy Scarborough 1 Jau Tang 1 Ahmed Zewail 1
1California Institute of Technology Pasadena United States
Show AbstractScanning ultrafast electron microscopy (SUEM) combines the spatial resolution of an electron probe with the temporal resolution of an optical pulse and enables unprecedented studies of carrier dynamics on spatially complex geometries. Observing the behavior of carriers in both space and time provides the means to elucidate the processes involved in their dynamics. Here, we discuss direct imaging of carrier excitation, transport, and recombination in the silicon p-n junction and follow their spatiotemporal behavior. In particular, we show that carrier separation on the surface of the p-n junction extends tens of microns beyond the depletion layer, contrary to the expected results from the widely-accepted drift-diffusion model. The separated carriers remain localized across the junction and induce a dynamic forward bias voltage, isolated in both space and time for up to tens of nanoseconds. We provide a model that explains the observations using a ballistic-type transport, and accounts for the spatiotemporal density localization across the junction. With the invention of SUEM, it should now be possible to study density profiles and electric potentials at surfaces and interfaces at the ultrafast time scale with the spatial resolution of the electron probe.
3:00 AM - A3.03
Study of Band-Edge Optical Absorption of Sulfur Hexafluoride Etched Silicon Nanoparticles Using Photothermal Deflection Spectroscopy
San Theingi 1 3 Chito Kendrick 4 5 Tianyuan Guan 1 3 Grant Klafehn 1 3 Brian Gorman 6 3 Mark Thomas Lusk 1 3 Paul Stradins 2 3 Craig Taylor 1 3 Reuben T. Collins 1 3
1Colorado School of Mines Golden United States2National Renewable Energy Lab Golden United States3Colorado School of Mines Golden United States4Michigan Technological University Houghton United States5Michigan Technological University Houghton United States6Colorado School of Mines Golden United States
Show AbstractQuantum confined silicon nanoparticles (SiNPs) are a promising optoelectronic material with unique properties, in particular the ability to increase the bandgap of the material by tuning the size of the material below Bohr radius (5nm). Here, we present an optical absorption study of size varied, free standing SiNP films using photothermal deflection spectroscopy (PDS). In general, it is difficult to directly observe the absorption threshold in SiNPs because of silicon&’s low absorption coefficient. PDS is an extremely sensitive technique which can directly measure the optical absorption of materials by detecting very small changes in the temperature of the sample. The temperature is detected through a change in the refractive index gradient in a heated region just above the sample due to temperature gradient in the medium. In this study samples are immersed in carbon tetrachloride (CCl4) solution, a fluid with a large thermally induced change in index, to increase sensitivity.
SiNPs are grown using a modified PECVD reactor where a highly dilute silane (SiH4) in Ar precursor is introduced into the chamber and a plasma decomposes the process gas to grow SiNPs.[1] Different amounts of sulfur hexafluoride (SF6) gas are introduced into the process gas to control the size of these SiNPs by etching the SiNPs during the growth process. The SiNPs collected are normally in the powder form, however, a highly compact film is required for PDS measurement so that the nanoparticles will not disperse when the sample is submerged in the CCl4 solution. To create a compact film, we introduced a slit nozzle at the exit of the reactor where a pressure differential causes the SiNPs to accelerate and be deposited as a compact thin film on the quartz substrate, which is placed at the exit of the reactor.
Photoluminescence (PL) measurements show a strong blue shift in emission with increased SF6 flow. PDS measurements exhibit a corresponding blue shift in the band edge absorption which is attributable to an increase in quantum confinement as SF6 reduces the SiNP size. In addition, both PL and PDS measurements show that the size distribution of the SiNPs is polydisperse. Thus, in order to better estimate the bandgap of the material, we have modeled the optical absorption by convoluting the absorption coefficient with a Gaussian size distribution function. The absorption coefficient obtained from the model provides insights into the packing density of the SiNPs while the bandgap arising from the fit is close but slightly higher in energy than the result of a conventional linear fit of square root of absorption vs energy.
We acknowledge support from the DOE SunShot program (DE-EE0005326), the DOE sponsored Solar Energy Research Institute for India and the United States (SERIIUS DE-AC36-08GO28308) and NSF sponsored REMRSEC (DMR-0820518).
[1] C. Kendrick, et. al. 2014 Solar Energy Materials and Solar Cells 124, U. Kortshagen 2009 J. Phys. D: Appl. Phys.42
3:15 AM - A3.04
Silicon Nanocrystals: Slow Emission Arises from Core States and Fast Emission from an Amorphous Surface
Daniel C. Hannah 3 Jihua Yang 2 Nicolaas J. Kramer 2 Maria K.Y. Chan 1 George C. Schatz 3 Uwe R. Kortshagen 2 Richard D. Schaller 1 3
1Argonne National Laboratory Lemont United States2University of Minnesota Minneapolis United States3Northwestern University Evanston United States
Show AbstractEfficient photoluminescence from silicon nanocrystals offers great potential for use in applications that range from bio-labeling to solar energy conversion and LEDs. We discuss origins of both the long-lived efficient photoluminescence and ultrafast time-resolved measurements of plasma-grown, colloidal silicon nanocrystals as a function of both particle size and lattice crystallinity. Using hydrostatic pressure, we show that the long-lived emission closely follows the pressure dependent band gap of bulk silicon, which suggests origination from quantum-confined core states rather than surface emission. We further quantify the decay time and spectral profiles of nominally few- picosecond emergent direct-gap emission that has previously been assigned to phononless electronminus;hole recombination. Here, we observe that the higher-energy (2minus;3 eV) photoluminescence component consists of two decay processes with distinct time scales. The fastest photo-luminescence exhibits a tens of picosecond decay constant largely independent of emission energy and particle size. Importantly, nearly identical temporal components and blue spectral features appear for amorphous particles. As supported by Raman analysis and molecular dynamics simulations, we suggest that the high-energy, rapid emission observed from these materials relates to an amorphous component in all measured samples. Based on these observations, we advise that the observed dynamics proceed too slowly to originate from intraband thermalization and suggest a non-radiative origin.
3:30 AM - A3.05
Recombination Limits to the Fill Factor of Silicon Solar Cell
Mohammadmehdi Leilaeioun 1 Zachary Holman 2
1Arizona State University Phoenix United States2Arizona State Univ Tempe United States
Show AbstractRecombination currents and ohmic resistance at maximum power point (MPP) strongly influence the fill factor of silicon solar cells. Recombination in the quasi-neutral bulk and at the two cell surfaces set a practical upper limit for the fill factor of crystalline solar cells operating under high and low injection. We call this recombination-limited fill factor the “internal fill factor” or “implied fill factor” (iFF). For process optimization or loss analysis of solar cells, it is important to determine the influence of each of the recombination loss mechanisms—including surface, SRH, Auger and radiative—on the iFF separately; that&’s the main goal of this contribution. Two previous approximate approaches, suggested by Green [1] and Swanson and Sinton [2], predict the iFF from the open-circuit voltage (Voc) of the cell. This is convenient because the internal or implied open-circuit voltage (iVoc) of a solar cell can be measured at early stages of device processing (e.g., after passivation), giving rapid insight into expected device performance. However, these methods assume low injection and therefore that recombination is linear in excess carrier density. In addition, both formulas also require that the ideality factor be known, and, while unity is often assumed, the ideality factor in fact varies with injection level.
We present an alternative, exact approach in which we calculate the iFF and iVoc from internal or implied current-voltage curves constructed from simulated lifetime curves. This method explicitly considers the injection dependence of recombination and does not make reference to ideality factor, though we can calculate the (injection-dependent) ideality factor from the lifetime curves. We plot iFF against iVoc for varying conditions and material properties including the doping concentration, bulk and surface defect parameters, cell thickness and illumination intensity, and compare the results to the approximations of Green and Swanson and Sinton (which have no dependence on these parameters). We show that deviances between our exact result and the approximations can be significant, especially under high injection, for which the exact value of ideality factor is unknown and varies from 2/3 to 2, depend on which recombination mechanism—Auger or SRH—is dominant. Specifically, we observe that defects with capture cross-section ratios (electron to holes) near unity has the most deviation from the approximation because while Auger recombination is dominant at Voc, surface recombination becomes dominant at MPP which lead to a high non-linear ratio between excess carrier densities at MPP and Voc.
References
1- Martin A. Green, Solid-State Electronics, Vol. 24, No. 8, 1981.
2- Richard M. Swanson and Ron Sinton, American Solar Energy Society, Vol. 1, PLENUM press, New York, 1983.
3:45 AM - A3.06
Stress Effects on Raman Spectroscopy of aSi:H -- Theory and Experiment
David A. Strubbe 1 Eric C. Johlin 1 Timothy R. Kirkpatrick 1 Tonio Buonassisi 1 Jeffrey C. Grossman 1
1Massachusetts Institute of Technology Cambridge United States
Show AbstractRaman microscopy has proven to be a very useful technique for inferring stress distributions in materials, since the positions of vibrational peaks are sensitive to local stress. This method has been applied extensively for crystalline silicon, and would be useful for amorphous silicon as well, particularly for studying local stress and composition of nanostructured amorphous/crystalline devices. Toward that goal, we have simulated the Raman spectrum of hydrogenated amorphous silicon with density-functional perturbation theory, using atomistic structures from the WWW algorithm with different stress states. We obtain a spectrum in good agreement with experimental results, and calculate a coefficient for the change in the TO peak position proportional to stress. We then performed Raman experiments on an a-Si:H film deposited on a c-Si wafer, in which stress was applied with a 4-point bending setup, and found a stress coefficient consistent with the simulations. These results can be used to map out local stress distributions, and also relate to thermal expansion and vibrational anharmonicity via the Grüneisen parameter.
A4: Advanced Characterization and Simulation II
Session Chairs
Tuesday PM, April 07, 2015
Moscone West, Level 3, Room 3002
4:30 AM - A4.01
Fill-Factor Loss Analysis of Silicon Heterojunction Solar Cells with Atomic-Layer-Deposited Transparent Electrodes
Johannes Peter Seif 1 Benedicte Demaurex 1 Silvia Martin de Nicolas 1 Niels Holm 1 Jonas Geissbuehler 1 Sjoerd Smit 2 Bart Macco 2 Erwin Kessels 2 Stefaan De Wolf 1 Christophe Ballif 1
1Ecole Polytechnique Feacute;deacute;rale de Lausanne Neuchatel Switzerland2Eindhoven University of Technology Eindhoven Netherlands
Show AbstractFor silicon heterojunction solar cells, sputter deposition of transparent conductive oxides (TCO) as electrodes is widely used. However, this process is known to induce damage to the underlying hydrogenated amorphous silicon (a-Si:H) layers and hence strongly reduces the surface passivation of the devices, leading to voltage losses. This loss is partially mitigated by subsequent low-temperature annealing which allows restructuring of the a-Si:H network and reduction of its defect density. Here, we explore atomic layer deposition (ALD) of TCOs as a damage-free process to enable higher performance devices. Based on our results, we also discuss the importance of work function engineering for TCO layers and the need to find new materials enabling ideal TCO/silicon interfaces for low-resistive passivated contacts.
Prior to sputter deposition of indium tin oxide (ITO), we apply protective ALD aluminum-doped zinc oxide (ZnO:Al) layers of different thicknesses and find that a 20-nm-thick ALD layer is sufficient to shield the layers beneath from subsequent sputter damage [1]. However, devices featuring such stacks display an increase in series resistance, leading to low fill factor (FF) values. This is likely related to an unintentional silicon oxide layer present at the a-Si:H/ZnO:Al interface, formed during processing. This oxide layer is likely also present in ‘standard&’ reference samples, but is removed by direct sputter deposition of ITO thanks to the harsh deposition conditions during such a process.
Besides sputter damage, we also observe that the mere presence of a TCO layer can affect the recombination at the amorphous/crystalline silicon interface, as a consequence of a possible work function (WF) mismatch or interface defects between the TCO and the a-Si:H. This effect can be observed by photoconductance decay measurements (PCD) that probe the carrier-injection dependence of the effective minority carrier lifetime in the wafer. To investigate this in greater detail we studied samples symmetrically passivated by stacks of intrinsic and doped a-Si:H(in or ip) deposited by plasma enhanced chemical vapor deposition. From PCD measurements, we extract both an implied open-circuit voltage and an implied FF (iFF) before and after TCO deposition. These parameters enable us to monitor the effect of each processing step and its implications on the final device. Comparing the iFF values for a set of different processing steps, we observe markedly different behavior for samples featuring a-Si:H(in) or a-Si:H(ip) stacks. Even though the ALD layers protect against sputter-induced damage, the ZnO:Al layers can lead to a drop in iFF of up to minus;7% absolute in ip-samples, while for the co-deposited layers on in-samples an increase in iFF is observed (+1.5% absolute). We link this to the effect of the ZnO:Al WF, acting differently on the in or ip a-Si:H stacks and the c-Si(n) beneath.
[1] B. Demaurex, J.P. Seif, et al., IEEE JPV, vol. 4, pp. 1387-1396, 2014.
4:45 AM - A4.02
Carrier Transport and Interface Passivation Mechanisms Controlling the Performance of Passivated Contacts in Si Solar Cells
Stephanie Essig 1 David L Young 1 Bill Nemeth 1 Vincenzo LaSalvia 1 Paul Stradins 1
1National Renewable Energy Laboratory Golden United States
Show AbstractWe have investigated the carrier transport and passivation mechanisms in passivated rear contacts for n-type crystalline Si solar cells. These contacts reduce the recombination at semiconductor-metal interfaces and are therefore essential for achieving high solar cell efficiencies of potentially 25%. Our contact structure consists of a very thin SiOX tunneling layer (< 2 nm) which separates the lightly n-type doped bulk (c-Si) from a highly phosphorous-doped polycrystalline Si (pc-Si) contact layer [1]. Due to its low complexity and ease of fabrication, the contact is extremely attractive for industrial implementation.
The recombination current density, J0,back, of these passivated metal contacts depends on the defect states at the oxide interface, but also on the tunneling transmission probability of minority carriers across the oxide into the highly doped conduction layer [2]. When using an approximately 1.5 nm thermally grown oxide layer, we have achieved J0,back values as low as 12 fA/cm2 [1]. This means that the contact is well passivated for >24% cells. The second important contact parameter is contact resistivity. According to tunneling theory, contact resistivity depends strongly on the tunneling probability of the majority carriers [2], which decreases exponentially with increasing oxide thickness. Consequently, the main challenge is to generate an oxide, which is thick enough to ensure a low recombination current, but which also allows a loss-free majority carrier transport into the poly-crystalline Si.
We investigate the dependences of passivation quality and contact resistivity on the tunneling oxide thickness and preparation technique. These studies are performed on symmetric n+-pc-Si/SiOX/n-Si/SiOX/n+-pc-Si structures. The oxide thicknesses are determined by spectral ellipsometry and confirmed by TEM measurements. A Sinton lifetime tester is used to extract the implied open circuit voltages (iVoc) and J0. Our experimental results confirm that J0 decreases with increasing oxide thickness. However, the contact resistivity, which is determined by transmission line measurements (TLM) does not show the expected dependence on the oxide thickness. This indicates the presence of alternative carrier transport paths through the oxide (e.g. defects, pinholes, thickness inhomogeneities, nanoscopic roughness).
We will present a detailed analysis of the current transport across the oxide interface and discuss models, which can describe the observed effects. We will also address the impact of different steps in the fabrication process on the passivation quality of the oxide layer, as well as challenges implementing these passivated contacts into the Si solar cells.
The authors acknowledge support by U.S. Department of Energy under contracts DE#8208;EE0006336 and DE-EE00025783.
[1] B. Nemeth et al., Proceedings of the 40th IEEE PVSC, Denver, USA (2014).
[2] Dissertation Jon-Yiew Gan, Stanford University (1990).
5:00 AM - A4.03
The Valence Band Offset at the Amorphous/Crystalline Silicon Interface and its Influence on Electron Hole Transport
Mathias Mews 1 Martin Liebhaber 1 Tim F. Schulze 1 Lars Korte 1 Bernd Rech 1
1Helmholtz-Zentrum Berlin Berlin Germany
Show AbstractThe amorphous/crystalline silicon heterojunction (SHJ) interface is of great interest due to its application in wafer-based SHJ solar cells [1] , where thin amorphous silicon (a-Si:H) films are used as contact layers on the front and rear side of the wafer. An active research topic is the application of high band gap a-Si:H alloys as contact layers. The higher transparency of these layers could lead to an increased photocurrent in solar cells. However, in the usual (p)a-Si:H/(n)c-Si front junction cell design, the valence band offset at the interface between the n-type crystalline silicon absorber and the p-type a-Si:H presents a barrier for the extraction of minority carriers. To date only simulations [2] and device results with unknown valence band offsets [3] were reported.
We present results for devices with SHJ valence band offsets ranging from 0.3 to 1.2 eV and report on the relationship between these band offsets and the current transport across the junction, as measured on devices. Furthermore we use device simulations to shed light on the transport mechanism(s) across this interface.
We report a linear decrease of the solar cell fill factor for increasing valence band offset in the range of 300 to 750 meV; for even higher valence band offsets, the fill factor saturates at about 50%. All devices show comparable open circuit voltages and short circuit densities. Numerical simulation of the device properties leads to the conclusion that the dominating transport process across the SHJ interface is neither thermionic emission, nor tunneling through an energetic barrier, but tunnel-hopping in the a-SiOX:H valence band tail.
Earlier studies have suggested that increased valence band offsets are a generic feature of a-Si:H alloys and those materials are therefore unsuitable for application as minority carrier contact in SHJ solar cells [3]. To investigate this issue, we repeated the experiments and simulations described so far with pure a-Si:H/a-SiOx:H layer stacks. This leads to a valence band stairwell.
Thus we demonstrate that the application of a valence band stairwell mitigates the detrimental effects of the transport barrier and therefore enables the application of high band gap a-Si:H alloys as minority carrier contacts in SHJ solar cells.
[1] M. Taguchi et al., IEEE J. of Photovoltaics 4 (2014) 96-99
[2] A. Kanevce and W. Metzger, J. Appl. Phys. 105 (2009) 094507
[3] J. Seif et al., J. Appl. Phys. 115 (2014) 024502
5:15 AM - A4.04
On the Surface Passivation of c-Si Using High Pressure Hydrogen Diluted Plasmas
Dimitrios Deligiannis 1 Ravi Vasudevan 1 Marinus Fischer 1 Arno Hendrikus Marie Smets 1 Rene van Swaaij 1 Miroslav Zeman 1
1Delft University of Technology Delft Netherlands
Show AbstractSilicon Heterojunction (SHJ) solar cells have been focus of extensive research. A key point in SHJ technology is the incorporation of a thin intrinsic a-Si:H layer at both sides of the c-Si substrate. These layers provide surface passivation, yielding solar cells with high efficiencies.1
The most common deposition method of a-Si:H is Plasma Enhanced Chemical Vapor Deposition. Deposition conditions such as substrate temperature, H2 dilution, power and pressure can highly affect the nanostructure of the a-Si:H layer and the passivation quality of the a-Si:H/c-Si interface, either by plasma-induced defects on the c-Si substrate or by initial epitaxial growth of the layer. At the same time it has been shown that H content of the layer is essential for the passivation, as is reflected in the Voc of SHJ devices. For this purpose H2 plasma treatments have been proposed.2 However, it has been shown that attempts to increase H content and improve passivation can significantly alter the nanostructure of a-Si:H leading to void-rich material and often irreversible damage at the interface.3 Another approach to increase H content is using H2 diluted plasmas during growth.
In this work we demonstrate excellent surface passivation by depositing in a high power (~0.05 mW/cm2) and pressure (~7 mbar) regime, using high H2 diluted plasmas. We study the effect of H2 dilution and substrate temperature on the effective lifetime (tau;eff) by means of Raman spectroscopy and spectroscopic ellipsometry (SE). For 30-nm thick layer on 2-5 Omega;cm <111> wafer, a tau;eff of ~10 ms is measured when deposition temperature is ~180°C and flow ratio H2/SiH4=50. Our results show a weak dependence of tau;eff on H2 dilution, and a strong dependence on substrate temperature. Analysis of Raman, SE, and tau;eff shows that tau;eff and the optical bandgap increase with total H content. The high-stretching mode (HSM) contribution does not seem to affect tau;eff.
The effect of annealing on these samples was also investigated. When samples deposited at ~180°C are annealed at 240°C for 1 h, tau;eff increases from ~10 ms to ~19 ms, though this value decays back to ~10 ms in ambient conditions in a few days. When samples deposited at ~100°C are annealed similarly, tau;eff increases substantially from ~0.02 ms to ~10 ms, without changing HSM significantly. This tau;eff is stable in ambient conditions.
Our observation that the HSM does not affect the tau;eff suggests that the passivation is not related to the material structure of the a-Si:H layer. Instead we see that the excellent passivation is related to H content in the a-Si:H layer, leading to an increased bandgap. To explain the increase in passivation quality with these hydrogenated plasma conditions, we speculate that the extra H hydrogenates the a-Si:H/c-Si interface, while etching weak bonds during a-Si:H growth.
1Fujiwara and Kondo, J Appl Phys 101 054516 (2007)
2Descoeudres et al., Appl Phys Lett 99 123506 (2011)
3Geissbu#776;hler et al., Appl Phys Lett 102 231604 (2013)
5:30 AM - A4.05
Material Characterization at 1-50nm Dimensions Using EUV Acoustic Nanometrology
Kathleen Hoogeboom-Pot 1 Jorge Hernandez-Charpak 1 Travis Frazer 1 Justin Shaw 2 Henry Kapteyn 1 Margaret Murnane 1 Damiano Nardi 1
1JILA, University of Colorado Boulder Boulder United States2NIST Boulder United States
Show AbstractEmerging silicon technology and device design require reliable characterization tools to discover, optimize and monitor new nanomanufacturing techniques. Moore&’s Law scaling has pushed the frontiers of nanofabrication so far that the thinnest films and smallest nanostructures being made today cannot easily be measured using current metrology techniques. Precise characterization of materials in nanostructured devices is necessary for understanding the unique physics that applies to such small-scale systems: how elastic properties change with scaling from monolayers to bulk material for example.
To overcome these challenges, we implement a non-destructive photoacoustic metrology technique that uses coherent extreme ultraviolet (EUV) light from tabletop high-order harmonic generation (HHG) in place of more conventional visible-wavelength laser probes [1]. The shorter wavelength of EUV beams is sensitive to picometer-scale displacements of the surface, while the femtosecond duration of HHG pulses is fast enough to capture sub-picosecond thermal and acoustic dynamics in few-nm scale structures.
Our samples consist of periodic gratings of metallic nanowires deposited on silicon-based low-k dielectric thin films or semiconductor substrates. A femtosecond 800nm laser pump pulse is focused onto the samples to impulsively heat the nanowires and launch acoustic waves: surface acoustic waves (SAWs) capable of propagating within the thin film or penetrating into the substrate, depending on their wavelength set by the grating period, and longitudinal waves (LAWs) within the nanowires and the thin film beneath them. All these dynamics can be monitored simultaneously by diffracting a 30nm-wavelength EUV probe beam from the surface. Expansion and cooling of the nano-gratings, as well as acoustic wave propagation dynamically change the EUV diffraction efficiency. This signal is recorded by a CCD camera as a function of delay time between pump and probe pulses [2].
The SAW and LAW resonances of the nano-gratings yield information about the mechanical properties of the materials, enabling full elastic characterization of isotropic sub-50nm thin films in a single measurement. Furthermore, by studying how these resonances shift for bilayer nickel-tantalum structures as the Ta capping-layer thickness is varied between 1 and 6nm (with Ni at a constant thickness of 10nm), we determine the densities and longitudinal acoustic velocities of both materials in the ultrathin layers. In doing so, EUV acoustic nanometrology confirms for the first time that the densities of Ni and Ta layers are not changed substantially by their nanoscale confinement, while uncovering with monolayer sensitivity how their elastic properties differ significantly from bulk material values. Finally, advances in nanoscale coherent imaging will also be discussed [3].
1. Popmintchev et al., Science336, 1287 (2012).
2. Nardi et al., Proc. SPIE8681, 86810N (2013).
3. Seaberg et al., Optica1, 39 (2014).
5:45 AM - A4.06
Band Offsets at the Crystalline / Amorphous Silicon Interface from First-Principles
Karol Jarolimek 1 Ebrahim Hazrati 1 Gilles de Wijs 1 Robert de Groot 1
1Radboud University Nijmegen Nijmegen Netherlands
Show AbstractSilicon heterojunction (SHJ) solar cells combine high-efficiency of c-Si wafer
technology with high-throughput and low-cost of hydrogenated amorphous silicon
(a-Si:H) solar cells. The interface between crystalline and amorphous silicon
lies at the heart of the SHJ solar cell. Since a-Si:H has a larger band gap
than c-Si, band offsets are formed at the interface.
Experimentally the band offsets can be determined with techniques such as
photoelectron spectroscopy and capacitance-voltage measurements. The reported
values scatter in a broad range. This can be due to different deposition
conditions of the a-Si:H layer or misinterpretation of the experimental
results. On average it appears that the offset at the valence band is larger
than at the conduction band [1].
In light of the conflicting reports our goal is to calculate the band offsets
at the c-Si/a-Si:H interface from first-principles. Density functional theory
(DFT) has been successfully applied to crystalline interfaces [2]. In order to
calculate the band offsets it is first necessary to prepare an atomistic model
of the interface. To this end we construct a simulations cell with dimensions
of 1.5×1.3×3.6 nm3 and divide it into a crystalline and amorphous part.
Periodic boundary conditions are applied in all three dimensions.
The crystalline part is terminated with (111) surfaces on both sides. The
amorphous structure is generated by simulating an annealing process at 1100 K,
with DFT molecular dynamics. Using relatively long annealing times (80 ps) we
are able to generate realistic a-Si:H structures. Once the atomistic model is
ready it can be used to calculate the electronic structure of the interface.
The position of band edges in the amorphous part is obtained by fitting the
calculated density of states to a square root dependence. This is in the spirit
of the Tauc band gap often used to interpret optical measurements. Our
preliminary results show that the valence offset is larger than the conduction
band offset.
[1] G. van Sark, L. Korte and F. Roca (Eds.), Physics and Technology of Amorphous-Crystalline
Heterostructure Silicon Solar Cells, Springer, Berlin, 2012, p. 418.
[2] M. Peressi, A. Baldereschi and S. Baroni, Characterization of Semiconductor Heterostructures and Nanostructures, Elsevier, New York, 2008, p. 17.
A1: Amorphous and Nanocrystalline Films and Devices
Session Chairs
Tuesday AM, April 07, 2015
Moscone West, Level 3, Room 3002
9:30 AM - *A1.01
Thin Film Silicon: Research and Application for Solar Energy Conversion
Friedhelm Finger 1
1Forschungszentrum Juuml;lich, IEK5-PV Juuml;lich Germany
Show AbstractRecent research and application activities with thin film silicon for solar energy conversion include transparent flexible substrates with a nano-imprint process for enhanced light-trapping, silicon-carbon and silicon-oxygen alloys for thin film and heterojunction solar cells, stacked solar cells as generators for integrated photocatalytic water splitting devices, concepts for integrated battery charge systems, and processing of thin film silicon from liquid precursors. Results from some of these new activities will be highlighted and state-of-the art device applications will be presented.
10:00 AM - A1.02
Silicon-Based Quadruple-Junction Thin-Film Solar Cells
Fai Tong Si 1 Do Yun Kim 1 Rudi Santbergen 1 Hairen Tan 1 Rene van Swaaij 1 Adrien Bidiville 2 Takuya Matsui 2 Arno Hendrikus Marie Smets 1 Olindo Isabella 1 Miroslav Zeman 1
1Delft University of Technology Delft Netherlands2National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan
Show AbstractSpectral utilization is an essential aspect to be considered to improve energy conversion efficiency of photovoltaic devices. Making use of semiconductor materials with different band gap, multi-junction thin-film solar cells can reduce the thermalization loss so that they can theoretically obtain a higher efficiency than single-junction devices. Currently the record efficiency of silicon-based thin-film solar cells is held by triple-junction devices, which have an initial and stabilized efficiency of 16.3% and 13.4%, respectively. Introducing additional junctions with well-chosen absorber materials, the upper limit of efficiency could be further elevated. Recently, we theoretically proposed a quadruple-junction (4J) thin-film solar cell consisting of amorphous silicon oxide (a-SiOx:H), amorphous silicon germanium (a-SiGe:H), nanocrystalline silicon (nc-Si:H), and nanocrystalline silicon germanium (nc-SiGe:H) as absorber materials (Eg = 2.0/1.5/1.12/0.95 eV, respectively). According to simulations, it could potentially provide a high initial efficiency approaching 20%.
The feasibility of 4J silicon-based thin-film solar cell is demonstrated in this contribution where we report the experimental result of 4J cells with open-circuit voltage (VOC) up to 2.91 V. The cells were fabricated in a p-i-n configuration, and the absorber layers of the four sub-cells were a-SiOx:H, amorphous silicon (a-Si:H), nc-Si:H and nc-Si:H. After the fabrication, external quantum efficiency (EQE) of each individual sub-cell was experimentally obtained with bias illumination. Appropriate bias illumination is crucial to the measurement especially because of the significant spectral overlap between the 3rd (nc-Si:H) and 4th (nc-Si:H) sub-cells.
One of the most challenging tasks in the design of 4J cells is to achieve current matching among the sub-cells. To this end, optical simulations have been performed to facilitate the optimization of absorber thicknesses. An in-house optical model based on ray-tracing was applied to the 4J cells in this work. Noticeably, the simulated and experimental spectral responses, which contain the wavelength-dependent absorption in every sub-cell and the total reflectance, agree reasonably well. Guided by the optical simulations, the absorption distribution among the four sub-cells has been optimized. The highest initial conversion efficiency is 11.4%, with VOC, JSC and FF equal to 2.82 V, 5.49 mA/cm2 and 73.9%, respectively. In our configuration the efficiency is limited by the free-carrier absorption in the front indium tin oxide (ITO) layer and the light-trapping capability of the micro-textured glass substrate.
In order to utilize a broader part of the solar spectrum, an absorber material with lower bandgap than nc-Si:H can be used. We substituted the nc-Si:H absorber in the bottom sub-cell of our 4J device with nc-SiGe:H to make a-SiOx:H/a-Si:H/nc-Si:H/nc-SiGe:H 4J cells. The latest experimental result will be presented at the conference.
10:15 AM - A1.03
Multi-Scale Textures Based on Micro-Textured Glass and Highly Transparent Nano-Textured Conductive Oxides for High-Efficiency Multijunction Thin-Film Silicon Solar Cells
Hairen Tan 1 Etienne Moulin 2 Fai Tong Si 1 Michael Stuckelberger 2 Jan Willem Schuettauf 2 Olindo Isabella 1 Franz-Josef Haug 2 Christophe Ballif 2 Miroslav Zeman 1 Arno Hendrikus Marie Smets 1
1Delft University of Technology Delft Netherlands2Ecole polytechnique feacute;deacute;rale de Lausanne Neuchatel Switzerland
Show AbstractThe concept of multijunction devices is adopted in thin-film silicon (TF-Si) solar cells to obtain high efficiencies. For TF-Si multijunction solar cells, a suitable substrate for both efficient light trapping and growth of high-quality absorber layers is required to achieve high efficiencies. Nano-textured transparent conductive oxides (TCOs) with sub-micro morphology features in the order of ~1 mu;m are commonly used as front electrodes in state-of-the-art devices to ensure this double function. However, sharp nano-textures, known to provide the best light trapping, deteriorate the electrical performance of solar cells by decreasing the open-circuit voltage (Voc) and fill factor (FF). Especially the Voc and FF of nc-Si:H solar cells deposited on nano-textured substrates show a considerable drop as the cell thickness increases, while a thick nc-Si:H absorber layer (typically ~3 mu;m or even thicker) is required to achieve a sufficiently high current density in multijunction solar cells. Furthermore, the light trapping capability, the Voc and/or FF of solar cells, conductivity and transparency of the front TCOs are interdependent. The trade-off between these three aspects severely constrains the efficiency improvement of multijunction TF-Si solar cells.
Here, we propose a novel multi-scale surface textures whose function is to decouple the functionalities of front electrodes, namely the design of light trapping on the one hand and the conduction/transparency on the other hand. The layer stack used to provide the multi-scale textures comprises a micro-textured glass, coated with a ~120-nm-thick layer of hydrogenated indium oxide (IO:H), and a 1-mu;m thick non-intentionally doped (n-i-d) sub-micron-textured LPCVD ZnO. The resulting front electrode is highly transparent and conductive; moreover, it simultaneously leads to an excellent light trapping over the entire relevant wavelength range for TF-Si solar cells and to high Voc and FF in multijunction TF-Si solar cells. A high initial efficiency of 14.5% (Voc=1.483 V, Jsc=13.3 mA/cm2, FF=73.7%) and a high total current density of 28.1 mA/cm2 (top cell: 13.3 mA/cm2, bottom cell: 14.8 mA/cm2) have been achieved in an a-Si:H/nc-Si:H tandem solar cell for a total thickness of absorber layers of 3 mu;m. The high Voc*FF product and high total current density in our experiments stem from a well-balanced trade-off between multi-scale texturing (light trapping), high-quality absorber materials (high VOC and FF) and a highly conductive and transparent front TCO stacks. Due to the strong current limit in top cell the device shows relatively large light-induced degradation, and further improvement can be expected by optimizing the current distribution between the sub-cells (to make bottom cell current limited) and by deploying more stable a-Si:H absorber layer to achieve higher stabilized efficiency.
10:30 AM - A1.04
Mechanisms of Hydrogen Induced Amorphous/Crystalline Silicon Interface Deterioration during Growth of Microcrystalline Silicon Alloys
Manuel Pomaska 1 Kaining Ding 1 Alexei Richter 1 Friedhelm Finger 2 Wolfhard Beyer 3 Uwe Rau 1
1Forschungszentrum Juuml;lich GmbH Juuml;lich Germany2Forschungszentrum Juuml;lich, IEK5-PV Julich Germany3Helmholtz-Zentrum Berlin fuuml;r Materialien und Energie Berlin Germany
Show AbstractWe investigate the microscopic mechanisms responsible for the deterioration of the passivation quality at the amorphous/crystalline silicon interface during the deposition of hot-wire CVD grown microcrystalline silicon carbide (µc-SiC:H) and plasma-enhanced CVD grown microcrystalline silicon oxide (µc-SiOx:H) contact layers. Both materials offer a wide optical band gap and a high electrical conductivity and thus represent notable alternative window layer materials to the commonly used amorphous silicon (a-Si:H) layers in silicon heterojunction (SHJ) solar cells. In order to fully benefit from the promising optoelectronic properties of these materials in SHJ solar cells, the growth conditions needed for the formation of high-quality microcrystalline Si-alloys may not degrade the crystalline silicon (c-Si) surface passivation. However, the opposite is usually observed. Therefore, it is necessary to understand the mechanisms involved in the deposition processes that result in the deterioration of the surface passivation quality. Obvious effects are the etch-off of the passivation layer and the thermally induced effusion of hydrogen. In addition we propose that hopping diffusion of hydrogen radicals through the underlying layers and the increase of micro-voids at the interface could be a reason for the degradation of the passivation quality during the deposition of µc-SiC:H or µc-SiOx:H. The systematically varied deposition conditions and passivation layer thicknesses resulted in effective lifetime values that are consistent with the hydrogen diffusion and void formation model proposed. We also demonstrate that the aforementioned challenges can be circumvented by using alternative Si-alloy passivation layers and by applying thin protective layers. This development now opens up the opportunity for the implementation of µc-SiC:H and µc-SiOx:H in SHJ solar cells to its full potential.
10:45 AM - A1.05
High Quality Low Band Gap Amorphous Silicon Germanium Bottom Cells with Absorber Layers Deposited by Hot Wire CVD in Three Minutes
Leon Willem Veldhuizen 2 Karine van der Werf 1 Klaas Bakker 1 Sun Jin Yun 3 Ruud E.I. Schropp 1 2
1ECN - Solliance Eindhoven Netherlands2Eindhoven University of Technology Eindhoven Netherlands3ETRI Daejeon Korea (the Republic of)
Show AbstractFor the thin film silicon based solar cell industry it has been hard to compete with other PV technologies. One of the issues is that the hydrogenated nanocrystalline silicon (nc-Si:H) layer that is used as a low band gap absorber material in tandem devices requires a long deposition time and is therefore a limiting factor in the production throughput leading to high manufacturing costs. An alternative low band gap absorber material that can be used is hydrogenated amorphous silicon germanium (a-SiGe:H). Due to the direct nature of the optical band gap of this material, the active layer can be made an order of magnitude thinner compared to nc-Si:H. Much research has been done on a-SiGe:H deposited by plasma enhanced CVD and it turned out that for band gaps lower than 1.5 eV this material has too many defects, even using high hydrogen dilution and low deposition rate. Earlier work done by NREL has suggested that higher quality low band gap a-SiGe:H materials can be made by hot wire CVD. Moreover, HWCVD offers higher deposition rate than PECVD.
In this study we optimized the material quality of HWCVD a-SiGe:H films. We study the bonding configurations, optical constants, photoresponse, and ambipolar diffusion length of a-SiGe:H with various germanium contents. We show that a-SiGe:H with a Tauc optical band gap lower than 1.4 eV has an ambipolar diffusion length exceeding 60 nm. This material has been incorporated both in single junction and tandem solar cells, resulting in initial energy conversion efficiencies over 10%. For the first time, we have used band gap profiling for HWCVD a-SiGe:H absorber layers. The a-SiGe:H intrinsic absorber layers of these cells have a thickness of only 90 nm and can be deposited in just 3 minutes, which is more than 10 times faster than state of the art µc-Si:H absorber layers. Moreover, the external quantum efficiency amounts to 40% at a wavelength of 900 nm. Since both the amorphous nature of a-SiGe:H and the method of hot wire CVD contribute to high conformality of coatings on rough textures, we have also deposited thin film solar cell devices on high aspect ratio light scattering structures.
A2: Fundamental Concepts
Session Chairs
Tuesday AM, April 07, 2015
Moscone West, Level 3, Room 3002
11:30 AM - *A2.01
Doping and Electronic Transport in Silicon Nanoparticle Films
Martin Stutzmann 1
1Technische Universitauml;t Muuml;nchen Garching Germany
Show AbstractThis talk will address the preparation and the electronic properties of thin silicon films prepared from plasma-deposited silicon nanoparticles by spin or spray coating onto rigid or flexible substrates. Doping of the nanoparticles can either occur during deposition by adding phosphine or diborane to the deposition plasma, or a posteriori by immersion of the thin films in different solvents containing dopant atoms such as arsenic, phosphorus, boron, gallium etc., followed by laser or thermal annealing. The resulting electronic properties of semiconducting films prepared in this way depend strongly on a number of parameters which can be controlled more or less precisely: particle size and surface termination, dopant incorporation and electronic activity, compensation of active dopants by deep defects, charge transfer to electronic states in the vicinity of the nanoparticles, and thermal treatments after film preparation. The basic experimental findings in this context will be briefly reviewed and recent results concerning various aspects of electronic charge transport in laser-sintered Si and SiGe nanoparticle thin films as well as possible fields of application will be discussed.
12:00 PM - A2.02
The Relation between Light Induced Degradation and Volume Deficiencies in a-Si:H
Marinus Fischer 1 Marc Schouten 1 S.W.H. Eijt 1 Henk Schut 1 Alexander Schnegg 2 Klaus Lips 2 Amgalanbaatar Baldansuren 2 3 Takehiko Nagai 4 Takuya Matsui 4 Miroslav Zeman 1 Jimmy Melskens 1 Arno Hendrikus Marie Smets 1
1Delft University of Technology Delft Netherlands2Helmholtz Zentrum Berlin Berlin Germany3The University of Manchester Manchester United Kingdom4AIST Tsukuba Japan
Show AbstractIn this contribution we report on the latest insights in the origin of the light induced degradation (LID) and the nature of the metastable defects in a-Si:H. The results are based on a dedicated study of the LID using a unique and powerful combination of characterization techniques on various types of a-Si:H films and solar cells with well-defined amorphous nanostructures. The combination of techniques used are Doppler broadening positron annihilation spectroscopy (DB-PAS), continuous wave electron-paramagnetic resonance (cw-EPR), time-domain pulsed EPR (pEPR) spectroscopy, in-situ and ex-situ Fourier transform photoconductivity spectroscopy (FTPS), Fourier transform infrared (FTIR) Spectroscopy, Raman Spectroscopy (RS), external quantum efficiency (EQE) measurements and J-V measurements. These techniques have been applied on films and/or solar cells before, during, after both light soaking and thermal anneal treatments. The various types of a-Si:H nanostructures have been obtained using a variety of precisely engineered deposition technologies and processing conditions.
DB-PAS, FTIR and RS have been used to describe the nanostructure of the various types of a-Si:H materials. The nanostructure is determined by the density and size distribution of volume deficiencies in the material. The volume deficiencies can range from divacancy-like deficiencies (on short range atomic order) up to large (diameter ~4 nm) nano-sized voids. It is shown that the mobility of volume deficiencies at higher temperatures (>300oC) depend on both the size and hydrogen passivation degree.
The origin of the fast and slow LID effects are revealed using a combination of FTIR, FTPS, RS, EQE and J-V measurements during the light soaking and thermal annealing procedures. It is clearly shown that the fast metastable defect states are related to the large nano-sized voids. For extremely dense a-Si:H materials without large nano-sized voids no fast LID is observed. In addition, it is demonstrated that it only requires moderated annealing temperatures (120-130 oC) to remove these fast defects. The metastable defects responsible for the slow LID do not show a clear relation with the nanostructure and require relatively higher temperatures (~170oC-180oC) to be annealed out.
A combination of DB-PAS, cw-EPR and pEPR, has revealed the nature of the meta-stable defects with respect to their spin properties. Two different types of defect-spins corresponding to metastable defects have been observed: clustered spins and non-clustered spins. The experiments show that for dense high quality a-Si:H the clustered spins in the smallest volume deficiencies appear to dominate after LID (~slow), whereas for less dense a-Si:H the non-clustered spins in nanosized voids appear to dominate after LID (~fast).
Based on these results we propose an atomic model to explain all the experimental results and the role of hydrogen and discuss processing routes for a-Si:H films and solar cells with minimal LID.
12:15 PM - A2.03
Conduction is Non-Arrhenius in Hydrogenated Amorphous Silicon
Kent Bodurtha 1 James Kakalios 1
1University of Minnesota, School of Physics and Astronomy Minneapolis United States
Show AbstractFor over 40 years, electronic conduction in hydrogenated amorphous silicon (a-Si:H) has been understood in the context of the mobility gap model, where charge carriers are thermally excited above a mobility edge that separates extended from localized states. This model was introduced to account for the observation of an Arrhenius temperature dependence of the conductivity in a semiconducting material for which there is a continuous density of states at all energies. However, we describe measurements of the conductivity of undoped a-Si:H that demonstrate that the conductance does not in fact follow an Arrhenius temperature dependence, but rather is better described by an anomalous hopping expression. We have measured the logarithmic derivative of the conductivity with respect to the logarithm of the temperature, defined as the “reduced” activation energy by Zabrodskii and Shlimak in 1975 [1] for a variety of glow-discharge deposited a-Si:H films. Analysis shows that the conductivity closely follows the functional form s(T) = s1exp[-(To/T)k], where the power-law exponent k is close to 0.75 over a temperature range of 250 - 430K and over many orders of magnitude of conductivity. Different samples display slightly different power-law exponents ranging from 0.72 and 0.84. An identical temperature dependence has also been observed for the conductivity of ultra-thin disordered films of Ag, Bi, Pb and Pd [2]. A model of conduction through exponential bandtails at a characteristic transport energy, proposed by Gru#776;newald and Thomas [3] and by Monroe [4], is found to be in good agreement with the presented a-Si:H data. If this model is correct, then aside for films with particularly sharp bandtails or conduction at very high temperatures, charge transport in undoped a-Si:H films proceeds via hopping through the bandtails, and not by charge carriers thermally excited above a mobility edge. The concept of a mobility edge, accepted for over four decades, appears to not be necessary to account for charge transport in undoped a-Si:H.
This work was partially supported by NSF grant DMR-0705675, the Nanofabrication Center and the NINN Characterization Facility at the University of Minnesota, and the University of Minnesota.
[1] A. G. Zabrodskii and I. S. Shlimak, Sov. Phys. Semicond. 9, 391 (1975).
[2] N. Markovicacute;, C. Christiansen, D. E. Grupp, A. M. Mack, G. Martinez-Arizala, and A. M. Goldman, Phys. Rev. B 62, 2195 (2000).
[3] M. Gru#776;newald and P. Thomas, Phys. Status Solidi B 94, 125 (1979).
[4] D. Monroe, Phys. Rev. Lett. 54, 146 (1985).
12:30 PM - A2.04
Hot Carrier and Lattice Relaxation in Hydrogenated Amorphous Silicon
Josef J Felver 1 Jason A Leicht 1 Susan L. Dexheimer 1
1Washington State University Pullman United States
Show AbstractCooling of hot photoexcited carriers is a critical issue in the development of efficient solar cell materials. We present time-resolved measurements of hot carrier relaxation and subsequent lattice relaxation in thin film HWCVD a-Si:H using femtosecond transient absorption techniques. Carriers were generated well above the gap at an effective transition energy of 3.1 eV via two-photon absorption of optical pulses 35 fs in duration centered at 800 nm from an amplified 1 kHz Ti:S laser system, and the resulting carrier response was probed over a range of wavelengths using a compressed white-light continuum. Care was taken to minimize distortion of the measurements from spatial nonuniformity of the photoexcited carrier density and from thin-film interference effects. Measurements at probe energies below the excitation energy but above the gap show a fast ~ 150 fs decay in the induced absorbance signal in addition to a slower nonexponential decay reflecting population loss via bimolecular recombination. We assign the ~ 150 fs transient to changes in state filling as hot carriers relax in energy and fill states closer to the band edge as the carrier population cools due to phonon emission. The time scale for this fundamental carrier-lattice relaxation process in a-Si:H is faster than the 240 fs time determined for crystalline Si in previous work.
Measurements on the a-Si:H thin films at probe energies below the gap show an initial exponential rise with a formation time of ~ 250 fs in addition to the bimolecular decay. We assign this component to heating of the lattice detected by the change in optical absorbance as the band gap decreases with increasing temperature. Since the temperature dependence of the band gap results primarily from acoustic phonons, the associated change in the optical absorbance reflects the time scale for equilibration of energy from the LO phonons generated during the initial carrier-lattice relaxation into acoustic phonon modes. This process occurs significantly faster than the 2 ps time previously measured in crystalline Si, likely as a result of the increased coupling between the phonon modes in the amorphous structure.
This work was supported by the National Science Foundation under grant DMR-1106379. We thank Brent Nelson (NREL) for preparing the thin film samples used in these studies.
12:45 PM - A2.05
Persistent Photoconductivity without the Photoconductivity: Light-Induced Changes in Composite Thin Films of Nanocrystalline Germanium in Hydrogenated Amorphous Silicon
Johannes Nangoi 1 Bron Malcolm 1 Kent Bodurtha 1 James Kakalios 1
1University of Minnesota, School of Physics and Astronomy Minneapolis United States
Show AbstractLight-induced enhancements of the dark conductivity, termed Persistent Photoconductivity (PPC), have been observed in compensated hydrogenated amorphous silicon (a-Si:H), doping modulated amorphous silicon consisting of alternating layers of n-type and p-type a-Si:H, and sulfur-doped a-Si:H. In these materials, exposure to absorbing light induces a photoconductivity, and when illumination is stopped, the dark conductivity remains at a higher level than the original annealed state. The PPC is removed upon annealing, and is found to decay with a stretched exponential time dependence with a thermally activated time constant. In contrast to the PPC effect described above, we report the observation of a unique light-induced enhanced conductivity in composite films of a-Si:H in which 4 nm germanium nanocrystals (nc-Ge) are homogenously embedded. Films of nc-Ge/a-Si:H with a germanium crystal fraction of 13% or higher have no photosensitivity - that is, the conductivity during illumination is identical to the dark conductivity. Nevertheless, for extended illumination the dark current increases with light exposure, reaching values several orders of magnitude larger than the original dark conductivity. The decay of this excess conductivity is well described by a simple exponential time dependence. However, the observed decay time constant is independent of temperature between room temperature and 410K, suggesting that a tunneling process underlies this effect. We suggest that photo-excited holes are trapped at the nc-Ge/a-Si:H grain boundary region, and that tunneling of holes into the nc-Ge makes them inaccessible for recombination with the photo-excited electrons in the a-Si:H matrix, enhancing the conductivity of both the nc-Ge and a-Si:H phases.
Symposium Organizers
Reuben Collins, Colorado School of Mines
Bahman Hekmatshoar, IBM T.J. Watson Research Center
Zachary Holman, Arizona State University
Paul Stradins, National Renewable Energy Laboratory
Akira Terakawa, Panasonic Corporation
Symposium Support
AIP Iota; Applied Physics Letters
Ecole Polytechnique Feacute;deacute;rale de Lausanne (EPFL)
Forschungszentrum Juuml;lich GmbH
IBM T.J. Watson Research Center
National Renewable Energy Laboratory
A7: Cell Materials Engineering
Session Chairs
Wednesday PM, April 08, 2015
Moscone West, Level 3, Room 3002
2:30 AM - A7.01
Application of PECVD Polycrystalline Silicon Passivated Contacts to Silicon Solar Cells
Bill Nemeth 1 Hao-Chih Yuan 1 Vincenzo A LaSalvia 1 David L Young 1 Matthew R. Page 1 Stephanie Essig 1 Steve Johnston 1 Robert Reedy 1 Paul Stradins 1
1NREL Lakewood United States
Show AbstractWe develop and apply passivating contacts with n- and p-type polycrystalline silicon (pcSi) films on tunneling SiOx layers, with n-type pcSi contacting resulting in >21% efficient solar cells. The performance of the contact is governed by the as-grown material and the subsequent processing of the layer stack as a whole. Tunneling SiOx layers (< 2 nm) are grown thermally or chemically, and the character of the oxide must be maintained throughout the process lifetime of the cell. The pcSi is crystallized from a single sided amorphous silicon precursor grown via PECVD, resulting in grain nucleation and growth, dopant diffusion, and interface restructuring. Process steps must be carefully tailored to avoid bulk defects, second phases, and oxide breakdown, as well as to maintain or improve interface stability, passivation, and carrier selective transport. Passivation measurements on symmetric samples show iVoc values of 710 mV for n/pcSi and 660 mV for p/pcSi on SiOx / nCz, and challenges with p-type doping will be addressed. Furthermore, metallization schemes present significant challenges due to metal diffusion and damage induced by deposition, and approaches to remedy detrimental process steps will be identified and presented. This work was supported by the U.S. Department of Energy under contracts DOE DE-EE00025783 and DE#8208;EE0006336.
2:45 AM - A7.02
TCO-Silica Compounds for Reducing Plasmonic Absorption Losses in Rear-Contact of Si Heterojunction Solar Cells
Ali Dabirian 1 Silvia Martin de Nicolas 1 Monica Morales-Masis 1 Bjoern Niesen 1 Sylvain Nicolay 2 Stefaan De Wolf 1 Christophe Ballif 1 2
1Ecole Polytechnique Feacute;deacute;rale de Lausanne (EPFL) Neuchatel Switzerland2CSEM Neuchatel Switzerland
Show AbstractSilicon heterojunction (SHJ) solar cells use crystalline Si wafers as optically active absorbers and stacks of intrinsic/doped amorphous Si thin films for simultaneous surface passivation and carrier extraction. Such solar cells have reported energy conversion efficiencies as high as 25.6% in a fully back-contacted design [1]. Irrespective of the precise device architecture, when using textured silicon wafers, significant infrared losses may occur at the rear-contact(s) of the cell, due to excitation of surface plasmon polaritons (SPPs). This plasmonic loss is mitigated by inserting a low refractive index (n) material (e.g. MgF2, n = 1.37) of sufficient thickness between the rear silicon surface and silver contact [2, 3]. However, as this material is a dielectric, the electronic contact of the crystalline silicon wafer to the rear contact needs to be established through a metallized via, adding undesired complexity to the device architecture [4]. Therefore it is essential to developed low refractive index transparent conductive oxides (TCOs) that hinder light coupling to SPP wave in the silver back-contact and at the same time establish an electric conduction path from Si wafer to the silver back-contact.
In this presentation, we demonstrate an 0.7% absolute increase in efficiency of an n-type SHJ solar cell with >21% efficiency under standard test conditions using TCO-silica compounds (concentration of 10% of SiO2 to Al:ZnO) as a low refractive index alternative to regular TCO&’s (here Al:ZnO) between Si and silver back-contact. We synthesize a variety of concentrations of SiO2 in Al:ZnO by co-deposition of the two compounds using RF sputtering. We observe that by adding SiO2 to Al:ZnO the refractive index of the compound decreases, resulting in a lowering of the parasitic optical absorption in the rear-contact of the cell. For a monolithic layer directly inserted between the silicon wafer and Ag contact, we find an optimal concentration of 10% of SiO2 to Al:ZnO, beyond which the poor electrical properties of the material increasingly limit the cells performance. For SiO2-rich films, the electrical performance is mainly limited by an increasing contact resistivity between doped amorphous silicon film and rear reflector. This work motivates the development of planar stacks of thin TCO layers, sandwiching a thicker low refractive index TCO-dielectric compound, enabling thus low-cost rear-contact in SHJ technology that feature simultaneously good electronic passivation and carrier collection, along with a high infrared response.
[1] K. Masuko st al. IEEE J. Photovolt. 4, 2014, 1433.
[2] Z. C. Holman, S. De Wolf, C. Ballif, Light: Sci. & Appl. 2, 2014, e106.
[3] Z. C. Holman, M. Filipic, A. Descoeudres, S. De Wolf, F. Smole, C. Ballif, J. Appl. Phys. 113, 2013, 013107.
[4] Z. C. Holman, M. Filipi#269;, B. Lipovscaron;ek, S. De Wolf, F- Smole, M. Topi#269;, C. Ballif, Sol. Energ. Mat. Sol. C 120, 2014, 426.
3:00 AM - A7.03
Nano-Cones on Micro-Pyramids: Modulated Surface Textures for High Efficiency Solar Cells and Maximal Spectral Response
Andrea Ingenito 1 Olindo Isabella 1 Miroslav Zeman 1
1Delft Univ of Technology Delft Netherlands
Show AbstractThe front side reflection represents a significant optical loss in crystalline silicon (c-Si) solar cells. One way to minimize this loss is to nano-texture the front surface. Although nano-textured surfaces result in a broad band anti-reflective effect, their light scattering and surface passivation properties are generally worse than those of standard micro-textured surfaces. To overcome these setbacks, advanced texturing and passivation approaches were developed. First, a modulated surface texture (MST) was developed superimposing nano-cones on micro-pyramidal surface texture. This advanced mask-less texture applied at the front side of c-Si wafers completely suppressed the reflection in a broad wavelength range from 300 nm up to 1050 nm and efficiently scattered light up to 1200 nm. Afterwards, the recombination at nano-textured surfaces was minimized by a defect-removal etching followed by thermal oxidation. Based on these two approaches, an interdigitated back contacted (IBC) solar cell (MST cell) was fabricated decoupling the interplay between short-circuit current density and open-circuit voltage. This device exhibited efficiency equal to 19.8%, record external quantum efficiency (EQE) close to 80% at short wavelengths, and electrical performance equal to the performance of the reference IBC device with front side micro-pyramids.
From measured reflectance and transmittance spectra of the MST cell, the light in the wavelength range up to 1050 nm is completely coupled into our device. Therefore the MST approach constitutes the first experimental demonstration of an effective and industrially-scalable light trapping approach for high efficiency c-Si solar cells. However, light trapping is necessary but not sufficient for realizing a solar cell with the maximal EQE. Such spectral response, which is calculated from the 4n2 optical enhancement limit, corresponds to a solar cell in which all light is absorbed in the absorber and converted into photo-carriers and these are collected at the electrical terminals. The maximal EQE is (generally) higher than the absorptance in the absorber (ASi), which is in turn higher than the measured EQE of a real solar cell. We will discuss two optimization steps that will bring our MST cell to exhibit the maximal EQE. The first will quench the recombination losses of the real EQE to match the ASi by (i) optimizing the front surface field for increased lateral conductivity and shielding effect, (ii) improving the front passivation stack for higher effective lifetime and (iii) shortening the collection path of carriers with optimized IBC architecture. The second will reduce the optical losses to finally match the maximal EQE by (iv) reducing the thickness of SiN at the front side (if still needed for passivation purposes), (v) deploying materials with very low absorption at long wavelengths for forming the emitter and the back surface field, and (vi) applying highly reflective back reflector.
3:15 AM - A7.04
Effect of Cathode Metal and Selective Hole Blocking Layer Interaction on Open-Circuit Voltage of Double-Heterojunction Silicon Solar Cells
Ken Alfred Nagamatsu 2 Gabriel Man 2 Girija Sahasrabudhe 3 Janam Jhaveri 2 Jeffrey Schwartz 3 Antoine Kahn 2 James C. Sturm 1
1Princeton Univ Princeton United States2Princeton University Princeton United States3Princeton University Princeton United States
Show AbstractHybrid inorganic/organic devices based on silicon are receiving great interest as an approach to next-generation photovoltaics. PEDOT:PSS has been demonstrated to be a carrier selective heterojunction (HJ) on Si, by blocking electrons and transmitting holes [1]. The simple structure of PEDOT:PSS spun coated onto n-type Si, with no conventional p-n junction, allows for photovoltaic devices reaching 13.3% [2]. In contrast, TiO2 deposited with max T of 1000C on Si has been shown to be a selective HJ that blocks holes while transmitting electrons [3]. In this work, we make a double-heterojunction cell that combines these electron and hole blocking HJs on opposite sides of n-type Si, and specifically show the effect of the cathode (Al) interaction with the TiO2 on the open circuit voltage. By minimizing the effect of this interaction, the TiO2 hole-blocker achieved increases of open-circuit voltage (Voc) of up to 30mV (to 623mV).
PEDOT:PSS/Si devices were made by spin coating the polymer onto n-type float-zone silicon. A silver grid is evaporated on top of the PEDOT to form an anode contact, and aluminum is evaporated to form an ohmic cathode contact on the bottom of the silicon. The dark current in the PEDOT:PSS/Si devices is dominated by minority carrier holes, which are injected at the PEDOT interface and recombine at the cathode. To improve the Voc and efficiency of the solar cell, the hole dark current must be reduced. A TiO2 hole-blocking layer is incorporated on the bottom of the silicon to prevent holes from recombining at the cathode, forming the double-heterojunction solar cell. Aluminum is then evaporated onto the TiO2 to form the cathode contact.
For thicknesses of TiO2 under ~3nm, we observe no effect on the solar cell dark current or Voc under AM1.5 illumination (<5mV change), showing that thin TiO2 is not acting as an effective hole-barrier. XPS studies of the silicon/TiO2/aluminum structure reveal a shift in the Ti 2p orbital from Ti4+ bond to Ti3+, providing strong evidence of a chemical reaction that consumes up to ~2nm of TiO2 during thermal evaporation of aluminum. Dark JV characteristics of diodes made using Al/TiO2/p-type Si also show that <3nm films are not sufficient to act as hole blockers. The data shows that at least 4nm of TiO2 is needed. With the thicker TiO2, dark current can be reduced by a factor of ~3 compared to a device without a hole blocking layer on the backside, and Voc under AM1.5 illumination increases by 25-30 mV up to 623 mV.
In summary, we demonstrate a double-heterojunction silicon solar cell with no processing above 1000C ( no pn-junction, or other doping added) with hole selective PEDOT:PSS contact on front and electron selective TiO2 on back. For the hole blocking backside heterojunction to properly block holes and raise Voc, a 4nm layer is required due to Al/TiO2 interface reaction.
3:30 AM - A7.05
Engineering the Defects in the Contact to Improve the Silicon Solar Cell Efficiency
Yuanyue Liu 2 Huixiong Deng 1 Junwei Luo 1 Paul Stradins 2 Su-Huai Wei 2
1Institute of Semiconductors, Chinese Academy of Sciences Beijing China2National Renewable Energy Laboratory Golden United States
Show AbstractDefects in solar cell devices typically deteriorate the energy conversion efficiency by recombining electron and holes. Here we show that the defects could be utilized to improve the Si solar cell efficiency by incorporating selected defects into the passivated-transport contact#8213;SiO2 layer, which has been used to reduce the surface recombination of Si.[1] Based on our first-principle calculations, we show that these defects could facilitate the separation of charge carriers by selective tunneling of electrons or holes, and meanwhile retain good passivation to Si surface. We find that to enable the selective tunneling of charge carriers, the defects in SiO2 should (i) have charge transition level close to Si VBM (for hole tunneling) or CBM (for electron tunneling); (ii) be located in the middle region of the SiO2 layer, so that the wave function of the defects could have sufficient overlapping with materials on both sides of SiO2 to facilitate the tunneling; and (iii) the presence of the defects should not degrade the passivation quality. Based on these criteria, we will discuss the promising defects based on our theoretical calculations.
This work is supported by the DOE FPACE-II Award DE#8208;EE0006336.
[1] F. Feldmann et al., Solar Energy Materials & Solar Cells 120 (2014) 270-274.
3:45 AM - A7.06
Dielectric Optical Confinement Structures for Thin c-Si Solar Cells
Prathap Pathi 1 2 3 Rana Biswas 1 2
1Iowa State University Ames United States2Ames Laboratory Ames United States3National Physical Laboratory New Delhi India
Show AbstractIt is of great interest to design and fabricate ultra-thin wafer silicon solar cells, that have strong light absorption and high photocurrents. Reducing the thickness of silicon cells and silicon usage, lowers the material cost. However, lowering the cell thickness introduces two delicate challenges, namely the weak optical confinement and minimization of electronic losses, which limit the fabrication of high efficiency solar cells. Therefore, light management has become an important aspect to improve the performance of the thin solar cells. Hence, we develop unique three-dimensional (3-D) periodic dielectric nanostructures that efficiently trap light in thin silicon cells. Extensive rigorous scattering matrix simulations were performed on a variety of 3-D optical confinement structures composed of dielectric titania (TiO2) on thin crystalline silicon wafers over a range of thicknesses from 0.5-200 mu;m. We optimize the geometry of the 3-D nanostructure. The 3-D loss-less dielectric structures confined the solar photons in the broad-band solar spectrum (400-1100 nm) irrespective of Si absorber thickness without using any metal back reflector, unlike that of crystalline silicon solar cells. The optical transmittance over the wide solar spectrum is negligible and the total reflectance is < 2 %. We find a high optical absorbance of > 98 % with a predicted short-circuit current density > 38 mA/cm2 for very thin silicon, approaching or exceeding the 4n2 limit. The simulations elaborate the physical behavior of optical confinement of 3-D periodic dielectric structures, providing a guidance for the 3-D structures to be integrated over the wafer silicon substrates. The study demonstrates a promising approach for designing a variety of photovoltaic devices, particularly flexible crystalline ultra thin silicon solar cells. Preliminary experimental results on patterned dielectric nanostructures on thin silicon wafers will be discussed.
A8: Epitaxy on Silicon
Session Chairs
Wednesday PM, April 08, 2015
Moscone West, Level 3, Room 3002
4:30 AM - A8.01
Unusual Dramatic Surface Restructuring of Silicon Substrate during Epitaxy
Tanya Gupta 1 Daniel Steingart 1 James Hannon 2
1Princeton University Princeton United States2IBM Yorktown Heights United States
Show AbstractInterfacial strain is unavoidable in heteroepitaxial growth and can have a profound impact on the morphology and properties of thin films. In fact, “engineering” thin-film strain is a critical component in many advanced technologies. For example, straining the silicon in advanced CMOS devices can increase the carrier mobility - and device speed - by as much as 90 percent, and is essential for continued advances in device performance.
In order to control interfacial strain, its effects on growth must be understood. The common picture is that the growth substrate is essentially passive: its role is to provide the lattice mismatch that the growing film must respond to. As the film grows thicker, the stress in the film evolves, which can lead to morphological changes in the film, e.g. dislocations, or a change in growth mode from 2D, planar growth to 3D, quantum dot growth.
However, in both of these examples, the action is in the growing film. In this work we describe a growth system that behaves in a completely unexpected manner that does not fit into this conventional picture. Interfacial strain that accompanies the growth of SiC nanoparticles is relieved by a dramatic restructuring of the *substrate* rather than the nanoparticles. The growth of a low density of nanoparticles induces a massive change in the substrate. Real-time, in situ measurements of the Si mound formation was done with the use of LEEM. Using a simple, illustrative model with parameters taken from the literature, we show that the shapes and heights of the mounds are consistent with a strain-driven formation mechanism.
Here we describe a novel, unexpected strain relaxation mechanism. This mechanism is potentially relevant for a number of high-temperature growth systems, and should be of interest to the researchers working in thin-film growth.
4:45 AM - A8.02
PECVD Silicon Homo-Epitaxy: Interface and Bulk Properties
Benedicte Demaurex 1 Richard Bartlome 1 Johannes Peter Seif 1 Jonas Geissbuehler 1 Duncan T.L. Alexander 1 Quintin Jeangros 1 Christophe Ballif 1 Stefaan De Wolf 1
1EPFL Neuchatel Switzerland
Show AbstractLow-temperature epitaxial growth provides precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. For photovoltaic applications, homo-epitaxial layers are ideally suited to engineer silicon solar cells, either as (relatively thick) optically active absorber layers that replace the still costly wafer or as thin layers for homojunction formation (electron or hole collectors, depending on the doping type). Low-temperature processing avoids impurity or dopant diffusion, which could be detrimental for the electronic properties of the substrate (e.g., the charge-carrier lifetime) or impair the properties gained by earlier processing steps. In this presentation, we discuss the use of plasma enhanced chemical vapor deposition to grow homo-epitaxial layers at temperatures as low as 180 °C [1].
Firstly, we elucidate the growth regimes arising from different silicon substrate and surface structures. We determine the plasma conditions required for epitaxial growth on a (100) c-Si wafer and discuss the relevant parameters that determine such growth. Based on these findings, we present a model for epitaxial growth that only depends on the silane concentration in the plasma and the mean-free-path of surface adatoms. Secondly, we investigate the microstructural quality of the epitaxial silicon bulk by using high-resolution transmission electron microscopy and spectroscopic ellipsometry measurements. We identify the growth conditions yielding the lowest defect density. Thirdly, using similar characterization methods, we evidence that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only from the growth conditions but also from unintentional contamination of the reactor. We show that when the reactor is plasma-cleaned after each deposition and the deposition conditions are further optimized, the presence of this porous and oxygen-rich layer can be mostly avoided. Finally, we study the electronic properties of the epitaxial films, where we evidence that the interface layer between the c-Si substrate and the epitaxial layer may limit the effective minority-carrier lifetime in such films. Thus, to obtain device-grade material, we propose a two-step growth process which, first, minimizes the thickness of the interface layer and, second, the defect density in the epitaxial bulk. Phosphorus doping of these homo-epitaxial films is achieved, exhibiting an active dopant concentration of up to 3×1019 cm-3. We conclude our presentation by outlining consequences for future device incorporation.
[1] B. Demaurex, R. Bartlome, J. P. Seif, J. Geissbühler, D. T. L. Alexander, Q. Jeangros, C. Ballif, and S. De Wolf, Journal of Applied Physics, vol. 116, p. 053519 (2014).
5:00 AM - A8.03
GaP/Si Selective Contact Heterojunction Solar Cells: Approaches towards Improved Efficiency
Rebecca Saive 1 Christopher T. Chen 1 Hal Emmer 1 Harry A. Atwater 1
1California Institute of Technology Pasadena United States
Show AbstractCurrent developments in photovoltaic (PV) research show that silicon will remain the dominant material for large scale photovoltaics, and furthermore, that there is still room for improvement of silicon solar cells. Recently, a new efficiency record for silicon solar cells has been announced using a variant of the heterojunction with intrinsic thin layer (HIT) approach (Panasonic). In HIT cells, an amorphous silicon (a-Si) layer passivates the crystalline silicon (c-Si) and acts as a carrier selective contact. As the band gap of a-Si is about 600 meV larger than that of c-Si, the band offsets in the valence and conduction band at a-Si/c-Si interfaces block holes and electrons respectively leading to an increased open circuit voltage (VOC) compared to conventional homojunction silicon cells. However, the mobility of a-Si is about 1000 times smaller than that of crystalline materials and the band offsets are not very large. Therefore, it is very desirable to identify and develop another crystalline material that can act as selective contact with even better properties, leading to even higher VOC and efficiency.
We have investigated selective contacts to silicon solar cells using epitaxial GaP/Si thin films. GaP has a large band gap of 2.1 eV, a high theoretical valence band offset to c-Si (0.89 eV), low conduction band offset (0.25 eV) and high mobility. In theoretical models, an optimized heterojunction prepared from p-doped Si and n-doped GaP has been predicted to reach an open circuit voltage of 710 mV [1]. These models usually assume ideal Schottky model band alignment which may not accurately describe experimentally realized interfaces, due to effects such as Fermi level pinning at imperfect surfaces and interfaces. A commonly used method of analyzing the band structure alignment at interfaces is by X-ray photoelectron spectroscopy (XPS) [2]. Thin films of GaP, typically in the range of 1 nm to 6 nm are grown on a (001) and (112)-oriented crystalline silicon absorber and the energy shift of the valence band in the Si and the GaP is measured as a function of GaP thickness. In contrast to theory, we found the valence band offset to be in the range of 0.3 eV, which is ideal for a hole selective contact. Therefore, we will not only focus on n-doped GaP but also grow heterojunctions with highly p-doped GaP to identify where doping can provide a higher open circuit voltage. A study of heterojunctions with varying n-dopant concentration in the GaP showed strong correlation between the doping level and the open circuit voltage. So far, we have observed 250 mV for carrier concentrations in the order of 10^16 cm^-3 and 400 mV for concentrations in the order of 10^17 cm^-3 showing that there is potential for much higher open circuit voltage at higher doping concentrations.
[1] Wagner et al. Journal of Applied Physics 115, 044508 (2014)
[2] Kraut et al. Physical Review Letters, 44, 24 (1980)
5:15 AM - A8.04
Non-Thermodynamic Size Dependence of Silicon Epitaxy in Mesoscale Dimensions
Jinkyoung Yoo 1 Shadi A. Dayeh 2 Norm Bartelt 3 Wei Tang 4 S. Tom Picraux 1
1Los Alamos National Laboratory Los Alamos United States2Univ of California-San Diego La Jolla United States3Sandia National Labs Livermore United States4University of California Los Angeles Los Angeles United States
Show AbstractEpitaxy is the backbone of semiconductor electronic and photonic devices. Although epitaxy has been thoroughly studied for several decades, downsizing semiconductor devices has led us to unconventional epitaxy such as small scale and non-planar structures. Silicon epitaxy has been world&’s best&’ studied crystal growth system. However, we present unexplored size effect of silicon epitaxial growth rate in sub-micrometer scale where thermodynamic effect such as Gibbs-Thomson effect is not dominant. Additionally, ‘criticial thickness behavior&’, transition of crystallinity during growth, of phosphorus doped Si layer is also discussed.
Si epitaxy was studied in cases of radial shell on Si nanowires and thin film on Si planar stripes with different diameters and widths, respectively. Si nanowires and planar stripes were prepared by top-down approach, a combination of lithographic techniques and Si deep reactive ion etching. The surfaces of etched nanowires and stripes were smoothened by thermal oxidation and subsequent oxide removal with hydrofluoric acid. Doped and undoped epitaxial Si layers were grown by low-pressure chemical vapor deposition with silane, diborane, and phosphine. The growth rate and crystallinity of epitaxial Si layers were investigated by scanning and transmission electron microscopy. The growth rate increases along the core Si nanowire diameters in the range from 300 nm to 3 micrometer and the initial widths of Si planar stripes ranging from 300 nm to 5 micrometer. The increase growth rate approaches to that of Si layer on 1x1 cm2-planar substrate. The initial sizes larger than 100 nm indicate that the size-dependent growth rate is not affected by Gibbs-Thomson effect, which has been considered as dominant mechanism of size-dependence of crystal growth. We developed a novel mechanism governing Si epitiaxial growth rate, enhanced precursor desorption at the edges of non-planar structures.
*Nano Letters (in press)
5:30 AM - A8.05
On the Microstructure of Si3AlP Alloys Recently Grown Lattice-Matched on Si Substrates
Andrew Gordon Norman 1 Sachit Grover 1 Liying Jiang 2 Patrick Sims 2 Adele Tamboli 1 David L Young 1 John Kouvetakis 2 Paul Stradins 1
1National Renewable Energy Laboratory Golden United States2Arizona State University Tempe United States
Show AbstractSi3AlP and related alloys, grown using novel engineered precursors containing Si3-P structural units are potentially of interest for application in Si based PV devices.1-3 With a fundamental indirect band gap predicted to be larger than Si but with a lower direct band gap giving it favorable absorption properties, Si3AlP is a possible candidate for application as an epitaxially grown, lattice-matched, top junction absorber material in a Si-based tandem solar cell.3 Its predicted band offsets with respect to Si also suggest it might have a role as a passivated contact material in high efficiency crystalline Si photovoltaic devices.
The use of special engineered precursors has proved essential for growth since prior work on similar alloys such as (GaAs)1-xGe2x grown using separate precursors for the Ga, As and Ge atoms were found to undergo severe phase separation producing diamond cubic structure Ge-rich regions embedded in zincblende structure GaAs-rich regions.4
In this work, we report detailed selected area diffraction, transmission electron microscopy (TEM), and high-resolution TEM studies of Si3AlP layers recently grown by gas-source molecular beam epitaxy. The results suggest that a low level of phase separation still occurs in these recent layers, despite the use of the novel engineered precursor containing the Si and P atoms.
1. T. Watkins, A. V. G. Chizmeshya, L. Jiang, D. J. Smith, R. T. Beeler, G. Gryzbowski, C. D. Poweleit, J. Menendez, and J. Kouvetakis, J. Amer. Chem. Soc. 133 (2011) 16212.
2. L. Jiang, T. Aoki, D. H. Smith, A. V. G. Chizmeshya, J. Menendez, and J. Kouvetakis, Chemistry of Materials 26 (2014) 4092.
3. J.-H. Yang, Y. Zhai, H. Kiu, H. Xiang, X. Gong, and S.-H. Wei, J. Amer. Chem. Soc. 134 (2012) 12653.
4. A. G. Norman, J. M. Olson, J. F. Geisz, H. R. Moutinho, A. Mason, M. M. Al-Jassim, and S. M. Vernon, Appl. Phys. Lett. 74 (1999) 1382.
A5: Silicon Heterojunction and Passivated Contacts
Session Chairs
Wednesday AM, April 08, 2015
Moscone West, Level 3, Room 3002
9:30 AM - *A5.01
Development and Progress in Silicon Heterojunction Solar Cell
Mikio Taguchi 1 Shingo Okamoto 1
1Panasonic Corporation Kaizuka Japan
Show AbstractThe silicon heterojunction structure adopted in photovoltaic module commercialized as HIT® is recognized as one of the most promising structures that lead to a high conversion efficiency. The insertion of the non-doped amorphous silicon (i-type a-Si) thin layers between doped amorphous silicon layers and a crystalline silicon substrate is an essence for a significant reduction in recombination losses at the hetero-interface, and hence a high open circuit voltage (Voc) can be obtained. In addition, the solar cell exhibits a low temperature coefficient, and produces more electricity than conventional crystalline silicon solar cells at the same temperature as temperatures rise.
We started the research on silicon heterojunction solar cells in 1989 and found the improvement of the junction properties by inserting i-type a-Si thin layers in 1990. Since the doped a-Si has many defect states within the forbidden region, it was expected the insertion of the i-type a-Si thin layers between the p-type a-Si and the crystalline Si would reduce the interface states. Then, we tried to make the back surface field structure with i- and n-type a-Si deposited on the other side of the Si substrate, and confirmed the enhancement of the output characteristics. We reached 20% efficiency with a cell size of 1 cm2 in 1994 and accomplished the standard structure of our silicon heterojunciton solar cell.
Since then, we have continued to improve the junction properties with this structure, and in 2013, we reached the solar cell efficiency of 24.7% with a practical size of 101.8cm2 (total area) at research level. The excellent Voc (0.750 V) was the highest value ever reported as a silicon solar cell of 98-µm-thick under one-sun illumination. The surface recombination velocity at the a-Si/c-Si heterointerface was independently estimated as low as 1.4 cm/s.
Further, an interdigitated back contact structure was adopted with our heterojunction cells to eliminate the shadow loss of front grid electrode and absorption losses of TCO and a-Si on front surface in our standard structure cell. Silicon nitride (SiN) and passivation layers were deposited on the front surface of the c-Si wafer. A non-doped a-Si layer and doped a-Si layers that were n- or p-type were deposited on the back surface of the c-Si wafer. The electroplated grid electrodes with a thickness of several tens of micrometers were produced on both n- and p-type a-Si layers separated through a patterning process. With this structure, we succeeded in cutting down the optical losses as we had aimed, and improved the short circuit current density (Jsc) from 39.5 mA/cm2 to 41.8 mA/cm2. As a result, a new world efficiency of 25.6% (designated area, 143.7 cm2, confirmed by AIST) under one-sun illumination was achieved. Besides, it was confirmed that the good temperature coefficient was inherited to the back contact silicon heterojunction solar cell.
Our activities on silicon heterojunction solar cells are reviewed.
10:00 AM - A5.02
Amorphous IZO: Correlation between Electron Mobility and Urbach Energy, and Application as Front Contact in Silicon Heterojunction Solar Cells
Monica Morales-Masis 1 Jakub Holovsky 2 Silvia Martin de Nicolas 1 Stefaan De Wolf 1 Christophe Ballif 1
1Ecole Polytechnique Federale de Lausanne (EPFL) Neuchatel Switzerland2Academy of Sciences of the Czech Republic Prague Czech Republic
Show AbstractTransparent conductive oxides (TCOs) used as front electrodes in solar cells should simultaneously feature: high lateral electrical conductivity, low contact resistance with the adjacent layers, low optical absorption from the UV to the infrared (IR), and an appropriate refractive index for maximal light in-coupling. These properties, however, might conflict with each other. For example, improving the conductivity by increasing the number of free carriers increases the absorption in the IR. Therefore, solutions aimed at increased electron mobility are required. In addition, low temperature deposition methods may be needed, resulting in the growth of amorphous TCOs. Nevertheless, this may affect the electron mobility, which is limited by the presence of defects or disorder in the films. Disorder also affects the absorption spectra of TCOs, observable by broadened band tails commonly expressed by the Urbach energy, E0. As a consequence, a correlation between E0 and mobility is expected. In order to find the best compromise between both parameters (lowest E0 and highest mobility), we studied the dependence of E0 with the electron mobility in sputtered amorphous indium zinc oxide (a-IZO) thin films grown under various oxygen partial pressures.
We used photothermal deflection spectroscopy (PDS), a highly sensitive spectroscopic technique, to measure the optical absorption of a-IZO films down to 0.01 %. From PDS, we evaluate the absorption coefficient, optical band gap and E0 of the IZO films grown with varying oxygen content. The measured E0 values range from 128 to 215 meV, with the minimum value obtained for the film with the highest mobility of 60 cm2/Vs. We observed that a decrease of the oxygen flow during deposition leads to a decrease in mobility and to an increase in E0, confirming their mutual dependency.
To validate the use of IZO as front electrode, we compared the performance of our a-IZO films with indium tin oxide (ITO) and hydrogenated indium oxide (IO:H), typically used as front electrodes in high efficiency c-Si heterojunction (HJ) solar cells. Comparing films with identical carrier density (2x1020 cm-3), we found that the IZO films (60 cm2/Vs) present a lower E0 than both ITO (25 cm2/Vs) and IO:H (115 cm2/Vs), even though IO:H has a much higher mobility. Furthermore, when applied as front electrodes in c-Si heterojunction solar cells, the a-IZO front electrodes featured low contact resistance at the interface with the front metal grids, representing an advantage over IO:H. An improvement in current (Jsc) is also observed in comparison with the cells with ITO. In addition, while the ITO and IO:H films require an annealing step of 200 °C to improve their optoelectronic properties, the a-IZO films present excellent properties already in the as-deposited state. Finally, we show that sputtered a-IZO thin films fulfill the requirements as a front electrode in c-Si HJ solar cells allowing to achieve conversion efficiencies up to 23.1%.
10:15 AM - A5.03
Amorphous Silicon Carbide Passivating Layers to Enable Higher Processing Temperature in Crystalline Silicon Heterojunction Solar Cells
Mathieu Boccard 1 Zachary Holman 1
1Arizona State Univ Tempe United States
Show AbstractVery efficient crystalline silicon (c-Si) solar cells have been demonstrated when thin layers of intrinsic and doped hydrogenated amorphous silicon (a-Si:H) are used for passivation and carrier selectivity in a heterojunction device. One limitation of this device structure is the (parasitic) absorption in the front passivation/collection a-Si:H layers; another is the degradation of the a-Si:H-based passivation upon temperature, limiting the post-processes to approximately 200°C thus restricting the contacting possibilities and potential tandem device fabrication. To alleviate these two limitations, we explore the potential of amorphous silicon carbide (a-SiC:H), a widely studied material in use in standard a-Si:H thin-film solar cells, which is known for its wider bandgap, increased hydrogen content and stronger hydrogen bonding compared to a-Si:H.
We study the surface passivation of solar-grade textured n-type c-Si wafers for symmetrical stacks of 10-nm-thick intrinsic a-SiC:H with various carbon content followed by either p-doped or n-doped a-Si:H (referred to as i/p or i/n stacks). For both doping types, passivation (assessed through carrier lifetime measurements) is degraded by increasing the carbon content in the intrinsic a-SiC:H layer. Yet, this hierarchy is reversed after annealing at 350°C or more due to drastic passivation improvements upon annealing when an a-SiC:H layer is used. After annealing at 350°C, lifetimes of 0.4 ms and 2.0 ms are reported for i/p and i/n stacks, respectively, when using an intrinsic a-SiC:H layer with approximately 10% of carbon (initial lifetimes of 0.3 ms and 0.1 ms, respectively, corresponding to a 30% and 20-fold increase, respectively). For stacks of pure a-Si:H material the lifetimes degrade from 1.2 ms and 2.0 ms for i/p and i/n stacks, respectively, to less than 0.1 ms and 1.1 ms (12-fold and 2-fold decrease, respectively).
For complete solar cells using pure a-Si:H i/p and i/n stacks, the open-circuit voltage (Voc) drops from 720 mV to 600 mV when annealing the device at 350°C. Yet, the Voc of devices using an intrinsic a-SiC:H layer with around 10% carbon content in the i/p stack is more resilient to such process, dropping from 710 mV to 690 mV. Also, irrespective of annealing, the slightly improved transparency of a-SiC:H layers allows about 1% current gain due to a better blue-light response. Active-area efficiencies above 20% are thus obtained for particular carbon content conditions, slightly higher than for devices using only a-Si:H. Even for a-SiC:H layers with bandgaps of up to 2.1 eV, good hole collection is maintained (with fill factors of 67% for devices using intrinsic a-SiC:H in the i/p stack, compared to 73% for the reference device). However, S-shaped current-voltage curves were obtained for devices using such a-SiC:H layers in the i/n stack, indicating impeded transport, which would suggest that most of the bandgap increase translates in a conduction-band offset.
10:30 AM - A5.04
High Efficiency (>21%) Solar Cells on n-FZ and n-CZ Si with Low-Recombination B-Emitter and Full Area Passivating/Tunneling Back Contact
Vincenzo LaSalvia 1 Hao-Chih Yuan 1 Bill Nemeth 1 Matthew R. Page 1 David L Young 1 Steve Johnston 1 Emily Warren 1 Rohan P Chaukulkar 2 Paul Stradins 1
1National Renewable Energy Laboratory Golden United States2Colorado School of Mines Golden United States
Show AbstractIn order for high efficiencies in monocrystalline silicon solar cells to be realized it is necessary to ensure that the minority carrier lifetime of the bulk material is high, and that the recombination current prefactor (Jo,total) is low at both the surface and contact areas. In this work we present a device architecture and robust cell process for the fabrication of high-efficiency silicon solar cells. Our cell architecture employs a polycrystalline silicon passivated back contact1 on both n-type float zone (FZ) and Czochralski (Cz) silicon wafers. In these cells we implement a high-temperature deep drive-in of the boron-diffused emitter, controlled growth of a thermally-grown tunneling SiO2 for the subsequent one-sided doped polycrystalline silicon back contact, and deposition of Al2O3/SiNx layers for emitter passivation and antireflection. Special attention is given to the processing sequence and thermal processing budget in order to suppress the accumulation of oxygen precipitates that are often found in Cz-grown silicon and which are known to interact with metallic impurities and point defects and ultimately degrade bulk carrier lifetimes2. Additionally, the application of the one-sided n-type polycrystalline silicon offers an external gettering effect on wafer impurities that is found to be equivalent to typical phosphorus diffusion for back surface field (BSF) formation in standard n-type silicon solar cell architectures. This finding streamlines the overall process for Cz-Si gaining in industrial relevance by eliminating the need for an extra diffusion. With this cell architecture and process flow we have achieved power conversion efficiencies in finished cells of 21.5% in FZ-Si and 20.3% in Cz-Si. In non-contacted cells we have measured implied Voc of greater than 716 mV, and Jo,total values less than 21 fA/cm2. This work was supported by the U.S. Department of Energy under contracts DOE DE-EE00025783 and DE#8208;EE0006336.
[1] Feldmann et al., Solar Energy Materials and Solar Cells, 07/2014.
[2] Murphy et al., Journal of Applied Physics, 116, 053514 (2014).
10:45 AM - A5.05
High Mobility In2O3:H Transparent Conductive Oxides Prepared by Atomic Layer Deposition: Opportunities for SHJ Solar Cells
Bart Macco 1 Harm C.M. Knoops 1 3 Marcel Verheijen 1 Erwin Kessels 1 2
1Eindhoven University of Technology Eindhoven Netherlands2Solliance Eindhoven Netherlands3Oxford Instruments Plasma Technology Bristol United Kingdom
Show AbstractIn silicon heterojunction (SHJ) solar cells, one of the main opportunities for enhancing the short-circuit current density (Jsc) is reducing the parasitic free carrier absorption (FCA) in the front transparent conductive oxide (TCO). FCA can be mitigated by reducing the carrier density of the TCO, provided that the carrier mobility is sufficient to guarantee a low resistivity. Therefore, the development of high transparency, high mobility TCOs at processing temperatures compatible with SHJ processing (<200 oC) is an important driver for the SHJ solar cell efficiency. In this work, a method to prepare TCOs with an extremely high carrier mobility (138 cm2/Vs) and low resistivity (0.27 mOmega;cm) at low processing temperatures (<200 oC) is presented, making the TCO highly suitable for SHJ solar cells. Amorphous layers of H-doped indium oxide (In2O3:H) were deposited by atomic layer deposition (ALD) at 100 oC using InCp, H2O and O2 as growth precursors. Superior film properties were obtained by subsequent solid phase crystallization at 150-200 oC. The evolution of film crystallinity in time was monitored both by X-ray diffraction and spectroscopic ellipsometry. Cross-sectional TEM has shown that the resulting grains extend over the whole film thickness (75 nm) and are a few hundred nm in lateral size. During crystallization the carrier mobility increases from 38 cm2/Vs to 138 cm2/Vs, while the carrier density decreases from 4.8x1020 cm-3 to 1.6x1020 cm-3. Interestingly, the carrier concentration and mobility only depend on the degree of crystallinity but not on the crystallization temperature. The high quality of the TCO has been corroborated by temperature-dependent Hall measurements, which has revealed that the carrier mobility is limited by the fundamental ionized impurity and phonon scattering processes instead of extrinsic defect scattering. Due to the extremely high mobility and low carrier density after crystallization, the free-carrier absorption in these TCOs is negligible. Three key highlights of ALD In2O3:H over conventional sputtered ITO in SHJ solar cells will be presented. i) Optical modeling has shown a possible gain in Jsc of over 1 mA/cm2 due to reduced FCA. ii) The lower resistivity reduces resistive losses and the required front grid metallization area. iii) The soft nature of the ALD process is compatible with sensitive a-Si:H passivation layers, as verified by lifetime experiments.
[1] Macco et al., Phys. Status Solidi - Rapid Res. Lett., DOI: 10.1002/pssr.201409426
A6: Tandem Cells
Session Chairs
Wednesday AM, April 08, 2015
Moscone West, Level 3, Room 3002
11:30 AM - *A6.01
Silicon-Based Tandem Solar Cells
Martin A. Green 1
1University of New South Wales Sydney Australia
Show AbstractRecent large price reductions with wafer-based cells have increased the difficulty of dislodging silicon solar cell technology from its dominant market position. With market leaders expected to be manufacturing modules above 16% efficiency at $0.36/Watt by 2017, even the cost per unit area ($60-$70/m2) will be difficult for any thin-film photovoltaic technology to significantly undercut. This may make dislodgement likely only by appreciably higher energy conversion efficiency approaches. A silicon wafer-based cell able to capitalize on on-going cost reductions within the mainstream industry, but with an appreciably higher than present efficiency, might therefore provide the ultimate PV solution. With average selling prices of 156 mm quasi-square monocrystalline Si photovoltaic wafers recently approaching $1 (per wafer), wafers now provide clean, low cost templates for overgrowth of thin, wider bandgap high performance cells, nearly doubling silicon&’s ultimate efficiency potential. The range of possible Si-based tandem approaches is reviewed together with recent results and ultimate prospects, with the recent emergence of high performance perovskite cell technology providing tantalizing prospects.
12:00 PM - A6.02
Towards Mechanically Stacked High Efficiency Silicon/Perovskite Tandem Solar Cells
Lars Korte 1 Jan Amaru Toefflinger 1 Golnaz Sadoughi 2 Henry James Snaith 2 Bernd Rech 1
1Helmholtz-Zentrum Berlin Berlin Germany2Univ of Oxford Oxford United Kingdom
Show AbstractSolar cells based on amorphous/crystalline silicon heterojunctions (SHJ) have recently achieved a power conversion efficiency (PCE) of 25.6%, the world record for single-junction cells. Similarly spectacular results have been reported for the newly emerging perovskite-based thin film solar cells, with a confirmed best efficiency of 17.9%. The combination of these two cell types in a tandem structure has the potential to reach efficiencies well above 30%, since the combination of the silicon cell&’s 1.1eV band gap with a lead methyl ammonium lead triiodide (CH3NH3PbI3) perovskite cell (Eg = 1.5-1.6eV), is almost ideally suited for a tandem solar cell under AM1.5g illumination.
We report on our progress towards such perovskite/silicon tandem solar cells in a mechanically stacked configuration. The main challenge in this cell type is to realize a highly transparent back contact with good lateral conductivity for the perovskite top cell. We will present our results on implementing such a contact using sputtered transparent conductive oxide (TCO) films, including a comparison to our recently reported state-of-the-art reference cells with a PCE of above 15% [1]. We will show how the semitransparent perovskite cells can be integrated with our SHJ cells [2] (best reported efficiency 21.3% [3]) into a tandem cell, and advantages of such a mechanical stack will be discussed: The Si HJ bottom cell process can be optimized independently of the process requirements of the perovskite cell (temperatures, chemical stability, hellip;); 2-, 3- and 4-terminal configurations can be realized, and no current matching is necessary for the latter two cases. Based on numerical simulations of the cell stack&’s optical and electrical properties, we will discuss pathways to optimized cell designs for such tandems, using a consistent data set on the materials properties of our current cells. Furthermore, based on these simulations, we will point out which improvements will be critical for further improvements, and we will discuss the ultimate efficiency limits of these novel tandem cells.
[1] M. Liu, M.B. Johnston & H. Snaith: Efficient planar heterojunction perovskite solar cells by vapour deposition. Nature 501 (2013) 395
[2] J. Kegel, H. Angermann, U. Stürzebecher, E. Conrad, M. Mews, L. Korte & B. Stegemann: Over 20% conversion efficiency on silicon heterojunction solar cells by IPA-free substrate texturization. Appl. Surf. Sci. 301 (2014) 56
[3] H. Scherg-Kurmes et al., submitted to Thin Solid Films
12:15 PM - A6.03
Si/III-V Wafer Bonding Using Transparent, Conductive Interlayers
Adele Tamboli 1 Maikel van Hest 1 Myles Steiner 1 Stephanie Essig 1 Paul Stradins 1
1National Renewable Energy Laboratory Golden United States
Show AbstractSilicon and III-V materials are used in the highest efficiency and most commercially viable solar cells to date. Combining the two technologies offers the promise of higher efficiency than Si alone (>30%) in a large-scale, manufacturable way. The primary method that has been used to combine Si and III-Vs to date has been direct growth of III-V epilayers on Si, which is very challenging and can result in degradation of the underlying Si subcell. Wafer bonding, on the other hand, offers a route to integration of high quality Si and III-V solar cells without compromising the efficiency of either material. However, the realization of a two-terminal device requires a bonded interface which is both optically transparent and electrically conductive. Achieving both of these properties simultaneously has presented a challenge.
Common direct wafer bonding methods include either a high temperature treatment (where the thermal expansion mismatch can be problematic) or a plasma activation step (which introduces surface damage and/or results in oxidation of the semiconductors). We have developed a bonding process that utilizes an amorphous indium zinc oxide film as a transparent contact layer on each bonding surface. Bonding between these surface layers can be initiated easily after a short oxygen plasma activation process. The wafer-bonded interface does not suffer from reduction in electrical conductivity due to oxidation. With this method, we have successfully bonded GaAs/InGaP films to Si. These bonded samples have excellent optical properties (<10% absorption in the relevant spectral range) and low electrical interface resistance (<0.25 Omega;-cm2). The bonding process can be performed at room temperature, ensuring the ability to maintain high quality solar cells. We will discuss the bonding process itself, as well as initial results and plans for solar cell fabrication.
This work was supported by DOE EERE SETP DE-EE00025783
12:30 PM - A6.04
SiSe2: A 1.7eV Solar Absorber for Tandem Silicon Photovoltaics
Lakshmi Krishna 1 Chen Chen 3 Xiaotian Zhang 3 Sukgeun Choi 2 Lynn M Gedvilas 2 Chito Kendrick 4 Joan M. Redwing 3 Adele Tamboli 2 Eric Toberer 1
1Colorado School of Mines Golden United States2National Renewable Energy Laboratory Golden United States3Pennsylvania State Univ University Park United States4Michigan Technological University Houghton United States
Show AbstractSilicon chalcogenide alloys of selenium and sulfur (Si(S,Se)2) can produce the 1.7 eV band gap, which is ideal for the top cell of a tandem photovoltaic cell based on a crystalline silicon bottom cell with a band gap of 1.1 eV. The addition of the top cell would theoretically produce a maximum efficiency of ~45% which is 12 percentage points above the Shockley Queisser theoretical efficiency limit (33%) for a single junction photovoltaic cell. Si(Se,S)2 compounds consists of Si(Se,S)4 tetrahedra which are held parallel to one another via wander Waals forces. Prior work on SiSe2 confirmed several polymorphs of SiSe2 exist but did not identify the conditions favorable for selective synthesis of red SiSe2 which is likely to have a band gap of 1.7 eV. Optical characterization of SiSe2 is lacking and also, there is no existing knowledge on the electronic properties of this material.
In this work we develop techniques for selectively growing red SiSe2 crystals. Initial crystals of SiSe2 were prepared by congruent melting using stoichiometric amounts of high purity Si and Se powders sealed into evacuated quartz ampoules. The powders were heated above the 972oC liquidus temperature for SiSe2 for periods ranging from 8-24 hours and then cooled to room temperature. Confocal Raman spectroscopy carried out on sealed samples using a 488 nm laser line revealed an intense peak at 245 cm-1 and other peaks which are consistent with the reported spectra of crystalline SiSe2. The samples exhibit a deep red color and UV/visible transmission measurements reveal an onset of absorption in the range of 1.6-1.8 eV. Attempts to characterize the initial SiSe2 crystals after removal from the quartz ampoules were stymied by safety concerns resulting from the rapid oxidation of SiSe2 in air which results in the formation of SiO2 and H2Se gas, which is toxic at the ppb level. The preliminary results are consistent with the prior reports which indicate a bandgap energy in the range of 1.7 eV for crystalline SiSe2. Further studies are underway to develop methods to passivate the SiSe2 surface, prepare thin films on Si and investigate related compounds that may offer improved air stability.
12:45 PM - A6.05
In-situ Surface Preparation of Si for MOVPE Heteroepitaxial Growth of III-V Semiconductors
Emily Warren 1 Bill McMahon 1 Alan Kibbler 1 Adele Tamboli 1 Daniel J. Friedman 1 Paul Stradins 1
1National Renewable Energy Laboratory Golden United States
Show AbstractThe use of Si as a heteroepitaxial growth template for III-V materials has long been a goal for reducing the cost of high efficiency photovoltaic and optoelectronic technologies. For instance, the direct growth of wide bandgap III-V materials on Si can enable tandem solar cells with efficiencies as high as 30%. In this work we report on an in-situ surface preparation of Si to enable low-defect epitaxial growth of III-V materials. While a great deal of prior work has focused on the reconstruction of the Si surface under ultra-high vacuum conditions, it is important to be able to control the Si surface in conditions that are compatible to industrially relevant growth techniques such as metalorganic vapor phase epitaxy (MOVPE).
It has recently been demonstrated that it is possible to achieve be single domain (i.e. double atomic steps) Si surfaces by annealing Si at >1000°C under H2 or UHV conditions, and/or by pre-epitaxy of Si prior to III-V growth [1,2]. Both of these approaches require high temperatures that can degrade the bulk Si lifetime or enable high rates of dopant diffusion within Si. Here, we demonstrate a different approach, using in-situ annealing of Si under AsH3 at temperatures <800°C in an MOCVD reactor. While there are several challenges (that will be discussed in detail), this technique potentially eliminates the need for an expensive Si pre-epitaxy step and significantly reduces the required thermal budget. LEED, Auger, and STM data indicate that this process produces oxide-free single domain Si surfaces, ideal for III-V heteroepitaxy. We have grown heteroepitaxial GaP on these surfaces by MOVPE and will present SEM, TEM, XRD, and Auger data on the quality of the GaP on Si films. We will also discuss the impact of MOVPE growth conditions on the bulk Si carrier properties as well as the broader implications for this work on the feasibility of epitaxially grown Si/III-V tandem solar cells.
Funding for this work was provided by DOE EERE through contract SETP DE-EE00025783.
[1] T. J. Grassman, et al. Appl. Phys. Lett, 102, (14),142102, 2013.
[2] K. Volz, et al. J. Cryst. Growth, 315(1),37-47, 2011.
Symposium Organizers
Reuben Collins, Colorado School of Mines
Bahman Hekmatshoar, IBM T.J. Watson Research Center
Zachary Holman, Arizona State University
Paul Stradins, National Renewable Energy Laboratory
Akira Terakawa, Panasonic Corporation
Symposium Support
AIP Iota; Applied Physics Letters
Ecole Polytechnique Feacute;deacute;rale de Lausanne (EPFL)
Forschungszentrum Juuml;lich GmbH
IBM T.J. Watson Research Center
National Renewable Energy Laboratory
A11: Printed, Exfoliated and Synthesized Silicon
Session Chairs
Thursday PM, April 09, 2015
Moscone West, Level 3, Room 3002
2:30 AM - *A11.01
Silicon Nanoparticle Containing Dopant Pastes for High Efficiency Crystalline Silicon Solar Cell Manufacturing
Shiv Chiruvolu 1 W. Li 1 U. Srinivasan 1 Y. Imamura 2 Y. Ikeda 2 T. Shiro 2 T. Imamura 2 T. Hanada 1 G. Leung 1 S. Nagata 1
1Nanogram Corporation Milpitas United States2Tokyo Research Center Tokyo Japan
Show AbstractSemiconductor nanoparticles are an area of major interest for applications that leverage their optical and electronic properties. Silicon nanoparticles and nanostructures have emerged as highly valuable for their properties in lithium ion batteries, photovoltaics, and printed electronics. In this paper we will review properties of highly doped and undoped silicon nanoparticles produced by CO2 laser-driven pyrolysis process. This process has been shown to be capable of producing a broad range of high quality nanoparticles. We will present data on pastes made with very uniform, and high dopant concentration (Boron or Phosphorous >1E21 at/cc) containing nanoparticles that are specifically tailored for printable dopants in high efficiency crystalline silicon solar cell manufacturing. We will also present data on dopant incorporation into silicon wafers using laser and thermal processing of these printed pastes. We propose that properties of uniform and crystalline silicon nanoparticles offer potential for many other applications.
3:00 AM - A11.02
Kerfless Exfoliated Thin Crystalline Si Wafers with Al Stressor Layers and Their Application in Solar Cells
Raphael Niepelt 1 Sarah Kajari-Schroeder 1 Jan Hensen 1 Verena Steckenreiter 1 Alwina Knorr 1 Rolf Brendel 1 2
1Institute for Solar Energy Research Hamelin ISFH Emmerthal Germany2Leibniz Universitauml;t Hannover Hannover Germany
Show AbstractKerfless wafering techniques show a high potential to further reduce the costs of crystalline silicon photovoltaics by reducing the silicon consumption. The stress-induced exfoliation of thin Si layers offers the unique possibility to produce thin, kerf-free wafers directly from standard high-quality ingots. Thus, it is possible to obtain material well-suited for high-efficiency Si solar cells at a drastically reduced Si budget.
We introduce and evaluate an exfoliation approach making use of evaporated aluminum as a double functional layer. The Al serves as the stress inducing element to drive the exfoliation process and can after exfoliation be used as the rear contacting layer of the solar cell. Stress is introduced by thermal cycling at temperatures below 150 °C. The stress originates from the difference in thermal expansion coefficient of the Si and the Al stressor layer. A moving temperature gradient is applied across the substrate and controls the crack propagation. This avoids the formation of multiple crack fronts. We study the role of the thermomechanical properties of the Al by X-ray diffraction experiments with two different Al alloys that we use for exfoliation. We further analyze the Al and Si thickness limits for exfoliation theoretically. We select an Al alloy that is suitable for the exfoliation of 50 µm thin Si layers and demonstrate the exfoliation experimentally. The exfoliated Al-Si bilayers are compatible with an established module level processing concept that was shown to allow for power conversion efficiencies above 20%.
We study the electronic properties of the 50#8209;70 µm thin, exfoliated single crystalline Si foils. The measurements reveal an effective carrier lifetime of up to 240 µs corresponding to diffusion lengths of ten times the layer thickness. The exfoliated layers are thus well-suited for highly efficient solar cells. We also examine the mechanical stability of one-side-encapsulated Al-Si bilayers during solar cell processing. The Al yield strength and plastic hardening behavior both have an effect on the maximum processing temperatures that is applicable after encapsulation. We show that the bilayers withstand temperatures of 200 °C that are typical for module level processing.
Finally, we estimate the expected costs of the proposed processing route and find savings of 10 to 30 % on module level when comparing the kerfless exfoliation concept against projected future developments in wire-sawn wafer based c-Si technologies.
3:15 AM - A11.03
Trisilane-Based Liquid Precursor for Printable Silicon Electronics
Andrew Paolo Cadiz Bedini 1 Stefan Muthmann 1 Friedhelm Finger 1 Reinhard Carius 1
1Forschungszentrum Juuml;lich, IEK-5 Photovoltaics Juuml;lich Germany
Show AbstractThe preparation of thin-film electronic devices via solution-based methods presents, in principle, a low-cost alternative to conventional gas phase and solid state manufacturing techniques such as PECVD and Si-wafer technologies. Some of the major disadvantages associated with solution-based methods, however, are the high cost and scant commercial availability of the precursor monomers. With this in mind, we developed a liquid silicon hydride precursor based on trisilane (Si3H8, TS), which constitutes a more economic, readily available and high-purity silane compared to those used in the literature, namely, cyclopentasilane [1-2] and neopentasilane [3-4].
The growth of non-volatile, high molecular weight species from TS is accomplished under dilution in cyclooctane at ambient pressure in a N2 glove box by means of a novel method involving sonication. The resulting higher hydridosilanes (-(SiHx)n-) are cast as thin films on glass and c-Si substrates via spin coating. The coated substrates are subsequently placed on a hot plate where the pyrolytic conversion of the layers into hydrogenated amorphous silicon (a-Si:H) is carried out.
We tentatively show that the initial oligomerisation of TS via sonication is not thermal, but rather sonochemical in nature by comparing two identical solutions submitted to the same process temperature (ca. 55°C) and duration, one unintentionally heated during ultrasonic treatment and the other heated on a hot plate. The oligomerisation process is studied using a variety of techniques, including gas chromatography-mass spectrometry (GC-MS) and gel permeation chromatography (GPC). The optoelectronic and microstructural properties of a-Si:H thin films are characterised by coplanar electrical conductivity measurements, Fourier transform infrared spectroscopy (FTIR) and photothermal deflection spectroscopy (PDS).
The TS precursor exhibits excellent wettability and the deposited layers are homogeneous on an area of 2 × 2 cm2. Defect densities as evaluated from PDS measurements are in the range of ~1017 cm-3 and the dark- and photoconductivities already yield a promising photoresponse of ~103. Further optimisation of these and other material properties for application in photovoltaic devices is currently being undertaken.
[1] Shimoda, T., Solution-processed silicon films and transistors, Nature 440, 783 (2006).
[2] Masuda, T., et al., Fabrication of solution-processed hydrogenated amorphous silicon single-junction solar cells, Appl. Phys. Lett. 100, 253908 (2012).
[3] Bronger, T., et al., Solution-Based Silicon in Thin-Film Solar Cells, Adv. Energy Mater. 4, 1301871 (2014).
[4] Sontheimer, T., et al., Solution-Processed Crystalline Silicon Thin-Film Solar Cells, Adv. Mater. Interfaces 1, 1300046 (2014).
3:30 AM - A11.04
An Ultra-Thin Si Film Formation by the Empty Space in Silicon Technology for High Performance Flexible Devices
Sanghyun Park 1 Jihun Oh 1
1Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)
Show AbstractUltra-thin single crystal silicon films attract intensive attention for use in flexible electronic devices due to their excellent electrical and mechanical properties [1]. The empty space in silicon (ESS) technology is promising to form few micrometer-thick single crystal Si films on wafer scale substrates [2]. In the ESS technology, thin single crystal Si films are formed on large voids and empty plates by annealing vertically aligned pores on a Si substrate in hydrogen environment, driven by surface energy minimization [3]. The thickness of the Si film is then determined by the pore morphology such as pore pitch, diameter as well as aspect ratio. Currently, however, the Si porous layer is often fabricated using a photolithography, which limits reduction of the Si film thickness below a micrometer.
Here, we developed a novel ESS technique based on nanoporous Si in order to fabricate ultra-thin (i.e., 100 - 500 nm thick) single crystal Si layer. Vertically aligned nanopores with aspect ratio upto 5 were fabricated by the nanosphere lithography (NSL) and reactive ion etching (RIE) on a Si wafer. The pore pitches were varied from 200 to 1000 nm using different polystyrene (PS) particle sizes for the NSL and the pore diameters were controlled by trimming PS particle before RIE or by using a Si pore widening process after RIE. Then, we investigated the formation of the ESS structures and thicknesses of single crystal layers from various nanoporous Si structures upon annealing at 1100 oC for 10 minutes in H2 ambient. With this methods, we successfully fabricated single crystal Si films with thickness of 100 - 500 nm on empty voids and empty plates on wafer-scale Si substrates. In addition, we investigated the formation and removal of oxygen-related defects such as pits and surface roughening during annealing in hydrogen ambient with extremely low oxygen partial pressure. By modifying sample loadings to control gas flow to obstruct oxygen to reach the sample surface, we successfully removed oxygen-related defects on ultra-thin Si films. In the presentation, detailed analyses and characteristics will be discussed.
References
[1] Yoon, J. et al., Nat. Mater. 7, 907-915 (2008).
[2] Sato, T., Mizushima, I., Taniguchi, S. & Takenaka, K., Jpn. J. Appl. Phys. 43, 12-18 (2004).
[3] Sudoh, K., Iwasaki, H., Hiruta, R., Kuribayashi, H. & Shimizu, R., J. Appl. Phys. 105, 083536-083536-5 (2009).
3:45 AM - A11.05
Photovoltaic Quality Analysis of Thin Crystalline Si Wafers
Yusi Chen 1 John Renshaw 2 Sukti Chatterjee 2 Lance Scudder 2 Yijie Huo 1 Yangsen Kang 1 Kashif Maqsood 2 Pravin Narwankar 2 James S. Harris 1
1Stanford University Stanford United States2Applied Materials Cupertino United States
Show AbstractLowering the cost of electricity generated by crystalline silicon solar cells can be achieved either by increasing the cell efficiency or by reducing the cell cost, or both. Approximately 57% of the cost to fabricate a crystalline silicon solar cell is the cost of the starting wafer [1, 2, 3]. Therefore, reducing the cost of the starting wafer is an attractive way to reduce solar cell cost. One simple approach to reduce the starting wafer cost is to use thinner wafers. In fact, the theoretical maximum efficiency (29.8%) that can be achieved in crystalline Si solar cells is predicted to be on a 100 µm thick wafer [4] and Si solar cell efficiencies as high as 24.7% have been demonstrated on <100 µm thick wafers [5] using amorphous Si heterojunction technology.
In this work, we present a systematic quality analysis of thin crystalline Si wafers (<100µm). The figure of merit for crystalline Si wafers in photovoltaics is the minority carrier lifetime (MCL). We correlate the MCL with crystallographic defect density, which was analyzed by standard Secco etch [6] and Sopori etch [7]. MCL is measured by quasi-steady state photo conductance decay (QSSPCD), transient photo conductance decay (TPCD) and microwave detected photo conductance decay (µWPCD). We observed that MCL is highly related with defect density. With the decrease of defect density from 4E4 to 2E2 per cm2, MCL improves from 400 to 1050 µs. A few cases, exception has been observed. Though defect density is moderate, effective lifetime is very low, only 10s of µs, which might be related with the metal contamination. Currently, we are investigating the anomalies and analyzing the defects kinetics in details. More detailed information will be reported during the conference.
[1] International Technology Roadmap for Photovoltaic (ITRPV) 2014.
[2] Fu, J., et. al. Photovoltaic Specialists Conference (PVSC), 2010 35th IEEE p.001203.
[3] Kim, D. S., et. al. Proceedings of 3rd World Conference on Photovoltaic Energy Conversion, 2003. p.1293.
[4] Tiedje, Tom, et al. "Limiting efficiency of silicon solar cells." Electron Devices, IEEE Transactions on 31.5 (1984): 711-716.
[5] Taguchi, Mikio, et al. "24.7% record efficiency HIT solar cell on thin silicon wafer." (2013): 1-4.
[6] Secco, F., et. al., J. Electrochem. Soc. 119 (1972) 948.
[7] Sopori, B. L. "A new defect etch for polycrystalline silicon." Journal of the Electrochemical Society 131.3 (1984): 667-672.
A12: Laser Processing and Crystallization
Session Chairs
Thursday PM, April 09, 2015
Moscone West, Level 3, Room 3002
4:30 AM - *A12.01
Excimer Laser Crystallization as Used in the Manufacture of High-Performance Flat Panel Displays
Brandon A Turk 1 Paul van der Wilt 2 Rainer Paetzel 2
1Coherent, Inc. Santa Clara United States2Coherent LaserSystems GmbH amp; Co. KG Goettingen Germany
Show AbstractVarious methods have been proposed and evaluated for forming low-temperature polycrystalline silicon (LTPS) films, but only Excimer Laser Annealing (ELA) has found widespread adoption in mass production. LTPS is enabling for high-resolution and high-performance AMLCD and AMOLED mobile display devices because thin-film transistors made on these films satisfy the specific application requirements for device performance, uniformity, and stability. The relative complexities of the excimer laser and optical delivery system used in ELA equipment are significantly outweighed by the benefits of the LTPS material; in reality, the equipment is robust and reliable enough to support high-volume manufacturing with very high production yields. In fact, as of this writing, the installed ELA equipment capacity is estimated to be sufficient to support fabrication of a billion smartphone displays per year, with that number expected to rise as consumer electronics manufacturers continue to improve and evolve the mobile displays used in their products. The additional manufacturing cost added by the ELA process is small in comparison to the value that LTPS material provides for these applications.
Recently, ELA equipment has been making continuous and significant progress in scaling for cost-effective and large-scale production; the current LTPS production standard for glass size is generation 6 (typically 1500mm x 1800mm). To support the scale-up to these larger glass sizes, we previously developed - and continue to improve upon - a multi-laser-oscillator platform which has enabled significant increases in laser power (for example, 2.4kW of pulsed UV light at 308nm). New optical concepts and optical systems were developed to take advantage of the increased laser power, which has resulted in the current commercially available maximum beam size in an ELA machine being 1300mm x 0.4mm. Additionally, there are many specifications (especially in terms of uniformity of the deposited energy) and performance targets for such a production-worthy system, all of which are required to make ELA and LTPS commercially viable and successful. In this talk, we will present the basics of ELA in review, and subsequently discuss the key developments in ELA system architecture, including laser power scaling, optical system design, and incorporation of advanced metrology features.
5:00 AM - *A12.02
Beam-Induced Melt-Mediated Crystallization of Si Films: Scientific Opportunities and Technological Motivations
James S. Im 1
1Columbia University New York United States
Show AbstractLaser crystallization of Si films has become an essential, substantial, and growing technology for realizing a variety of advanced LCDs and OLED displays. Much effort has been invested over the years to study various aspects of this melt-mediated crystallization method, and doing so has yielded a number of technological and scientific advances; and yet, a critical review of the current status of the field reveals that much remains to be resolved and improved.
Understandably, essentially all of the previous investigations have focused on the solidification/crystallization portion of the melting-solidification phase-transformation cycle that transpires during the laser crystallization process. In this paper, we suggest that the melting portion of the process can be just as fundamentally meaningful to examine, and, somewhat surprisingly, that it actually corresponds to the most critical portion of the process for multiple-irradiation/partial-melting-based crystallization approaches (such as the excimer laser annealing (ELA) and mixed-phase solidification (MPS) methods).
We point out here that for these incomplete-melting-based laser crystallization techniques involving irradiation of polycrystalline Si films, the exact details associated with the initial-microstructure-dependent evolution of the heterogeneous initiation and expansion of the liquid phase [i.e., (1) localized melting taking place during the early phase at various high excess free energy sites (surface, grain boundaries and interfaces), (2) a local interface motion velocity being affected by the Gibbs-Thomson interface curvature effect at the point, (3) and the possibility of local temperature variation stemming from spatially non-uniform deposition of the incident radiation energy] determine the precise extent to which each grain survives (or fully melts away), and that this, in turn, dictates the ensuing solidification process (and the microstructure of the resulting material). We discuss how understanding such details can lead to the development of more efficient and effective laser crystallization schemes.
5:30 AM - A12.03
Observation of Si Superheating at the Si-SiO2 Interface in Pulsed-Laser Irradiated of Thin Si Film
J. J. Wang 1 A. B. Limanov 1 James S. Im 1
1Columbia University New York United States
Show AbstractMelting of a single-crystal elemental solid with has long been appreciated in that the situation constitutes a simple example of phase transitions transpiring in condensed systems. For certain energy-beam induced crystallization of thin Si films, melting can also be recognized as the all-significant step that essentially determines the microstructural quality of the resulting polycrystalline material.
In the present work, we leverage unusual experimental opportunities that are available in pulsed-laser irradiation of Si films in order to carry out an uncomplicated melting experiment that, in turn, permits us to conclude a scientifically and technologically relevant point regarding the thermodynamic and kinetic stability of Si against melting at the Si-SiO2 interface.
Here, we will focus our discussion on the result obtained using single-crystal Si (corresponding to the simplest available microstructure) on SiO2 coated quartz substrates, which were single-pulse back-side irradiated through the substrate using a 308-nm excimer-laser pulse (so as to create an “inverted” temperature profile via “internal heating”; inverted in a sense that that the subsurface temperature of the film is higher than that of the interior during irradiation). The location specific details of the melting transition were in-situ tracked using the front-side and back-side transient reflectance analysis, and the thermal profile evolution was analyzed using a numerical method.
We conclude from our observation and analysis that substantial superheating (> 100 K) of crystal Si is possible, in general, and, more significantly, that this can take place at the bottom Si-SiO2 interface. This conclusion was made based on the observation of melting initiating and propagating from the surface at least always for the case in which the capping oxide layer was removed with BHF etchant and irradiated in vacuum.
While the observation of superheating of single-crystal solid via internal heating is theoretically expected (but very difficult to achieve in practice), and additionally that the free surface is expected to undergo barrier-free nucleation of liquid, substantial superheating at the interface between crystal and amorphous solids can be viewed as less expected. In terms of thermodynamics, this observation means that the interfacial energy of the interface is sufficiently low to prohibit pre-melting or barrier-less liquid nucleation to take place at the interface, and that the combined nucleation-resisting effects (resulting from the involved interfacial energies and strain energy terms) associated with heterogeneous nucleation are sufficient to kinetically permit sustained superheating of Si at the bottom Si-SiO2 interface. We compare our result to previous work on the topic, and discuss the implications of our result in developing a physically accurate and consistent picture of melting and solidification scenarios regarding technologically important crystallization techniques.
5:45 AM - A12.04
Comparison of Laser and Oven Annealing Effects on Hydrogen and Microstructure in Thin Film Silicon
W. Beyer 1 2 J. Bergmann 3 U. Breuer 4 F. Finger 2 A. Lambertz 2 T. Merdzhanova 2 N.H. Nickel 1 F. Pennartz 2 T. Schmidt 3 U. Zastrow 2
1Helmholtz-Zentrum Berlin fuuml;r Materialien und Energie Berlin Germany2Forschungszentrum Juuml;lich GmbH Juuml;lich Germany3Leibnitz-Institut fuuml;r Photonische Technologien Jena Germany4Forschungszentrum Juuml;lich GmbH Juuml;lich Germany
Show AbstractThermal treatment (annealing) is of interest for change and improvement of thin film silicon materials as well as of amorphous silicon based devices like thin film silicon solar cells and crystalline silicon heterojunction solar cells. Annealing by laser scanning may be advantageous to other annealing methods due to high compatibility with industrial processes, spectral selectivity and high speed. Doped and undoped hydrogenated amorphous silicon (a-Si:H) films (up to 1 µm in thickness) deposited by rf glow-discharge on crystalline Si wafers were studied. For laser annealing, a green CW laser (532 nm, 6 W, 100 µm focus diameter) was applied. Samples of 1 cm2 in size were scanned at a speed of 1 - 100 mm/s with a line distance of typically 50 µm in ambient. Oven annealing was performed in vacuum. Concentration of bonded hydrogen as well as microstructure of the material were measured by infrared absorption while the motion of hydrogen by the annealing treatment was studied by SIMS measurements of deuterium-hydrogen interdiffusion in layered structures of hydrogenated and deuterated material. By decreasing the laser scan speed, a reduction of bonded hydrogen content and an increase in D-H interdiffusion are observed, similar as found for oven annealing when annealing temperature and/or annealing time are increased. Changes in material microstructure are also observed. Note that the setup of appropriate conditions for the laser annealing experiment is a high challenge and to our knowledge, this is the first time that the success of such experiments is reported. We find that the hydrogen diffusion length for undoped a-Si:H at a laser scan speed of 5 mm/s is similar to the diffusion length obtained by oven annealing near 400°C (5 min. annealing time). The laser induced enhancement of temperature will be discussed. The results demonstrate that laser treatment can be applied for controlled annealing of a-Si:H materials at temperatures below crystallization.
A13: Poster Session: Amorphous and Nanocrystalline Films and Devices
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A13.01
Hydrogen Bonding and Diffusion in Amorphous Silicon Coated with Large Area Graphene
Norbert H. Nickel 1 Marc A. Gluba 1
1Helmholtz Zentrum Berlin Berlin Germany
Show AbstractRecently, first steps were taken to take advantage of the superior electrical and optical properties of graphene in silicon-based devices such as solar cells. Amorphous silicon was deposited on top of graphene and it was demonstrated that the characteristic properties (e.g.: high carrier mobility and transparency) of graphene persist after the deposition.1 However, there is still a substantial lack of knowledge concerning the interaction of graphene with hydrogenated amorphous silicon (a-Si:H).
To elucidate this highly interesting topic a series undoped and doped a-Si:H films was deposited by glow-discharge decomposition of silane. Doping was achieved by adding phosphine or diborane to the gas flow. The samples were grown at 230 °C and had a thickness of 1 µm. In a second step large area graphene was produced on copper foil using a CVD process. Subsequently, the graphene layers were transferred to the a-Si:H samples employing a standard polymer-assisted transfer process. Details on the growth and transfer process can be found elsewhere.1 The individual layers and the graphene coated a-Si:H samples were characterized with Raman backscattering, hydrogen effusion, and FT-IR measurements.
According to Raman backscattering measurements the coating of a-Si:H with graphene does not affect the microstructure of the amorphous silicon layer. However, the position and the ratio of the graphene G and D modes indicate the presence of strain between a-Si:H and graphene. Hydrogen effusion measurements are highly sensitive to the presence of graphene. For undoped a-Si:H the entire H effusion spectrum shifts to lower temperatues by about 40 °C when it is coated with graphene. On the other hand, when a-Si:H is doped with phosphorous the presence of a graphene layer results in a shift of the entire H effusion spectrum to higher temperatures by about 15 °C. It is important to note that graphene layers do not detach during H effusion measurements, although they are annealed at 1000 °C. Raman backscattering measurements performed after the effusion measurements confirm the superior properties of graphene suggesting that it is an excellent material for the use in solar cells.
1 M. A. Gluba, D. Amkreutz, G. V. Troppenz, J. Rappich, and N. H. Nickel, Appl. Phys. Lett. 103, 073102 (2013).
9:00 AM - A13.02
Composite Nanocrystalline/Amorphous Thin Films for Particle Detector Applications
Zvie Razieli 1 James Kakalios 1 Roger Rusack 1
1University of Minnesota, School of Physics and Astronomy Minneapolis United States
Show AbstractThe proposed upgrades to the Large Hadron Collider at CERN will lead to levels of radiation that can cause significant radiation damage and device degradation in conventional crystalline silicon-based detectors [1] that are employed in the hadronic and electromagnetic calorimeters. While hydrogenated amorphous silicon (a-Si:H) is known to be ‘radiation-hard,&’ the drift lengths in a-Si:H are too short for particle detector applications [2]. Composite thin films of a-Si:H containing silicon nanocrystalline inclusions may represent the best of both worlds, combining the superior electronic properties of crystalline silicon with the large-area, low-cost and radiation hardness of amorphous semiconductors. These composite films are synthesized in a dual-chamber co-deposition PECVD system that enables the separate optimization of growth conditions for the nanocrystalline and amorphous phases [3]. Photoluminescence (PL) studies find a significant increase in the PL intensity in composite films grown in a co-deposition system [4]. Exposure to a high flux proton beam at the FermiLab M-Test beam line finds that no more damage is induced in the composite a/nc-Si:H films by a high luminosity proton beam than is created in pure a-Si:H by extended exposure to visible light, also known as the Staebler-Wronski effect (SWE). Studies where the nanocrystal size is varied indicate that the optimal materials performance is found in composite films for which the nc-Si is less than 18 nm in diameter. By varying the deposition conditions in the nanoparticle synthesis plasma reactor, we have also investigated the role that the nanocrystal&’s surface treatment has on the electronic characteristics of the resulting composite film.
This work was partially supported by NSF PHY-1344251, the Nanofabrication Center and the NINN Characterization Facility at the University of Minnesota, and the University of Minnesota.
[1] The RD50 Collaboration (M. Moll et. al.), Nucl. Instrum. Methods Phys. Res. A, 546, 99 (2005).
[2] G. Anelli, S. C. Commichau, M. Despeisse, G. Dissertori, P. Jarron, C. Miazza, D. Moraes, A. Shah, G. M. Viertel and N. Wyrsch, Nucl. Instrum. Methods Phys. Res. A518, 366 (2004.
[3] Y. Adjallah, C. Anderson, U. Kortshagen and J. Kakalios, J. of Appl. Phys.107, 43704 (2010).
[4] J.D. Fields, S. McMurray, L.R. Wienkes, J. Trask, C. Anderson, L. Miller, B.J. Simonds, J. Kakalios, U. Kortshagen, M.T. Lusk, R.T. Collins, and P.C. Taylor, Solar Energy Materials & Solar Cells129, 7 (2014).
9:00 AM - A13.03
Luminance Compensation for AMOLED Displays Using a-Si:H MIS Sensors
Yuri Vygranenko 2 1 Paula Louro Antunes 2 1 Miguel Fernandes 2 1 Manuela M. Vieira 1 Andrei Sazonov 3
1CTS-UNINOVA Caparica Portugal2ISEL Lisbon Portugal3University of Waterloo Waterloo Canada
Show AbstractOptical feedback pixel driver circuits can enable accurate ageing and pixel brightness non-uniformity corrections in AMOLED displays. This technique requires a stable and reproducible in-pixel light sensor for luminance control. Several research groups have proposed to use phototransistors for light sensing. However, the fabrication of phototransistors with reproducible and stable characteristics across the large-area backplane is a challenging technological task. Moreover, the phototransistor itself can cause luminance instability due to thermal drift of the photoconductive gain. Here, we report on a pixel driver for bottom-emission OLEDs utilizing a metal-insulator-semiconductor (MIS) structure as a sensing element. To maintain the fabrication simplicity, the embedded MIS photosensor shares the same layer stack with back-channel etched a-Si:H TFTs. The pixel circuit combines a 2T voltage-programmed current source and a sensing part comprising a MIS photosensor, and a switching transistor. The pixel layout along with process steps are presented and explained. Performance characteristics of the MIS photosensor were measured to demonstrate its feasibility for the proposed driving scheme. In particular, the spectral-response, noise, and capacitance-voltage characteristics were analyzed to identify the factors limiting the signal-to-noise ratio and dynamic range of the sensor. A SPICE model of the pixel driver has been developed. Modelling of the pixel driver for large-area HD display is performed to prove the concept. Details on the pixel circuit functionality including the sensing and programming operations are also presented and discussed.
9:00 AM - A13.04
Improvement of Hydrogenated Amorphous Silicon Solar Cells by Light Assisted Reverse Bias Stress
Andrea Scuto 1 Luca Valenti 1 Silvio Pierro 2 Marina Foti 3 Cosimo Gerardi 3 Anna Battaglia 4 Salvatore Lombardo 1
1CNR IMM Catania Italy2Universitagrave; della Calabria Rende Italy3STMicroelectronics Catania Italy43SUN S.r.l. Catania Italy
Show AbstractHydrogenated amorphous Si (a-Si:H) solar cells are strongly affected by the well known Staebler Wronski effect. This is a worsening of solar cell performances under light soaking which results in a substantial loss of cell power conversion efficiency compared to time zero performance. It is believed not to be an extrinsic effect, but rather a basic phenomenon related to the nature of a-Si:H and to the stability and motion of H-related species in the a-Si:H lattice.
The Staebler-Wronski effect takes place in solar cells under operation or in open circuit, that is, forward biased either at the open circuit voltage or at the maximum power point voltage. In this work we study the effect of reverse bias stress. We show that the application of a reverse bias stress in presence of illumination not only slows down the solar cell ageing kinetics but even produces an improvement of the cells parameters as a function of stress time. We discuss the effect of temperature, electric field intensity and illumination level. We also show that type of bottom contact over which the a-Si:H is grown by PECVD has a strong influence on the recovery-improvement kinetics: SnO:F (FTO) transparent conductive oxide (TCO) and molybdenum bottom contacts to the p-type a-Si:H layer are here compared. Finally, we demonstrate that an analogous improvement (reduction) of sheet resistance is observed in single thin films of doped a-Si:H deposited on SiO2 under the application of high intensity electric fields. These results suggest that the observed effect is due to the motion of light ions in and out from the FTO to the p-type a-Si:H layer*.
* This work has been funded by MIUR by means of the national Program PON R&C 2007-2013, project “Tecnologie per l&’ENERGia e l&’Efficienza energETICa (ENERGETIC)” (PON02_00355_3391233).
9:00 AM - A13.05
Size Effects on the Thermal Conductivity of Amorphous Silicon Films
John T. Gaskins 1 Mirza Elahi 2 Zayd C. Leseman 3 Patrick Edward Hopkins 1
1University of Virginia Charlottesville United States2University of New Mexico Albuquerque United States3University of New Mexico Albuquerque United States
Show AbstractAmorphous silicon (a-Si) films are being increasingly used in a wide variety of applications including solar cells, flexible electronics and microelectronic circuits. The lack of long-range order in these films leads to thermal properties that may vary drastically from their crystalline states. The purpose of this study is to explore the relative contribution of the fundamental heat carriers in a-Si, namely diffusons and propagons, which govern heat transfer through a-Si thin films. Amorphous silicon films with thickness varying from 2nm up to several microns were fabricated via magnetron sputter. Time domain thermoreflectance (TDTR) was used to determine the thermal conductivity of the a-Si films. In order to separate the effects of the relevant heat carriers in these films we examine them in a two-stage approach. Analyzing films with thickness less than 200nm, where thermal conductivity is dominated by diffuson transport, allows us to back out the contribution of diffusons to the thermal conductivity of a-Si as κd asymp; 1.35 W mminus;1 Kminus;1. With this result in hand we are able to determine that propagons in a-Si behave similarly to phonons in crystalline solids and allows us to calculate the maximum frequency of propagons in a-Si as 1.6 THz, in excellent agreement with recent computational results where the crossover frequency was determined to be 1.84 THz.
9:00 AM - A13.06
Defect Densities in Nanocrystalline (Si,Ge) Devices
Siva Konduri 1 Watson Mulder 2 Vikram L. Dalal 2
1Iowa State Univ Ames United States2Iowa State University Ames United States
Show AbstractWe report on the density and energy of deep and shallow defects in nanocrystalline Si and (Si,Ge) alloys. The materials were deposited using PECVD techniques. The defect densities were measured in p-i-n devices fabricated from mixtures of silane, germane and hydrogen. The density and energy of the deep defects were determined using capacitance-frequency-temperature techniques. This technique allows one to estimate both the density and the energetic position of the defects, as well as the attempt-to-escape frequency. We find that there are three major defects in the material, at 0.19 eV, at 0.35 eV and at 0.55 eV below the conduction band. The defects at ~0.35 eV are related to oxygen contamination of the material. The attempt to escape frequency is in the range of 1x1012/s and capture cross-sections in the range of 1x10-15 cm2. Defect densities increase with increasing Ge content.
A14: Poster Session: Advanced Characterization and Simulation
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A14.01
Dynamical Thermoelectric Figure of Merit of Bulk Cubic Semiconductor Crystals
Younes Ezzahri 1 Karl Joulain 1
1Institute P', University of Poitiers Poitiers France
Show AbstractWe investigate in this work the fundamental behavior of the dynamical thermoelectric figure of merit ZT of a bulk cubic semiconductor (SC) crystal. The latter is assumed to be a linear elastic homogenous and isotropic medium having a parabolic energy band structure. We further assume to deal with one type of carriers (electrons or holes) that reside in a single energy band and we neglect any phonon drag effect. The treatment is based on solving Boltzmann Electron Transport Equation in the frequency domain after simultaneous excitations by dynamical temperature and electric potential gradients, within the framework of the single relaxation time approximation. To work out the analysis, Si0.7Ge0.3 alloy SC crystal is chosen as a prototype material. The study revealed a very interesting and compelling result in which ZT increases in the high frequency regime with respect to its steady-state value. This is due to the intrinsic uncoupling in the dynamics of electrons and phonons in the high frequency regime. In fact, electrons are characterized by a faster scattering relaxation time than phonons in all SC crystals and for all ambient temperatures. Moreover, energy (heat) is mainly carried by phonons in dielectrics and SC crystals. For frequencies higher than the inverse of the dominant phonon scattering relaxation time, phonons transport starts to transition from a diffusive to a ballistic regime, while electron transport still remains diffusive; the SC crystal becomes an almost thermal insulator while its electrical conduction capacity remains intact. This leads to a significant enhancement of its ZT. Besides being a remarkable realization of the Electron Crystal Phonon Glass model of Slack, this powerful result proves even more strongly than ever, the great potential of thermoelectricity of being the most compatible and adequate method to be implemented in microelectronic and optoelectronic industry for both heat management and solid-state energy harvesting/conversion.
9:00 AM - A14.02
Advances in Imaging and Quantification of Electrical Properties at the Nanoscale Using Scanning Microwave Impedance Microscopy (sMIM)
Stuart L. Friedman 1 Yongliang Yang 1 Oskar Amster 1
1PrimeNano, Inc Palo Alto United States
Show AbstractScanning Microwave Impedance Microscopy (sMIM) is a mode for atomic force microscopy (AFM) enabling imaging of unique contrast mechanisms and measurement of local permittivity and conductivity at the 10&’s of nm length scale. Custom shielded AFM probes enable the system to use microwaves to probe the impedance of the tip sample interface and extract information on local electrical properties of the sample. After introducing the theory of operation, we will review the state of the art, including imaging studies of microelectronic devices, buried structures, conventional and SOI wafers, and nanostructured Si. Data will also be presented from novel materials and nanostructures, such as graphene, carbon nano-tubes, patterned optical crystals and ferro-electrics.
In addition to imaging, the technique is suited to a variety of metrology applications where specific physical properties are determined quantitatively. We will present research results on quantitative measurements of dielectric constant (permittivity) and conductivity (e.g. dopant concentration) for a range of materials. For samples where properties such as dielectric constant are known the technique can be used to measure film thickness. Examples will include doped Si and low-k dielectric films.
9:00 AM - A14.03
1/f Noise in Mott Variable Range Hopping Conduction in p-type Amorphous Silicon
V.C. Lopes 1 A.J. Syllaios 1 K. Shrestha 1 D. Whitfield 1 C.L. Littler 1
1University of North Texas Denton United States
Show AbstractWe report on electrical noise measurements made on p-type a-Si:H thin films prepared by plasma enhanced chemical vapor deposition. Samples were grown at various boron concentrations and hydrogen dilution of the silane precursor. Measurements were made at temperatures ranging from 200°K to 400°K. We found that in this temperature range the electrical conductivity follows the Mott variable range hopping conduction model σ = σ0 exp[-(T0/T)m] where m = frac14;. In this hopping conduction regime, it is found that the electrical noise has a 1/fn component. The exponent, n, in this temperature range is n asymp; 1, i.e., the noise is 1/f and follows the Hooge model. The normalized Hooge parameter, aH/p, where aH is the Hooge constant and p the carrier density, is dependent on the Mott hopping parameters σo and T0, and in turn, to the material dopant (boron) concentration, hydrogen content and structural disorder determined by Raman spectroscopy.
Supported by ARO grant W911NF-10-1-0410, William W. Clark Program Manager.
9:00 AM - A14.04
Characterization of Porous Silicon Stacks by Model Based Infrared Reflectometry
Jonny Hoglund 2 Sukti Chatterjee 1 Vibhas Singh 1 Lance Scudder 1 Pravin Narwankar 1 Isaac Krull 3
1Applied Materials Cupertino United States2Semilab North Billerica United States3Independent North Billerica United States
Show AbstractDifferent research groups in academia and industry are engaged in evaluating various kerfless Si wafer technologies. [1, 2] One such kerf less technology is Epitaxialy growing Si wafers where there is a need to measure porosity & thickness of multi-layer porSi or Epi layer thickness and doping level of Epi on porSi by using reflectivity, ellipsometry, gravimetry, SEM, or FTIR. In the present work, we introduced a novel approach to characterize the different Si stack films by using Model Based Infrared Reflectometry (MBIR) [3]. MBIR derives its value from eliminating need for using multiple metrologies. Also it is a fast and non-destructive characterization technique, requiring less than 10 seconds to measure and analyze each point on a wafer. We believe we are first group to apply the technique for analyzing various porSi - Epi multilayer stacks.
We will demonstrate that MBIR is capable to measure and analyze i) mono-layer porSi, ii) bi-layer porSi, iii) Epi on prime and iv) Epi on bi-layer porSi stacks. Substrates used include circular and square shapes, which have been both polished and unpolished. The film stack thickness has varied between 1 mu;m for single layer porSi films up to more than 100 µm for Epi on porSi samples. Since thick layers of silicon are opaque in the UV-VIS wavelength range, IR wavelengths are typically used for measurements of such films. In the case of silicon Epi on heavily doped substrates, the sensitivity to measure the Epi thickness is due to the free carriers in the substrate, which affect the optical properties in the mid-IR wavelength range and hence IR wavelengths are required for those applications. When there is a porSi layer under the Epi layer, the measurement sensitivity is instead related to the relatively lower refractive index of the porSi material compared to the Epi. The ability to specify a filmstack in the MBIR analysis model makes the technique more versatile, compared to traditional FTIR, which typically uses empirical analysis for single layer Epi thickness. Data will be included in the manuscript, demonstrating how the IR optical properties of porSi can be described by Bruggeman Effective Medium Approximation (EMA), employing a standard multilayer reflectance model. To validate the MBIR results x-SEM and Gravimetric analysis have been used. The results have shown MBIR to be a suitable technique for characterization and production monitoring of the process steps associated with the new porous silicon application field.
[1]Fu, J., et. al. Photovoltaic Specialists Conference (PVSC), 2010 35th IEEE p.001203.
[2] Kim, D. S., et. al. Proceedings of 3rd World Conference on Photovoltaic Energy Conversion, 2003. p.1293.
[3] D. LeCunff, J. Hoglund and N. Laurent, “In-line Metrology of High Aspect Ratio Structures with MBIR Technique”
9:00 AM - A14.05
Analysis of Si-SiO2 Interfacial-Energy Hierarchy via MPS of Si Films on SiO2
Ying Wang 1 Monica D. Chahal 1 Jin Jimmy Wang 1 Alex B Limanov 1 Adrian Chitu 1 James S. Im 1
1Columbia University New York United States
Show AbstractThe interface between crystalline Si and SiO2 has been extensively investigated over many decades as its physical properties can often play a critical role in electronic devices and material processes. For various phase/microstructure transformation processes involving Si films on SiO2 (e.g., melt-mediated crystallization, solid phase crystallization, grain growth, solution growth, deposition, etc.), the thermodynamic properties of the interface can directly affect the process itself, as well as the resulting microstructure of the films. The fact that Si-SiO2 interfacial energy is an anisotropic quantity whose value depends on the orientation of the crystal is well recognized, but is poorly characterized; it is difficult to systematically discern, either experimentally or computationally, the orientation dependence of the quantity.
In this paper, we show that it may be possible to experimentally take advantage of a radiative-beam-based crystallization method, referred to as mixed-phase solidification (MPS), in order to scrutinize the hierarchical order of the Si-SiO2 interfacial energies as a function of crystal grain orientation; that is, we argue that the reflectivity-change-stabilized near-equilibrium thermal environment encountered in MPS provides an ideal platform to investigate the minute thermodynamic differences and variations that accompany and influence melting and solidification of Si films. Starting with initially randomly oriented small-grain polycrystalline Si films, MPS processing of the films can convert the microstructure of the films into large-grained, (100) surface-textured, and intra-grain-defect-free polycrystalline material.
In the thermodynamic MPS model presented previously, we identify the interfacial energies of the grains as one of the primary factors that determine the local interface transitional rates of differently oriented grains during an MPS melting-and-solidification cycle. In the present work, we determine the hierarchical order of the Si-SiO2 interfacial energies as a function of grain orientation (using EBSD analysis) either (1) by keeping track statistically/globally of numerous grains over a large area of the film (large-area statistical analysis) or, alternatively, (2) by directly following the local microstructure evolution of limited numbers of identical grains during MPS cycles (same-area local analysis). Using these complementary approaches, and by performing the MPS scans at low intensity conditions below the optimal MPS processing window, we were able to determine that σ{100}<σ{112}<σ{012},hellip;..<σ{110}. In the presentation we will provide (1) a comprehensive description of the MPS thermodynamic model that forms the basis of the present approach, (2) a detailed description of the experimental procedures, (3) a set of updated results containing additional grain orientations, and (4) comparison to previous theoretical and computational work on the subject.
9:00 AM - A14.06
On the Effects of Hybrid Thermal Passivation Treatments on the Structural and Photoluminescence Properties of Silicon-Oxycarbide (SiCxOy) Thin Films
Vasileios Nikas 1 Natasha Tabassum 1 Brian Ford 1 Mengbing Huang 1 Spyros Gallis 1 Alain E. Kaloyeros 1
1SUNY Polytechnic Institute Albany United States
Show AbstractPrior work by the present investigators has demonstrated that silicon oxycarbide (SiCxOy) is a promising silicon-based material for achieving strong room-temperature white light emission. In this work, we present results from a systematic study of the effects of single and hybrid post-deposition passivation treatments on the structural and photoluminescence (PL) characteristics of silicon-oxycarbide (SiCxOy) thin films. Two different sets of SiCxOy films, namely carbon-rich (SiC0.5O1.3), and oxygen-rich (SiC0.3O1.6), were deposited by thermal chemical vapor deposition (TCVD). The effects of different ramifications of oxygen and forming gas (FG) (H2 5 at.%, N2 95 at.%) thermal passivation treatments on the structural and optical properties of the resulting samples were investigated using Fourier transform infrared spectroscopy (FTIR), spectroscopic ultraviolet-visible ellipsometry (UV-VIS-SE), x-ray photoelectron spectroscopy (XPS), and electron paramagnetic resonance (EPR) measurements. A two-step hybrid passivation process, comprising of an oxygen (500oC) and then a forming gas (700oC) treatment, resulted in an approximately ten-fold enhancement of the white luminescence in the visible/ ultraviolet range (1.5 - 4.0 eV). The white light emission from SiCxOy is strong enough to be seen with naked eye under bright room conditions. Single thermal passivation in forming gas ambient, between 500oC and 900oC, yielded a continuous luminescence enhancement in the case of the C-rich matrix, while a decrease was observed for the O2-rich system following anneals above 700oC. This behavior could be associated to an interplay between hydrogen desorption and reduced structure-disorder (e.g. dangling bonds, bond angle disorder) upon passivation. To this end, an increased structure-disorder is expected in the as-grown C-rich matrix, indicative of the enhanced sub-bandgap absorption observed, compared to its O2-rich analog, which is diminished upon FG passivation. Based on the observed PL, PL excitation (PLE) and EPR findings, it is suggested that the observed white luminescence from SiCxOy originates from the recombination of photo-generated carriers between the energy bands and at their tail states associated with the Si-O-C/ Si-C bonds, as indicated by the direct correlation between the integrated luminescence intensity and the Si-O-C bond density. Furthermore, SiCxOy thin films were successfully employed in the fabrication of sub-100 nm diameter nanowire systems with efficient room-temperature white luminescence.
A15: Poster Session: Silicon Heterojunction and Passivated Contact Solar Cells
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A15.01
P-type nc-SiOx:H Emitter Layer for Silicon Heterojunction Solar Cells Grown by RF-PECVD
Henriette Gatz 1 Jatin Rath 2 Erwin Kessels 1 4 Ruud E.I. Schropp 1 3
1Eindhoven University of Technology Eindhoven Netherlands2Utrecht University Utrecht Netherlands3Energy Research Center of the Netherlands Eindhoven Netherlands4Solliance Eindhoven Netherlands
Show AbstractThe efficiency of silicon heterojunction (SHJ) solar cell with intrinsic thin layer (known as HIT) has already surpassed that of conventional crystalline silicon solar cells. The most promising attempt to gain even higher efficiency lies in increasing the short circuit current by allowing more light to enter the absorber material of the cell. Our approach is to replace the amorphous silicon (a-Si) emitter layer with a more transparent nanocrystalline silicon oxide (nc-SiOx:H) layer. In this work, we focus on optimizing the p-type nc-SiOx:H material properties.
To find the optimum deposition condition we investigate the effect of different flow rates (0.3 #8209; 0.7 sccm) of the dopant precursor trimethylborane (TMB). We studied the refractive index, reflection and transmission spectra, dark and light conductivity, activation energy, and band gap on rather thick films (185 nm) in order to retrieve a good sensitivity to the small variations in the deposition parameters.
A decrease of crystallinity with increasing TMB flow is observed. This can be explained by an increase of the boron fraction in the material which will lead to a higher compositional disorder of the material. For a TMB flow higher than 0.4 sccm the decrease in crystallinity dominates the behavior of most material properties leading to a smaller E04 band gap, a lower activation energy, and an increase of the refractive index. In contrast to that, the conductivity exhibits an increase, which can be explained by a higher dopant concentration.
We see a linear dependence between E04 and the activation energy, leading to the conclusion that effective doping is more difficult to obtain at a higher band gap. Moreover, the Meyer-Neldel relation is observed as an exponential dependency between the conductivity prefactor and the activation energy.
To simulate the growth condition as in SHJ cells, also 15 nm thin nc-SiOx:H(p) layer have been grown on top of a#8209;Si:H(i). The crystalline properties of these layers have been confirmed by Raman Spectroscopy.
Especially notable is the comparatively low H2 flow of 200 sccm at a SiH4:H2 flow ratio of 1.2:200 with which thin nanocrystalline layer on an amorphous sublayer has been accomplished
9:00 AM - A15.02
Heterojunction Silicon Solar Cells Using Different Hole Transport Layers as Emitters
Luis Guillermo Gerling Sarabia 1 Anna Belen Morales 1 Somnath Mahato 1 Cristobal Voz 1 Ramon Alcubilla 1 Joaquim Puigdollers 1
1Universitat Politegrave;cnica Catalunya Barcelona Spain
Show AbstractRecent research on crystalline silicon (c-Si) solar cells has focused on decreasing manufacturing costs, such as using thinner c-Si wafers and incorporating low temperature technologies. Heterojunction with Intrinsic Thin layer (HITs) solar cells benefit from low temperature processing and very high efficiencies (24.7%). Standard HIT design includes a thin stack of a p-type/intrinsic hydrogenated amorphous silicon (a-Si:H) which is deposited on top of a n-type crystalline silicon wafer to form the solar cell. a-Si:H films are usually deposited at low-temperatures (< 200° C) by Plasma-Enhanced Chemical Vapour Deposition (PECVD) in a high-vacuum system.
Selective carrier (hole or electron) transport layers (HTL, ETL), which have been widely used in organic devices because of their low temperature and solution-based processability, have attracted interest as substitute emitters for both c-Si and a-Si:H based solar cells. This approach would avoid the requirement of high temperature steps or the use of expensive PECVD systems and also the risks related to employing toxic gas precursors. There are several reports in which the emitter of silicon-based solar cells has been replaced by hole conducting layers such as PEDOT-PSS or by p-type semiconductor P3HT. The efficiency of these solar cells was close to 10% and the performance was limited by the moderate open circuit voltages (480 eV maximum) due to the low-HOMO values of these organics layers.
Another approach consists of replacing the a-Si:H emitter layer by Transition Metal Oxides (TMOs). TMOs layers have been successfully used as a hole-transport layer in organic electronic devices (solar cells, OLEDs). Recently, Battaglia and coworkers [1] have reported the fabrication of HITs solar cells using MoO3 as a hole-selective contact. A power conversion efficiency of 18.8 % and a high open-circuit voltage of 711mV were reported for this kind of solar cells. Our group [2] has also recently reported planar HITs solar cells based on MoO3 HTL with 12.5 % and open circuit voltages of 610 mV.
In this work a series of HITs silicon solar cells using different TMOs (MoO3, WO3 and Va2O5) as emitters are reported. The diodes were characterized by measuring the current-voltage and capacitance-voltage characteristics for different temperatures. Finally the optoelectronic performance of the solar cells was correlated with the electronic properties (barrier height, ideality factor, saturation current,...) obtained for the different TMOs layers.
[1] C. Battaglia, S. Martín de Nicolás, S. De Wolf, X. Yin, M. Zheng, C. Ballif, A. Javey. Silicon heterojunction solar cell with passivated hole selective MoOx contact
Applied Physics Letters 104, 113902 (2014)
[2] L. G. Gerling, A. B. Morales, M. Colina, C. Voz, J. Puigdollers, R. Alcubilla Novel crystalline silicon heterojunction structure based on hole selective MoO3 emitter.
European Photovoltaic Solar Energy Conference EUPVSEC 2014, Amsterdam (Holland) 2014
9:00 AM - A15.03
Comparison between Dynamic and Static VHF PECVD Deposition of the Intrinsic a-Si:H Passivation Layer in a Silicon Heterojunction Solar Cell
Kees Landheer 1 Ioannis Poulios 1 Maarten Doerenkaemper 2 Ruud E. Schropp 2 3 Jatin Rath 1
1Utrecht University Eindhoven Netherlands2Solliance, ECN Solar Energy Eindhoven Netherlands3Eindhoven University of Technology Eindhoven Netherlands
Show AbstractThe quality of the interface between the silicon wafer and the intrinsic a-Si:H layer (i-layer) in a silicon heterojunction (SHJ) solar cell is crucial for its energy conversion. In this research the i-layer is deposited by a hydrogen/silane PECVD plasma at 60MHz. During plasma deposition the substrate wafer is either stationary or moving. In case of a stationary wafer the interface is formed during plasma ignition. With dynamic deposition the substrate is inserted and moving through a stable running plasma. The aim of this study is to understand the role of the plasma start-up transient for the interface quality. The plasma start-up transient is normally present with a static deposition and expected to be absent in a dynamic deposition process.
The first dynamic and static pilot depositions have been performed in a chamber with a linear rf source at a deposition rate of 0.3 nm/s. We used the lowest power density that can be applied to ignite a plasma in this reactor, yet results in homogeneous layers for both static and dynamic depositions. The substrate temperature is about 130°C. The wafers were passivated by 50 nm i-a-Si:H layers that have been annealed after deposition. The annealing was performed in 3 hours at 200 °C in nitrogen at atmospheric pressure. The pilot depositions show no clear difference in passivation quality between static and dynamic deposition, even though the initial deposition process of these two cases is expected to be very different. The minority carrier lifetime was slightly over 1 ms at an injection level of 1015 cm#8209;3 in both cases.
Zimmermann et al. [1] have also studied static and dynamic deposition of intrinsic a-Si:H layers and compared its bulk properties. They observed a lower bulk dark conductivity of a#8209;Si:H for stationary grown deposits as compared to dynamically grown layers. We will also investigate bulk dark conductivity, photosensitivity and hydrogen bonding characteristics by Fourier Transform Infrared analysis (FTIR) and with this information we analyze the passivation quality results.
[1] T. Zimmermann et.al., Prog. Photovolt: Res. Appl. 22 (2014).
A16: Poster Session: Cell Materials Engineering
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A16.01
Effect of Low Energy Ion Bombardment during PECVD Deposition on the Quality of Passivation of a Silicon Wafer
Kees Landheer 2 Ioannis Poulios 2 Pieter-Jan Dingemans 2 Ruud E. Schropp 1 3 Jatin Rath 2
1ECN Solar Energy Eindhoven Netherlands2University Utrecht Utrecht Netherlands3Eindhoven University of Technology Eindhoven Netherlands
Show AbstractWe investigate the effect of ion bombardment during the PECVD formation of the c-Si/a-Si:H interface of a silicon heterojunction. The impact of ion bombardment depends on the energy and mass of the ions involved. The intrinsic a-Si:H layer is formed by VHF PECVD deposition of a H2/SiH4 gas mixture. The H2/SiH4 PECVD plasma contains not only ions, but also radicals and neutrals. Inside the H2/SiH4 PECVD plasma a lot of chemical reactions take place, let alone the interaction with the silicon wafer. However, an argon plasma contains only ions and neutrals. Neutrals can be ionized and ions can be neutralized inside the plasma. The mass of the argon ion (40 amu) is a bit more than the silyl ion (SiH3+ (31 amu) produced in the H2/SiH4 plasma. With this experiment we look at the effect of low energy ion bombardment on the quality of passivation of a silicon wafer.
We use the following processing steps on both sides of the silicon wafer: HF wet etch of the polished wafer, followed by nitrogen drying, bombardment by a PECVD argon plasma for a time period of 120 s, 10 s or 0 s, passivation by PECVD deposition of a thin intrinsic a-Si:H layer. Good passivation quality (lifetime > 6 ms, a-Si:H thickness 60 nm, no argon bombardment) is subsequently obtained by annealing the sample for 3 hours at 200°C in a nitrogen oven. The VHF PECVD argon plasma is created in a capacitively coupled parallel plate reactor with a showerhead electrode and a grounded substrate. The ion energy distribution function of the Ar+ ion is determined with an energy resolved mass spectrometer and has only energies below 23 eV and the same holds for the SiH3+ ion in the applied H2/SiH4 PECVD plasma. Both the argon and the H2/SiH4 PECVD plasma have a total gas pressure of 0.16 mbar, a total flow of 60 sccm, power density of 28 mW/cm2 at an excitation frequency of 60 MHz and a substrate temperature of 130°C.
Minority carrier lifetime measurements show that the lifetime at an injection level of 1.1015 cm-3 decreases with increasing argon bombardment duration for the annealed samples. No trend in the lifetime results can be observed before annealing of the deposited i-layers (below 100 ms). For the annealed samples, first results indicate an exponential decay in lifetime as a function of argon bombardment duration. We show the minority carrier lifetime versus injection level and derive the interface defect density, applying the Leendertz recombination model. The structure of the interface, such as surface roughness, will be characterized by a cross section transmission electron microscope (xTEM) measurement at high spatial resolution.
9:00 AM - A16.02
Ion Implanted, Passivated Contacts for Interdigitated Back Contact Solar Cells
David L Young 1 Nicholas Batman 2 Vincenzo LaSalvia 1 William Nemeth 1 Pauls Stradins 1
1NREL Golden United States2Applied Materials Gloucester United States
Show AbstractWe report on n-type passivated contacts formed by ion implantation for spatially defined interdigitated back contact (IBC) silicon solar cells. IBC solar cells have no front shading loss, less series resistance due to contacts, and simpler, one-sided, cell-to-cell module stringing. The top three world record Si solar cells are all of the IBC design. Ion implantation could be a cost effective way to form an IBC cell with passivated contacts. Our contact architecture consists of a PECVD-grown intrinsic a-Si:H layer deposited onto a thin (<20Å) SiO2 layer that is thermally grown onto a polished n-CZ wafer (a-Si:H/SiO2/Si). The recombination prefactor, Jo, and implied open circuit voltage, iVoc, values are measured by the quasi-steady-state photoconductance lifetime measurement technique (Sinton WCT-120). The SiO2 layer is thin enough to promote transport by quantum mechanical tunneling of carriers from the c-Si wafer to the a-Si:H layer, yet thick enough to provide excellent chemical passivation to the c-Si surface. We ion implant phosphorous into the a-Si:H layers of a symmetric test structure to provide heavy n-type doping. We vary the energy and dose of the implant atoms to change doping concentrations and depths, but not damage the SiO2/Si interface. SIMS measurements confirm implant doping profiles. Low temperature, post deposition anneals, designed to activate dopants and heal implant damage in the a-Si, but not crystallize the a-Si, improve the passivation quality of the contacts (improved iVoc). The active dopants provide field passivation to the SiO2/Si interface. High temperature anneals, designed to both crystallize the a-Si to polycrystalline Si and activate dopants, generally degrade the passivation quality of the contacts. However, a forming gas anneal significantly improves the passivation quality, but only as a function of chosen implant dose. We hypothesize that H2 promotes a reconstruction of the interfaces to improve the passivation quality. The exact transport mechanism occurring in the contacts is not yet confirmed, but initial temperature dependent current vs voltage measurements show only slightly varying, low resistivity ohmic contacts over a wide temperature range. Our initial experiments show promising passivation and contact resistivity results for this IBC-enabling technology.
9:00 AM - A16.03
Enhanced Light Absorption in ICP-RIE Si Micropillar Arrays by Shape Modification
Sisir Yalamanchili 1 Hal Emmer 1 Christopher T. Chen 1 Paul Nunez 1 Shu Hu 1 Nathan S. Lewis 1 Harry A. Atwater 1
1California Institute of Technology Pasadena United States
Show AbstractTo realize increased efficiency in thin-absorber crystalline Si photovoltaics relative to wafer-based cells, the silicon absorber layer must fulfill several demanding requirements, including high minority carrier lifetime and low surface recombination velocity. Si micropillar arrays have been shown to be highly efficient light absorbers despite low areal packing fractions, extended near infrared absorption compared with planar sheet absorbers, and effective light concentration over a wide range of incident angles. They are also promising candidates for applications in photoelectrochemical cells for solar energy conversion into fuels. In this work, micropillar arrays are etched into high lifetime silicon wafers via cryogenic inductively-coupled plasma reactive ion etching. Micropillars are defined by a highly selective (1000:1) photolithographically patterned aluminum oxide mask layer that enables etching of high aspect ratios upto (14:1). By varying the SF6/O2 etch chemistry of the etch process, the shape of arrays can be varied to enhance absorption, achieving extremely low surface reflectance. Deposition of silicon nitride antireflective coatings over these arrays and embedding them in PDMS could further reduce the surface reflectance. We have deposited highly conformal thin passivation layer on Si micropillar arrays of aluminum oxide via atomic layer deposition. We measure the bulk carrier lifetime though microwave reflectivity measurement of the passivated micropillar arrays. We report the open circuit potential of the micropillar arrays through photoelectrochemistry by using a one-electron, outer-sphere redox couple, under illumination. Transmission electron microscopy is used to study the effects of the etching process on the wire microstructure.
9:00 AM - A16.04
Combined Random/Ordered Nanostructures for Optimal Light Trapping in Thin-Film a-Si:H/a-Si1-xGex:H Tandem Cells
Colton R. Bukowsky 2 Hung-Jung Hsu 1 Kelsey A. W. Horowitz 3 2 Chuang-Chuang Tsai 1 Harry A. Atwater 2
1National Chiao Tung University Hsinchu Taiwan2California Institute of Technology Pasadena United States3National Renewable Energy Laboratory Golden United States
Show AbstractEnhancement of light absorption and photocurrent density in thin film a-Si:H/a-Si1-xGex:H tandem cells using a combination of ordered and randomly-textured light trapping structures fabricated by scalable nanoimprint lithography processes is demonstrated. These tandem photovoltaics utilize thin absorbing layers of 130nm and 230nm thick respectively and cannot fully absorb incident sunlight, thus effective light trapping is required. Enhanced light trapping in thin-film photovoltaics can be achieved by combining ordered nanophotonic structures with a randomly textured incoupling structure. [1] Surprisingly, high-fidelity photonic crystals can be fabricated directly on randomly-textured superstrates by nanoimprint lithography, giving a superposition of the ordered/random structures. Silica sol-gel pillar arrays that were deposited on Asahi VU superstrates via nanoimprint lithography were conformally coated with Al:ZnO and subsequently the a-Si:H/a-Si1-xGex:H tandem cell stack via plasma-enhanced chemical vapor deposition. The novel use of n-type non-stoichiometric microcrystalline silicon oxide (µc-SiOx:H) was explored as an alternative to sputtered transparent conducting oxides for intermediate and back-reflection layers. These give an absolute efficiency increase of ~1% and ~0.9% respectively in addition to the immediate benefit of retaining vacuum for nearly the entire stack deposition (with the last layer being an evaporated silver back-contact).
Full-wave electromagnetic simulations were performed to optimize the combined random/ordered structure, and it was found that incorporating relatively simple 2D square lattices of pillars (Diameter=220nm, Height=160nm, Pitch=440nm) could provide photocurrent enhancements of 12% over the Asahi superstrate alone, and 10.5% over the ordered geometry alone. Thus far, a possible photocurrent density of 10.9 mA/cm2 (65% EQE) for our structured cell was found compared to simulations of the Asahi texture giving 9.6 mA/cm2#8209;(61% EQE). The performance increase is ascribed to the combination&’s ability to morphologically match sub-cell currents and simultaneously increase their photocurrents. A comparison of these structures with the ray-optic limit will also be discussed. While the simulation of modally denser geometries is still underway, various promising random/ordered structures have been fabricated for initial experiments. Results of experimental angle-dependent EQE and light I-V measurements will be also be presented.
1. Callahan, D., Horowitz, K. & Atwater, H. Light trapping in ultrathin silicon photonic crystal superlattices with randomly-textured dielectric incouplers. Opt. Express 21, 4239-4245 (2013).
9:00 AM - A16.05
Silica Nanosphere Lithography Defined Light Trapping Structures for Ultra-Thin Si Photovoltaics
Jea-Young Choi 1 Natasa Vulic 2 Stephen M. Goodnick 2 Christiana B. Honsberg 2
1Arizona State University Tempe United States2Arizona State University Tempe United States
Show AbstractUltra-thin Si solar cells are promising candidates for future generations of photovoltaic devices due to decreased material cost and higher performance. However, due to the relatively poor absorption properties of Si, low cost ultrathin devices require both efficient light management concepts to increase the optical path length of the incoming light, as well as repeatable and scalable fabrication methods.
In this study, a novel nanostructure fabrication process was developed for enhanced dimensional control of target structures. Si nanopillars were fabricated using silica nanosphere (SNS) lithography (SNL), which offers a low-cost nano-lithography approach with relatively easy control of pattern scale by introducing various sizes of SNS. In addition, a newly developed “solvent-controlled spin-coating method” has expanded the lithographical capability of SNS to large surface area applications. Previously, we demonstrated that highly uniform two-dimensional SNS layers can be deposited on a 4-inch Si surface area with a simple spin-coating process, and having more than 90% coverage, which is record coverage in terms of the largest surface area achieved by spin-coating without any Si surface treatment. We combined SNL with metal-assisted chemical etching (MaCE), which provides relatively enhanced surface quality compared to the widely available plasma assisted dry etching (e.g., reactive ion etching) techniques, that produce significant mechanical surface damage and chemical contamination. In this report, we demonstrated highly controlled nanopillar fabrication with various structure periods and size (i.e., pillar diameter & height), with periods ranging from 310 to 840 nm, diameters from 150 to 800 nm, and heights of 200 nm to 3um.
To optimize the array and pillar geometry for enhanced absorption requires fast, efficient, and accurate optical modeling tools. For that purpose, we used the Rigorous Coupled Wave Analysis (RCWA) method, a semi-analytical method in computational electromagnetics that determines the transmission and reflection of periodic surface structures for different diffraction orders. These results were then confirmed experimentally. To understand the detailed electric field distribution and diffraction patterns within the fabricated structures, we employed the finite difference time domain (FDTD) method. Unlike the RCWA method, the FDTD method provides the electric field distribution in the individual layers of the layer stack of the solar cell, often requiring large computational domains and long computation times. This approach is currently being used to characterize the detailed electromagnetic field intensity within the Si cell structure, and to calculate the total absorbed power and effective path length enhancement, as input into commercial solar cell devices simulators, such as Sentaurus, for design of ultrathin Si devices.
9:00 AM - A16.06
Shallow Boron Emitters in Crystalline Silicon through in-Diffusion by Flash Lamp Annealing
Heine Nygard Riise 1 Thomas Schumann 2 Alexander Azarov 1 Rene Huebner 2 Wolfgang Skorupa 2 Bengt Gunnar Svensson 1 Edouard Monakhov 1
1University of Oslo Oslo Norway2Helmholz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractFlash Lamp Annealing (FLA) is a technique in which Si can be heated to temperatures close to and above its melting point within a few milliseconds [1, 2] and it has been shown to be suitable for annealing of implantation-induced damage [2, 3] and for activation of implanted dopants [4]. Recently, FLA was also proved to be effective in forming shallow Phosphorous (P) emitters in Si through diffusion from a P surface source deposited by spin coating [5].
In this work, it is demonstrated that shallow Boron (B) emitters can be formed in crystalline Silicon (Si) by spin coating and subsequent in-diffusion using FLA. A 300 mu;m Float Zone mono-crystalline Si wafer was spin-coated at 6000 rpm for 30 seconds by a polyboron spin-on diffusant (Filmtronics B155 SOD) before being processed with FLA. After heat treatment by FLA, the film was oxidized in HNO3:H2SO4 (1:1) before being removed by HF. Secondary Ion Mass Spectrometry (SIMS), sheet resistance measurements and Transmission Electron Microscopy (TEM) analysis were performed to determine the B diffusion profile, the sheet resistance and crystal quality of the samples, respectively.
Annealing for 10 and 20 ms with an energy density of 93-105 J/cm2 leads to B emitter depths of 140- 200 nm and peak B concentrations of 1-3middot;1020cmminus;3. Sheet resistance values below 200 Omega;/#1113088; indicate high dopant activation. These values are well suited for e.g. emitters in crystalline Si solar cells as the shallow emitters will only absorb photons with a wavelength below 420 nm [6] and most of the available sunlight will be absorbed in the base of the cell while the low sheet resistance gives a low series resistance. High-resolution TEM images of the surface and junction regions did not show any crystal defects demonstrating that the FLA treatment does not induce high defect concentrations in the samples. TEM did however reveal a rough surface resulting from the etching treatment to remove the SOD.
Annealing for 10 and 20 ms with energy densities below 90 J/cm2 produce even shallower profiles with a maximum B extension of <100 nm while the peak concentration still remains above 1middot;1020cmminus;3 whilst the sheet resistance increases to 300-3000 Omega;/#1113088;. In conclusion, spin-coating with subsequent in- diffusion by FLA is thus a versatile technique with possibility to tailor the emitter depth in Si while still keeping the peak concentration high.
References
[1] H. A. Bomke, H. L. Berkowitz, M. Harmatz, S. Kronenberg, R. Lux, Applied Physics Letters 33,
955 (1978).
[2] J. T. Lue, Applied Physics Letters 36, 73 (1980).
[3] R. Klabes, et al., Physica Status Solidi A: Applications and Materials Science 66, 261 (1981).
[4] T. Ito, et al., Japanese Journal of Applied Physics, Part 1: Regular Papers, Brief Communications & Review Papers 41, 2394 (2002).
[5] H. B. Normann, et al., Applied Physics Letters 102, 132108 (2013). [6] M. A. Green, Solar Energy Materials & Solar Cells 92, 1305 (2008).
9:00 AM - A16.07
Doping-Free Alternatives for Passivated Back Contacts in Silicon Heterojunction Solar Cells
Anna Belen Morales 1 Luis Guillermo Gerling Sarabia 1 Joaquim Puigdollers 1 Cristobal Voz 1 Ramon Alcubilla 1
1Universitat Politecnica de Catalunya Barcelona Spain
Show AbstractThe silicon heterojunction (SHJ) concept is definitely the most successful solution to achieve high-efficiency c-Si solar cells at low temperature processing. Namely, Panasonic has demonstrated the possibility to achieve record efficiencies of 24.7% on very thin n-type c-Si substrates [1]. Attending to the band offsets at the a-Si:H/c-Si interface, n-type wafers would be fundamentally more suited to SHJ devices. Nevertheless, conversion efficiencies above 21% have been also demonstrated on p-type wafers [2]. This result makes research on p-type SHJ solar cells also very interesting, since these substrates are more extended in the industry for the fabrication of conventional (diffused-emitter) solar cells.
In this work, we will focus on doping-free alternatives for the back contact of SHJ solar cells. This rear contact consists typically in a heterojunction structure with the same doping-type of the base. This structure can provide a high quality passivated back contact, but implies the use of both doping gas precursors. For that reason, duplicated deposition chambers or time consuming conditioning treatments are required to avoid an eventual cross-contamination of the deposited layers. In this regard, an advantage of p-type substrates is the possibility to use a pattern of locally-diffused aluminum point contacts at the rear side in combination with an adequate passivation layer. In our group, we have found that an excellent surface passivation can be obtained with intrinsic a-SiCx:H layers [3]. This alternative is technologically relevant, as it allows eliminating one of the doping gases from the fabrication process. The pattern of locally-diffused aluminum point contacts can be obtained by applying the laser-firing technique [4]. A further simplification of the fabrication process could be obtained by eliminating the laser-firing step. Looking for a doping-free alternative, we are studying the use of hole selective contacts based on transition metal oxides such as molybdenum oxide or tungsten oxide. These materials have already demonstrated excellent hole injection and extraction properties in organic semiconductor devices. First hybrid devices using molybdenum oxide as the emitter of n-type SHJ solar cells were reported very recently [5]. In this work, we show that these materials can be also incorporated on the rear side of p-type wafers to obtain full-area passivated back contacts at low processing temperatures. Preliminary devices have already reached conversion efficiencies of about 15%.
[1] M. Taguchi et al., IEEE J. Photovoltaics, vol. 4, no. 1, pp. 96-99, Jan. 2014
[2] A. Descoeudres et al., IEEE J. Photovoltaics, vol. 3, no. 1, pp. 83-89, Jan. 2013.
[3] A. Morales et al., IEE Explor., vol. 120000, pp. 345-348, 2012.
[4] P. Ortega et al., Prog. Photovoltaics Res. Appl., vol. 20, no. 2, pp. 173-180, Mar. 2012.
[5] C. Battaglia et al., Appl. Phys. Lett., vol. 104, no. 11, p. 113902, Mar. 2014.
9:00 AM - A16.08
Tunable Nanostructured Contact for cSi Solar Cells
Arrelaine A Dameron 1 Bill Nemeth 1 Vincenzo A LaSalvia 1 Andrew Gordon Norman 1 David L Young 1 Paul Stradins 1
1National Renewable Energy Lab Golden United States
Show AbstractStrategies to reduce recombination at metal contacts are critical to the production of high efficiency solar cells. Motivated by the difficultly of developing passivating and conducting carrier selective contacts based solely on materials chemistry, we investigate a nanostructured contact interfacial layer. Using self-assembly and atomic layer deposition techniques, we demonstrate a passivating layer with ordered nanoscale point contacts through it of tunable size and area density -- thus the majority surface is passivated and the point contacts provide the pathway for charge conduction. We will present the structural and electrical aspects of the resulting contact architectures. DOE FPACE-II Award DE#8208;EE0006336
9:00 AM - A16.09
A Study on Efficiency Enhancement of Crystalline Silicon Solar Cells using Various Anti-Reflection Layers and Plating
Myeong Sang Jeong 1 Jeong In Lee 1 Min Gu Kang 1 Hee-eun Song 1
1Korea Institute of Energy Research Daejeon Korea (the Republic of)
Show AbstractThe use of plated front contact for metallization of silicon solar cells may an alternative technology as a screen printed silver paste contact because this technology allow the formation of contact with low contact resistivity and reduction of shading losses.
In this work, we fabricated crystalline silicon solar cells with the Ni/Cu/Ag electrode formed by plating method. During plating, severe ghost plating occurred in the non-metallized region, resulting from pin-hole in the single layer anti-reflection coating of silicon nitride (SiNx). To solve ghost-plating issue, double layer anti-reflection coating was applied to the structure to reduce the pin-holes and ultimately ghost-plating. Various double layer anti-reflection coatings such as SiO2/SiNx, SiNx/SiNx, SiNx/SiOx were attempted. For electrode formation with plating, Ni/Cu was plated on the surface and then very thin layer of Ag was coated to prevent oxidation of the electrode. The better performance of Ni/Cu contacts is attributed to the reduced series resistance due to better contact conductivity of Ni with Si and subsequent electroplating of Cu on Ni. The characteristics of used wafer was p-type, 156*156mm2, 200mm thickness and 0.5-3.0 Omega;×cm and it was prepared by the process of the conventional solar cell fabrication. And then, electrode pattern was fabricated on the front surface by laser ablation for electrode plating, followed by screen printing of back contact was performed. When thermal silicon oxide was deposited, the ghost plating was evidently improved due to its excellent step coverage. The characterization and analysis of the Ni/Cu formation was performed with FE-SEM and optical microscope, and the electrical property of the device was also analyzed by solar simulator and QE.
9:00 AM - A16.10
Passivation of Silicon Surfaces by Treatment in Water at 110oC
Tomohiko Nakamura 1 Masahiko Hasumi 1 Toshiyuki Sameshima 1 Tomohisa Mizuno 2
1Tokyo University of Agriculture and Technology Tokyo Japan2Kanagawa University Kanagawa Japan
Show AbstractWe report effective passivation treatment in water at 110oC for silicon surfaces. 500-µm-thick n-type (17 Omega;cm) and p-type (15 Omega;cm) 4-inch-sized silicon substrates were prepared. Native oxide layers of the sample surfaces were initially removed by dipping the samples in 5%-diluted hydrofluoric acid. The samples were then placed in a pressure proof chamber with pure water, and heated at 110oC for 1 h in hot water. The pressure in the chamber was estimated at 2.5x105 Pa during heat treatment. In order to measure minority carrier effective lifetime, tau;eff, 9.35 GHz microwave transmittance measurement system with waveguide tubes having a narrow gap for placing a sample was used. Continuous wave (CW) 635 nm laser diode light was introduced in the waveguide tube and illuminated to a sample. The microwave transmittances in the dark and light illuminated conditions were measured and analyzed to obtain tau;eff. tau;eff was markedly increased from 5.0x10-6 s (initial) to high values ranging from 1.0x10-3 to 2.0x10-3 s by heat treatment in water at 110oC for n-type silicon samples. The high values of tau;eff were maintained for 1 week in the room temperature atmosphere. tau;eff was also increased from 1.5x10-6 s (initial) to high values ranging from 3.0x10-4 to 4.0x10-4 s by heat treatment in water at 110oC for p-type silicon samples. Numerical analysis of optical reflectivity spectra resulted in a thickness of oxide films formed on the surfaces about 1 nm. We applied the present passivation treatment in water at 110oC to fabrication of metal-insulator-semiconductor-type solar cell. After heat treatment in water at 110oC, stripe electrodes of Al and Au with widths of 250 and 150 mu;m and a gap of 200 mu;m for n-type sample, and 300 and 100 mu;m and a gap of 200 mu;m for p-type sample were formed on one side of passivated surfaces by thermal evaporation. Measurement of electrical current density as a function of applied voltage resulted in good rectified characteristics. The current density at 1.0 V (forward bias) and -1.0 V (reverse bias) were 1.4x10-2 and -2.3x10-4 A/cm2 for the n-type sample and 9.9x10-2 and -3.2x10-7 A/cm2 for the p-type sample, respectively. The rectified characteristics demonstrate that the difference of work function between Al and Au electrodes caused built-in-potential in the silicon surface regions. The high current densities at the forward bias means that the passivated layers were thin enough to allow high tunneling current density. Light illumination with air mass 1.5 at 100 mW/cm2 to the other side of the surface gave solar cell characteristics with open circuit voltage Voc, short circuit current density Jsc, and fill factor FF of 0.52 V, 1.5x10-2 A/cm2, and 0.24, respectively, for the n-type sample, and of 0.49 V, 1.4x10-2 A/cm2, 0.65, respectively, for the p-type sample. These results indicate that the present passivation treatment in water at 110oC has a capability of photo voltaic device fabrication.
9:00 AM - A16.11
Controlled Light Coupling in Ordered Silicon Nanowire Arrays for Photovoltaic Applications
Stefan Thomas Jaeger 1 Steffen Strehle 1
1Univ of Ulm Ulm Germany
Show AbstractPhotovoltaic applications like silicon solar cells seem to be one of the promising concepts to cope with the steadily increasing demand for renewable energy. The reduction of material usage with simultaneous increase of photon harvesting would further improve solar cell efficiency. Both issues might be simultaneously addressable with ordered arrays of vertically aligned silicon nanowires, which is the focus of our presentation.
Vertically aligned nanowire arrays were synthesized with systematic geometry variation using a top-down reactive ion etching approach. Parameters like nanowire diameter (75 to 500 nm), length (0.5 to 5 µm), pitch (from 250 nm), and shape (cylindrical and tapered) were varied and allowed geometry dependent measurements of the optical properties such as reflectivity and absorption. In addition 3D finite element method simulations based on Maxwell's equations were conducted to support and extent the scope of our experiments. Both, measurements and simulations, allow a systematic discussion on the effectiveness of a future implementation of ordered silicon nanowire arrays as efficient anti-reflective coatings and active solar energy converters. In addition, threshold values for the evaluated geometric parameters will be deduced enabling ordered nanowire arrays to excel classic planar photovoltaic concepts.
9:00 AM - A16.12
Efficiency Enhancement of Thin-Film Solar Cells Incorporating Three-Dimensional Nanoparticle Structure Array
Kyungyeon Ha 1 2 Eunseok Jang 3 Segeun Jang 1 2 Hoseop Choi 1 2 Jong-Kwon Lee 2 Min Seok Jang 2 Jun-Sik Cho 3 Mansoo Choi 1 2
1Seoul National University Seoul Korea (the Republic of)2Global Frontier Center for Multiscale Energy Systems Seoul Korea (the Republic of)3Korea Institute of Enerygy Research Daejeon Korea (the Republic of)
Show AbstractThere have been lots of efforts to enhance light absorption inside the active material using various shapes of nanostructures such as nanoparticles, nanowires, gratings, and bump arrays to increase the efficiency of thin-film (TF) solar cells. Recently, three-dimensional (3D) nanostructures have been attracted worldwide attention due to their superior properties coming from multidimensional effect. However, despite the potential for enhancing light absorption in a multi-spectral range, it still remains a challenge to incorporate 3D nanostructures inside solar cells, especially inorganic TF Si solar cells. For effective and practical use of 3D nanostructure in these solar cells, it is necessary to ensure nanoscale-resolution controllability of physical dimensions, wavelength tunability, reproducibility, and wafer-scale production. To this end, ion assisted aerosol lithography (IAAL) is regarded as an efficient method to uniformly construct 3D nanoparticle structures (NPSs) in a parallel fashion at atmospheric pressure along with reproducible and precisely controllable properties. Thus, we adopted 3D NPSs constructed by the IAAL in TF nanocrystalline Si (nc-Si) solar cells. Ag-coated 3D NPS array as a back contact layer shows the multiple plasmon resonances and enhanced diffuse reflectivity, and the rough morphology of top surfaces of solar cells improves antireflection effect. Then, the combined effect of 3D-textured front and back contacts causes significantly enhanced light trapping within the nc-Si, leading to improved light absorption of nc-Si over a broad spectral range, and thereby increased photocurent. Thus, the fabricated TF nc-Si solar cells incorporating 3D NPSs show approximately 30% increase in short-circuit current density and 20% improvement in efficiency due to broadband enhancement in light absorption of nc-Si compared to the flat ones without nanostructures, which is also better than the performance of the TF nc-Si solar cells embedding nanoparticles clusters. Simulated results of electric field distribution further verified that TF nc-Si solar cells embedding 3D NPSs have optically improved performance relative to the devices incorporating a regular array of nanobumps. Therefore, this new device architecture provides an efficient light harvesting in a broad spectral range for use in various kinds of TF solar cells including CdTe, and CuInxGa1-xSe2.
9:00 AM - A16.13
Improved Performance of Ultrathin Nanostructured Silicon Solar Cells by Plasmonically Enhanced Upconversion Luminescence
Sung-Min Lee 1 Weigu Li 1 Purnim Dhar 2 Sergey Malyk 2 Yu Wang 1 Wonmok Lee 3 Alexander Benderskii 2 Jongseung Yoon 1 4 Lang Shen 5
1University of Southern California Los Angeles United States2University of Southern California Los Angeles United States3Sejong University Seoul Korea (the Republic of)4University of Southern California Los Angeles United States5USC Los Angeles United States
Show AbstractUltrathin nanostructured monocrystalline silicon derived from wafer-based source materials represents an enabling materials building block for high performance, low cost photovoltaics (PVs) owing to their advantages in reduced materials consumption, relaxed requirement for materials purity, as well as excellent light trapping capabilities. The efficiency of ultrathin nanostructured silicon solar cells is, however, still limited by the incomplete absorption of light near the bandgap of silicon, associated with optically thin active materials. Here we present a type of composite PV system that can greatly boost the efficient utilization of longer wavelength photons for ultrathin silicon solar cells by synergistically exploiting nanoscale photon management and spectral upconversion. Ultrathin (~8 mu;m) nanostructured silicon solar cells are embedded in a thin polymeric medium dispersed with lanthanide (Er3+, Yb3+)-doped NaYF4 nanoparticles and coated on an engineered plasmonic substrate that incorporates hexagonally periodic hole/post hybrid silver nanostructures. Both excitation and emission processes of upconversion luminophores are significantly enhanced under simulated AM1.5G illumination by combined effects of surface plasmon resonance to amplify the electric field intensity at the excitation wavelength and to facilitate the far-field coupling at the emission wavelengths, respectively, which in turn translates into the improved efficiency of solar cells. Detailed studies of optical properties of engineered plasmonic nanostructures and device performance of nanostructured silicon solar cells using both experimental and computational approaches provide quantitative description of the underlying physics and materials science, together with design rules for the integrated composite PV system.
9:00 AM - A16.14
Light Trapping in Thin micro;c-Si Solar Cells by a Flat Plasmonic Light Scattering Back Reflector
Lourens van Dijk 1 Jorik Van De Groep 3 Leon Willem Veldhuizen 4 Marcel Di Vece 1 Albert Polman 3 Ruud E.I. Schropp 2 4
1Utrecht University Utrecht Netherlands2ECN - Solliance Eindhoven Netherlands3FOM Institute AMOLF Amsterdam Netherlands4Eindhoven Univ of Technology Eindhoven Netherlands
Show AbstractAchieving inexpensive, high efficiency solar cells requires light trapping schemes to enhance the absorption of sunlight in thin absorber layers. Conventional light trapping schemes rely on roughened substrates that scatter the light to improve the absorptance of the cell. However, the roughness of these structures negatively impacts the material quality of thin film solar cells: it causes the formation of micro-cracks and micro-voids. These material defects lead to an increase in the carrier recombination and a reduced open circuit voltage (Voc) of the solar cell. Here, we introduce an original light trapping nano-pattern, applied at the backside of a flat superstrate microcrystalline silicon (µc-Si) solar cell deposited on glass. Our flat cell architecture enables growth of high quality, shunt-free microcrystalline silicon which permits a relative high Voc.
The designed plasmonic scattering structure is a square array of silica nano-cylinders with a diameter of around 260 nm. The structure is fabricated by substrate conformal imprint lithography (SCIL) and conformally coated with silver. This geometry simultaneously facilitates both efficient plasmonic scattering as well as electrical conduction through the metal. Due to the scattering a major part of the light is internally trapped in the thin silicon absorber layer. The three-dimensional geometry of the plasmonic light scattering array was optimized using the particle swarm optimization algorithm. The experimentally determined photocurrent of the fabricated solar cell with the optimized scattering structure was about 20% higher than that of the flat cell. The highest optical gain originates from improvement in the long wavelength regime (600-1000 nm) where silicon absorbs weakly. Using both analytical calculations and finite-difference time-domain (FDTD) simulations to solve Maxwell&’s equations we present a detailed understanding of the optical scattering mechanisms and the waveguide modes involved. The simulations show a 20% enhancement in absorption of the optimized scattering structure compared to a similar flat µc-Si solar cell which is in agreement with the experimental result.
The excellent material quality of the non-textured (flat) µc-Si is supported by the identical values of Voc for both the textured cell and the flat reference cell. An advantage of our fabrication technique is that it does not require complex processing steps such as chemical mechanical polishing. Also, for commercial applications the superstrate design on glass is preferred over substrate design.
In this presentation we will elaborate on the experimental results, the optical simulations and the fabrication approach for making these high quality µc-Si solar cells with integrated flat plasmonic light scattering back reflector.
9:00 AM - A16.15
Possibility of Efficient Utilization of Photo-Carriers Generated in a-Si Layer of a-Si/c-Si Hetero-Junction Solar Cells
Hideki Matsumura 1 Koichi Koyama 1 Shigeki Terashima 1 Keisuke Ohdaira 1
1JAIST (Japan Advanced Institute of Science and Technology) Ishikawa Japan
Show AbstractExtremely low surface recombination velocity (SRV) can be obtained when silicon-nitride (SiNx)/amorphous-silicon (a-Si) stacked passivation for crystalline silicon (c-Si) is prepared by plasma-damage-less Cat-CVD (Catalytic Chemical Vapor Deposition), often called Hot Wire CVD. SRVmax, which is the maximum possible SRV derived under the assumption of no carrier recombination inside bulk of c-Si, is as low as 1.35 cm/s. However, when the a-Si insertion layer is prepared by plasma- enhanced CVD (PECVD), SRVmax degrades to 4-5 cm/s. Observation by high resolution transmission electron microscope reveals that fluctuation of PECVD-a-Si/c-Si interface is 3 times larger than that of Cat-CVD-a-Si/c-Si interface, and the degradation of SRVmax for PECVD a-Si/c-Si may be attributed to this larger fluctuation of interface.
On the other hand, there is a worry that this stacked passivation system and also a-Si/c-Si hetero-junction solar cells both suffer from optical absorption in a-Si particularly for the light of wavelength shorter than 450#65293;500 nm. At the same time, it is known that the quantum efficiency (QE) of a-Si/c-Si hetero-junction solar cells fabricated by plasma-damage-less method degrades only slightly in short wavelength region although that by PECVD method is likely to more degrade.
In the present paper, we studied how the carriers generated in thin a-Si layer work for total generation of photo-carriers in a-Si/c-Si system. We measured carrier density in c-Si of SiNx/a-Si/c-Si samples when they are illuminated by the light of wavelength of 904 nm and 349 nm. The light of 904 nm wavelength can pass through a-Si layer without absorption, but the light of 349 nm is absorbed by 20#65293;30 % for 10 nm-thick a-Si. From this measurement, it is found that all photo-carriers generated inside a-Si are transported to c-Si without trapping at interface when a-Si is prepared by plasma-damage-less Cat-CVD and also when the thickness of a-Si is less than 15 nm. The results appear to suggest that the use of Cat-CVD is essentially important for fabrication of a-Si/c-Si hetero-junction cells of higher efficiency.
9:00 AM - A16.16
Evaluation of Alumina Passivation Films for Silicon Solar Cells Prepared by Spin-Coating Method
Ryosuke Watanabe 1 Mizuho Kawashima 1 Yoji Saito 1
1Seikei University Musashino-City Japan
Show AbstractSurface passivation for silicon solar cells is a key technology for improving cell efficiency. Alumina passivation films have recently attracted much attention due to good passivation quality and large amount of negative fixed charge density. The films have been mainly deposited by atomic layer deposition (ALD), and the method is difficult to apply for practical use as of the moment.
We evaluate passivation properties of alumina thin films prepared by wet process on silicon substrates. The passivation films were prepared by spin-coating of a solution that consists of aluminum acetylacetonate (Al(acac)3) and 2-methoxyethanol. The alumina films were prepared on (100) oriented p-type single crystalline silicon substrates followed by calcined process for 1 hour in air between 300°C and 700°C. Film properties of the samples that calcined at 300°C and 600°C were evaluated by minority carrier lifetime, capacitance-voltage (C-V), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared spectroscopy (FTIR) measurements.
FTIR measurements indicated that amorphous alumina passivation films were successfully deposited on the substrates. XPS results indicated that an interlayer that consists of aluminosilicate, silicon and alumina oxide were grown on the samples that calcined at 600°C between the passivation films and the substrates. The C-V measurements show that the passivation films calcined at 300°C have large amount of negative fixed charge density (Qf = -3.1×1012 cm-2). On the other hand, the films calcined at 600°C show large amount of positive fixed charge density (Qf = 3.6×1012 cm-2). Minority carrier lifetime measurements indicated that the films calcined at 300°C did not show any lifetime enhancement. Large amount of interface state density for the samples calcined at 300°C (Dit = 2.1×1012 eV-1cm-2) may cause to suppress carrier lifetime for the samples. On the other hand, the films calcined above 400°C indicated enhanced lifetime behaviors (above 400 mu;sec at 600°C).
The alumina passivation films prepared by the spin-coating method indicate moderate passivation quality. In addition, it is easy to prepare passivation films using this method. The method is adequate for low-cost industrial solar cells.
A17: Poster Session: Epitaxy on Silicon
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A17.01
Formation of GeSn Layers on Si (001) Substrates by Sputter Epitaxy Method
Takahiro Tsukamoto 1 Nobumitsu Hirose 2 Akifumi Kasamatsu 2 Takashi Mimura 2 Toshiaki Matsui 2 Yoshiyuki Suda 1
1Tokyo University of Agriculture and Technology Tokyo Japan2National Institute of Information and Communications Technology Tokyo Japan
Show AbstractGermanium-Tin (GeSn) alloy is a notable material for electronic and optoelectronic devices due to its high mobility and the direct transition at high Sn concentration. However, the growth of GeSn layers with high Sn composition remains a challenge due to the Sn segregation. Previously, we have reported that the sputter epitaxy is a useful method for forming Ge layers on Si substrates [1]. Compared with the chemical reaction method, the advantage of the sputtering method is that it can control the growth rate and substrate temperature independently. In this paper, we have investigated the formation of GeSn layers on Si substrates by the sputter epitaxy method.
GeSn layers were grown on Si (001) substrates by DC magnetron sputtering in a UHV-compatible chamber with a base pressure of less than 5 × 10-10 Torr. The Si substrates were cleaned by a high-temperature annealing at approximately 1300 K under a UHV condition and then GeSn deposited on the cleaned surfaces.
In this study, we fabricated GeSn layers with 11.5% Sn content on Si substrates by sputter epitaxy method. The Sn content was evaluated from the XRD reciprocal space map. We observed the surface morphology of the GeSn layers formed on the Si substrates by AFM. The root-mean-square (RMS) value of the GeSn layers formed at 523 K was about 0.65 nm. The surface of the GeSn layers was rougher with increasing the growth temperature and the Sn segregation was observed at 543 K. To investigate the crystallinity of the fabricated GeSn layers, Raman spectroscopy and XRD measurement were carried out. From the Raman spectra, the GeSn layer was amorphous at the growth temperature of 475 K and the crystallinity can be improved with increasing the growth temperature. From the XRD spectrum, a sharp Ge (004) peak was observed in the GeSn layers formed at 523 K and the full width at half maximum (FWHM) was about 0.0984 degree, which is smaller than the previously reported FWHM of the GeSn layers formed on Si substrates. From these results, flat GeSn layers with good crystalline can form at 523 K. We obtained cross-sectional TEM images of the GeSn layers formed at 523 K. From the TEM image, the threading dislocations were vertical to the substrate, which means that the pure edge dislocations appeared.
In conclusion, flat GeSn layers with 11.5 % Sn content can form on Si substrates by sputter epitaxy method. By adjusting the growth rate and substrate temperature adequately, good crystalline GeSn layers can be obtained. It is considered that compared with the chemical deposition method, the surface segregation of Sn can be limited due to the relatively high deposition rate. We demonstrated that the sputter epitaxy method is a useful method for forming GeSn layers with high Sn content.
This work was supported by JSPS KAKENHI Grant Numbers 25820121.
[1] T. Tsukamoto, N. Hirose, A. Kasamatsu, T. Mimura, T. Matsui, Y. Suda, Appl. Phys. Lett. 103 (2013) 172103.
9:00 AM - A17.02
Characterization of the Electronic and Structural Properties of Ge1-X-YSiXSnY Ternary Alloys on 6o Miscut Ge
Gordon Joseph Grzybowski 1 2 Arnold Kiefer 2 Buguo Wang 3 Z Q Fang 3 Bruce Claflin 2
1Solid State Scientific Corporation Wpafb United States2Air Force Research Laboratory Dayton United States3Wright State University Beavercreek United States
Show AbstractIncreasing interest in integrating photonic and electronic functionality for next generation devices is focused on materials that extend the capability of existing group IV material systems while maintaining compatibility with the current processing tools and procedures. One such material which has been recently developed, Ge1-X-YSiXSnY ternary alloys, is being researched for integrated Si photonics, solar cell materials, telecommunication applications, and forIR photodetectors.These alloys afford the opportunity to decouple bandgap energies and lattice constants through a wide range of values, potentially yielding direct and indirect character with a varied electronic structure dependent on composition.
In this work, we report the characterization of Ge1-X-YSiXGeY alloys grown on 6o miscut Ge(100),with thicknesses up to ~1000 nm, grown by UHV-CVD and Gas-Source MBE (GS-MBE). A variety of characterization techniques including X-ray photoelectron spectroscopy(XPS), high resolution X-ray diffraction (HR-XRD), and deep level transient spectroscopy (DLTS) were used to determine electrical and structuralproperties of these films.
Surface oxidation of these samples stored in ambient conditions was observed with XPS. High resolution spectra showed chemical shifts of Si and Ge peaks consistent with Si-O and Ge-O bond formation. Depth profiling of the material reveals the level of the surface oxidation and indicates the composition of these materials qualitatively agrees with the composition determined by Rutherford Backscattering (RBS) measurements. HR-XRD indicates strain consistent with the composition and thickness and yielded coherent strain supported by the in-plane lattice constant and narrow peak widths.
DLTS measurementsof p-n junctions grown by CVD using diborane(B2H6) and trigermylphosphine [P(GeH3)3] reveal two electron traps at low temperature while GS-MBE samples show only a single trap which is 10 times lower. Materials grown by both methods exhibit activation energies of Ea=0.40eV for the dark current within the temperature range of 200-350K and a reverse bias leakage current which increases with Sn content.
A18: Poster Session: Novel Phenomena, Processes and Applications
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A18.01
Nanohybrid Rare-Earth/Si Nanostructures: Versatile Luminescent Building Blocks for Nanophotonics
Rosalia Serna 1 Johann Toudert 1
1Instituto de Optica, CSIC Madrid Spain
Show AbstractThe next generation of integrated nanophotonic components demands the fabrication of active optical metamaterials in which efficient and robust nanoscale light emitters with high color purity will be coupled with plasmonic or photonic modes, in order to achieve nanoscale guiding, controlled extraction, enhancement, filtering or modulation of the light. Si nanostructures (NSs) show excellent optical properties including a tunable optical bandgap from the UV to the near IR. Although they are not suitable emitters, when coupled with rare-earth (RE) ions they become efficient sensitizers that enhance the RE ions light emission by several orders of magnitude. Achieving efficient sensitization in such nanoscale hybrid structures is an extremely challenging task that requires an accurate control both of the NSs properties and of the location of the ions and Si NSs.
We report the fabrication of ultrathin (< 8 nm) hybrid Er-Si NSs nanostructured layers, in which all the Er ions are located at few nm of the Si NSs in order to obtain unprecedently high sensitization efficiency. The Si NSs optical response has been carefully tuned in order to achieve a clear near IR Er light emission from a single ultrathin hybrid layer containing less than 2.5% of an atomic monolayer of Er. We report a full characterization of the optical properties of the Er-Si NS, making special emphasis on their linear and non linear luminescent response under visible excitation in a wide range. It will be shown that these Er-Si NSs hybrids possess a high functional versatility, and can be used both as efficient nanoscale near IR light sources or as all-optical nanoscale near IR light modulators depending on their nanostructure and excitation conditions.
Finally, we will discuss the use of other RE ions in order to achieve efficient light emission in a broad spectral range from the UV to the near IR, and the potential of the ultrathin hybrid ion-Si NSs layers for the design of light emitting 2D and hyperbolic optical metamaterials.
9:00 AM - A18.02
Oxidation of the Si(001) Surface with and without a Sr Passivation Layer
Kurt Fredrickson 1 Alex Demkov 1
1The University of Texas at Austin Austin United States
Show AbstractWe use density funtional theory to examine the properties of the (2x1)Si(001) surface with and without a 1/2 monolayer Sr passivation layer. We see that the effect of the Sr template is to donate charge to the surface and flatten the orignally tilted dimers. We find, upon adding O to the bare surface, that 2 O is sufficient to begin the formation of SiO2. However, with the Sr passivation layer, we see that the surface is protected for at least the addition of 5 O on the surface, due to both the steric repulsion of the Sr and the alteration of adosrbed O energy levels. We also show that for 1/4 monolayer Sr coverage, the Sr preferentially protects one of the dimers and exposes the other to oxidation. We show that, in general, the protected dimer is more likely to have O adsorption than the exposed dimer. Our study on the oxidation of the Sr passivation layer could lead to more accurate measures of oxide growth on the Si(001) surface.
9:00 AM - A18.03
Multi-Staged Programmed, Functional Transformation for Biodegradable Electronic System
Suk-Won Hwang 1 Tae-il Kim 3 Ki-yoon Kwon 3 John A. Rogers 2
1Korea University Seoul Korea (the Republic of)2University of Illinois Urbana United States3Sungkyunkwan University (SKKU) Suwon Korea (the Republic of)
Show AbstractEmerging classes of electronic systems that offer reliable, long-lasting, high performance of conventional devices but with capability of entirely dissolving in biofluids and/or other aqueous solutions with non-toxic products, have potential applications for technology involving temporary biomedical implants, degradable environmental monitors/sensors, disposable “green” electronics, and hardware-secure systems. Recent advanced developments[1,2] in this type of ‘transient&’ technology demonstrated fully biodegradable, biocompatible system that exploit single-crystalline silicon nanomembranes (Si NMs) or a thin layer of zinc oxide (ZnO) as active components, to achieve excellent electrical properties with other dissolvable elements such as Mg, Fe, Zn, W and Mo for electrodes/interconnects, MgO and SiO2 or SiNx for gate/interlayer dielectrics and encapsulation layers, and silk fibroin, PLGA, PCL and PLA for substrates/packing materials. Examples of demonstration devices, range from simple circuits (e.g. Si diodes, n- and p-channel transistors, and complementary metal-oxide-semiconductor (CMOS) inverters), to integrated systems (e.g. strain/temperature/hydration sensors, solar cells, arrays of photodetectors, mechanical energy harvesters (MEH) and wireless RF power scavengers). Such systems provided simple two-step transience using encapsulants after useful time span with tunable/programmable rates, instead more strategic approaches suggest possibilities of multi-step transience with functional transformation in transient systems. Here we report transformation of circuits in function via transience which involves dissolution of certain metal interconnects on timescales shorter than those associated with other parts of the system, or by encapsulating all regions of a circuit with a layer of MgO or SiO2, except those associated with selected interconnects. Various demonstrations exhibit multi-stage functional transformations by using the particular properties of transient electronic materials, and design layouts and concepts provide potentials for opening up new areas in this class of transient technology.
REFERENCES
[1] S. -W. Hwang et al., A physically transient form of silicon electronics, Science337, 1640 (2012).
[2] S. -W. Hwang et al., Materials for Bioresorbable Radio Frequency Electronics, Adv. Mater.25, 3526-3531 (2013).
9:00 AM - A18.04
Superparamagnetism, Magnetoresistance and Anomalous Hall Effect in MnxSi1-x Semiconductor Films
Shuqin Xiao 1
1Shandong Universiy Jinan China
Show AbstractSi-based magnetic semiconductor is one of the most promising candidates to realize spintronic devices due to their matured processing technology, availability of producing high-quality Si in large sizes, relatively low costs and widespread use in modern electronics. In order to make nonmagnetic Si magnetic, a certain concentration of Mn ions is introduced, since it is known that a single Mn atom prefers to occupy a tetrahedral interstitial position of the silicon crystal lattice and forms localized magnetic moments. In the meanwhile, Mn dopants induce free carriers (holes) into the system, which could mediate the long range exchange coupling between localized magnetic moments. Some theoretical and experimental works have been reported to study the magnetic and transport properties in Si-based magnetic semiconductor. These results show that magnetic and transport properties of the synthesized samples are very sensitive to the detailed preparation conditions. From the application point of view, it would be of great importance to find out a reliable method to tune the Si-based MSs to achieve novel properties, such as the room temperature ferromagnetism, large magnetoresistance, or strong anomalous Hall effect. Here, we performed a systematic study on the magnetic and transport properties of Mn0.48Si0.52 semiconductor films to investigate the possible tuning of spin dependent properties. The Mn0.48Si0.52 films reveal superparamagnetism above 17 K and show the typical conducting character of weakly localized carriers on the metallic side of metal-insulator transition. Very small negative magnetoresistance suggests that the spin-dependent scattering between the conductive carriers and the localized magnetic moments is rather weak. On the contrary, the anomalous Hall effect due to the skew scattering is observed in the whole temperature range, indicating the spin-orbit coupling of the conducting carriers is significantly strong.
A19: Poster Session: Printed, Exfoliated and Synthesized Silicon
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A19.01
Biaxially-Textured Si and SiGe Thin Films Grown by Inductively-Coupled Plasma Chemical Vapor Deposition on Flexible Metal Substrates
Pavel Dutta 1 Ying Gao 1 Monika Rathi 1 Yao Yao 1 Yongkuan Li 1 Milko Iliev 1 Anima Bose 1 Jae Hyun Ryou 1 Venkat Selvamanickam 1
1University of Houston Houston United States
Show AbstractMechanically flexible electronic and energy harvesting devices have gained a lot of attention in recent years. Flexible electronic systems, fabricated on bendable and light-weight substrates, offer the potential for large-area, scalable manufacturing of cost-effective devices with a broad range of semiconductor applications. Si dominates the semiconductor market and SiGe is emerging as a promising material for electronics application. Conventionally, single-crystal Si (c-Si) wafers are used for majority of applications which are fragile, rigid and bulky, and require high material consumption. If high quality thin film c-Si and SiGe can be grown on flexible platforms, it can open up avenues for low-cost manufacturing of high-performance flexible electronics and thin film photovoltaics (PV).
Efforts to directly grow Si on flexible platforms such as polyimide, plastic, metal, glass and ceramic foils have resulted in amorphous or poly-crystalline Si with much lower carrier mobility compared to c-Si, making them unsuitable for high performance electronics or PV applications. The major limitation comes from the unavailability of high temperature-resistant and lattice-matched flexible substrates for Si epitaxy.
In this approach, we develop single-crystalline-like and biaxially-textured Si and SiGe films using inductively coupled plasma chemical vapor deposition (ICP-CVD) on high-temperature resistant hastelloy (C-276) metal foils. The high-density remote plasma prevented ion damage during growth and resulted in high quality Si films. The films were grown at 75 mTorr process pressure, 300 W power, using hydrogen and silane (SiH4) as process gases, with 20% dilution. Single-crystalline-like Ge thin films on biaxially-textured MgO deposited by Ion Beam Assisted Deposition (IBAD) on polycrystalline metal substrates provided the template for epitaxial growth of epitaxial Si and SiGe films. Biaxially textured Si and SiGe thin films with strong (004) out-of-plane orientation and sharp in-plane texture (< 2 degrees) were obtained at a wide range of growth temperatures (700-9000 C). Cross-sectional transmission electron microscopy and small-area electron diffraction pattern (SAED) confirmed the single crystal nature of the films. Strong Raman peak at 521 cm-1 and narrow peak-width (<6 cm-1) obtained from the flexible Si thin film, similar to conventional Si wafers, suggested comparable high optical quality of flexible Si. The flexible SiGe layers showed p-type mobility ranging from 20 to 80 cm2/V-s depending on the Si/Ge composition. Additionally, Si film was grown directly on Ge template as well as using intermediate SiGe layers. The influence of SiGe intermediate layer on the defect density of Si film was also investigated. Further progress in optimization of growth, n and p-doping and carrier mobility enhancement of single-crystalline-like Si and SiGe thin films on polycrystalline metal foils will be presented.
A20: Poster Session: Laser Processing and Crystallization
Session Chairs
Miroslav Zeman
Lakshmi Krishna
Stephanie Essig
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A20.01
Preferential Melting at Grain Boundaries in Pulsed-Laser-Induced Melting of Polycrystalline Si Films
J. J. Wang 1 Ying Wang 1 A. B. Limanov 1 A. M. Chitu 1 M. Yu 1 2 James S. Im 1
1Columbia University New York United States2Columbia University New York United States
Show AbstractExamining the details of how polycrystalline Si films melt when irradiated with a laser pulse is critical in understanding the excimer laser annealing (ELA) method. ELA utilizes repeated irradiation of the first-shot crystallized a-Si films in order to gradually increase the size and uniformity of the grains; the irradiation energy density is carefully controlled to correspond to the lower end of the near-complete melting regime. The essence of the method corresponds to “controlled-and-limited melting” of polycrystalline Si; thus, the melting portion of the process is significant, as it determines the final microstructure. Yet, the extent of understanding within the field about such details appears to be limited, as wildly different physical models have been presented over the years.
We present in this paper the results obtained from experiments performed in order to rectify the above situation. Specifically, the results reveal what we identify as one of the most fundamental element of the ELA method; the phenomenon of preferential melting at random high-angle grain boundaries. Experimentally, we have selected and focused our work on what we consider to be the polycrystalline Si films with the simplest microstructure: large-columnar grained, (100)-surface textured, and intra-grain-defect-free polycrystalline Si films (with ~10-nm-thick SiO2 layer) obtained via mixed-phase-solidification (MPS) processing of initially a-Si films on SiO2. Additional details of the experiment consisted of irradiating the films from both top-side and bottom-side and using a pulse-duration extended beam (~240 ns) on both BHF-etched and as-MPS-processed samples in air and in vacuum. Both surface-side and substrate-side transient reflectance (TR) measurements were used to track the transitions.
The most remarkable result is obtained when as-processed/thin-oxide-capped films are irradiated at low energy densities within the partial melting regime; for these films, the TR signals show gradual 2D melting and solidification proceeding within the film. AFM analysis of the irradiated films reveals surface morphological features that clearly reveal that the grain boundary regions have been melted and solidified. In contrast, when the surface oxide layer is BHF-etched away, the TR signals and AFM micrographs reveal a more 1D scenario in which a continuous liquid layer is formed and grows from the surface. Overall, our results indicate that the tendency for melting in polycrystalline Si films at various excess free energy planes is strongest at the surface, then at the grain boundaries, and finally at the oxide interface. We will discuss the implications of these conclusions regarding the validity of various models that have been proposed for the ELA method, and possible optimization of the method.
9:00 AM - A20.02
Microstructure of Si Obtained via Nucleation-Initiated Solidification of Thin Si Films
Vernon K. Wong 1 Adrian M. Chitu 1 Alex B. Limanov 1 James S. Im 1
1Columbia University New York United States
Show AbstractWhen Si films on SiO2 are completely melted by a short-duration laser pulse, the films cool rapidly via thermal conduction into the substrate and eventually solidify by way of nucleation and growth. Thus far, a remarkably wide variety of distinct microstructures have been obtained within this regime depending primarily on the degree of supercooling achieved prior to the onset of nucleation and additionally on the film thickness. The observed microstructures include, for increasing nucleation temperature (and for appropriate thickness): (1) a-Si, (2) nearly amorphized microstructure consisting of densely dispersed a-Si annular regions separated by a region consisting of fine-grained (fg) Si, (3) fg-Si consisting of extremely small crystals, (4) small equiaxed grains, and (5) flower-shaped grains consisting of an extremely defective core region and an outer region made up of relatively defect-free crystal “petals”.
We have previously presented a growth-based physical model focusing on the post-nucleation evolution of the interfacial temperature experienced by the growing grains, which initially starts at the nucleation temperature but rises quickly as the growth proceeds. This direct-growth model recognizes a “defective mode” of growth (in addition to the established modes of amorphous and epitaxial growth) that can directly generate an extremely defective microcrystalline/fine-grained material for the solidification interfacial temperatures above the range at which interfacial amorphization prevails and below the range at which epitaxial mode of growth transpires. We can conclude based on this model that; (1) all the previously observed microstructures mentioned above can be identified as resulting from nucleation taking place below the “epitaxial” growth temperature, and (2) the formation of “normal grains” free of a defective central region/point is predicted for the case in which nucleation commences at sufficiently high temperatures (i.e., nucleation occurring above the temperature range within which defective growth takes place).
In this presentation, we report on the observation of the predicted “normal” grains. We have experimentally accomplished the task by (1) utilizing a high-substrate-temperature capable heater in conjunction with excimer laser irradiation, and by (2) utilizing an ultra-long-pulse duration (~100 usec to ~10 msec) xenon flash lamp exposure without any preheating of the samples. SEM, TEM, AFM, and EBSD analyses were performed to characterize the material. We have also used a 3D numerical simulation package to analyze the thermal evolution and transformation-related details of our work. We will discuss what our findings say about the defective mode of growth, oriented heterogeneous nucleation, and those models that invoke epitaxial growth at significantly lower nucleation temperatures.
9:00 AM - A20.03
Device Performance Relevant Microstructure Analysis of ELA Processed Polycrystalline Si Films
Miao Yu 1 2 Wenkai Pan 1 Jin Jimmy Wang 1 Ying Wang 1 Alex B Limanov 1 James S. Im 1
1Columbia University New York United States2Columbia University New York United States
Show AbstractThe conventional excimer laser annealing (ELA) method generates approximately 308-nm-sized small-grained polycrystalline Si films via multiple pulse irradiation of dehydrogenated PECVD a-Si films on SiO2. The optimal process energy window is very narrow, and it is located within the lower end of near-complete melting regime. A closer examination of the method reveals it to be a rather complex crystallization method in that it involves (1) explosive crystallization of the deposited material at the leading edge of the nominally top-hat shaped beam, and (2) heterogeneous melting-and-solidification cycles of the first shot crystallized polycrystalline film that gradually leads (with increasing shot number) to grain size increase and overall uniformity improvement. As can be imagined from the very nature of the process (i.e., it involves explosive crystallization at the non-uniform portion of the beam, as well as history-, local-energy-deposition-, and local-microstructure-dependent changes that take place during the subsequent melting-solidification cycles), there are a number of extrinsic/intrinsic variables and parameters that affect the spatial uniformity of the microstructure at various length scales (including and especially at small scales); this is in contrast to “classical” polycrystalline microstructures that may be obtained via globally uniform stochastic processes (e.g., those formed through constant nucleation and growth rates, grain growth, etc.).
In this paper, we present our recent work being performed in order to rigorously and quantitatively characterize various small-scale microstructural details in the ELA processed Si films; such details should become increasingly more relevant for determining the performance characteristics of TFTs, as the device dimensions are being decreased to serve increasingly demanding product requirements. Specifically, the microstructure analysis approach presented here builds on accurately digitizing low magnification TEM micrographs to capture all grain boundaries within the image; these TEM micrographs permit high resolution images of the microstructure while providing a statistically significant number of grains for rigorous quantitative analysis. In addition to straightforward analysis of grain size distribution, the digitized images enable us to examine local variation in the average grain size and shape within the material. We will demonstrate the utility of our approach by plotting spatially resolved maps of local average grain size variation, where the 'local area' is defined by the size and shape of the devices; this correlation between the microstructure and devices as implemented in the analysis, we argue, represents a sensible and rigorous scheme for evaluating the microstructure for specific devices. We will also present the statistical and microstructure analysis that captures the evolution in microstructure as a function of shot number during ELA process at a previous unprecedented level.
9:00 AM - A20.04
Single-Shot Irradiation Induced Surface Planarization of ELA-Processed Polycrystalline Si Films
Miao Yu 1 2 Jaewon Moon 1 Wenkai Pan 1 Adrian Chitu 1 Alex B Limanov 1 James S. Im 1
1Columbia University New York United States2Columbia University New York United States
Show AbstractWhen a-Si films are crystallized via the conventional ELA method, the resulting polycrystalline films contain a high density of surface protrusions. These protrusions are formed as a consequence of mass accumulation resulting from phase-change associated density-change during solidification. As these protrusions are considered detrimental for TFTs, and with the increasing needs to fabricate higher performance TFTs for future products (e.g., advanced AMOLED displays), having an efficient option to address the issue will benefit the field. (Ironically, the presence of protrusions during “optimal” conventional ELA is essential, as their ability to scatter the incident beam leads to the eventual formation of rather uniform 308-nm grain-sized polycrystalline films.)
In this investigation, we explore the suitability of an excimer-laser-irradiation-based surface planarization approach by conducting a set of single-shot experiments on ELA processed films at various partial-melting energy densities under different combinations of irradiation and sample configurations: (1) as-ELA-processed samples vs. BHF-surface-etched ELA samples, (2) irradiation in air vs. irradiation in vacuum, (3) 30-ns vs. 8X pulse-duration extended (240 ns) beam, and (4) front-side vs. back-side irradiation of the films. The melt-mediated planarization idea is based on a simple realization that the surface tension of a molten layer should act to planarize the protrusions (while it is still molten), as long as the extent of melting stays somewhat below the near-complete-melting regime (wherein solidification will lead to the re-formation of protrusions as well as introduce possible changes in the microstructure).
The pre- and post-irradiation surface protrusion characterization of the material was carried out using AFM. The results indicate that the degree of planarization experienced by the protrusions can vary substantially depending on several factors; when performed under optimal set of conditions, a rather substantial reduction (greater than 50%) in surface protrusion was achieved. The most important factor was identified as the removal of native surface oxide layer (as the presence of it (1) reduces the thermodynamic driving force, and (2) physically interferes with mass transport and flow of the molten Si layer). Additional factors we identify include the use of optimal energy density, and the need to avoid re-creation of the “identical” hot spots resulting from scattering by the protrusions. We will discuss how the present exercise of identifying those factors that govern the melt-mediated planarization of the surface protrusions in ELA processed films inevitably leads one to consider and appreciate a more fundamental phenomenon of heterogeneous melting transpiring in excimer-laser irradiated polycrystalline Si films, as well as account for the periodic spatial variation in the protrusion height observed in the conventional ELA processed Si films.
9:00 AM - A20.06
Melting and Solidification of Si Films Using Continuous Radiative and Non-Radiative Beams
Ying Wang 1 Seiji Morisaki 2 Shohei Hayashi 2 Alex B Limanov 1 Adrian Chitu 1 Seiichiro Higashi 2 James S. Im 1
1Columbia University New York United States2Hiroshima University Higashihiroshima Japan
Show AbstractOver the years, various energy-beam sources have been explored to induce melt-mediated crystallization of Si films on SiO2 for electronic and photovoltaic applications. In this paper, we employ two particular beam sources with contrasting beam characteristics (radiative vs. non-radiative) to examine how a polycrystalline Si (p-Si) film undergoes melting transition; such fundamental melting-transition-related details constitute essential and general information necessary for understanding, optimizing, and manipulating a number of melt-mediated crystallization techniques.
Specifically, a set of low-power/constant-velocity scans over solid-phase pre-crystallized p-Si was performed using both solid-state cw laser (532nm wavelength) and micro-thermal-plasma-jet (mu;-TPJ) beam [S. Hayashi et al., Appl. Phys. Express, 3, 061401 (2010)]; in order to induce partial melting of the irradiated region, experiments were conducted at beam power levels below the full melt threshold. As can be expected from the long-recognized optical-property-change-induced effect that accompanies the solid-liquid transition of Si [Hawkins and Biegelsen, APL. 42, 358 (1983)], such two-phase coexisting conditions were easily realized using the 532-nm laser, and were found to be challenging to attain using non-radiative mu;-TPJ beam. However and significantly, we were able to successfully induce and observe such a condition, and have characterized the resulting microstructure using AFM, SEM and EBSD techniques. The analysis reveals that the irradiated region is populated with large-sized (100)-surface textured grains.
From these findings, we conclude the following points. First, the emissivity-change-led positive-thermal-feedback effect is not sufficiently significant (at least for the employed experimental conditions) to prevent local partial melting from taking place. Furthermore, as has been previously suggested by the physical model developed to account for the mixed-phase solidification (MPS) method [Im et al, JCG, 312, 2775 (2010)], we conclude that (1) melting initiates primarily at the high-angle random grain boundaries and proceeds laterally into the grains, and (2) a melting-solidification cycle experienced during a scan which leads to changes in the grain size depend at least partially on the Si-SiO2 interfacial energies of the involved grains. This means that the overall spatio-temporal evolution encountered in melting p-Si films using different beams is qualitatively identical (i.e., intrinsic and beam-type independent), as they are determined by the innate thermodynamic and kinetic factors, and that the primary benefit of using a radiative-beam in MPS corresponds to the ease with which such a partial melting environment can be obtained over a wide beam-power interval. We will also discuss how the present findings and conclusions correlate, contrast, and compare to those related observations and ideas that were previously made and explored, respectively.
A21: Poster Session: Silicon and Alloy Nanostructures
Session Chairs
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - A21.01
Alloyed and Surface Engineered Silicon-Based Quantum Dots as a Contender for Silicon Indirect Band Gap Transition to Direct One
Vladimir Svrcek 1 Mickael Lozach 1 Davide Mariotti 2 Noboru Ohashi 3 Tetsuhiko Miyadera 1 Koji Matsubara 1
1National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan2University of Ulster Belfast United Kingdom3Tohoku University Sendai Japan
Show AbstractSilicon physical and chemical properties have been studied for more than 50 years and many sophisticated approaches have been proposed to circumvent one of the major silicon weaknesses. Unfortunately, most efforts have essentially failed in overcoming the limits imposed by silicon indirect bandgap and its poor light absorption/emission. The exploration of silicon-based materials with direct bandgap behavior represents an important endeavor that could lead to a new silicon technology era. The interplay of direct/indirect bandgap, large surface area and quantum confinement can lead to direct transitions and strongly enhance silicon absorption. It is well known that quantum confinement effect, which is inherently present in quantum dots (QDs), induces the up-shift of the conduction band (CB) edge resulting in the opening of the bandgap and leading to direct bandgap transition at very small silicon QDs (< 1 nm). It is however less reported that quantum confinement also induces strong modification to the bonding arrangements. Therefore some of the effect observed in experimental quantum confined systems may be due to tensile strains resulting in particular at the surface of QDs. While quantum confinement in its “pure” form contributes to a widening of the bandgap, tensile strain has an opposite effect. Therefore strong quantum confinement that necessarily induces strains may result in the splitting of the CB minimum into two levels, one up-shifting and one down-shifting. It should be said that if tensile strain is applied by external forces with limited quantum confinement it should be possible to reduce noticeably the bandgap of Si QDs For instance an interpolation suggests that a suitable “mix” of Si with a-Sn should lead to a direct bandgap semiconductor: an indirect bandgap will be experienced with a low Sn concentration, while at sufficient Sn incorporation both a direct behaviour as well as a narrowing of the bandgap should be observed. The impact of quantum confinement on SiSn alloying is twofold: (i) it enables alloying at concentrations not achievable in the bulk. Recently we demonstrated that plasmas generated in liquid by ns/fs laser pulses allowed the growth of alloyed silicon-tin QDs via kinetic pathways. In this contribution we discuss how surface engineering, alloying and quantum confinement may offer opportunities to challenge silicon&’s indirect nature. The laser-based synthesis process offers unique synthetic opportunities for a suitable combination of quantum confinement and tensile strain, resulting in the transformation from indirect to direct bandgap in silicon-tin nanosystems. In order to accurately determine the energy band structure (e.g. valence band) of the QDs, hard x-ray photoelectron spectroscopy using excited synchrotron radiation in SPring-8 was used. Acknowledgements The synchrotron radiation experiments were performed at SPring-8 with the approval of the Japan Synchrotron Radiation Research Institute (JASRI/SPring-8).
9:00 AM - A21.02
Bandgap Tuning of Silicon Quantum Dots Using Organic Surface Ligands
Ryan Timothy Anderson 1 Jacob Bell 1 Brian Gorman 1 Mark Thomas Lusk 2 Alan Sellinger 3
1Colorado School of Mines Golden United States2Colorado School of Mines Golden United States3Colorado School of Mines Golden United States
Show AbstractIn recent years quantum dot materials have become the subject of intense research interest in a number of areas including photovoltaics1, optoelectronics2, and biological sensing3. Quantum dots have been synthesized from a number of materials, but those of silicon (SiQDs) are of special interest due to silicon&’s natural abundance, relatively low cost, ease of processing, and biological compatibility.
While hydride-terminated SiQDs are luminescent, their usefulness can be greatly increased by surface modifications that can modify their bandgap, increase their stability in ambient conditions4, change their solubility4, increase their quantum yield5, and make them suitable for biological applications3.
In this work, we present two novel methods for the passivation and functionalization of hydride-terminated SiQDs. First, we show that passivation with certain conjugated organic ligands produces SiQDs that exhibit a modified bandgap (as shown by red-shifts in the photoluminescent (PL) spectra), and that the extent of ligand-dot energy transfer can be controlled by changing the diameter of the SiQDs. Several ligand-dot systems are presented, showing that the extent of bandgap modification and location of HOMO and LUMO can be controlled. In addition, we show passivation with siloxane moieties (applied for a number of years on bulk silicon6, and, more recently, on SiQDs4) with silanols by a new catalytic process, utilizing relatively mild conditions4, which show blue-shifted PL spectra. Both SiQD termination methods also increase solubility for solution processing, may reduce non-radiative processes7, and increases their hydrolytic stability8.
(1) Hillhouse, H. W.; Beard, M. C. Current Opinion in Colloid & Interface Science2009, 14, 245-259.
(2) Cheng, K.-Y.; Anthony, R.; Kortshagen, U. R.; Holmes, R. J. Nano Lett.2011, 11, 1952-1956.
(3) Cheng, X.; Lowe, S. B.; Reece, P. J.; Gooding, J. J. Chem. Soc. Rev.2014, 43, 2680.
(4) Li, X.; He, Y.; Swihart, M. T. Langmuir2004, 20, 4720-4727.
(5) Jurbergs, D.; Rogojina, E.; Mangolini, L.; Kortshagen, U. Appl. Phys. Lett.2006, 88, 233116.
(6) Brzoska, J. B.; Ben Azouz, I.; Rondelez, F. Langmuir2004, 4367-4373.
(7) Sa'ar, A.; Reichman, Y.; Dovrat, M.; Krapf, D.; Jedrzejewski, J.; Balberg, I. Nano Lett.2005, 5, 2443-2447.
(8) Zou, J.; Baldwin, R. K.; Pettigrew, K. A.; Kauzlarich, S. M. Nano Lett.2004, 4, 1181-1186.
9:00 AM - A21.03
Gas Phase Production of Silicon Quantum Dots in VHF Plasma Enhanced Chemical Vapor Deposition
Akshatha Mohan 1 Ioannis Poulios 1 Ruud Schropp 2 3 Jatin Rath 1
1Utrecht University Eindhoven Netherlands2Energy Research Center of the Netherlands (ECN) Eindhoven Netherlands3Eindhoven University of Technology Eindhoven Netherlands
Show AbstractSignificant progress has been made in the potential applications of silicon nanocrystals in light emitting diodes, lithium ion batteries, photovoltaic cells, and biomedical applications. Dusty plasmas provide a very favorable environment for the growth of silicon nanocrystals with the extended possibilities of forming alloys, integration into devices, and application in surface functionalization.
We report on the design and working of a dedicated Very High Frequency Plasma Enhanced Chemical Vapor Deposition reactor for a single step synthesis of silicon nanoparticles in the gas phase. The proof of the concept of this reactor is provided by demonstrating a fast and simple technique to synthesize non-embedded, non-agglomerated silicon nanodots.
The nanodot reactor contains two parallel plate electrodes, between which the source gases SiH4 and H2 are dissociated by applying an oscillating electric field creating an avalanche of reactions. The nanocrystals, synthesized here through this process, leave the plasma through grooves of the top electrode, and reach the substrate, owing to the thermophoretic effect.
The particle collection on the substrate mirrors the grooves of the top shower head (ground) electrode. Two distinct spots of particles are present on the substrate which can be seen visually. A smaller spot (referred to as spot 1) contains the particles formed in the bulk plasma. A larger spot (referred as spot 2) contains the particles formed in the locally enhanced discharge at the grooves of the electrode as they have larger escape angle. The particles found at the two spots have different optical and structural properties.
Particles found at spot 1 have a 100% crystalline fraction with a peak centered at 515 cm#8209;1. TEM studies reveal that these crystalline nanoparticles have cauliflower structure. In contrast, particles at spot 2 have a broad amorphous peak at 480 cm-1 indicating amorphous behavior. TEM studies show that spot 2 is dominated by densely populated amorphous nanoparticles (10-25 nm), in addition to a smaller fraction of free standing quantum sized crystalline nanoparticles (2-5 nm).
The locally enhanced discharge provides a beneficial environment for the formation of non-agglomerated crystalline particles at spot 2, with an average size of 2.95 nm. This enhancement is due to the ionization by electrons streaming into the grooves of the electrode, where the pressure is much higher than the process pressure [1]. These desirable characteristics can be attributed to the presence of supplementary energetic electrons which favor the synthesis of nanocrystals. The excess electrons and ions, have been shown to combine at the particle surface resulting in highly exothermic reactions which contributes to the formation of nanocrystals (<10 nm) in a few milliseconds. We speculate that the excess electrons impart unipolar negative charges to the particles which suppress agglomeration.
[1] K Denpoh J. Phys. D: Appl. Phys. 42 (2009) 032003
9:00 AM - A21.04
Giant Tuning of the Luminescence in Colloidal Amorphous Porous Silicon Nanostructures
Jehad K. El Demellawi 1 Sahraoui Chaieb 1
1King Abdullah University of Science and Technology (KAUST) Thuwal-Jeddah Saudi Arabia
Show AbstractNano-silicon, a prime example of nanostructured materials where quantum or spatial confinement is the origin of its luminescence, comes either in an amorphous state or in a crystalline one. When broken into colloidal crystalline nanoparticles, the emission can be tuned across the visible spectrum only when their sizes vary via a painstaking filtration method that is hard to scale up and the yield is too low for technological applications. On the contrary, bright tunable colloidal amorphous porous silicon nanostructures however have not been reported.
In this work, we achieved a 100 nm modulation of the emission, across the visible region, of a novel material made of freestanding colloidal amorphous porous silicon nanostructures that have been fabricated from silicon wafers by stain etching and controllably oxidized, through band-gap engineering. The mechanism responsible for this tuning, which was found to be independent of the size of the individual particles and their distribution, is in fact the result of a strain in the silicon-silicon bond angle, when the material is structurally transformed from an amorphous porous into a glass distorting the molecular orbitals. This mechanism is also responsible for the amorphous-to-crystalline transformation of silicon.
To our knowledge, this is the first time such a large blue-shift has been observed in colloidal amorphous porous Si and we achieved this by increasing the amount of disorder in the nanostructures of amorphous silicon that exhibit highly uniform emission spectra. HRTEM, EELS, Raman spectroscopy, Photoluminescence as well as UV-Vis absorbance were used in this work to characterize this bright tunable emission and its physical origin as well as the corresponding alteration in band-gap energy.
9:00 AM - A21.05
Doped Silicon Nanowire Networks Grown on Copper Substrates with Templates of Conductive Oxides/Nitrides for Thermoelectric Devices
Junce Zhang 1 Kate J Norris 1 David M Fryauf 1 Juan Jose Diaz Leon 1 Elane Coleman 2 Gary M. Tompa 2 Nobuhiko P Kobayashi 1
1EE Department, UC Santa Cruz Santa Cruz United States2Structured Materials Industries Piscataway United States
Show AbstractIn United States, approximately 90% of the power in industrial processes is generated by heat engines which typically operate at an efficiency of ~40% and generate a large amount of waste heat. Thermoelectric (TE) modules could recover much of the waste heat and convert it into useful electricity. In recent years, low-dimensional nanostructures such as semiconductor nanowires (NWs) and conductive oxide or nitride thin films have been extensively studied to fabricate high-performance TE modules by exploiting their unique physical properties. A combination of doped semiconductor nanowires and an electrically conducting surface on mechanical flexible metallic substrates enables us to design new device structures for different applications, especially for TE. For instance, conductive oxide and nitride thin films can be deposited on chemically and mechanically stable solid surfaces including metallic substrates, and subsequently doped silicon nanowires can be grown on the surface of the thin films instead of directly on the metallic substrates. In this study, to achieve practical and scalable TE modules, a unique material platform of randomly oriented silicon (Si) nanowire networks grown on conductive thin films on mechanically flexible metallic substrates was designed and demonstrated. Aluminum doped zinc oxide (ZnO:Al) and gallium doped zinc oxide (ZnO:Ga) thin films by Chemical Vapor Deposition (CVD) and titanium nitride (TiN) thin films by atomic layer deposition (ALD) were studied as the template layers on copper (Cu) foils. And subsequently, intrinsic, n-type and p-type doped Si nanowire networks were grown by Plasma Enhanced Chemical Vapor Deposition (PECVD) on the surface of these template layers. Advantages of our device include: low process and material cost, large device area, being mechanically flexible, vertically stackable and being able to apply different operating temperatures for the template thin films and Si nanowire networks. Thermoelectric properties of several types of TE modules are presented to assess the dependence of device performance on such design factors as doping type, doping concentration and different template thin film materials.
9:00 AM - A21.06
A-Si:H-based Flexible Nanowire Solar Cells
Minoli Pathirane 1 Bright Iheanacho 1 Asman Tamang 2 Czang-Ho Lee 1 Dietmar Knipp 2 William S. Wong 1
1University of Waterloo Waterloo Canada2Jacobs University Bremen Germany
Show AbstractThe integration of high-performance solar cells on flexible platforms presents specific challenges in materials integration and device performance. Monocrystalline silicon requires high temperature processing that precludes the use of flexible low melting-point substrates. Thinning silicon wafers have been shown to create flexible devices but this process is time consuming and limited to small areas. Disordered thin-films are easily deposited onto large-area platforms through low-temperature processing but this approach typically results in device performance degradation. Thin-film coated nanowires (NWs) provide an alternative approach to creating high-performance radial-structure solar cells that can be fabricated directly on plastic. The NWs create a three-dimensional (3-D) surface that can be coated with a thin-film layer having the active device radially surrounding the nanowire. These high aspect ratio radial structures have been predicted to increase the short-circuit current density by as much as 30% compared to planar devices through effective light trapping and scattering within a NW network. The direct integration of these structures onto plastic platforms would enable new opportunities for high-performance conformal photovoltaics.
ZnO NWs were grown at low-temperature (85°C) in a hydrothermal bath solution directly on polyethylene naphthalate (PEN) substrates using 80 nm ZnO nanoparticle seed layers; zinc nitrate hexahydrate was used with hexamethylenetetramine (HMTA) as a precursor. Disordered NWs of 5 µm length and 100 nm diameter were synthesized. A conformal coating of a-Si:H consisting of a p+-i-n+ diode structure was deposited at 170°C by plasma-enhanced chemical-vapor deposition. The optical properties of the a-Si:H p+-i-n+ coated ZnO NWs revealed a significant reduction in the optical reflectivity by 73% between 300nm and 900nm compared to planar structures. The resulting short-circuit current density for the NW devices on PEN was 15.4 mA/cm2, 30% higher than a planar diode with the same p+-i-n+ stack. While the enhanced current density was found to be an improvement over conventional planar devices, the open circuit voltage (Voc) was lower, reaching 550 mV and 800 mV for the NW device and planar device, respectively. The result is attributed to an increase in the diode saturation current due to the larger junction area of NW solar cells. The Voc was found to improve when the NW length was reduced. 2 µm long NW diodes had a Voc of 700 mV with little change to the short circuit current density, suggesting optimization of the NW structure will provide high-performance characteristics on flexible platforms. In addition, we will report on the effect of various transparent top contacts and the influence of mechanical bending on the device characteristics of the flexible NW solar cells.
9:00 AM - A21.07
Large Scale Nanopatterning on a Silicon Wafer by Controlled Fracture
Young Duk Suh 1 Seung Yong Han 1 Sukjoon Hong 1 Habeom Lee 1 Jinhyeong Kwon 1 Jinhwan Lee 1 Hyunjin Moon 1 Seung Hwan Ko 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractLarge scale nanopatterning on a silicon wafer is costly and difficult using currently available nano-patterning techniques including lithography based as well as non-lithographic approaches. The lithography based techniques including ion or electron beam lithography (EBL), scanning probe microscopy (SPM) or scanning tunneling microscopy (STM), and atomic force microscopy (AFM) guarantees high resolution, however the fabrication processes are time consuming and expensive, and serial processes are required for large area patterns because single process area is limited. The non-lithographic approaches including, nanoimprinting, self-assembly, and block-copolymer lithography techniques have patterning capabilities equivalent or even better in some respect to photo lithography at relatively lower cost. These techniques can be carried out under non-vacuum and room temperature environment which leads to less equipment setting. Nevertheless, these techniques have limitations on simple repetitive types of resultant pattern and fabrication of a master template which often involves the aid of state of art lithography technology. Foremost, both techniques are hindered by the difficulties of defects free fabrication over large area. For these reasons, large area nanopatterning is very challenging.
In order to achieve large area nanopatterning, we introduce a fracture based nanopatterning technique using cracking control in silicon nitride film deposited by chemical vapor deposition (CVD) on silicon wafer. The silicon nitride film deposited with specific gas flow rate and temperature by CVD has intrinsic tensile stress which leads to cracks in the film. The cracks generated in such fashion shows great nanoscale features: consistent line edge roughness (LER), line width roughness (LWR), and high aspect ratio of crack width and depth. To make a use of this great nanoscale features, we demonstrated precise control of initiation, stop, and manipulation of cracks with different propagation modes such as straight and oscillatory. When properly controlled, since the cracks naturally propagate in such system due to intrinsic tensile stress, the only limitation on length scale for the nanocracks is the size of the substrate; therefore large-area nanopattern fabrication can be realized. In addition, the oscillatory cracks exhibit interesting properties which resembles optical wave. Use of these properties enables fabrication of various nanopatterns in large scale.
9:00 AM - A21.08
Stretchable Luminescent Films of Silicon Nanocrystals
Rajib Mandal 1 Naomi Carlisle 1 Michael Bigelow 1 Rebecca Joy Anthony 1
1Michigan State University East Lansing United States
Show AbstractNanocrystalline silicon is widely known as an efficient and tunable optical emitter and is attracting great interest for applications such as light-emitting devices. To date, however, luminescent silicon nanocrystals have been used exclusively in traditional rigid devices. For the field to advance towards new and versatile applications for nanocrystal-based devices, there is a need to investigate whether these nanocrystals can be used in flexible and stretchable devices.
Here we present a study on how the optical and structural/morphological properties of plasma-synthesized silicon nanocrystals (Si NCs) change when they are deposited on stretchable substrates made from polydimethylsiloxane (PDMS). The silicon nanocrystal synthesis was performed in a nonthermal, low-pressure gas phase plasma reactor. While holding the synthesis parameters constant, silicon nanocrystals were deposited directly out of the plasma into thin-film layers using inertial impaction through a slit-shaped orifice. The transparent PDMS substrates were prepared by mixing the base and curing agent at 10:1 weight ratio. The thickness of the PDMS substrates was ~0.5 mm, and they were either relaxed or pre-stretched to several percentages of their original length ("stretching ratio") prior to deposition.
The morphologies of films deposited on both PDMS substrates and on rigid silicon wafer substrates were studied using SEM. Si NC Films deposited on PDMS substrates have significantly different morphology as compared to films deposited on silicon substrates. Namely, films on PDMS (whether relaxed during deposition) are more dense, and the nanoparticles form more articulated grains. In contrast, the films on silicon wafers are fluffier. The reason behind this morphological difference is still under investigation, but is likely attributed to the difference in elastic properties of the PDMS as compared to silicon. We will develop a model to describe the morphological behavior of impacted Si NCs on flexible/stretchable substrates. For Si NCs deposited on pre-stretched PDMS, we imaged the films after relaxation. A rippling effect was observed that increased in amplitude with increasing stretching ratio. This effect was limited to the axis of stretching for low stretching ratios, but at a stretching ratio of 40% the deformation of the Si NC films after relaxation became biaxial.
We also measured the photoluminesence (PL) properties of Si NCs deposited on pre-stretched PDMS substrates depending on stretching ratio. With increasing stretching ratio, the PL peak shifts to lower energies. Between unstretched PDMS and PDMS stretched 40% beyond its original length, the PL peak is adjusted by 80 nm. We will also present results on how the PL from Si NCs depends upon the stretch state of the PDMS substrates during measurement, demonstrating the viability of these luminescent Si NC layers for flexible electronics such as light-emitting device displays and sensors.
9:00 AM - A21.09
Fabrication of Random Si Nanodisks for Effective Light Trapping in Ultrathin c-Si Wafers
Inho Kim 1
1Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractThe use of thinner silicon wafers is one of effective approaches for cost reduction of monocrystalline (mono c-Si) silicon solar cells. Recently, extensive research on ultrathin c-Si solar cells based on wafers thinner than 50 mu;m has been conducted mostly to achieve high efficiency. One of challenges for realization of high efficiency ultrathin c-Si solar cells lies in drastic decreases in optical absorptions with decreasing thicknesses of c-Si wafers. Thus, effective light trapping is one of key factors to high efficiency ultrathin c-Si solar cells.
In this study, we investigated cost-effective preparation methods of random c-Si nanodisks of short heights using metal-assisted chemical etching for optimal light trapping in ultrathin c-Si wafers with thicknesses ranging from 10 mu;m to 50 mu;m. Random c-Si nanodisks were fabricated by metal assisted etching of Au nanohole thin films on c-Si wafers. The nanohole thin films were prepared by thermal evaporation of Au onto c-Si wafers with metal nanoparticles which serve as shadow masks. Metal nanoparticles were also synthesized by thermal evaporation and subsequent annealing to induce dewetting of metallic thin films. In efforts to obtain c-Si nanodisks with optimal diameters, heights, and surface coverages for effective light trapping, the metal nanoparticles of various diameters and surface coverages were prepared by varying nominal thicknesses of metallic thin films and etching the metal nanoparticles in acid solution. The nanodisk heights were varied by changing the metal-assisted etching duration. The light trapping by c-Si nanodisks were confirmed by measurements of total absorptances of the ultrathin c-Si wafers. FDTD (Finite Difference Time Domain) simulations were performed for the calculations of light scattering from the nanostructures on the c-Si wafers, which were compared with the experimental results.
9:00 AM - A21.10
Photoluminescence in Thin Films of Nanocrystalline Silicon-Oxide
Gizem Nogay 1 Bjoern Niesen 1 Franz-Josef Haug 1 Christophe Ballif 1
1Ecole Polytechnique Feacute;deacute;rale de Lausanne Neuchatel Switzerland
Show AbstractWe report on red and near IR photo-luminescence in nano-crystalline silicon-oxide films. The films consist of silicon-particles or elongated silicon-filaments which are embedded into an amorphous SiOx matrix. With increasing oxygen content in the film, the size of the crystallites decreases and the luminescence shifts systematically to higher energies. For a given sample, the peak position does not vary with excitation energy and measurements at low temperature change only the emission-intensity. The low-energy tail of the luminescence-spectrum shows a regular fine-structure with a spacing of 60 to 70 meV which hints at phonon-assisted emission. These observations suggest that the bandgap of the silicon nanoparticles is increased by quantum confinement as compared to the bandgap of 1.12 eV found in crystalline silicon and in oxygen-free microcrystalline material. We further conclude that the bandgap of the silicon-crystallites maintains an indirect character. The films are grown from by plasma enhanced chemical vapour deposition (PECVD) at ca. 200°C from silane, hydrogen, and carbon-dioxide. Moderate deposition temperature, compatibility with large area fabrication, and superior tolerance against humidity make this material an interesting alternative for applications like large area solid-state lighting.
A9: Novel Devices
Session Chairs
Thursday AM, April 09, 2015
Moscone West, Level 3, Room 3002
9:30 AM - *A9.01
Amorphous Silicon Based Particle Detectors: The Quest for Single Particle Detection
Nicolas Wyrsch 1 Andrea Franco 1 Christophe Ballif 1
1EPFL Neuchatel Switzerland
Show AbstractHydrogenated amorphous silicon (a#8209;Si:H) is one of the most radiation resistant semiconductors and therefore is an attractive material for the fabrication of particle sensors. The fact that this material can be deposited on various types of substrates and alloyed with other elements to modify its properties is another crucial advantage. a#8209;Si:H has been successfully implemented in large area particle detectors for X-ray radiography using an indirect detection scheme but has failed to be used in experiments or systems relying on direct detection scheme. One of the reasons was the failure to demonstrate single particle detection.
In this paper, we will review the development of a#8209;Si:H based particle detectors and strategies aiming at minimum ionizing particles (MIPS) and single particle detection. We will discuss the fundamental limits and bottlenecks and show possible solutions to finally achieve such detection. The possibilities comprise vertical integration of thick a#8209;Si:H diode array on top of a readout ASIC (so-called “thin-film on ASIC” or “TFA” technology) as well as vertically integrated micro-channel plates (MCP). Development status of such a-Si:H based detectors will be presented in details.
Finally, the possibility of depositing a-Si:H on large area, on various substrate and to micro-machined the layers is also opening various opportunities in the field of particle detectors. In this context several current and possibly future developments will be shown and commented.
10:00 AM - A9.02
Hybrid Photoelectrochemical-Photovoltaic Photocathodes for Water Splitting Based on Silicon Wafer Hetero-Junctions and Thin-Film Silicon Junctions
Ravi Vasudevan 1 Zaid Thanawala 1 Thom Buijs 1 Dimitrios Deligiannis 1 Bernard Dam 2 Miroslav Zeman 3 Ibadillah Ardhi Digdaya 1 Lihao Han 1 Paula Perez Rodriguez 1 Wilson Smith 1 Arno Hendrikus Marie Smets 1
1Deflt University of Technology Delft Netherlands2Delft Univ of Technology Delft Netherlands3Delft Univ of Technology Delft Netherlands
Show AbstractPhotoelectrochemical water splitting (PEC) has the potential to be a large-scale, sustainable, cost-effective and efficient route to produce hydrogen by harnessing and storing the power of the sun. One cost-effective proposed solution for PEC applications is amorphous silicon carbide (a-SiC:H) photocathodes. a-SiC:H is a silicon-based material and in combination with its abundant availability makes it a good candidate for scalable applications.
We report on the improvement of the performance of the a-SiC:H p-i photocathode by the integration of a 40 nm Boron dopant profile around the p-i interface. This dopant profile reduces the bulk recombination and enhances the voltage generation under illumination by 0.2 eV in the photocathode. By adding a thin n-type TiO2 layer a p-i-n photocathode is formed which results in an additional anodically shift from +0.2 to +0.8V vs RHE. Adding TiO2 results in a photocurrent density of -8.5 mAcm-2 in reference to +0.2 mAcm-2 for a p-i a-SiC:H photocathode.
Secondly, the performance of the photocathode is further improved by the integration of photovoltaic (PV) junctions into a tandem PEC-PV configuration. We present results on PEC-PV devices in which the PV junctions are based on thin film (TF) silicon photovoltaic single- and multi-junctions. The spectral and band gap energy utilization of these types of PEC-PV devices will be discussed. We show that the practical solar-to-hydrogen (STH) efficiency of this cost-effective TF device is limited to ~10%.
To further improve the STH efficiency to levels far above 10%, we proposes for the photocathode side of the device a novel hybrid TF/wafer PEC-PV structure in which the PV part consists of a tandem solar cell based on a TF hydrogenated nano-crystalline silicon (nc-Si:H) top cell and a silicon wafer heterojunction (HJ) bottom cell. We report on the development and optimization of the novel PV part of this PEC-PV device. A p-type, float zone, 280 µm thick c-Si wafer is used as the substrate for the c-Si/a-Si:H HJ. The TF nc-Si:H junction was grown in a p-i-n configuration. The importance of the optimization of the tunnel recombination junction between the TF PV and HJ PV junction is discussed. The currently achieved spectral utilization of the tandem cell is 30.6 mA cm-2 with a nc-Si:H JSC of 16.6 mA cm-2 and an SHJ JSC of 14 mA cm-2. This tandem cell has a fill factor of 0.67 and a VOC of 1.1 V. The spectral utilization and relatively high VOC make it an ideal PV cell to be monolithically integrated with the p-i-n a-SiC:H photocathode. The results on novel monolithic integrated a-SiC:H(PEC)-TF nc-Si:H(PV)-c-Si/a-S:H HJ(PV) devices will be presented.
10:15 AM - A9.03
Single Crystal Ferroelectric (PbZrTiO3) Gated Silicon Based Field Effect Transistor
Saidur Rahman Bakaul 1 Claudy Rayan Serrao 1 Long You 2 Asif Islam Khan 1 Sayeef Salahuddin 2
1University of California Berkeley Berkeley United States2UC Berkeley Berkeley United States
Show AbstractIntegrating single crystal ferroelectric material as the gate component in silicon based field effect transistor (FET) has been a holy grail for the researchers since the dawn of modern semiconductor based microchip industry. The key reason is that the ferroelectric material could add non-volatility to the functional properties of an FET and lower the sub-threshold swing below the thermodynamic limit of 60 mV/decade [1] , which would pave the way of existing CMOS technology to materialize ultra-low power operated logic and memory element. However, most of the well-known room temperature ferroelectric materials with high dielectric constant such as, PbZr0.2Ti0.8O3 (PZT) and BaTiO3 lack in epitaxial growth compatibility with single crystal Si, mainly due to the degraded interface, which stems from the lattice mismatch and interdiffusion and chemical reaction during growth process. These problems have been partially circumvented by utilizing buffer layers such as SrTiO3, CeO2 and CaF2 between Si and ferroelectric. However, this indirect incorporation of FE material with Si reduces electric field across FE and increase the needed operating voltage. Moreover, since most of the FE materials demand growth at high temperature where dopant redistribution in Si takes place, the thermal budget and scalability of the FET are deleteriously affected. In this work, we show that by using selective etching of a suitable sacrificial layer, single crystal PZT can be layer transferred directly onto Si. XRD and Transmission electron microscopy images confirm the single crystal characteristics of transferred PZT and sharp interface between Si and PZT, without any interdiffusion of Si or Pb. Scanning piezoforce microscopy and measured d33 coefficients of PZT on Si show excellent ferroelectric characteristics. Hysteretic C-V and P-E are also measured and we find a remanent state polarization of 75 µC/cm2, which is as good as the as-grown epitaxial PZT film grown by pulsed laser deposition technique. We also have succeeded to transfer PZT with different thicknesses ranging from 10 nm to 150 nm and epitaxial SRO/PZT/SRO tri-layer onto Si. Finally, we demonstrate silicon based field effect transistor where single crystal PZT acts as the gate electrode. The typical hysteresis in Id-Vg is observed, which confirms the ferroelectric gate control of the Si channel.
[1] Salahuddin, S & Datta, S. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett.8, 405-410 (2008).
10:30 AM - A9.04
Nanoporous Silicon Membranes for Size Exclusion Filtration
Brendan Derek Smith 2 Jeffrey C. Grossman 1
1MIT Cambridge United States2Massachusetts Institute of Technology Cambridge United States
Show AbstractReverse osmosis filtration is emerging as a next generation technology for the global supply of potable water. Despite continued advancements in reverse osmosis polymer membrane technology however, the treatment process remains inefficient. Diffusive transport of water through a thick polyamide active layer necessitates an applied hydrostatic pressure significantly greater than the theoretical ideal minimum for the separation of contaminants from water. More detrimental is the susceptibility of the polymer membranes to biofouling, the accumulation of microbial cells and the various polymeric substances they secrete. This is a result of both the membrane incompatibility with oxidizing cleaning agents such as chlorine, and undesirable surface interactions with biomaterial. In industrial scale desalination plants, it is estimated that biofouling contributes to 50% of plant operational costs, which include halts in production for cleaning and replacement of fouled membranes.
We report the synthesis of a nanoporous silicon membrane for size exclusion filtration. Utilizing gold nanoparticles as a catalyst, the metal-assisted chemical etching of sub 5nm pores is performed at high aspect ratios in crystalline silicon. The process is entirely solution based, and therefore highly scalable. Etching is catalyzed by gold nanoparticles, and is extremely anisotropic, proceeding along specific orientations in the crystal lattice. The high aspect ratio of the pore etching allows for a membrane thickness on the order of microns, which provides mechanical strength sufficient for reverse osmosis filtration. The porosity of the membrane facilitates convective transport of water and selectivity against a large variety of contaminants via a size exclusion mechanism, which is expected to decrease the energy required for separation. Leveraging the functionality of the silicon surface, hydrophilic molecules are covalently grafted to the membrane, enhancing its wetting, lubricity, and anti-biofouling properties. Similarly, the utility of functionalization and additional methods such as controlled oxide growth for the tuning of pore size will be discussed. Pore morphology is characterized by TEM and SEM. The selectivity of the membrane for the exclusion of common contaminants will also be discussed.
10:45 AM - A9.05
A Novel Approach to Generate Self-Aligned SiO2/Ge/SiO2/Ge Gate-Stacking Heterostructures in a Single Fabrication Step
Pei-Wen Li 1 Wei-Ting Lai 1
1National Central University JongLi Taiwan
Show AbstractGe has recently emerged as a leading contender to replace its well-established counterpart, Si, for MOSFET, thanks to its superior carrier transport properties. However, the production of Ge MOSFETs has been hampered by the difficulties of growing high-quality Ge on Si with sufficiently low defect densities. In addition, the formation of gate-dielectric layers over the Ge with satisfactory interfacial and electrical properties has proved to be challenging because of the difficult surface preparation and interface control.
We report the first-of-its-kind, unique CMOS-compatible approach for generating a self-aligned, gate-stacking MOS structure based on Ge quantum dots (QDs). The key novelty of the QD/SiO2/Ge shell on Si is that it is fabricated in a single oxidation step of SiGe pillars lithographically patterned over a buffer Si3N4 layer on the Si substrate. The Ge QD size and the Ge shell thickness are determined by the total Ge content of the original SiGe pillar and therefore, lithographically controllable via the geometrical dimensions of the original SiGe pillar prior to oxidation. This self-aligned QD-based, gate-stacking structure is analogous to the prevailing poly-Si gate/SiO2/Si MOS structure, and indeed provides the core building block for Ge-based MOS devices with size-tunable Ge gates, SiO2 gate oxide, and Ge channel, using the precise control available through our single-step process.
It is instructive to note that the thin interfacial SiO2 layer between the Ge QD and the Ge shell is formed during this single oxidation step. Remarkably, the thickness of this interfacial SiO2 layer is almost constant at 2#65293;3nm regardless of the QD size or the oxidation time because of an exquisitely-controlled dynamic balance between the fluxes of oxygen and silicon interstitials. The gate oxide has high-quality interfacial morphologies as confirmed by extensive HRTEM and EDX examinations.
The good crystallinity of the Ge channel is also evidenced by defect-free lattice fringes observed from HRTEM examinations and from selected area diffraction patterns. The electronic performance advantages of the single-crystalline Ge shell arise not only from the superior interface properties but also from the inherent compressive stress generated during the fabrication process.
We have further demonstrated high performance Ge-QD MOS capacitors and floating-gate MOSFETs based on this “designer heterostructure.” Our Ge n-MOSFETs feature reasonably low interface trap densities (2#65293;4 × 1011 cm-2middot;eV-1) and superior transfer characteristics. Also, thanks to the very thin, high-quality interfacial SiO2 layer, carrier storage within the Ge QDs with good memory endurance was Ge-QD/SiO2/Ge-shell heterostructure is a very promising, foundational candidate not only for its superior performance but also for the simplicity and elegance of its one-step fabrication process for the realization of high-performance Ge MOSFETs.
A10: Novel Phenomena, Processes and Applications
Session Chairs
Thursday AM, April 09, 2015
Moscone West, Level 3, Room 3002
11:30 AM - *A10.01
Deterministic Assembly of Silicon Micro/Nanostructures into Complex, Three-Dimensional Architectures
John A. Rogers 1
1University of Illinois Urbana United States
Show AbstractComplex, three dimensional (3D) assemblies of nanomaterials form naturally in all biological systems, where they provide sophisticated, essential functions in even the most basic forms of life. Compelling opportunities exist for analogous 3D structures in electronics, but existing design options are highly constrained by comparatively primitive capabilities in materials growth and assembly. This talk reports a simple, rapid route to previously inaccessible classes of 3D architectures in monocrystalline silicon, with characteristic dimensions that range from nanometers to centimeters and areas that span square centimeters or more. Demonstrations include combined experimental and theoretical studies of more than forty representative geometries, from single and multiple helices, toroids and conical spirals to constructs that resemble spherical baskets, cuboid cages, raised platforms, starbursts, flowers, scaffolds, fences and frameworks. Simple examples of stretchable electronic components illustrate capabilities in functional systems.
12:00 PM - A10.02
In-Fiber Capillary Breakup as a Generic Method for Silicon Processing and Device Fabrication
Alexander Gumennik 1 Lei Wei 1 Guillaume Lestoquoy 1 Alexander M. Stolyarov 1 Xiaoting Jia 1 Benjamin Grena 1 Steven G. Johnson 1 Ayman Abouraddy 2 John D Joannopoulos 1 Yoel Fink 1
1MIT Cambridge United States2University of Central Florida Orlando United States
Show AbstractWe demonstrate a generic processing approach for realizing silicon microelectronic devices and photonic structures internal to a silica fiber exploiting in-fiber capillary instability. Silica-cladded silicon-core fibers with diameters down to 340 nm are continuously fed into a flame defining an axial thermal gradient (ATG). A cross-sectional melting plane and a short axial molten Si section develop, which in-turn undergoes capillary breakup, in a manner resembling dripping faucet, forming spheres internal to the fiber.
Using this basic phenomenon, we first demonstrate an axial patterning of silicon-core silica fiber into a fiber-embedded micron-pitch grating of submicron-sized silicon spheres. The continuous formation of spheres whose breakup period is controlled by the feed speed is demonstrated. In particular, spheres of diameter < 500 nm are shown and analyzed. We demonstrate a size-scalability of crystalline silicon particles ranging in diameters from 500 µm to below 500 nm, by controlling the initial core diameter. We can later harvest the particles from the fibers simply by selectively dissolving the silica cladding in hydrofluoric acid.
Second, a fiber with dual cores: p-type and n-type Si is drawn and processed into spheres. ATG breakup, uniquely defining the axial pinch-off pane for the silicon droplets, enables the droplets to pinch off the adjacent cores in a spatially-coherent manner in pairs. Given a small enough spacing between the cores, the breakup coherency leads to the contacting of the spheres of each pair into bi-spherical silicon “pn molecules” without merging, thus preventing the diffusion-driven dopant homogenization from happening. The resulting devices are measured to reveal a rectifying I-V curve consistent with the formation of pn junctions having an ideality factor of 2.
We argue that ATG breakup can be extended into a complete scalable methodology for in-fiber silicon photonic and optoelectronic devices fabrication.
12:15 PM - A10.03
Giant and Anomalous Piezoresistive Effects in Si Nanowire
Karl Winkler 1 Alois Lugstein 1 Emmerich Bertagnolli 1
1Vienna University of Technology Vienna Austria
Show AbstractStrain engineering has opened a wide field of possibilities to enhance the performance of semiconductor devices. While for bulk materials the maximum strain value which can be applied is restricted to a quite low facture limit, nanostructures have proven to sustain much higher loads. Despite the advanced knowledge in this field of research some effects are still under debate. One of these is the anomalous piezoresistive effect of highly strained silicon nanowires (Si-NW). We developed a novel measurement device for characterization of an individual, highly strained NW monolithically integrated into the strain device. The device is based on an SOI wafer, structured through conventional lithography and RIE etching into a mesa like structure. Onto the {111} orientated side facet of two of these free standing structures, gold colloids are deposited using dielectrophoresis. Through VLS growth a single <111> orientated Si-NW is monolithically integrated into the device which forms two mechanically stable and electrically reliable contacts. Different dielectric layers can be deposited at the whole NW to passivate its surface and form a gate dielectric. The particular structure of the device acts as a self-consistent shadow mask during sputter deposition of the gate material so that no further lithographical step has to be performed, which avoids any possible damage of the NW. We used ALD deposited Al2O3 as well as thermal grown SiO2 as dielectric materials to show the influence of different surface passivation materials on the electrical behavior of the NW. To enable optical, electro-optical as well as gate modulated measurements we have chosen ITO as transparent gate material. Finally, a mechanical 3-point bending module is used to apply strain to the NW. Strain values of up to 2.7% were measured in situ with a µ-Raman spectroscopy setup right before electrical characterization. Our measurements reveal surface effects as the dominating factor controlling the behavior of a single strained Si-NW. The anomalous piezoresistive effect evaluated from electrical characterization of an as-grown Si-NW is reduced by passivation by almost a factor of 2.7. The determined piezoresistive coefficient of the Si-NW is near to the bulk value. Comparing the change in field effect mobility and resistivity in relation to the applied strain shows that the reason for the residual alteration is founded in a change of carrier mobility. Further it was shown that surface states and surface charges also determines the conduction type of the NW. While the transfer characteristic of the Al2O3 coated NW exhibits almost the same p-type behavior as an as-grown Si NW, the SiO2 covered NW shows a clear n-type behavior.
12:30 PM - A10.04
Understanding the Adhesion and Low-Load Mechanical Properties of Silicon Surfaces: Insights from Nanoscale Asperities and Rough Micro-Scale Planar Contacts
Tevis DB Jacobs 1
1University of Pittsburgh Pittsburgh United States
Show AbstractMany advanced nano- and microscale devices and technologies contain silicon surfaces that come into intermittent contact. In some cases (such as probe-based microscopy, lithography, and manufacturing) these contacts have single-nanometer length scales; in other cases (such as microelectromechanical systems) the contacts have micron-scale dimensions, but the behavior is governed by the collective response of many individual nanoscale contact points. The reliability of these applications, and sometimes their functional performance, depends critically on the properties of these contacts - adhesion, contact stiffness, and the location and onset of permanent deformation or fracture.
In the present work, adhesion and deformation during loading were characterized for nanoscale asperities of silicon - with particular focus on the effects of asperity shape, structure, and surface roughness. More specifically, adhesion and loading tests were performed with real-time transmission electron microscopy (TEM) using an in situ mechanical test apparatus. Before and after testing, lattice-resolved images were taken to quantitatively determine the shape, surface roughness, and oxide thickness of the silicon probes. Then, low-load mechanical testing was performed with nanonewton resolution to observe the adhesion force, the instantaneous contact size, the elastic response, and also the location, onset, and type of inelastic deformation. Probes with radii of 5 - 100 nm were tested, with and without native oxide layers. Deviations from continuum contact predictions were observed during loading, especially for probes with the smallest radii. Specifically, permanent deformation was shown to initiate at lower-than-expected loads, resulting in higher-than-expected contact areas. Upon failure, both fracture and plastic deformation were observed.
Complementary ex situ mechanical testing was also performed on micro-scale contacts with designed-in nanoscale roughness. This was done using a custom-built micromechanical tester that enables precise alignment of large (tens-of-microns to millimeter-scale) samples. Adhesion and loading tests were performed on surfaces that had been pre-characterized using atomic force microscopy. These measurements allow direct comparison against established models of the mechanics of rough surfaces. Taken together, the in situ and ex situ testing provides insight into the nano- and micro-scale response of contacting silicon surfaces. These insights can be used to rationally tailor surface properties in device applications.
12:45 PM - A10.05
Extraordinary Stress in Silicon Spheres via Anomalous In-Fiber Expansion
Alexander Gumennik 1 3 Benjamin Grena 1 2 3 Etgar Levy 1 3 Lei Wei 1 3 Chong Hou 1 2 3 Tara Sarathi 1 2 3 Ayman Abouraddy 5 John D Joannopoulos 1 3 4 Yoel Fink 1 2 3
1Massachusetts Institute of Technology Cambridge United States2Massachusetts Institute of Technology Cambridge United States3Massachusetts Institute of Technology Cambridge United States4Massachusetts Institute of Technology Cambridge United States5University of Central Florida Orlando United States
Show AbstractGenerating extreme stresses typically requires extreme measures, in particular when large areas are involved. However, the ability to produce crystalline silicon structures under stress could have a significant technological impact, as it is well established that stress can reduce the bandgap of silicon and thus enable the use of silicon for photodetection at higher wavelengths. Here we introduce a simple and scalable method for producing silicon particles under high stress conditions in excess of 10,000 atm. For this, we harness the anomalous expansion upon solidification of crystalline silicon. A silica fiber with a crystalline silicon core is fed through a flame yielding spherical silicon droplets via capillary instabilities. Upon cooling the spheres solidify and expand against the stiff silica cladding generating high stress conditions. We quantify the stress levels through two independent measurements conducted in Si and Ge. Band gap shifts of 0.05 eV to the red (in Si) and 0.09 eV to the blue (Ge) are observed, corresponding to internal stress levels of and respectively. Importantly, we show that these stress levels exceed the surface stress as measured through birefringence measurements by an order of magnitude, thus hinting at a pressure-focusing mechanism. We investigate theoretically the effects of the solidification kinetics on the stress levels reached inside the spheres, and we find that our experimental results are in agreement with a pressure-focusing mechanism arising from radial solidification of the spheres from the outer shell to the center. The simplicity of this approach presents compelling opportunities for the achievement of unusual phases and chemical reactions that would occur under high-pressure high-temperature conditions, which therefore opens up a pathway towards the realization of new in-fiber optoelectronic devices.