MRS Meetings and Events

 

MF03.02.06 2022 MRS Spring Meeting

UV Curing Effect on Mechanical Stability of Flexible Dielectric Thin Films Fabricated by Plasma-Enhanced Chemical Vapor Deposition of tetrakis(trimethylsilyloxy)silane Precursor

When and Where

May 9, 2022
3:00pm - 3:15pm

Hawai'i Convention Center, Level 3, 328

Presenter

Co-Author(s)

William Wirth1,Jacob Comeaux1,Rajib Chowdhury1,Seonhee Jang1

University of Louisiana at Lafayette1

Abstract

William Wirth1,Jacob Comeaux1,Rajib Chowdhury1,Seonhee Jang1

University of Louisiana at Lafayette1
Low-dielectric constant (low-k, k&lt;4.0) materials have replaced the traditional silicon oxide to reduce resistance-capacitance (RC) delay in the interconnects of semiconductor devices. To further reduce the k-value to 2.5 or less, porosity is introduced into the film by adding a sacrificial porogen precursor and removing the porogen in a subsequent treatment using ultraviolet (UV) irradiation curing or thermal annealing. On the other hand, there is an increasing interest in researching various flexible electronic materials and their integration to manufacture flexible electronics. Dielectric materials should have good compatibility with flexible substrates and excellent electrical and mechanical stability for the fabrication of flexible electronic devices.<br/>Flexible low-k SiCOH thin films were fabricated by plasma-enhanced chemical vapor deposition (PECVD) of tetrakis(trimethylsilyloxy)silane (TTMSS, C<sub>12</sub>H<sub>36</sub>O<sub>4</sub>Si<sub>5</sub>) precursor on the substrate of indium tin oxide on polyethylene naphthalate (ITO/PEN). The sheet resistance of ITO was about 12 Ω/square. The transparency of ITO was ≥ 75 % with a haze of 3 %. The PEN substrate was DuPont Teijin film. Thicknesses of ITO and PEN layers were &gt;180 nm and 0.125 mm, respectively. The TTMSS precursor consists of Si-O and methyl functional groups. One Si atom centered in a whole molecule is bonded to four oxygen atoms which are connected trimethylsilyl (Si(CH<sub>3</sub>)<sub>3</sub>). When the substrate was placed on the susceptor in a reactor, gas molecules were vaporized from the TTMSS precursor in a bubbler. Vaporized molecules carried by argon (Ar) with a purity of 99.999 % gas were delivered from the bubbler to the reactor. The films were deposited at room temperature of 25°C with an operating pressure of 200 mTorr (26.7 Pa). The flow rate of Ar gas was maintained at 18 sccm. The RF plasma power with 13.56 MHz was chosen from 20 to 100 W. After deposition of the SiCOH films, UV curing with 270-280 nm wavelength and optical power of 100×10<sup>-6</sup> W/cm<sup>2</sup> was conducted at room temperature for various exposure times. After UV curing, the effects of the UV curing conditions on the chemical, electrical, and mechanical properties of low-k SiCOH thin films were studied. To confirm the mechanical stability, bending tests with bending cycles up to 10000 were performed. The thickness and refractive index of the SiCOH films were measured by ellipsometer. Surface morphology of the films was observed by atomic force microscopy (AFM). The water contact angle for hydrophilicity of the film was determined by contact angle goniometer. The functional groups of the films were identified using Fourier transform infrared (FTIR) spectroscopy. The chemical composition of the films was determined by X-ray photoelectron spectroscopy (XPS). Electrical properties including the k-value and leakage current density were observed by the fabrication of metal-insulator-metal (MIM) structure by depositing aluminum (Al) on the SiCOH films. Mechanical properties including hardness and elastic modulus were measured using a nanoindenter.<br/>UV irradiation preferentially removed porogen-related CH<sub>x</sub> groups and then modified Si-CH<sub>3</sub> and Si-O-Si bonds. The fractions of cage and network configurations in Si-O-Si made an impact on the degree of cross-linking and thus mechanical properties. The modification of chemical bonds also affected k-values. It was expected that the enhanced electrical and mechanical performance could be obtained if porogen was completely removed with a sufficient curing time. The mechanical strength was affected due to the change in chemical functional groups after UV curing contributed to the electrical and mechanical stability after bending tests.

Keywords

plasma-enhanced CVD (PECVD) (deposition) | thin film

Symposium Organizers

Aaron Franklin, Duke University
Joseph Andrews, University of Wisconsin
Thomas Anthopoulos, King Abdullah University of Science and Technology
Cinzia Casiraghi, University of Manchester

Publishing Alliance

MRS publishes with Springer Nature