Symposium Organizers
Hernan Míguez CSIC
Shanhui Fan Stanford University
Kylie Catchpole The Australian National University
Dim-Lee Kwong Institute of Microelectronics
J1: Exploring the Limits of Absorption Enhancement
Session Chairs
Kylie Catchpole
Hernan Miguez
Zongfu Yu
Monday PM, November 28, 2011
Republic B (Sheraton)
9:30 AM - **J1.1
A Thermodynamic Approach to Artificial Photonic Materials for Solar Energy Conversion.
Harry Atwater 1
1 Applied Physics and Materials Science, California Institute of Technology, Pasadena, California, United States
Show AbstractArtificial photonic materials can enhance light-trapping and absorption, as well as increase the open circuit voltage and enhance quantum efficiency in solar photovoltaic structures. We describe a thermodynamic approach to understanding the opportunities for artificial photonic materials to increase solar energy conversion efficiency. This approach focuses on the control of the increases in photon entropy in light-matter interactions, as a means of minimizing free energy losses in photovoltaics.From thermodynamic arguments, Yablonovitch and Cody in 1982 determined the maximum absorption enhancement in the ray optics limit for a bulk material to be 4n2, where n is the index of refraction of the absorbing layer [1]. Stuart and Hall in 1997 expanded this approach to study a simple waveguide structure; however, for the waveguide structures they considered, the maximum absorption enhancement was <4n2 [2]. Using a combination of analytical and numerical methods, we describe why these structures do not surpass the conventional ergodic limit, and show how to design structures that can. The conventional light trapping limit can be exceeded in waveguide-like structures when the active region has an elevated local density of optical states (LDOS) compared to that of the bulk, homogeneous material. Additionally, to practically achieve light trapping exceeding the ergodic limit, the modes of the structure must be appreciably populated via an appropriate incoupling mechanism. We find using full wave simulations that ultrathin solar cells incorporating a plasmonic back reflector can achieve spatially averaged LDOS enhancements of 1 to 3, and a metal-insulator-metal (MIM) structure can achieve enhancements over 50 at a wavelength of 1100 nm, near the the band edge of Si. Interestingly, incorporating the active solar cell material within a localized metallodielectric plasmonic or metamaterial resonator can lead to nearly spatially uniform LDOS enhancements above 1000 within the active material. Another opportunity for increased photovoltaic efficiency lies in the control of the angular distribution of absorbed and emitted light interacting with a solar cell. In particular, we illustrate how artificial structures placed on top of a thin solar cell that control the light emission angle can increase the open circuit voltage and cell efficiency.Overall, we find many opportunities for increasing photovoltaic efficiency by adopting a thermodynamic perspective on light-matter interactions. These results can guide future solar cell designs that incorporate dispersive dielectric, plasmonic and metamaterial artificial photonic structures.[1] Yablonovitch and Cody. IEEE Trans. Elect. Dev. 29 300 (1982)[2] Stuart and Hall J. Opt. Soc. Am A 14 3001 (1997)
10:00 AM - J1.2
Ergodicity of Light-Trapping in Nanocrystalline Silicon Solar Cells.
Hui Zhao 1 , Eric Schiff 1 , Baojie Yan 2 , Jeff Yang 2 , Subhendu Guha 2
1 , Syracuse University, Syracuse, New York, United States, 2 , United Solar Ovonic. LLC, Troy, Michigan, United States
Show AbstractNanocrystalline silicon solar cells (nc-Si:H) are thick enough that a simple "classical" estimate of the maximum photocurrent enhancement due to light-trapping is a useful guide. This maximum enhancement is 4n2, where n is the refractive index of nc-Si:H; this limit is based on an ergodic argument that all the electromagnetic modes in the cell at a given wavelength are equally excited by sunlight.We have prepared nc-Si:H solar cells using several different texturing and back reflector schemes, and analyzed their quantum efficiencies in terms of a simple enhancement metric Y that can be compared to this 4n2 result. We have also analyzed published nc-Si:H cell properties from other laboratories. While optical measurements have shown the full Y=4n2 effect, photocurrents in thin-film nc-Si:H cells do not. We find that the largest values for Y are 15 for 1.0 micron thick cells, and about 25 for 2.5 micron cells.Since enhancements of these magnitudes can be achieved using a variety of implementations, we suggest that this convergence indicates that the best light- trapping implementations for thin-film nc-Si:H cells are close to ergodicity, even though the Y-values are well below 4n2. We account for this difference by parasitic absorption in the cell (by doped layers, reflectance losses, etc.) and by imperfect anti-reflection coatings. We discuss three approaches to further increasing light-trapping: reducing parasitic absorption, improving anti-reflection coatings, and implementing true "supraclassical" designs involving evanescent electromagnetic excitations beyond the modes considered for the 4n2 limit [1,2].[1] Martin A. Green, Prog. Photovolt: Res. Appl (2010).[2] Zongfu. Yu, Aaswath Raman, Shanhui Fan - Proc. Nat. Acad. Sci. (Oct 2010 Vol 107 #41).
10:15 AM - J1.3
Development of Photonic and Plasmonic Designs to Surpass the 4n2 Light Trapping Limit.
Jeremy Munday 1 , Dennis Callahan 1 , Harry Atwater 1
1 Applied Physics, CALTECH, Pasadena, California, United States
Show AbstractRecently there has been great interest in the nanotexturing solar cells in an attempt to surpass the traditional light trapping limit as described by Yablonovitch. Because this limit is only valid for bulk absorbers, it does not apply to the new generation of subwavelength solar absorbers including wire-based, photonic crystal-based, or plamonic-based cells. Herein, we describe a methodology for designing solar cells that have intensity and absorption enhancements that exceed the ergodic light trapping limit by two methods: one based on the local density of optical states (LDOS) and one based on the density of waveguide modes. Using a combination of analytical and numerical methods, we show how structures can be designed to beat this limit over an arbitrarily large wavelength range using frequency sum rules. For thin film solar cells, incorporating a plasmonic back reflector can result in spatially averaged LDOS enhancements of >3, and a metal-insulator-metal (MIM) structure can result in enhancements of >200 near the bandedge of Si. We also find that placing the active material within a localized metallic resonator can lead to a nearly spatially uniform LDOS with enhancements > 1000. Purely dielectric structures can also lead to intensity enhancements exceeding the ergodic limit. For a low index active layer (n=1.5) clad by a high index layer (n=3), the LDOS enhancement is >10. Finally, we show that for thin film solar cells with dispersive dielectric structures such as photonic crystals the ergodic light-trapping limit can be exceeded with LDOS enhancements of 2 to 5 by placing a planar solar cell in close proximity to a photonic crystal. These results lead us to the design principles needed to construct optical structures with light trapping well beyond the 4n2 limit by elevating the local density of states above that of a similar bulk structure.
10:30 AM - **J1.4
Wave Domain Light Trapping Theory.
Zongfu Yu 1
1 , Stanford University, Stanford, California, United States
Show AbstractEstablishing the fundamental limit of nanophotonic light-trapping schemes is of paramount importance and is becoming increasingly urgent for current solar cell research. The standard theory of light trapping demonstrated that absorption enhancement in a medium cannot exceed a factor of 4n^2/sin(θ), where n is the refractive index of the active layer, and θ is the angle of the emission cone in the medium surrounding the cell. This theory, however, is not applicable in the nanophotonic regime. Here we develop a statistical temporal coupled-mode theory of light trapping based on a rigorous electromagnetic approach. Our theory reveals that the standard limit can be substantially surpassed when optical modes in the active layer are confined to deep-subwavelength scale, opening new avenues for highly efficient next-generation solar cells.
11:00 AM - J1:Limits
BREAK
11:30 AM - **J1.5
Photonic and Plasmonic Solar Cells with Absorption Beyond the Classical 4n2 Limit.
Rana Biswas 1 2
1 , Ames Laboratory, Ames, Iowa, United States, 2 Depts. of Physics & Astronomy; Electrical & Computer Engineering; Microelectronics Research Center, Iowa State University, Ames, Iowa, United States
Show AbstractSilicon based solar cells have very low absorption of long wavelength photons in the red and near-infrared regions of the solar spectrum. Light trapping and advanced photon management techniques are necessary to harvest such long wavelength photons. We describe recent advances in developing a photonic-plasmonic crystal back-reflector based conformal solar architecture in thin film nano-crystalline silicon. Simulations were performed with a rigorous scattering matrix approach [1] using experimental material properties. The simulated absorption and photo-current exceed the classical 4n2 limit expected for a randomly roughened Lambertian back-reflector, for commonly used thicknesses of the absorber layer (500-1000 nm). The conformal solar cells can exceed the classical limit over the entire range of solar wavelengths. This is a long-sought after goal since it provides fundamental limits under which periodically patterned solar cells may exceed the performance of traditional light-trapping approaches. The enhancement occurs through a combination of plasmonic light concentration and light-trapping through waveguide modes. Losses in these cells will be discussed. Collaborations with C. Xu and V. Dalal are gratefully acknowledged.[1] R. Biswas, C. Xu, Optics Express 19, A664-A672 (2011).
12:00 PM - J1.6
Inverse Electromagnetic Design for Light Trapping in Solar Cells.
Vidya Ganapati 1 2 , Owen Miller 1 2 , Eli Yablonovitch 1 2
1 Electrical Engineering and Computer Science, University of California, Berkeley, Berkeley, California, United States, 2 Material Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractLight trapping in solar cell materials increases both the short circuit current and open circuit voltage, leading to increased efficiency. Additionally, light trapping allows for thinner materials, reducing cost. When material thickness is much larger than the wavelength of incoming light, the maximum absorption enhancement is 4n^2, where n is the index of refraction of the material. As material thicknesses become on the order of the wavelength of light, this limit does not necessarily hold, and the optimal surface texture geometry remains to be found. We propose the use of an inverse design algorithm with a commercial finite difference time domain solver to computationally find the surface texture for optimal light trapping under a maximum thickness constraint. We show solutions for broadband absorption in two-dimensional and three-dimensional Gallium Arsenide structures.
12:15 PM - J1.7
Limiting Solar Cell Acceptance Angle: A Path to Exceeding 40% Efficiency with a Single Junction.
Emily Kosten 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractIn a solar cell, increases in entropy are associated with reduced efficiency and open circuit voltage. A solar cell receiving light from the solid angle subtended by the disk of sun and emitting light into the upper hemisphere, suffers a large entropy increase, corresponding to an ~280 mV loss in open circuit voltage. Limiting the acceptance angle of the cell gives an increase in open circuit voltage because it is more difficult for emitted photons to leave the solar cell, which gives reduced dark current for materials with low non-radiative recombination rates, a phenomenon known as photon recycling. Limiting the acceptance angle of a solar cell to the disk of the sun avoids this entropy increase and allows the open circuit voltage to approach the bandgap of the semiconductor material. In addition, limiting the acceptance angle leads to improved light trapping within the solar cell, allowing for ultrathin cells. The few previous works in this area have not considered both the light trapping and photon recycling advantages, owing to the materials and geometries considered. In our work, we consider a system involving a high quality GaAs solar cell with a randomizing surface, which should give both photon recycling and light trapping effects. In addition, we move beyond the ray optics limit, accounting for mode cutoff, which allows us to accurately explore ultrathin cells. We find that for a 50 nm thick cell with an internal florescence yield (IFY) of 99%, an experimentally achievable figure in high quality GaAs systems [1], the detailed balance efficiency is 40.2% with angular restriction to the solar disk. In addition, the open circuit voltage is 1.41 V, very near the GaAs bandgap of 1.42 eV. For a more easily fabricated cell of 250nm with IFY of 90%, 37% efficiency is possible even if the angle of acceptance is relaxed to 1.8° for easier tracking. This is a 17% efficiency increase over a more traditional 3 um thick cell with no angular restriction and identical IFY. We will also show efficiency curves demonstrating more generally the effects of IFY, cell thickness and angular restriction. However, all these efficiency increases assume a low loss, broadband, angularly restrictive coupler. We have designed such a coupler and analyzed it using a ray-tracing approach. This coupler, based on a dielectric compound parabolic concentrator shape, with reflective surfaces, is low loss and broadband, owing to its reliance on total internal reflection and ray optics. Furthermore, it can be less than 1mm thick, depending on the degree of angular restriction desired. We will show transmission as a function of angle for various couplers based on this design, as well as the corresponding effect on detailed balance efficiency. [1]Schnitzer et. al. Appl. Phys. Lett., 62(2), 1993.
12:30 PM - **J1.8
The Opto-Electronic Physics Required to Approach the Shockley-Queisser Efficiency Limit in Solar Cells.
Eli Yablonovitch 1
1 Electrical Engineering & Computer Sciences, University of California, Berkeley, California, United States
Show AbstractAbsorbed sunlight in a solar cell produces electrons and holes. But, at the open circuit condition, the carriers have no place to go. They build up in density and, ideally, they emit external fluorescence that exactly balances the incoming sunlight. Any additional non-radiative recombination impairs the carrier density buildup, limiting the open-circuit voltage. At open-circuit, efficient external fluorescence is an indicator of low internal optical losses. Thus efficient external fluorescence is, counter-intuitively, a necessity for approaching the Shockley-Queisser efficiency limit. A great Solar Cell also needs to be a great Light Emitting Diode.Owing to the narrow escape cone for light, efficient external emission requires repeated attempts, and demands an internal luminescence efficiency >>90%.We show here that maximizing the external emission of photons from the front surface of the solar cell proves to be the key to reaching the highest possible voltages. In the search for optimal solar cell candidates, then, materials that are good radiators, in addition to being good absorbers, are most likely to reach high efficiencies.As solar efficiency begins to approach the SQ limit, the internal physics of a solar cell transforms. Shockley and Queisser showed that high solar efficiency is accompanied by a high concentration of carriers, and by strong fluorescent emission of photons. In a good solar cell, the photons that are emitted internally are likely to be trapped, re-absorbed, and re-emitted, leading to “photon recycling” at open-circuit. The SQ limit assumes perfect external fluorescence yield at open-circuit. On the other hand, inefficient external fluorescence at open-circuit is an indicator of non-radiative recombination and optical losses. Owing to the narrow escape cone, efficient external emission requires repeated escape attempts, and demands an internal luminescence efficiency >>90%. We find that the failure to efficiently extract the recycled internal photons is an indicator of an accumulation of non-radiative losses, which are largely responsible for the failure to achieve the SQ limit in the best solar cells.In high efficiency solar cells it is important to engineer the photon dynamics. The SQ limit requires 100% external fluorescence to balance the incoming sunlight at open circuit. Indeed, the external fluorescence is a thermodynamic measure of the available open-circuit voltage. Owing to the narrow escape cone for internal photons, they find it hard to escape through the semiconductor surface. Thus external fluorescence efficiency is always significantly lower than internal fluorescence efficiency. Then the SQ limit is not achieved.The Shockley-Queisser limit cannot be achieved unless light extraction physics is designed into high performance solar cells, which requires that non-radiative losses be minimized, just as in LED’s.
J2: Novel Concepts for New Generation Photovoltaics I
Session Chairs
Monday PM, November 28, 2011
Republic B (Sheraton)
2:30 PM - **J2.1
Trapping Light Fantastic.
Diederik Wiersma 1 , Filippo Pratesi 1 , Kevin Vynck 1 , Francesco Riboli 1 , Matteo Burresi 1
1 micro and nano photonics, European laboratory for Non-linear Spectroscopy (LENS), University of Florence, and INFM-CNR BEC, sesto fiorentino (Florence), Florence, Italy
Show AbstractWe will go into various strategies for trapping of light waves by multiple scattering of light, using random and hyper-uniform patterns. Enormous enhancement of absorption can be achieved in a broad frequency range, due to interference effects related to Anderson localization. The concepts explained in this contribution can also be used to make diffuse light sources of which the angular emission patterned can be tuned.
3:00 PM - **J2.2
Correlated Randomness for Broad-Band Light-Trapping in Semiconductor Systems.
Peter Bermel 1 2 , Michael Ghebrebrhan 1 2 , Claudia Lau 2 , Xing Sheng 3 , Jurgen Michel 4 , Lionel Kimerling 3 , Marin Soljacic 2 , Steven Johnson 5
1 Research Laboratory of Electronics, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Department of Physics, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 4 Materials Processing Center, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 5 Department of Mathematics, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractAll semiconductor-based devices used to capture broad-band light, such as selective solar absorbers and photovoltaic devices, are limited in their thickness and therefore their absorption. There are two strategies for addressing these limits, based on geometric and wave optics. Geometric optics-based light trapping relies on random scattering of incoming light into oblique angles to increase the average path length up to a factor of 4n^2 in a 3D medium of refractive index n. Wave optics-based light trapping uses resonant modes to enhance the dwell time of light at certain wavelengths. Here we consider a hybrid approach combining both geometric and wave-optics based light trapping strategies in the same framework. We define the key characteristics of correlated randomness necessary for this approach and report the results of our optimizations to date. Finally, we discuss potential strategies for experimental design and testing.
3:30 PM - J2.3
Temporal Coupled Mode Theory of the Microsphere Solar Cell.
Dennis Callahan 1 , Jonathan Grandidier 1 , Harry Atwater 1
1 , Caltech, Pasadena, California, United States
Show AbstractReducing the cost per watt of solar energy can be achieved by either utilizing cheaper materials and manufacturing methods or by increasing the efficiency of currently produced solar cells. We have recently introduced a simple, cheap and scalable method of increasing the efficiency of any planar solar cell by depositing a monolayer of dielectric nanospheres above the existing device. The spheres act as incoupling elements, utilizing both the diffractive nature of their arrangement and the coupled whispering gallery modes which exist in each individual sphere. Because the behavior of the sphere array is sensitive to many factors such as sphere diameter, sphere refractive index, inter-sphere spacing, lattice constant and spacing from the active layer, it is difficult to optimize the structure using methods such as finite difference time domain (FDTD) simulations. We thus utilize a different, faster method known as temporal coupled mode theory to optimize the incoupling structure for a given underlying device. This method has been used only a few times in the context of solar cells, and here we extend it to the new whispering gallery mode microsphere solar cell system.We find that, using this theory, the amount of light absorbed is sensitive to both the arrangement of the 2D array of nanospheres above the solar cell and the spacing of the array from the active layer. For example, when modeling power flow in both the nanosphere array and the underlying solar cell independently, we find that the decay rates from the sphere to the array and to the solar cell each need to be ½ the decay rate of the sphere back into free space. This can be achieved by keeping the sphere diameter the same while increasing the lattice constant while independently tuning the spacing of the sphere array from the active layer. Since the spacing of the active layer is usually the same as the anti-reflection coating thickness, this suggests that a tradeoff may be necessary between these two factors. We find optimal configurations for the nanosphere array using temporal coupled mode theory and compare with results from full wave FDTD simulations.
3:45 PM - J2.4
Visible Three Dimensional Photonic Crystals Using Silicon.
Ganapathi Subramania 1 , Arthur Fischer 1
1 , Sandia National Laboratory, Albuquerque, New Mexico, United States
Show AbstractSilicon is an important material for solar cells and detectors. Achieving three dimensional light control as offered by three-dimensional (3D) photonic crystals (PC) can be quite useful in enhancing their performance especially in converting visible radiation. Silicon’s large refractive index (n~3.4) makes it ideal for obtaining large 3D photonic bandgap but its near-IR absorption edge (~1100nm) has discouraged its use for this purpose. Here, we experimentally demonstrate that the practical operational frequency range of a silicon based 3D photonic crystal can be extended nearly into the visible (~700nm), which is nearly 400nm above the absorption edge of silicon. To show this we fabricated a 9 layer logpile PC[1] with lattice constants of 220nm, 250nm and 300nm composed of silicon rods obtained by electron beam evaporation of a silicon wafer. The optical response shows a strong bandgap for all three lattice constants with nearly 90% transmittance and negligible absorption past the lower band edge. By creating an ‘acceptor’ type defect cavities in the logpile PC we introduce an absorption peak within the photonic band gap whose wavelength can be tuned with lattice constant. This can be quite useful for controlled absorption localization. The absorption wavelength remains relatively stable up to an incidence angle range of 0- 23 degrees. This interesting and somewhat surprising behavior arises from the fact that silicon is an indirect bandgap semiconductor. As a result, the imaginary part of the refractive index (k) increases quite slowly with decreasing wavelength past the absorption edge. From the measured values of the extinction coefficient for our electron beam evaporated silicon samples, ‘k’ increases modestly from < 0.005 around 850nm to about 0.1 near 650nm thus indicating that a small amount absorption is indeed tolerable up to 9 layers. Silicon deposited using methods such as like as chemical vapour deposition or epitaxy is likely to offer better crystalline properties[2] thus enabling operation further into the visible regime. Due to the mature nanofabrication technology this opens up new possibilities for large scale fabrication. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL85000.References:[1]G. Subramania, Y. J. Lee, A. J. Fischer, Advanced Materials 2010, 22, 4180.[2]E. D. Palik, Ed. Handbook of Optical Constants of Solids, Academic Press, 1985.
4:00 PM - J2:Concepts 1
BREAK
4:30 PM - J2.5
Intermediate Bands in Metallic Silicon: Design, Evolution, and Effectiveness for Sub-Band Gap Photon Management.
Mark Winkler 1 , Elif Ertekin 1 , Daniel Recht 2 , Joseph Sullivan 1 , Michael Aziz 2 , Jeffrey Grossman 1 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 School of Engineering and Applied Science, Harvard University, Cambridge, Massachusetts, United States
Show AbstractThe intermediate band solar cell provides a route for sub-band gap photon management, producing photocurrent from photons with energy less than the band gap via a two-step absorption process. This thermodynamically sound concept provides a route to photovoltaic devices with efficiencies as high as 63%. The most promising structure for realizing this high-efficiency concept is still unclear, and several materials are currently under investigation as candidates. We have used ion implantation followed by pulsed laser melting to introduce high concentrations (~1% atomic) of deep-level dopants into silicon, a process we refer to as hyperdoping and which yields large (>104 cm-1) absorption coefficients for sub-band gap photons [1]. Although intermediate levels in a semiconductor are generally active in non-radiative processes that reduce carrier lifetime, it has been proposed that this efficiency-reducing effect could be avoided if the intermediate electronic levels delocalized, for example, via a Mott insulator-to-metal transition.
In this submission, we will discuss experimental observations of insulator-to-metal transitions in silicon driven by deep-level dopants [2]. For example, in both sulfur- and selenium-hyperdoped silicon, we have observed transitions from insulating to metallic conduction at peak dopant concentrations just over 1020 cm–3. The impact of this phase transition on other material properties, such as carrier lifetime, will be discussed. We will also discuss ab initio calculations that describe the evolution of the dopant bands into the metallic state. These calculations, which are in good agreement with experimental results, indicate the physical mechanism whereby dopants give rise to significant sub-band gap optical absorption.
Finally, using our experience with chalcogen-hyperdoped silicon, we have generated a set of design criteria for selecting dopants in silicon that show promise for intermediate band formation. We will describe these criteria, as well as the characterization of silicon hyperdoped with a broad set of new deep-level dopants such as transition metals. Using electronic transport measurements as well as spectroscopic characterization, we will report how different dopant choices affect band formation in silicon. The promise of these materials for realizing intermediate band photovoltaic devices will be discussed.
[1] S.H. Pan, D. Recht,
et al.,
Appl. Phys. Lett. 98, 121913 (2011)
[2] M.T. Winkler
et al.,
Phys. Rev. Lett. 106, 178701 (2011).
4:45 PM - J2.6
Solar Spectrum Splitting Parallel Multijunction High Efficiency Concentrating Photovoltaics.
Lirong Broderick 1 , Marco Stefancich 2 , Dario Roncati 3 , Brian Albert 1 , Xing Sheng 1 , Lionel Kimerling 1 , Jurgen Michel 1
1 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Material Science and Engineering, Masdar Institute of Science and Technology, Masdar United Arab Emirates, 3 , Progettazione Ottica Roncati, Ferrara Italy
Show AbstractConcentrating photovoltaics is a promising method to increase solar cell efficiencies and reduce cost by utilizing expensive semiconductor materials more efficiently using inexpensive optical elements. Traditional solar cells used in concentrating systems include vertically stacked III-V multijunctions, which use expensive Ge substrates, require complicated film growth techniques for lattice matching and forming tunnel junctions, and necessitate current matching that is difficult to optimize for varying weather conditions and limits efficiencies. An enhancement to direct vertical stacking is splitting the solar spectrum into several bands directed towards discrete solar cells with spectrally matched bandgaps, allowing cells to be optimized independently, eliminating the requirement for lattice and current matching, enabling freedom in materials choice based on bandgap considerations. To concentrate and split the spectrum simultaneously, however, discrete optical components comprised of complex lenses and dichroic elements or diffraction gratings are usually used, which increases optical loss and adds to the complexity of mounting and manufacturing. A recently published article reported a compact optical system, consisting of an assembly of lenses, concentrators and a dichroic mirror made of fused silica, dozens of layers of five types of thin oxide coatings. We have designed a system for spectrum splitting and concentration using a significantly simplified compact optical system and inexpensive, Si-based solar cells for different spectral ranges. The optical system design is based on simulation results from Matlab programming and ray tracing. It is comprised of a single element prism concentrator using a near linear array of prisms, which splits and concentrates the spectrum. The same wavelength refracted by different prisms will be projected onto the same point, where a bandgap-appropriate solar cell can be located, and other bandgap-matched cells of higher and lower energies would be located adjacently, where higher and lower energy photons are directed. The concentration level can reach 50x to 200x, depending on the number of prisms employed. This simple optical element can be fabricated using inexpensive polymers or glass. A prototype made of polycarbonate has been fabricated and tested. For solar cells to be used with the prism concentrator, we designed integrated GaAs, Si and Ge solar cells on the same substrate, laterally aligned to receive different spectral bands optimized for highest efficiency. Instead of using expensive Ge substrate, a single crystalline thin film Ge cell is grown on top of a Si substrate through a two-step selective epitaxial growth. This Ge film is furthermore used as a virtual substrate for a GaAs cell. The architecture of an integrated solar cell allows easy mounting of the cells with respect to the prism concentrator, and using the Si substrate reduces cost. The system efficiency is expected to be about 35%.
5:00 PM - J2.7
Flexible Concentrator Photovoltaics Based on Microscale Silicon Solar Cells Embedded in Luminescent Waveguides.
Jongseung Yoon 1 , Lanfang Li 2 3 , Andrey Semichaevsky 4 , Jae Ha Ryu 2 3 , Harley Johnson 3 4 , Ralph Nuzzo 3 5 , John Rogers 2 3 4
1 Department of Chemical Engineering and Materials Science, University of Southern California, Los Angeles, California, United States, 2 Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 3 Frederick Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 4 Department of Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 5 Department of Chemistry, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractOptical concentration represents an important strategy to reduce the cost of photovoltaic (PV) systems by improving utilization of semiconductor materials and augmenting their performance. While luminescent solar concentrators (LSCs) provide many unique advantages over conventional concentrators, their practical application has been frustrated due to their optical inefficiencies associated with self-absorption of emitted photons and propagation losses due to scattering and leaky waveguiding. Here we present a type of composite luminescent concentrator PV system that consists of large scale, interconnected arrays of microscale silicon solar cells in thin polymer matrix layers doped with organic luminophores. Techniques of deterministic assembly enabled spatial layout of surface-embedded ultrathin microcells to be matched with intrinsic loss characteristics of the LSC system to enhance their performance. Photons that strike the cells directly generate power in the usual manner, while those incident on the matrix generate wavelength-downconverted photons that are reflected and waveguided into the sides and bottom surfaces of the cells to increase further their power output, by more than 300% in examples reported here. Unlike conventional luminescent photovoltaics, this unusual design can be also implemented in extremely small thicknesses for mechanically flexible concentrator modules that demand low bending stiffness, high degrees of flexibility, and high luminophore concentrations.
5:15 PM - J2.8
Impact of Plasmonic Nanoparticles on Hematite Photoanodes for Solar-Powered Water Splitting.
Scott Warren 1 , Elijah Thimsen 1 , Michael Graetzel 1
1 Chem. and Chem. Eng., Ecole Polytechnique Federale de Lausanne, Lausanne, VD, Switzerland
Show AbstractEfficient production of chemical fuels using the energy in sunlight remains one of the most attractive, sustainable solutions to the global energy problem. Hydrogen production via photoelectrochemical water splitting is a single-step process to capture and chemically store the energy in sunlight. It is understood that to a large extent this is a materials problem, as known materials and configurations have not been able to achieve the simultaneous requirements of low cost synthesis, high energy conversion efficiency, and long-term stability. To circumvent challenges with charge separation, we designed hematite photoanodes with plasmonic gold nanoparticles that localized light absorption near the semiconductor/water interface. An enhancement in the spectral response of the electrode was observed, which could not be explained by catalytic or electronic effects of the metal particles. The effect is assigned to the plasmonic absorption and subsequent energy transfer to the semiconductor. It was also observed that the metal nanoparticles decreased the photovoltage and resulted in a lower rate of hydrogen production; next generation devices will need require surface passivation of the metal nanoparticle.
Symposium Organizers
Hernan Míguez CSIC
Shanhui Fan Stanford University
Kylie Catchpole The Australian National University
Dim-Lee Kwong Institute of Microelectronics
J3: Novel Concepts for New Generation Photovoltaics II
Session Chairs
Peter Bermel
Kylie Catchpole
Hernan Miguez
Tuesday AM, November 29, 2011
Republic B (Sheraton)
9:30 AM - **J3.1
Plasmonic and High Index Nanostructures for Efficient Photovoltaic Energy Harvesting.
Mark Brongersma 1
1 , Stanford University, Stanford, California, United States
Show AbstractNanometallic (i.e. plasmonic) and high index dielectric nanostructures have gained significant interest for their ability to boost the energy conversion efficiency of photovoltaic and photo-electrochemical cells. Such structures can help direct the flow of light into those regions of the cell where photo-generated carriers are most effectively used. In doing so, we can address one of the main limitations of current solar cell designs: the large mismatch between the relevant electronic length-scale (minority carrier or exciton diffusion length) and photonic length-scale (absorption depth of light). I will discuss recent progress in the development of periodic/aperiodic plasmonic and high index structures to boost performance of energy conversion devices.
10:00 AM - J3.2
Separating Optical and Electronic Pathways with the Nanocoax Photovoltaic Architecture.
Michael Naughton 1 , Michael Burns 1
1 Department of Physics, Boston College, Chestnut Hill, Massachusetts, United States
Show AbstractThe nanocoax architecture [1,2], consisting of an array of metal nanowire cores surrounded by a radial PV junction and a second conductor, uniquely orthogonalizes optical and electronic pathways in photovoltaics, leading to enhanced light trapping and carrier extraction [3,4]. Such a configuration has opened possibilities for increased efficiency and decreased film thickness for any PV medium that suffers from the "thick-thin" problem and can be processed in conformal film form. For amorphous silicon PV, this ability to increase efficiency while reducing film thickness has the added benefit of significantly reducing Staebler-Wronski degradation. We previously exceeded 10.5% NREL-certified initial efficiency in single junction a-Si [5]. In this talk, we will review the attributes of the nanocoax architecture, via experiment and simulations, toward pursuit of radial multijunction thin film a-Si nanocoax technology (including flexible) with efficiencies well in excess of existing planar or nanostructured configurations.[1] U.S. Patents 7,589,880, 7,754,964, and 7,943,847.[2] J. Rybczynski et al. Appl. Phys. Lett. 90, 02114 (2007).[3] M.J. Naughton et al., Phys. Stat. Sol. RRL 4, 181 (2010).[4] T. Paudel et al., Phys. Stat. Sol. 208, 924 (2011).[5] www1.eere.energy.gov/solar/review_meeting/pdfs/prm2010_pvposter_naughton.pdf
10:15 AM - J3.3
Black Plasmons in Metallic Resonant Cavities.
Mihail Bora 1 , Elaine Behymer 1 , Dietrich Dehlinger 1 , Jerald Britten 1 , Cindy Larson 1 , Tiziana Bond 1
1 Engineering technologies Division, Lawrence Livermore Nat'l Lab, Livermore, California, United States
Show AbstractWe investigate plasmonic resonant structures aimed at covering the entire visible spectrum in the 400-800 nm range for photovoltaic applications. The substrate consists of an array of vertical metallic nano-wires made of gold, silver and aluminum sputtered on a template etched in glass or silicon. Metal-dielectric-metal plasmon resonators are formed between any two adjacent nano-wires when they are separated less than 100 nm apart. Under light illumination a standing plasmon electromagnetic mode is excited in the cavity at frequencies that satisfy periodic conditions of roundtrip phase change being a multiple of 2π. The structures are tunable from ultra-violet to infrared wavelengths with maximum absorbance strength over 95% at resonance due to a highly efficient coupling with incident light. Additional fundamental mode harmonics are excited at higher frequencies extending the absorbance range to multiple wavelengths. We propose the concept of a black plasmon resonator for which the resonant modes are spaced arbitrarily close such that incident radiation is absorbed with high efficiency over the entire visible range. The 400-800 nm averaged absorbance of the gold, silver or aluminum nano-structured arrays can be increased above 75%, a remarkable feature considering that all three metals are used to fabricate highly reflective optical mirrors. Because the array has a fourfold rotational symmetry with respect to the vertical axis, the absorbance spectrum is independent of the polarization of the incident radiation. The significance of aluminum nanostructures for large scale applications is underscored by the fact that it is the least expensive pure metal and the third most abundant element in the Earth’s crust after oxygen and silicon. Prepared by LLNL under Contract DE-AC52-07NA27344.
10:30 AM - J3.4
High Index Contrast for Back Scatterers for Light Trapping.
Angelika Basch 1 2 , Thomas Soederstroem 3 , Sergey Varlamov 3 , Kylie Catchpole 1
1 Center of Sustainable Energy Systems, The Australian National University, Canberra, Australian Capital Territory, Australia, 2 Institute of Physics, University of Graz, Graz Austria, 3 ARC Centre of Excellence for Advanced Photovoltaics and Photonics, University of NSW, Sydney, New South Wales, Australia
Show AbstractThere is great scope for increased absorption using plasmonic and photonic effects which is needed to gain higher efficiencies and lower costs for thin film second generation solar cells1. One way of enhancing the photocurrent and therefore the efficiency in energy conversion of a thin film solar cell can be achieved is through the excitation of localized surface plasmons in metal nanoparticles.Dielectric nanoparticles (such as TiO2) on the other hand have a much smaller absorption resulting in less parasitic absorption. Benefits of using titania are that the material is non-toxic, cheap and widely available, stable to high temperatures and light resistant. Furthermore, light is scattered easily in a diffuse pattern, which is generally beneficial for solar cells. The concept of using white paint, a pigment (TiO2 rutile), dispersed in an oil or latex based binder has been used to provide light trapping in thin-film solar cells in 2. The basic theory of the optical behaviour has been first described in 3. However, paint contains binder and has therefore disadvantage of low refractive index contrast. A novel coating method Snow Globe Coating will be presented that provides light trapping without the need of texturing the silicon surface and also being able to get higher refractive index contrast in a scattering back reflector. The technique allows large titania particles, which provide highly effective light scattering to be used. The resulting coating on a polycrystalline-silicon thin film solar cell showed a significant enhancement of the external quantum efficiency (EQE) (number of electrons generated per number of incident photons) using particles of high refractive index material lead to an short-circuit current density (JSC) of 15.91 mA/cm2 which corresponds to an JSC enhancement of 29.3%.AcknowledgementsThis work was supported by the Austrian Science Fund (FWF) in project J 2979. The authors would like to thank Er-Chien Wang (The Australian National University) for his help.1 K. R. Catchpole, S. Mokkapati, F. Beck, E.-C. Wang, A. Basch, A. McKinley, J. Lee (2011) Plasmonics and nanophotonics for photovoltaics, MRS Bulletin June 2 O. Berger, D. Inns, and A.G. Aberle (2007) Commercial white paint as back surface reflector for thin-film solar cells. Solar Energy Materials & Solar Cells, 91, 1215-1221.3J. E. Cotter, R. B. Hall, M. G. Mauk, and A. M. Barnett (1999). Light trapping in silicon-film solar cells with rear pigmented dielectric reflectors. Prog. Photovolt: Res. Appl., 7(4), 261–274.
10:45 AM - J3.5
Localized Plasmon Enhanced Nanovoid Solar Cells.
Niraj Lal 1 , Hang Zhou 2 , Jatin Sinha 3 , Phil Bartlett 3 , Javier Garcia de Abajo 4 , Gehan Amaratunga 2 , Jeremy Baumberg 1
1 Department of Physics, University of Cambridge, Cambridge, Cambridgeshire, United Kingdom, 2 Centre for Advanced Photonics and Engineering, University of Cambridge, Cambridge, Cambridgeshire, United Kingdom, 3 School of Chemistry, University of Southampton, Southampton, Hampshire, United Kingdom, 4 Nanophotonics Centre, Instituto de Optica - CSIC, Madrid, Community of Madrid, Spain
Show AbstractWe demonstrate how localized plasmonic resonances of self-assembled spherical nanovoid arrays strongly enhance organic and amorphous-silicon solar cell performance. The intense local plasmon fields provide enhanced absorption in the ultrathin active layers, removing the conventional thickness trade-off between carrier escape efficiency and absorption. We examine the plasmonic enhancement of nanovoid solar cells experimentally with amorphous silicon solar cells and theoretically comparing the dissipation in the metallic and active photovoltaic layers. Full simulation using boundary element methods shows the potential for ‘Third Generation plasmonic PV’ using the different spatial localization of different colours of light in nanovoid structures.Our novel design [1] represents a new class of plasmonic photovoltaic enhancement: utilising localized plasmon-enhanced absorption within cavity structures. Organic solar cells fabricated on self-assembled spherical nanovoid arrays demonstrate four-fold enhancement of power conversion efficiency compared to identically prepared flat cells [2]. Here we demonstrate the efficiency enhancement of amorphous silicon solar cells fabricated on silver nanovoids and the dynamics of plasmonic enhancement with changing void diameter. Results are compared to planar and randomly textured substrates and show enhancement with sensitive dependence on nanostructure geometry and the location of Fabry-Perot-like modes within planar structures. FDTD simulations probe absorption enhancement dependence on the thickness of buffer layers commonly used in thin-film PV for both localized field strength dependence and the coupling to waveguide modes. Results are compared with experimental a-Si cells with Al:ZnO buffer layers, and theoretical analysis of power dissipation in lossy media for Si, GaAs and organic cell materials with respective buffer layers adjacent to each of the common plasmonic metals; Ag, Au, Al and Cu.Finally, we investigate the spatial and spectral distribution of plasmonic fields within void geometries [3,4] and their potential for truly integrated Third Generation plasmon-enhanced thin-film PV reaching beyond the Shockley-Queisser limit. Our results demonstrate the significant enhancement of nanostructured substrates that can be achieved in concert with the current widespread approach of nano-particle plasmonics. The nanovoid plasmonic geometry offers strong advantages including the ease and low cost of manufacture, robust film architecture, the control of the optical field within the absorbing semiconducting layers, and the straightforward electrical paths within such devices. We discuss the potential for this approach in a number of application spaces. [1] N. N. Lal et al., Optics Express 19, 11256 (2011)[2] J.J. Baumberg et al. Plasmon-enhanced PhotoVoltaic Cell: EU patent 2 047 521 (2007)[3] R.M. Cole et al., Nano Lett 7, 2094 (2007).[4] S.Coyle et al., PRL 87, 176801 (2001).
11:00 AM - J3: Concepts 2
BREAK
11:30 AM - **J3.6
Nanoscale Photon Management for Solar Cells and Transparent Electrodes.
Yi Cui 1
1 , Stanford University, Stanford, California, United States
Show AbstractAdvanced photon management using nanostructures provides exciting opportunities for enhancing optoelectronic device performance. In this talk, I will present two examples of photon management at the nanoscale: nanocone solar cells and metal nanowire transparent conducting electrodes. Nanocone solar cells are simple structures combing an efficient antireflection and light trapping across a broad band of spectra and over a wide range of incident angles while enhancing the charge carrier collection and maintaining low dark current. Using amorphous Si, we demonstrate high power efficiency for both substrate and superstrate configurations. Nanocone cells opens up exciting opportunities for a variety kinds of photovoltaic devices, to improve the performance, reduce materials usage, and relieve the materials abundance limitation. I will present novel metal nanowire networks as transparent conducting electrodes to replace the existing indium tin oxides. Metal nanowires with diameters smaller than and with separations larger than the wavelength of the light can allow the sunlight pass through without significant reflection or scattering back. We show that these metal nanowire networks provide very competitive optical transmittance at very low sheet resistance. The low cost processing makes them attractive for future large area optoelectronic applications.
12:00 PM - J3.7
Hybrid Metal/Semiconductor Nanostructures for Optoelectronics and Photovoltaics.
Pengyu Fan 1 , Uday Chettiar 2 , Farzaneh Afshimanesh 1 , Linyou Cao 3 , Nader Engheta 2 , Mark Brongersma 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Electrical and Systems Engineering, University of Pennsylvania, Philadelphia, California, United States, 3 , University of California, Berkeley, Berkeley, California, United States
Show AbstractRecently metallic nanostructures have been used to enhance efficiency of photovoltaics due to their unique abilities to concentrate light into absorbing semiconductor device layer; as for the semiconductor absorber its self, nanowire geometry has been proved to be superior due to supported optical resonances. In this work, we will discuss a new strategy of harmonizing metal and semiconductor building blocks for optoelectronics by looking into novel optical properties of subwavelength hybrid metal/semiconductor nanostructures. To demonstrate such strategy, optical response of a gold coated silicon nanowire was studied. We were able to show that gold coating not only could strongly boost silicon nanowire absorption near bandgap, i.e. near infrared part of solar spectrum, due to plasmon resonance, but could also act as plasmonic cloak which stronly reduces scattering from the nanowire and making the structure essentially "invisible" in visible spectrum whereas the silicon nanowire still act as a light absorber and generates photocurrent efficiently. Such group of hybrid nanostructures could lead to exciting funcitionalities of optoelectronic devices as well as creative desgins of photovoltaic applications.
12:15 PM - J3.8
Spectroscopic Evidence of Efficient Energy Transfer from Colloidal Nanocrystals to Functionalized Silicon Surfaces.
Hue Ngyuen 1 , Oliver Seitz 2 , Weina Peng 2 , Noir Nijem 2 , Michael Nimmo 1 , Yuri Gartstein 1 , Yves Chabal 1 , Anton Malko 1
1 Physics, The University of Texas at Dallas, Richardson, Texas, United States, 2 Materials Science, The University of Texas at Dallas, Richardson, Texas, United States
Show AbstractNanostructured materials attract a great deal of attention as candidates for practical photoelectronic devices, including light harvesting. Many of current photovoltaic nanostructured devices, such as organic bulk-heterojunction systems, are based on charge transfer and frequently suffer from bad interface quality and poor carrier transport and collection resulting in much lower overall conversion efficiencies than in inorganic crystalline devices. An alternative is offered by non contact energy transfer-based hybrid nanostructures combining strongly absorbing components, such as organic molecules or inorganic nanocrystal quantum dots (NQDs), with high-mobility semiconductor (SC) layers. It is envisioned that in such hybrid systems, the excitonic energy is transferred via non-radiative energy transfer (NRET) and radiative (RET) waveguide coupling across the interface with the subsequent separation and transport of charge carriers entirely within the SC-based component.In present work, we have studied hybrid structures consisting of monolayers of the colloidal CdSe/ZnS NQDs attached to oxide-free Si surfaces via self-assembled monolayers of amine-modified carboxy-alkyl chain linkers. Using hydrosilylation, H-terminated Si surfaces can be fully functionalized through Si–C bonding (no interface oxide), providing functional headgroups for NQD attachment. Such an approach results in the creation of single, tightly controlled monolayer of NQDs at a well-determined distance to the Si surface, ideally suited for energy transfer studies. Time-resolved photoluminescence (PL) studies of NQDs grafted on Si show considerable (~8 fold) acceleration of PL decay compared to NQDs on glass (used as a reference). When local field effects (e.g. modification of NQD radiative decay rate on high refractive index Si surfaces) are taken into account, we find that the efficiency of NRET from NQDs into Si is 65%. This result is consistent with theoretical calculations based on the Forster energy transfer mechanism and is further supported by a specific (~1/R3) distance dependence of NRET rates. We have prepared multilayered structures with NQDs of different sizes arranged in monolayers using appropriate linking methods and resulting in size-gradient NQD structures. The results are consistent with an exciton funneling mechanism involving interdot NRET from NQDs that are ~25 nm away from Si surface to NQDs immediately on Si and then to Si itself, thus confirming the directional energy flow. Furthermore, we observe greatly diminished NQD PL photon count in both reflected and transmitted geometry for NQD monolayers attached to ultrathin (~100-200 nm) Si films, which hints to an efficient PL coupling into waveguiding modes of thin Si film. These data suggest that NRET and RET waveguide coupling would allow energy transfer from strongly absorbing NQDs to augment the efficiency of the Si-based photovoltaics, especially of thin, flexible SCs where Si absorption is reduced
12:30 PM - J3.9
Effect of Surface Plasmon Resonance on the Photoluminescence from Si Quantum Dot Structures for Third Generation Solar Cell Applications.
Supriya Pillai 1 , Joel Hohn 1 , Craig Johnson 1 , Gavin Conibeer 1
1 ARC Photovoltaic Centre of Excellence, University of New South Wales, Sydney, New South Wales, Australia
Show AbstractBand-gap engineered solar cells made from low dimensional structures like silicon quantum dots (QDs) provide a novel way to realise a tandem cell structure grown on a single growth run from a single material system. These structures offer the potential to increase the efficiency of solar cells beyond the Shockley-Queisser limit. However these ultra-thin structures require extensive light trapping to increase efficiency. The enhanced scattering and outcoupling properties of metal nanoparticles on excitation of surface plasmons have the potential to increase absorption or emission in these structures. The generalised form of Kirchoff’s law valid for luminescent emission relates enhanced emission to enhanced absorption, as has been demonstrated experimentally for thin-film solar cells/LEDs [1]. We can therefore study the potential for QD solar cell efficiency enhancement due to plasmon scattering by carrying out photoluminescence (PL) measurements.Variation in the absorption spectrum between the layers in a tandem cell configuration implies the need for an optimized plasmonic layer design. This is to ensure that the scattering properties of the plasmonic layer are tuned to the spectral region where absorption is minimal, ie., close to the bandgap of the cells. This would correspond to the emission wavelength of the structure, which is variable. In this work we investigate the effect of plasmonics for light absorption applications in quantum dot structures with a particular focus on the position of the surface plasmon resonance wavelength. Silver metal nanoparticles were deposited on QD structures with different emission wavelengths using the self-assembly method of evaporation and annealing. Room temperature PL measurements were used to characterise the samples. The results were compared to normal metal back reflectors. The effect of surface plasmon resonance position and different excitation wavelengths on PL emission are investigated. The results have implications for the design of surface-plasmon enhanced QD solar cells.Reference[1] S. Pillai, K.R. Catchpole, T. Trupke, G. Zhang, J. Zhao, M.A. Green, Appl. Phys. Lett., 88 (2006) 161102.
J4: Dye Solar Cells
Session Chairs
Tuesday PM, November 29, 2011
Republic B (Sheraton)
3:00 PM - J4.2
Localized Surface Plasmon-Enhanced Dye-Sensitized Solar Cells through Metal@Oxide Nanostructures.
Jifa Qi 1 2 , Xiangnan Dang 1 2 , Paula Hammond 2 3 , Angela Belcher 1 2 4
1 Department of Materials Science and Technology, MIT, Cambridge, Massachusetts, United States, 2 The David H. Koch Institute for Integrative Cancer Research, MIT, Cambridge, Massachusetts, United States, 3 Department of Chemical Engineering, MIT, Cambridge, Massachusetts, United States, 4 Department of Biological Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractWe report an approach towards plasmon-enhanced dye-sensitized solar cells (DSSC) by incorporating Ag@TiO2 NPs into the TiO2 photoanode. The dye absorption is enhanced by the strong localized electric field from localized surface plasmon (LSP), the recombination and back reaction of electrons in DSSC is prevented by thin oxide shell layer over the small metal cores. By incorporating Ag@TiO2 NPs, the power conversion efficiency (PCE) of DSSCs with very thin photoanodes (1.5 μm) is increased from 3.1% to 4.4%. Moreover, a small amount of Ag@TiO2 NPs (0.1 wt%) improved efficiency from 7.8% to 9.0%, while decreasing the photoanode thickness by 25% for better electron collection. In addition, 62% less materials is required for plasmon-enhanced DSSCs to maintain the same power conversion efficiency of 6.5% as conventional DSSCs. We believe that the implication of our work will guide the research in utilizing LSP to improve practical DSSC performance, by reducing the photoanode thickness while remaining the near unity absorption, thus improving electron collection and PCE.
3:15 PM - J4.3
The Effects of 100 nm-Diameter Au Nanoparticles on Dye-Sensitized Solar Cells.
Changwoo Nahm 1 , Hongsik Choi 1 , Jongmin Kim 1 , Dae-Ryong Jung 1 , Chohui Kim 1 , Byungwoo Park 1
1 WCU Hybrid Materials Program, Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractNovel metal nanoparticles, such as silver and gold, can contribute to the effective light absorption of solar cells, both by local field enhancement through the localized surface-plasmon resonance and by light scattering leading to prolonged optical-path lengths. In this research, gold nanoparticles of ~100 nm in diameter were incorporated into TiO
2 nanoparticles for dye-sensitized solar cells (DSSCs). At the optimum Au/TiO
2 mass ratio of 0.05, the power-conversion efficiency of the DSSC improved to 3.3% from a value of 2.7% without Au, and this improvement was mainly attributed to the photocurrent density. The Au nanoparticles embedded in the nanoparticulate-TiO
2 film strongly absorbed light due to the localized surface-plasmon resonance, and thereby promoted light absorption of the dye. In the DSSCs, the 100 nm-diameter Au nanoparticles generate field enhancement by surface-plasmon resonance rather than prolonged optical paths by light scattering. [1] C. Nahm, H. Choi, J. Kim, D.-R. Jung, C. Kim, J. Moon, B. Lee, and B. Park,
submitted. [2] H. A. Atwater and A. Polman,
Nat. Mater. 9, 205 (2010). Corresponding Author: Byungwoo Park:
[email protected] 3:30 PM - J4.4
Role of Surface Plasmons in Light Harvesting of Dye-Sensitized Solar Cells.
Bo Ding 1 , Mengjin Yang 1 , Bong Jae Lee 1 , Jung-Kun Lee 1
1 Department of Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractSurface plasmons by the nanostructure materials, recently, have attracted a significant amount of interests due to their application to photovoltaic devices. Here, we design Ag@silica, a metallic nanoshell-dielectric core particles for light harvesting in dye sensitized solar cells (DSSCs). The thickness of a dye coated TiO2 mesoporous film in DSSCs is only several micrometers. The current structure of the photoelectrode absorbs only a portion of the solar light, which is mainly responsible for relatively low energy conversion efficiency of DSSCs. To address this issue, we incorporated plasmonic nanostructures into DSSCs and controlled the passage of photons through the photoelectrode. In the core-shell structure, a localized surface plasmon formed at the interface between the (Ag) and dielectric (SiO2) was coupled with visible light so that the visible component of solar spectrum was amplified near the core-shell particles. By well mixing the core-shell particles with TiO2 nanoparticles, we exposed the whole photoelectrode to the surface plasmons and explored the change in the energy conversion of DSSCs by the surface plasmons. The light trapping and scattering by this plamonic particles was found to help the dye to increase light harvesting efficiency in the regimes of red and green light and to increase the efficiency of DSSCs by more than 30%. In this study, we changed the size of the silica core and tuned the absorption and scattering peaks of the core-shell particles, which allowed the efforts to match the surface plasmon wavelength with the absorption spectrum of different dye materials (N719 dye and black dye) for maximum energy harvesting. We chose different silica core size (d = 100 nm, 500 nm) to study the size effect of the core-shell structures, and found that both of the composite particles which were embedded in the TiO2 matrix could greatly increase the efficiency of DSCs, because the surface plasmons enlarged the optical cross section of dye sensitizers coated on the mixture films through the scattering or the light trapping. The IPCE spectra showed that the enhancement of the efficiency was mainly due to the scattering for large core-shell particles and the absorption for small particles.
3:45 PM - J4.5
Photonic and Plasmonic Crystal Fluorine-Doped Tin Oxide Electrode for Enhanced Light Trapping in Dye Sensitized Solar Cells.
Fengli Wang 1 , Navaneetha Subbaiyan 2 , Qian Wang 1 , Caitlin Rochford 1 , Rongtao Lu 1 , Alan Elliot 1 , Francis D'Souza 2 , Ron Hui Ron Hui 1 , Judy Wu 1
1 , The University of Kansas, Lawrence, Kansas, United States, 2 , Wichita State University, Wichita, Kansas, United States
Show AbstractFluorine-doped tin oxide (FTO) has the advantages of low cost, indium free and stable at high temperature and hydrogen environment, however, its low transmittance limited its application in optoelectronics. Improving light scattering with photonic and plasmonic crystal can make up the disadvantage and enhance light harvest of photovoltaic devices and application potential of FTO. In this work, nanopatterned photonic crystal and plasmonic FTO have been successfully created. Photonic crystal was prepared by nanoimprinting lithography involving nanoimprinting and reactive ion etching in alcohol and hydrogen plasma. ~ 10nm metal films were deposited with e-beam evaporation on the patterned FTO followed by annealing. And plasmonic metal particles formed on photonic crystal FTO. Calculation shows that scattering is improved significantly by plasmonic metal particles on the patterned photonic crystal FTO. Using the plasmonic and photonic crystal FTO as electrode, conversion efficiency of dye sensitized solar cells is expected to be enhanced greatly based on simulation. The improved performance is confirmed by experimental results.
4:00 PM - J4.6
Optimized Design of Porous 1DPC for DSC: Effect on Conversion Efficiency and Optical Properties.
Silvia Colodrero 1 , M Carmen Lopez-Lopez 1 , Gabriel Lozano 1 , Amparo Forneli 2 , Emilio Palomares 2 , Daniele Colonna 3 , Aldo di Carlo 3 , Hernan Miguez 1
1 , ICMSE-Consejo Superior de Investigaciones Científicas, Sevilla Spain, 2 , ICIQ-Institut Català d'Investigació Química , Tarragona Spain, 3 , CHOSE-Centre for Hybrid and Organic Solar Energy, Rome Italy
Show AbstractA very recent approach explored to increase the light harvesting efficiency of dye sensitized solar cells (DSC) while keeping their semi-transparency is based on the use of porous one dimensional photonic crystals (1DPC).[1,2] The coupling of these highly reflecting structures, which are made through the alternate deposition of different types of nanoparticles,[3] allows us to enhance the optical absorption within the photoactive layer in a targeted wavelength range. Theoretical and experimental developments aiming at the optimized design of efficient nanoparticle based photonic crystal layers will be presented. First, a thorough theoretical analysis in terms of the interplay between the effect of the electrode thickness, the dye absorption spectrum, and the characteristics of the Bragg reflection, is carried out using a simple analytical model that accounts for the actual optical features of the device. Predictions on the maximum enhancement factors expected for realistic structures are also reported.[4] Finally, experimental evidence will be presented to show that a conscious design of the multilayered materials can lead to efficiency enhancements up to 100% for relatively thin photoelectrodes.[5] Moreover, a detailed study of the cell appearance after integrating different photonic lattices shows the suitability of these materials to increase the conversion efficiency of this type of solar devices and to be integrated in feasible building integrated photovoltaics.[6] References 1. S. Colodrero, A. Mihi, L. Häggman, M. Ocaña, G. Boschloo, A. Hagfeldt, H. Míguez, Adv. Mater., 2009,21, 764. 2. S. Colodrero, A. Mihi, J.A. Anta, M. Ocaña, H. Míguez, J. Phys. Chem. C, 2009, 113, 1150. 3. S. Colodrero, M. Ocaña, H. Míguez, Langmuir, 2008, 9, 4430.4. G. Lozano, S. Colodrero, O. Caulier, M.E. Calvo, H. Míguez, J. Phys. Chem. C, 2010, 114, 3681.5. S. Colodrero, A. Forneli, H. Míguez, E. Palomares. Submitted. 6. D. Colonna, S. Colodrero, A. di Carlo, H. Míguez. Submitted.
4:45 PM - J4.7
Optical-Fiber/TiO2-Nanowire-Arrays Hybrid Structures with Tubular Counterelectrode for High-Efficient Dye-Sensitized Solar Cell.
Wenxi Guo 1 2 , Chen Xu 1 , Guang Zhu 1 , Caofeng Pan 1 , Changjian Lin 2 , Zhong Lin Wang 1
1 , Georgia Tech, Atlanta, Georgia, United States, 2 , Xiamen, Xiamen China
Show AbstractWe have developed an innovative structure for enhancing the performance of the fiber based 3D DSSC by integrating optical-fiber/TiO2-nanowire-arrays hybrid structures with cylindrical counterelectrodes. The TiO2 nanowire arrays are grown on the optical fiber by using liquid phase deposition method and platinium is coated on the inwall of stainless steel capillary tubes by using electroless deposion. The 3D DSSC is made by sheathering the tube on the fiber structure. In comparison to planar illumination geometry, the efficiency for the 3D structure has been enhanced by a factor of 3.6. An absolute efficiency of 6% has been demonstrated at an optimal length of TiO2 NWs (12 μm). This study demostrates a new methodology for building flexible and high-efficient fiber based 3D solar cells that can be expanded to concentrating solar cells.
5:00 PM - J4.8
Enhancement of Efficiency in Dye Sensitized Solar Cells by Incorporation of Graphene.
Soumitra Satapathi 1 2 , Sriya Das 3 , Lian Li 4 , Ravi Mosurkal 4 , Lynne Samuelson 4 , Micah Green 3 , Jayant Kumar 1 2
1 Deaprtment of Physics and Applied Physics, University of Massachusetts Lowell, Lowell, Massachusetts, United States, 2 Center for Advanced Materials, University of Massachusetts Lowell, Lowell, Massachusetts, United States, 3 Department of Chemical Engineering, Texas Tech University, Lubbock, Texas, United States, 4 , US Army Natick Soldier Research, Development and Engineering Center, Natick, Massachusetts, United States
Show AbstractGraphene has recently elicited considerable research interest because of its potential application in organic solar cells, single molecule sensing and field effect transistors. We have studied the effect of insertion of graphene sheets in TiO2 photoanodes for the fabrication of dye-sensitized solar cells (DSSC). Reduced graphene oxide with different flake sizes was used to investigate the size effect of the graphene flake on DSSC efficiency. The composite photoanodes were fabricated by physically mixing surfactant stabilized graphene aqueous dispersions and TiO2 (P25) nanoparticles. A series of sensitizers with different chelating groups were used to study the dye adsorption mechanism on graphene-TiO2 composite film. It was observed that incorporation of graphene sheets into TiO2 photoanodes leads to 35% enhancement of the power conversion efficiency. The graphene-loaded DSSCs with the ruthenium based Z907 dye yielded a total power conversion efficiency of 6.0%. The detailed experimental investigation will be presented and the possible mechanism of enhancement will also be discussed.
5:15 PM - J4.9
Light Harvesting and Electron Transport Improvements with Radial Core-Shell Au-TiO2 Nanowire Arrays in Dye Sensitized Solar Cells.
Gayatri Sahu 1 , Scott Gordon 1 , Matthew Tarr 1
1 Department of Chemistry and Advanced Materials Research Institute, University of New Orleans, New Orleans, Louisiana, United States
Show AbstractDye sensitized solar cells (DSSCs) based on ordered, highly aligned, radial Au-TiO2 core-shell hybrid nanowires were fabricated, and J-V characteristics were determined. The efficiency of the core-shell nanowire based devices were compared to TiO2 nanotube based DSSCs. The Au nanowires inside the crystalline TiO2 anatase nanoshell provided a direct conduction path from the TiO2 shell to the transparent conducting oxide substrate and improved transport of electrons between the TiO2 and the conducting substrate. This efficient electron conduction out of the oxide enhanced the current generation as well as the power conversion efficiency of the cell. Increased photocurrent from devices containing the Au nanowires was not only due to faster electron transport through the highly conductive metal core, which increases the electron collection efficiency, but also due to the light focusing effects of these periodic nanostructured anodes. The optical effects were demonstrated by an improved light harvesting efficiency, including at longer wavelengths where the dye sensitizer has weak absorption. Charge transport, charge collection and recombination properties along with enhanced light absorption effects were studied using electrochemical impedance spectroscopy (EIS) and incident photon to current efficiency (IPCE) techniques. IPCE data demonstrated the improved light harvesting efficiency of the nanostructured anodes. The wavelength dependent shifts in the IPCE values with incorporation of gold in the TiO2 nanotubes indicated that the metal cores enhanced absorbance. These structures can be pictured as periodic nanowire arrays, which interact with light to increase the sensitizer’s exposure, either through an increased optical path length or increased local electromagnetic field intensity. In contrast, a uniform increase in IPCE values would indicate improved photocurrent due to increased charge collection efficiency only. EIS allowed calculation of the effective electron dynamics and charge collection properties of the photoanodes. Rapid, radial electron collection is of practical significance because it should allow alternate redox shuttles that show relatively fast electron-interception dynamics to be utilized without significant sacrifice of photocurrent. A combination of improved electron transport and enhanced light harvesting capability make metal-TiO2 core-shell nanostructure arrays a promising approach for improving photovoltaic efficiency while minimizing costs by allowing thinner devices that use less material in their construction.
5:30 PM - J4.10
Effect of Light Scattering Design on the Incident Photon to Current Conversion Efficiency of Dye Solar Cells.
Francisco Enrique Galvez 1 2 , Erno Kemppainen 3 , Hernan Miguez 1 , Janne Halme 3
1 , ICMSE-Consejo Superior de Investigaciones Científicas, Seville Spain, 2 , Meteorological State Agency of Spain (AEMET), Madrid Spain, 3 Department of Applied Physics, Aalto University School of Science, Espoo Finland
Show AbstractCorrect optical design is essential to achieve high light-to-electricity energy conversion efficiency with solar cells, and the electrochemical dye solar cells (DSCs) based on dye-sensitized nanocrystalline TiO2 photoelectrode films are no exception. One of the most common principles is to try to boost the light absorption by the photovoltaic material in the spectral regions where it is weakest.In the case of DSCs, the most commonly used N719 dye does not absorb red or longer wavelentghs well, which gives the dye its distinct reddish colour. To compensate for this, it has become a standard practice to use diffuse light scattering to increase the optical path length of the cell at the red wavelengths. In fact, it appears that virtually all DSCs that exhibit above 10 % efficiency either incorporate additional light scattering particles inside the TiO2 photoelectrode film to increase it optical density or use an additional porous back-scattering film, or a stack of such films, to reflect any non-absorbed light back into the film. As a result, the light harvesting efficiency of the cell and, thus its short circuit current density and energy conversion efficiency, are enhanced.Herein we present the first theoretical analysis of the effect of the different optical designs usually employed to increase the performance of dye solar cells on the incident photon to current conversion efficiency of the device. We study the effect of the interplay between the diverse optical absorption profile functions, g(x,λ), and light harvesting efficiencies, ηLH(λ), extracted from the optical modeling of the device, and the electron mean free path or diffusion length in the cell. We demonstrate that there is a strong dependence of the final incident photon to current conversion efficiency (IPCE), which is evaluated by using a standard electron diffusion model, on this interplay. Second order effects, like the influence of increased photoelectron generation on the photovoltage, are also discussed.
5:45 PM - J4.11
Enhanced Light Harvesting through Optical Scattering in Solid-State Dye-Sensitized Solar Cells.
Michael Lee 1 , Henry Snaith 1
1 Physics, Condensed Matter, University of Oxford, Oxford, OXON, United Kingdom
Show AbstractLight management is a major issue in the solid-state dye-sensitized solar cell (DSC) due to the limited thickness of the active layer (~2 µm). The highest efficiency solid-state DSCs at present employ organic-based sensitizers which absorb strongly but over a narrow-band, limiting the record photocurrents to around 10mA.cm-2, with efficiencies now approaching 7%. By contrast ruthenium-based sensitizers absorb pan-chromatically but weakly, and typically deliver photocurrents in the 8 mA.cm-2 range, but could deliver closer to 20 mA.cm-2 if better light management was achieved. Incorporating a secondary “scattering” layer of around 4 microns thickness fabricated from large 200 to 400nm TiO2 particles is an established means of improving solar light in liquid electrolyte based DSCs. However, these layers are challenging to integrate into the solid-state DSC due difficulties in effectively filling the pores of thick films with solid-state hole-transporters.
Here, we demonstrate an “inverted approach” to light scattering whereby sacrificial polystyrene micro-spheres are incorporated into the TiO2 paste, and subsequently combusted during film sintering leaving spherical “micro-voids” within the mesoporous film. In the constructed solar cells this layer then contributes to both light absorption due to a high dye loading in the mesoporous domains, and light scattering due to the micro-voids. With micro-void mesoporous films with thicknesses between 1 and 2 microns, we demonstrate significant light scattering in the bare films and enhanced overall light absorption in dye-sensitized films of similar thickness as compared to a conventional “transparent” sensitized mesoporous film. Furthermore, solid-state dye-sensitized solar cells incorporating optimized micro-voids show an increase in photocurrent, and power conversion efficiency, with the best devices sensitized with a ruthenium dye generating short-circuit photocurrent of over 10 mA.cm-2 under AM1.5 simulated sun light. Importantly, the incident photon-to-electron conversion efficiency (IPCE) spectrum exhibits a broadening in the IR region. Beyond purely influencing the light scattering and absorption, the hierarchical meso-to-micro-void film also has a beneficial influence on hole-transporter infiltration.
Symposium Organizers
Hernan Míguez CSIC
Shanhui Fan Stanford University
Kylie Catchpole The Australian National University
Dim-Lee Kwong Institute of Microelectronics
J5: Multifunctional Photonic Nanostructures for Photovoltaics
Session Chairs
Wednesday AM, November 30, 2011
Republic B (Sheraton)
9:30 AM - **J5.1
Three-Dimensional Photonic Crystal Intermediate Reflectors for Enhanced Light-Trapping in Tandem Solar Cells.
Ralf Wehrspohn 1 2 , Johannes Uepping 1 , Uwe Rau 3 , Reinhard Carius 3 , Carsten Rockstuhl 4 , Falk Lederer 4 , Rudolf Zentel 5
1 Institute of Physics, University of Halle, Halle Germany, 2 , Fraunhofer Institute for Mechanics of Materials, Halle Germany, 3 Institute of Energy and Climate Research 5 - Photovoltaics, Research Center Jülich, Jülich Germany, 4 Department of Physics, Friedrich-Schiller-University Jena, Jena Germany, 5 Institute of Organic Chemistry, Johannes Gutenberg-University Mainz,, Mainz Germany
Show AbstractUnbalanced currents in serial-connected tandem solar cells are one of the major limitations for cost-efficient fabrication of third generation solar cells. By using optimized photon management, we will show for a micromoph solar cell that an embedded 3D photonic crystal acting as an intermediate reflector can balance the currents. It enhances experimentally the external quantum efficiency (EQE) for the current-limiting top cell up to factor of 3.6 corresponding to a short circuit current enhancement of about 25 % as compared to state-of- the-art textured micromorph solar cells. Our concept of 3D intermediate reflectors can be transferred to any other multi-junction solar cell even on textured substrates.
10:00 AM - J5.2
Influenece of the Nano-Mesh Metal Electrode to Light Excitation of Carriers in Semiconductor.
Kumi Masunaga 1 , Kenji Nakamura 1 , Ryota Kitagawa 1 , Eishi Tsutsumi 1 , Tsutomu Nakanishi 1 , Akira Fujimoto 1 , Hideyuki Nishizawa 1 , Koji Asakawa 1
1 Corporate Research and Development Center, Toshiba Corporation, Kawasaki Japan
Show AbstractA thin metal film with nano-apertures, called “nano-mesh eletrode,” showed good conductivity and interesting optical characteristics; localized electric field and light transparency. The effects of these optical features on carrier excitations were investigated when the nano-mesh electrode was bedded on semiconductors by experimental and simulation approaches. Carrier excitations were estimated from photocurrents. We fabricated a metal nano-mesh electrode that contains triangle lattice apertures on a semiconductor substrate consisting of a depletion layer. In case of the gold nano-mesh electrodes whose aperture period is 200nm on the silicon, the nano-mesh showed lower reflectance and higher photon efficiency than a micrometer-scale-mesh in spite of the adverse opening ratio of the nano-mesh at a wavelength range of around 900nm. The wavelength, where such behaviors were observed, depended on the material and the aperture structures. Finite-difference time-domain (FDTD) method revealed that the ratio of the light entering the semiconductor through the nano-mesh electrode was greater than the opening ratio of the nano-mesh. The localized electric field and the enhancement of the electric field density were observed in the simulation at the edges of the nano-mesh apertures. The wavelength given those enhancements showed a good agreement with the wavelength where the efficiency increment was observed. These results indicate that the localized electric field involves in carrier excitations under certain conditions that are determined by metal, semiconductor materials and nano-mesh structures.
10:15 AM - J5.3
Development of Plasmonic Graphene Transparent Electrode.
Guowei Xu 1 , Jianwei Liu 1 , Zhijun Chen 2 , Victor Maroni 2 , Judy Wu 1
1 Physics and Astronomy, University of Kansas, Lawrence, Kansas, United States, 2 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractSingle layer graphene absorbs only about 2.3% of the incident white light and has high electrical conductivity even at low charge carrier density due to its unique gapless electronic structure. Plasmonic graphene transparent conductors allow enhanced light trapping and scattering on this promising transparent conductor for photovoltaic and other optoelectronic applications. In this work, we report fabrication and characterization of plasmonic graphene, which is graphene decorated with silver nanoparticles using either nanoimprint lithography or thermal-assisted self-assemly. Tuning of surface plasmonic resonance frequency has been achieved by varying the size of Ag nanoparticles. Total transmittance (1-reflectance) up to 85% at 550 nm has been observed, and further improvement is expected upon optimization of fabrication. In addition, plasmonic graphene shows much improved electrical conductance by a factor of 2-4 comparing to graphene. The plasmonic graphene demonstrates a promising potential to replace the traditional transparent electrodes.
10:30 AM - J5.4
Thermal Stability of Nano-Structured Tungsten Selective Emitters.
Heon Lee 1 , Stephen Bathurst 1 , Sang-Gook Kim 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractA fundamental challenge in solar-thermal-electrical energy conversion is the thermal stability of materials and devices at high operational temperatures. This work focuses on the thermal stability of tungsten selective emitters for thermal photovoltaic (TPV) systems which are anticipated to enhance the conversion efficiency of them.Photonic crystals are periodic nano-structures that are designed to affect the motion of photons at certain wavelengths. The nano-structured patterns, however, lose their structural integrity at high temperature, which disrupts the tight tolerances required for spectral control of the thermal emitters. As an emitter material, we have tested polycrystalline tungsten (PCW), which is low cost and has high melting point (3422oC) while grain growth may degrade the nanostructures. With several firing tests at 1200oC, we have observed that grain growth and recrystallization, surface diffusion and oxidation are the major modes of degradation of the tungsten nano-structures. We observed that relaxing residual stress on work-hardened PCW by pre-annealing enables the structures to survive more than 40 hours at 1200oC. We also observed a thin layer of diffusion barrier coating, 7 nm thick TiN with oxygen stuffing, has been effective in preventing oxidation for more than 30 hours at 1200oC. 1-D and 2-D surface diffusion have been studied through modeling and simulation in parallel with the firing tests. The time-temperature correlation at constant pressure has been developed to see if a nano-structure survives for 300 hours at 1200oC, the same structure would remain for more than 3 years at 800oC, our target operational temperature.
10:45 AM - J5.5
Self-Limited Plasmonic Nanowelding: Localizing Light and Heat in Nanostructures.
Erik Garnett 1 , Michael McGehee 1 , Yi Cui 1 , Mark Brongersma 1
1 Materials Science, Stanford University, San Francisco, California, United States
Show AbstractNanoscience has supplied us with a wealth of new strategies to construct high performance devices such as solar cells, thermoelectrics, sensors, transistors, and transparent electrodes. The bottom-up synthetic approach offers the benefits of large-scale chemical synthesis and high throughput while circumventing the need for etching processes that waste material and create surface defects. One of its greatest challenges is finding suitable assembly and contacting procedures that allow for complex device fabrication without costly patterning steps. Here, we demonstrate a light-induced plasmonic nanowelding technique to assemble metallic nanowires into complex interconnected networks. The small gaps that form naturally at nanowire junctions cause local light focusing and heating predominantly at the point where the wires need to be joined together. The extreme sensitivity of the heating efficiency on the junction geometry causes the welding process to self-limit when a connection between the wires is made. At each junction point where nanowelding occurs, the bottom nanowire recrystallizes epitaxially onto the top nanowire, consistent with the simulated heat generation profile. This talk will use results from full-field simulations, electron microscopy and optical scattering experiments performed before and after optical illumination to understand this self-limited plasmonic nanowelding process. Moving beyond metal nanowire networks to complete solar cells, the ability to control light and heat at the nanoscale becomes even more important.
11:00 AM - J5:Materials
BREAK
11:30 AM - J5.6
Implications of Durability of Materials to System Performance in Photovoltaics.
Myles Murray 1 , Roger French 1
1 Solar Durability- Lifetime Extension Center, Case Western Reserve University, Cleveland, Ohio, United States
Show AbstractIn developing novel materials for enhanced photovoltaic (PV) performance it is critical to have quantitative knowledge of not just the initial performance, but also the performance of these materials and structure over the required 25 year lifetime of the PV system. Our approach to the physics of failure is to develop new metrology and metrics, coupled to degradation mechanisms and rates, as the basis for lifetime and degradation science (L&DS). Induced absorbance to dose (IAD), a new metric being developed for solar radiation durability studies of solar and environmentally exposed photovoltaic materials, is defined as the rate of photodarkening or photobleaching of a material as a function of total absorbed solar radiation dose. In a reliability engineering framework, these quantitative degradation rates can be determined at various solar irradiances making possible real time or accelerated testing. The potential to predict power losses in a photovoltaic system over time caused by the accumulation of this kind of degradation can be calculated for real time applications or extrapolated for accelerated exposure conditions. Comparisons of IADs at multiple irradiance levels leads to the acceleration prefactor (ka) which may be useful for linking and quantifying the degradation mechanisms at play in a given system, and enable accelerated testing of materials, components and systems. Using matrix math and published data, comparisons have been made showing the reduction of solar irradiance incident on the PV absorber for two types of CPV systems; two silicones used in Fresnel lenses, and an acrylic PMMA used for mirror augmented PV systems.
11:45 AM - J5.7
Synthesis and Spectroscopy of Metal-Semiconductor Hybrid Structures for Efficient Light Harvesting.
Anshu Pandey 1 , Bishnu Khanal 1 , Hsing-lin Wang 1 , Jeffrey Pietryga 1 , Victor Klimov 1
1 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractMetal plasmons can significantly modify the behavior of proximal semiconductor nanocrystals (NCs), e.g., enhancing absorption and modifying emission and energy transfer rates. The goal of our study is to develop solution-processed hybrid materials with optical responses useful for light harvesting. We describe the synthesis and optical properties of colloidal metal semiconductor hybrid structures that exhibit resonances tunable from the visible to the mid-infrared spectral region. Our synthetic technique allows for the preparation of materials with tunable spectral overlap, metal-semiconductor separation, as well as the superstructure shape. It is shown that that such tuning can be used to control the strength of the interactions between the metal and quantum dots as demonstrated by, e.g., significantly enhanced two-photon cross sections. Unusual optical responses such as plasmon mediated enhanced exciton-exciton interactions are observed in these systems. We study the emergence of novel phenomena in these systems through time resolved spectroscopic techniques. Potential applications of such structures towards improving photovoltaic efficiency will be discussed and motivated based on their unusual optical properties.
12:00 PM - J5.8
Localized Surface Plasmon Enhanced Quantum Dot Solar Cells.
Jiang Wu 1 , Rick Eyi 1 , Scott Mangham 1 , Seungyong Lee 1 , Vanga Reddy 1 , Omar Manasreh 1
1 Electrical Engineering, University of Arkansas, Fayetteville, Arkansas, United States
Show AbstractWe report on surface plasmon enhancements in InAs/GaAs quantum dot solar cells. The purpose of using surface plasmon is to enhance the optical absorption in quantum dot solar cells. By using quantum dot solar cell, one can achieve optical absorption at long wavelengths below GaAs bandgap. Light trapping in metal nanostructures offers the potential to realize high efficient intermediate band solar cell based on these quantum dots. We synthesized colloidal metal nanoparticles by a simple gold salt reduction method. Self-assembled monolayers of metal nanoparticles were deposited on solar cell surface by using dithiol as linkers. Additional thermal annealing was introduced to modified nanoparticle size and density. The effect of metal nanoparticle morphology change from thermal annealing on solar cell efficiency was investigated. Moreover, we fabricated devices with different emitter thickness. Notably, the measured photocurrent is dramatically enhanced after deposition of metal nanoparticles. Photocurrent enhancement is due to localized surface plasmon from the metal nanostructures improves the absorption in semiconductor. By introduce metal nanoparticles on quantum dot solar cells, we are able to achieve relative efficiency enhancement over 10%.
12:15 PM - J5.9
Solution-Chemical Derived Nickel Nanochains-Alumina Cermet for High Performance Selective Solar Absorbers.
Xiaoling Shi 1 , Xiaoxin Wang 1 , Jifeng Liu 1
1 Thayer School of Engineering, Dartmouth College, Hanover, New Hampshire, United States
Show AbstractSolar thermal and solar photovoltaics are two major approaches to harvest solar energy. Compared to photovoltaics, solar thermal technology based on concentrated solar power (CSP) is advantageous in terms of lower cost and much easier energy storage. Ideally, the solar absorber for a CSP system should absorb all the incident solar energy and convert it to heat without thermal emittance losses in the infrared regime due to black-body radiation. Cermet selective solar absorbers consisting of metal nanoparticles dispersed in a ceramic matrix have been developed for this application, yet the fabrication is mostly based on relatively costly vacuum deposition technology and the performance still needs further improvement for high working temperatures >500oC. In this work, a new selective solar absorber derived from cost-effective solution-chemical method has been developed. The absorber consists of a thin film with nickel nanochains embedded in a dielectric matrix of alumina. Engineering the configuration of the Ni nanochains provides another handle to achieve better selective solar absorber performance than traditional solar absorbers based on discrete metal nanoparticles. Large-scale nickel nanochains were synthesized by solution-chemical method, and the product was characterized by XRD and SEM. The average diameter of nickel nanochains is about 80nm and the length can reach up to several micrometers. It was found that the Ni-Al2O3 cermet solar absorber, fabricated by spin-coating a solution containing the as-synthesized Ni nanochains and Al2O3 precursor, shows a good performance with high solar absorptance and low thermal emittance. On one hand, the as-synthesized nickel nanochains have an increased solar absorption due to the surface plasma polariton (SPP) mechanism. On the other hand, by engineering the geometry of the nanochains (e.g. length and shape of the chains), additional functionalities can be added to the system compared to nanoparticles alone. Especially, the pore size in the nickel nanochain network is in the order of micrometers, which can be engineered to interact with mid-infrared thermal emission in order to minimize thermal emittance losses. Therefore, this work brings us a step further to achieve high performance selective solar absorbers for CSP systems at low cost.
12:30 PM - J5.10
Wet Chemically Etched Silicon Nanowires: A Key Component in New Generation of Photovoltaic Devices.
Vladimir Sivakov 1 , Bjoern Hoffmann 1 , Muhammad Bashouti 3 , Matthias Pietsch 3 , Felix Voigt 1 2 , G. Broenstrup 3 , Florian Talkenberg 1 , Sebastian Schmitt 3 , Arne Bochmann 1 , Silke Christiansen 1 3
1 Semiconductor Nanostructures, Institute of Photonic Technology, Jena Germany, 3 , Max Planck Institute for the Science of Light, Erlangen Germany, 2 Institute of Physics, Carl-von-Ossietzky University, Oldenburg Germany
Show AbstractCreation of one-dimensional nanostructures has opened up a new area for device applications in electronics, optoelectronics, thermoelectronics, photocatalysis, photovoltaics, sensor, and bio-imaging. For all device concepts based on SiNWs, the crystal structure, geometry (alignment of SiNW with respect to the substrate), interfacial properties between the SiNW and the substrate as well as the Si core and the SiO2 shell of the SiNW (shell can either be native or thermally grown oxide), dopant concentrations and impurity levels are of key importance for functioning of the devices.Silicon nanowire (SiNW) ensembles with different architectures have been realized using wet chemical etching of bulk silicon wafers with an etching hard mask of silver nanoparticles that are deposited by wet electroless deposition. The antireflective properties of SiNWs, extremely high absorption and broader band gap structure can be the keys for the next generation solar cells based on silicon nanowires.The first concept of the solar cell is based on the semiconductor-insulator-semiconductor (SIS) layer sequence produced by ALD. A thin tunneling oxide (high k material) with a thickness of few Å and a TCO (Al:ZnO) around WCE SiNWs have been realized in ALD prozess. The first prototype reached an open-circuit voltage of 500 mV, a short-circuit current density of 35 mA/cm2 and efficiencies over 9%. The charge carrier separation was studied by electron beam induced current (EBIC). The influence of the thickness, chemical nature of the tunneling oxide and back, front contact’s structure and stability will be discussed in details.The second concept based on SiNWs is a hybrid organic/inorganic solar cell performed by two different approaches: (i) chemical vapor deposition chamber or (ii) electroless wet-chemical etching procedure. As-grown SiNWs are single crystalline and have a smooth (CVD SiNWs) or rough (wet chemical etching) surface covered with a thin oxide layer. Significant light absorption (over 90% in 200-2000 nm) was observed in the silicon nanostructures. In a further step the huge SiNW surface was functionalized into a charge separation interface for solar cells by the deposition of conductive polymers (PEDOT:PSS) upon them. The Al:ZnO TCO as a contact to the polymer coated SiNWs was realized by applying ALD which allowed us to cover SiNWs with precise uniformed Al:ZnO thin layer. The effect of the SiNWs surface alkalization will be discussed in details. The first prototype reached an efficiency over 5%.The third concept of the solar cell is based on the radial p-n junction produced by CVD, Wet chemical Etching and ALD. The first prototype reached an efficiency over 3%. To visualize the charge carrier separation electron beam induced current (EBIC) was applied.The detailed microstructure and optoelectronic properties of the solar cell concepts will be presented and discussed in details.
12:45 PM - J5.11
Layer-by-Layer Construction of Transferred Titania Nanotube Arrays and Anodized Nanoparticles for Efficient Dye-Sensitized Solar Cells.
Seunghyun Baik 1 2 4 , Qing Zheng 1 , Hosung Kang 2 , Jongju Yun 1 , Jiyong Lee 1 , Jong Hyeok Park 3
1 Department of Energy Science, Sungkyunkwan University, Suwon Korea (the Republic of), 2 SKKU Advanced Institute of Technology, Sungkyunkwan University, Suwon Korea (the Republic of), 4 School of Mechanical Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 3 Department of Chemical Engineering, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractIt is quite a challenge to improve the design of photoanodes with an elevated power conversion efficiency and lower cost since many hybrid structures do not possess the high light-harvesting efficiency, long photoelectron lifetime, desirable electron extraction and facile operation simultaneously. To tackle these problems, we report on fabrication of the hybrid photoanode for front-illuminated dye-sensitized solar cells (DSCs) constructed via layer-by-layer assembly of self-standing titania nanotube arrays and nanoparticles [1]. Both nanotubes and nanoparticles were prepared by electrochemical anodization without involving the P25 or sol-gel processes providing low cost and great simplicity. SEM, XRD, TGA and EIS were employed for the characterization of photoanodes. The novel hybrid photoanode based DSCs extended the electron lifetime by 237.5% compared with that of pure titania nanoparticle based DSCs and exhibited a high power conversion efficiency of 8.80%, maximum incident photon-to-current conversion efficiency of 84.6% and short-circuit current density of 18.89 mA/cm2 [1]. The current methodology provides a very promising candidate for sustainable energy production with a high performance/cost ratio. [1] Qing Zheng, Hosung Kang, Jongju Yun, Jiyong Lee, Jong Hyeok Park, and Seunghyun Baik, Hierarchical Construction of Self-Standing Anodized Titania Nanotube Arrays and Nanoparticles for Efficient and Cost-Effective Front-Illuminated Dye-Sensitized Solar Cells, ACS Nano, May, 2011, DOI: 10.1021/nn201169u
J6: Silicon Thin Film Solar Cells I
Session Chairs
Wednesday PM, November 30, 2011
Republic B (Sheraton)
2:30 PM - **J6.1
Design and Integration of 1-D Photonic Crystals in Thin-Film Silicon Solar Cells.
Miro Zeman 1 , Olindo Isabella 1 , Pavel Babal 1 , Arno Smets 1 , Janez Krc 2
1 , Delft University of Technology, Delft Netherlands, 2 , University of Ljubljana, Ljubljana Slovenia
Show AbstractThe attention of thin-film silicon solar cell technology is at present focused on the development and implementation of novel concepts capable of increasing the stabilized efficiency. Photon management plays an important role in improving absorption in the absorber layers, resulting in an increased short-circuit current of the solar cells. In this contribution we will address important aspects and techniques of photon management in thin-film silicon solar cells. Among the advanced optical approaches we will in particularly focus on one-dimensional (1-D) photonic crystal (PC) structures in the role of a Distributed Bragg Reflector (DBR) for a back and intermediate reflector in thin-film silicon solar cells.The DBR structure basically consists of periodic repetition of two alternating layers with different optical properties. Design and fabrication of different DBRs with a wide-band reflectance close to 100 % using materials compatible with thin-film silicon solar cells baseline process, such as amorphous silicon (a-Si), amorphous silicon nitride (a-SiN)/oxide (a-SiO) and zinc oxide will be presented. A concept of a modulated 1-D PC is introduced as a back reflector for thin-film solar cells. This structure comprises two PC parts, each consisting of layers of different thicknesses. Using appropriate layers of amorphous silicon-based alloys a reflectance close to 100% is achieved over a broad wavelength region (700–1300 nm).The reflectance spectra of PC on several different substrate carriers, such as glass or stainless steel foil, with (i) flat surface, (ii) 1-D periodic gratings and (iii) randomly textured surfaces will be presented. The behaviour of PC under different angles of incidence will be demonstrated. Using optical modelling the reflectance spectra of the DBR was optimized for the required application in the solar cells, such as the intermediate or back reflector. The application of 1-D PC as the back reflector in single-junction amorphous silicon solar cell and intermediate reflector in a tandem micromorph solar cells will be demonstrated. The properly designed DBR based on a-Si/aSiN was integrated at the rear side of amorphous silicon solar cells and deposited on the back TCO. Using photolithography, two different back contact designs were developed. The two designs focus, respectively, on the lateral charge collection through back TCO contact when displaced with respect to the DBR stack and on metal via contact which was established through the DBR stack. The spectral response and electrical I-V characteristics of solar cells with DBR as back reflector will be reported.
3:00 PM - J6.2
Study of Photonic Crystals for Ultrathin Solar Cells Based on Epitaxy-Free Silicon.
Ounsi El Daif 1 , Xianqin Meng 2 3 , Valerie Depauw 1 , Guillaume Gomard 2 3 , Christos Trompoukis 1 , Emmanuel Drouard 2 , Alain Fave 3 , Frederic Dross 1 , Christian Seassal 2 , Ivan Gordon 1
1 , IMEC, Leuven Belgium, 2 Institut des nanotechnologies de Lyon, CNRS-Ecole centrale de Lyon, Lyon France, 3 Institut des nanotechnologies de Lyon, CNRS-INSA de Lyon, Lyon France
Show AbstractIn order to decrease the cost of silicon solar cells, presently dominating the market, there is a need to go towards innovative fabrication techniques. Promising approaches are offered by thin film silicon (Si) solar cells on cheap substrates, with an active layer thickness of the order of the micron.We investigate “epifree” cells: monocrystalline Si cells on a glass substrate, fabricated thanks to an original controlled porosification technique developed at IMEC for solar applications. These ultra-thin cells still suffer from important optical losses which decrease their short-circuit current, due to the low thickness and absorption coefficient of silicon (low for wavelengths longer than 500nm).In order to overcome the limitations of classical thin film silicon solar cells, we propose the integration of planar Photonic Crystals (PC). This approach is expected to increase absorption. In this communication, we will first report on the design of solar cells with one micrometer thick c-Si, and based on a planar PC, with a view to enable a significant absorption increase. We report on the fabrication and characterisation of such cells. The latter are fabricated based on “epifree” 1-micron thick monocrystalline layers on glass. The aimed cell is an amorphous-Si/crystalline-Si heterojunction solar cell using a transparent conductive oxide layer layer as contact and antireflection coating.The top layers are patterned as planar PCs. In order to calculate the absorption in each of the various layers, Finite Difference Time Domain simulations have been performed. Using this method, the geometrical parameters of the structures, i.e. the period, the PC filling factor and the etching depth, were scanned over a wide range so as to maximize the solar AM1.5 light absorption in the active c-Si layer. Optimized parameters could be determined for 1D photonic crystal yielding a theoretical increase in absorption of 37% with respect to the simple planar structure. 2D photonic crystal structures were also studied, with similar parameters. The absorption obtained with the 2D PC patterned stack is higher by 1/5th than in the case of 1D, mainly due to a polarization independence of 2D PC.This increase in absorption is expected to produce a gain in current. We developed a process compatible with large area patterning, in order to integrate such PC structures in a solar cell process flow. The active Si layers are patterned by laser holography, reactive ion etching (RIE) and inductively coupled plasma (ICP) using a SiO2 hard mask. The heterojunction emitter is then deposited by sputtering. We experimentally show an increase of the epifree silicon layer’s absorption thanks to the photonic crystal, as well as of the whole solar cell structures. We will then present the electrical properties of these patterned solar cells.
3:15 PM - J6.3
Plasmonic and Photonic Light Trapping Nanostructures for Thin Film Si Solar Cells.
Vivian Ferry 1 2 , Marc Verschuuren 3 , Claire van Lare 2 , Ruud E. Schropp 4 , Harry Atwater 1 , Albert Polman 2
1 , California Institute of Technology, Pasadena, California, United States, 2 , FOM Institute AMOLF, Amsterdam Netherlands, 3 , Philips Research, Eindhoven Netherlands, 4 , Utrecht University, Utrecht Netherlands
Show AbstractDesigned nanostructures are attractive candidates for enhancing optical absorption in thin film solar cells due to their ability to confine light in nanoscale dimensions. Here we present a design for simultaneously integrated semiconductor and plasmonic nanostructures in an ultrathin film a-Si:H solar cell which couples incident sunlight into both localized and propagating modes. This combination of mechanisms leads to photocurrent enhancement over the entire solar spectrum range where a-Si:H is active, 350 -750 nm. We focus on ultrathin a-Si:H solar cells, as they have advantages in carrier collection, stability, and throughput. Relative to roughened surfaces, designed nanopatterns hold potential for optimal coupling of incident light into thin semiconductor layers. Through simulation we compare the role of nanostructure shape on the back contact of a thin a-Si:H solar cell, showing that sharp features in randomly textured metallic surfaces lead to optical losses while rounded plasmonic nanoparticles increase scattering and avoid parasitic absorption. We use both simulation and experiment to study the role of randomness in the lattice arrangements of nanoparticles, comparing optimized periodic patterns, random lattices with designed power spectral density, and quasicrystal patterns to the enhancements from standard random surfaces such as Asahi glass and sputtered Ag. We fabricated n-i-p a-Si:H solar cells with patterns built directly into the metal back contact. The patterns are made using nanoimprint lithography, an inexpensive technique that allows for large-scale patterning with the resolution of electron beam lithography. The a-Si:H cells are grown with 13.56MHz PECVD, and the layers deposit conformally. The devices have intrinsic layer thicknesses ranging from 90 nm to 250 nm. The patterns are tiled across a substrate with repetition to control for inhomogeneities during deposition. For the 90 nm solar cells, optimized periodic lattices with 400 nm pitch and a particular random lattice with designed power spectral density have initial efficiencies over 9%. Key to the design is the use of a one-step conformal coating process to nanostructure both the top and back of the solar cell. The top semiconductor nanostructures are resonantly absorbing on the blue side of the spectrum while the plasmonic nanostructures are tuned to couple red light into guided modes of the cell. Electromagnetic simulation of the optical absorption agrees with the measured photocurrent, and we use simulation to study permutations on the fabricated structure that separate the roles of the top and back structures. We show that it is critical to use both the nanostructured semiconductor front and the plasmonic back, as similar absorption enhancements are not achieved with either all-semiconductor front and back texturing or individual interfaces. We compare the different plasmonic metals, indicating that Al is a viable choice that may lead to higher efficiency devices.
3:30 PM - J6.4
Explore Light Trapping for Thin-Film Silicon Solar Cells---Design and Fabrication.
Xing Sheng 1 , Lirong Broderick 1 , Jifeng Liu 3 , Steven Johnson 2 , Jurgen Michel 1 , Lionel Kimerling 1
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 3 Thayer School of Engineering, Dartmouth College, Hanover, New Hampshire, United States, 2 Mathematics, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThin-film silicon solar cell is a promising technology for solar energy due to their low-cost large-scale manufacturability, but one of the major challenges is to absorb light at infrared wavelengths where the absorption length is much larger than the active layer thickness. Traditional light trapping schemes such as textured TCO and metal reflector have several disadvantages such as enhanced surface recombination, parasitic loss at the TCO/metal interface, and the lack of ability to control and optimize the texturing. For cell efficiency improvement, we propose an all-dielectric, textured photonic crystal (TPC) as an effective light trapping scheme, which integrates dielectric gratings and a distributed Bragg reflector (DBR) in the backside of thin film silicon. The physical insights and optimal structural parameters are studied by using numerical electromagnetic simulations. To simplify the process and reduce the cost, a non-lithographic fabrication method is introduced by using a self-assembled porous alumina membrane (PAM). The optimized structure is implemented with a prototyped device and achieves up to 20% relative efficiency enhancement, and external quantum efficiency (EQE) improvement is clearly observed in the red and near-IR spectral range. To further understand the light trapping limit of different photonic structures, we develop a numerical model to optimize periodic textures in the weak absorption regime. We also compare the performances of different periodic gratings and random textures with the conventional and generalized Lambertian results. We discover that the optimized wavelength-scale periodic textures can provide the best performances within a finite spectral range and limited incident angles, significantly exceeding the predictions of the generalized models. It is shown that an enhancement/angular sensitivity tradeoff can be optimized by our numerical methodology. These results not only indicate a practical low-cost approach for efficiency enhancement in thin film Si solar cells, but also provide a guideline to optimize the light trapping in restricted angles.
3:45 PM - J6.5
An Extensive Evaluation of Plasmonic Photovoltaic Devices from Both Optical and Electronic Perspectives.
Xiaofeng Li 1 , Vincenzo Giannini 1 , Nicholas Hylton 1 , Kan-Hua Lee 1 , Ned Ekins-Daukes 1 , Stefan Maier 1
1 Physics, Imperial College London, London United Kingdom
Show AbstractPlasmonics photovoltaics has attracted considerable recent attention due to the potential of realizing efficient thin-film solar cells. Based on the mechanisms of near-field confinement, scattering or waveguiding, a number of system designs have been reported. However, to the best of our knowledge, the realist electronic configuration of a solar cell has seldom been considered in simulation, which only enables us to evaluate the device performance in terms of the optical absorption property.We aim to bridge the electromagnetic and carrier transport calculations in three-dimensional (3D) spatial domains so that a complete set of parameters describing both the optical and electronic response can be obtained. To realize such a simulation, we use a 3D electromagnetic module to calculate the detailed power flux and carrier generation profile (optical response), which are then used to obtain the exact carrier distribution within the solar cell (electronic response). We will show that both the photogenerated and dark current densities as well as the detailed spatial information of optical and electronic parameters under plasmonic effect are able to be calculated from our model; therefore, the performance parameters, including the short-circuit current density, open-circuit voltage, output power density, fill factor, light-conversion efficiency, etc., can all be calculated. Taking a gallium arsenide (GaAs) solar cell as an example, we will first compare our simulation with PC1D and existing experiments. Design of GaAs-based plasmonic solar cells will be introduced by using our model with the consideration of various metal types, dimensions and concentrations of nanoparticles. The preferential nanoparticle refractive index will be discussed in order to explore the best design of plasmonic solar cells. Our simulation shows that the short-circuit current density (light-conversion efficiency) of a 500nm-thin GaAs solar cell can be improved by over 20% (45%) after incorporating properly designed nanoparticles.
4:30 PM - **J6.6
Excitation of Plasmon and Guided-Mode Resonances in Thin Film Silicon Solar Cells.
Franz-Josef Haug 1 , Karin Soederstroem 1 , Ali Naqavi 1 , Remi Biron 1 , Celine Pahud 1 , Christophe Ballif 1
1 Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne, Neuchâtel Switzerland
Show AbstractThin film silicon is an attractive material for low cost solar energy production, however, the poor charge transport in thin film silicon poses several fundamental limitations on the device design. For example, drift rather than diffusion must be used for the charge carrier collection; the electric field across the undoped absorber layer is generated by embedding it between doped layers. Thus, the requirement of sufficient field strength limits the absorber layer thickness to length scales well below the absorption length. Having more than one dimension at our disposition, adequate absorption can nevertheless be achieved if by orienting the path of the light absorption parallel to the plane of the absorber layer where it is quasi-infinite whereas the charge carrier transport remains perpendicular to the plane. This concept is referred to as light trapping or light confinement. From a purely optical point of view, a light path parallel to the interface makes the solar cell resemble a planar waveguide. In this sence, the goal of light trapping translates to an efficient coupling between the incident radiation field and waveguide modes. Different from typical designs, the “waveguide” is absorbing and likely to support multiple modes. Furthermore, the coupling must be truly broadband meaning a band width of several dozens, ideally hundreds, of nanometers. Moreover, the coupling concept must be cost-effective on large areas. We discuss the eigenmode structure for typical solar cell configurations including the influence of supporting layers; for example, the front contact underlies certain restrictions because often it serves also as anti-reflection coating. Likewise, the metallic back contact is desirable for its reflective properties, but can become a serious loss channel when light couples to the surface plasmon polariton mode. The latter is potentially interesting because of its enhanced field amplitude close to the interface, however, in a drift device this position is reserved for one of the doped layers that do not contribute to the photocurrent. We show how an empirically introduced buffer layer actually serves to suppress such losses by transferring the plasmon mode into a regular waveguide mode whose field amplitude is concentrated in the absorber layer. Light scattering at naturally developing interface textures has so far been the most successful route for absorption enhancement in solar cells. The understanding of the underlying coupling mechanisms is complicated by the random nature of these textures. We illustrate the coupling of external radiation to waveguide modes theoretically and experimentally for the example of a solar cell device with periodic interface corrugations. Finally, we assess details of the coupling efficiency by rigorously calculating the electromagnetic field amplitudes in the device on the basis of its real interface texture.
5:00 PM - J6.7
Plasmonic Solar Cells with Embedded Silver Nanoparticles from Vapor Condensation.
Rudi Santbergen 1 , Tobias Pfeiffer 1 , Xin Li 1 , Tim Frijnts 1 , Arno Smets 1 , Andreas Schmidt-Ott 1 , Miro Zeman 1
1 , Delft University of Technology, Delft Netherlands
Show AbstractTo maximize the energy conversion efficiency of solar cells, as much light as possible has to be absorbed in an absorber layer that is as thin as possible. A well established approach is to trap light inside this layer by introducing surface roughness. A promising new approach is the use the light scattering induced by the localized surface plasmon (LSP) resonance in metal nanoparticles. When these particles are placed at the front or back of the absorber layer of a solar cell they could trap incident light inside this layer. For this trapping effect to occur and to avoid excessive absorption losses in the metal, the particles should roughly have a diameter between 50 and 200 nm and a surface coverage between 5 and 20%. The nanoparticle fabrication techniques thus far used for solar cell applications do not allow varying both particle size and surface coverage within the specified range at a reasonable cost. This has made it difficult to systematically optimize plasmonic solar cell designs. We produce silver nanoparticles in a nitrogen flow by vapor condensation. The particles are made spherical by thermally induced coalescence in the aerosol phase. A differential mobility analyzer (DMA) is used to select the gas borne nanoparticles in the desired size range. Finally an electrostatic precipitator, especially designed to obtain a uniform deposition, is used to draw the electrically charged particles onto a 3 x 3 cm2 substrate. By applying image processing software to SEM images of the fabricated films we confirmed that silver nanoparticles were produced in a narrow size range and that the particle diameter and surface coverage can be controlled throughout the range of interest through the DMA voltage and deposition time, respectively. The absorption and scatter efficiencies of the nanoparticles deposited on glass substrates were derived from total and diffuse reflectance and transmittance measurements in the wavelength range from 300 up to 1200 nm. For all samples the plasmon resonance could be clearly identified from a peak in both absorption and scatter efficiency. We will present an overview of the experimentally obtained absorption and scatter efficiency curves and compare them to simulation results. When the nanoparticle films were embedded between thin hydrogenated amorphous silicon (a-Si:H) and aluminum doped zinc oxide (AZO) layers the plasmon resonance wavelength was red-shifted to the desired wavelength range for light trapping in a-Si:H solar cells. We have for the first time fabricated a-Si:H solar cells with an embedded film of silver nanoparticles fabricated with the vapor condensation method. The most promising approach is to embed this film between the a-Si:H p-i-n structure and a rear side AZO layer. By analyzing the current-voltage characteristics and quantum efficiency curves of these solar cells we expect to gain valuable insight that will help to optimize plasmonic solar cell designs.
5:15 PM - J6.8
Optical Spectroscopy of Metallic Nanoparticle Arrays for Applications in III-V Photovoltaics.
Nicholas Hylton 1 , Vincenzo Giannini 1 , Xiaofeng Li 1 , Dries Vercruysse 2 , Pol Van Dorpe 2 , Kan-Hua Lee 1 , Nicholas Ekins-Daukes 1 , Stefan Maier 1
1 Physics, Imperial College London, London United Kingdom, 2 , IMEC, Leuven Belgium
Show AbstractRenewable energy sources in general, and photovoltaics in particular, have gathered a great deal of interest in recent years. However, solar cells have historically suffered from relatively high cost efficiency ($/Wp), limiting their commercial uptake to date. In order to make photovoltaics a viable alternative to fossil fuels therefore, recent research has focused on the need to improve solar cell efficiency and/or reduce production costs. One route towards this goal may lie in the introduction of plasmonics to photovoltaic devices. Periodic arrays of plasmonic nanoparticles fabricated on the surface of solar cell structures may provide absorption enhancements via three key mechanisms: near-field absorption enhancements arising from localized surface plasmon resonances; diffraction effects arising from the periodicity of the arrays; and scattering of light into the absorbing layers. In order to take advantage of these effects, a detailed understanding of the optical properties of such nanoparticle arrays and their interaction with the underlying absorber is required.We will present the results of an optical investigation of periodic arrays of metallic nanoparticles on III-V based absorbing layers. We demonstrate that by carefully controlling the size, shape and spacing of the nanoparticle arrays, their optical response may be spectrally tuned in order to target the wavelength regime close to the GaAs band edge, where the absorption of light is strongly diminished. Theoretical simulations show that a three-fold absorption enhancement may be achievable for such nanostructures. Furthermore, we investigate the effects of choice of metal, including Au, Ag and Al, on the optical properties of the arrays.
5:30 PM - J6.9
Optimized Scattering of the Solar Spectrum by Metal Nanoparticles.
Tristan Temple 1 , Rufina Sesuraj 1 , David Payne 1 , Darren Bagnall 1
1 Nano Research Group, University of Southampton, Southampton, Hampshire, United Kingdom
Show AbstractWhen designing metal nanoparticles for solar cell light-trapping applications we wish to maximize the scattering cross-section across the wavelength range of interest, minimize absorption across the entire solar spectrum, and optimize the angular distribution of scattering. Mie theory simulations show that appropriately-sized Ag nanospheres can scatter over 99% of the AM1.5 spectrum from 400 nm to 1100 nm, with a surface coverage lower than 30%. To investigate how close we can get to this figure in practice we have studied the optimization of scattering by metal nanoparticle arrays using two fabrication approaches: metal island films (MIFs) and electron-beam lithography (EBL). MIFs are one of the simplest nanoparticle fabrication methods available, and as such are the most commonly used method to integrate metal naoparticles into solar cells. However, MIFs are highly complex arrays, with a high surface coverage, very short nearest-neighbour distances, and a wide distribution of particle size and shape. EBL is expensive and cannot be used to create large-area arrays, but it offers an extremely fine degree of control over particle size, shape and arrangement. In particular we have used EBL and FDTD to study the influence of surface coverage, interparticle coupling and nanoparticle size and shape.Scattering of the solar spectrum by MIFs was optimized by varying the deposition and post-anneal conditions, while arrays fabricated using EBL were optimized according to results from FDTD simulations. Metal island films with an average nanoparticle size exceeding 150 nm were found to exhibit relatively constant scattering from 500 nm to 1200 nm, but substantial absorption at short wavelengths. Additionally, these films can only scatter a maximum of 60% of incident photons, despite a high surface coverage of nanoparticles. Arrays fabricated using EBL scatter more than 80% of incident photons and exhibit negligible absorption at short wavelengths. Using results from FDTD simulations we attribute absorption in MIFs to a combination of higher-order modes in large particles, interparticle coupling, irregular particle shape, and the presence of small particles in the array.The presence of a silicon layer will also strongly affect the optical properties of a nanoparticle array, including the magnitude and the angular distribution of scattering. In addition, dense arrays of metal nanoparticles can also affect the efficiency of solar cells through non-plasmonic mechanisms. For wafer-based solar cells we have observed a reduction of reflectance far from the scattering peak of the nanoparticles, which we attribute to the nanoparticle layer forming an effective-medium with a refractive index between that of silicon and air. For the case of planar thin-film solar cells the metal nanoparticles can also shift interference fringes caused by Fabry-Pérot modes, which can have a strong effect on the spectral response of the device.
5:45 PM - J6.10
Direct Measurement of Light Trapping in Ultra-Thin Si Layers.
Jorik van de Groep 1 , Pierpaolo Spinelli 1 , Rutger Thijssen 1 , Albert Polman 1
1 Center for Nanophotonics, FOM Institute AMOLF, Amsterdam Netherlands
Show AbstractEfficient light trapping in ultra-thin solar cells can be achieved by integrating two-dimensional arrays of plasmonic or dielectric nanoparticles on top of the cell. The collective resonant scattering of light from the arrays occurs preferentially into discrete waveguide modes of the semiconductor layer, leading to light trapping. Experimentally, the efficiency of light trapping is generally determined from optical reflection spectroscopy or spectrally resolved photocurrent measurements. However, these methods only provide indirect information of the light trapping efficiency since absorption can not be directly inferred from reflection spectra, and photocurrent measurements are strongly influenced by the charge collection properties of the cell. It is therefore often difficult to give a quantitative measure of how effective a light trapping scheme is. Here, we show how the photoluminescence of optically active erbium ions, incorporated in the active semiconductor layer, can be used to directly probe the intensity enhancement inside an ultra-thin film as a result of light trapping. We use a silicon-on-insulator (SOI) wafer with a 200 nm Si surface waveguide layer as a model system. The Si layer was implanted with 281 keV Er ions at a fluence of 1x1015 ions/cm2 , co-implanted with 1x1016 O ions and annealed at 680 oC for 30 min. This leads to the formation of optically active Er-O centers, that can be optically excited at 980 nm into the 4I11/2 manifold of Er3+. Strong photoluminescence at 1535 nm is observed from the implanted layers, when cooled to 14 K. Two-dimensional square arrays of silver nanoparticles with a diameter varying in the range 270-300 nm, a height of 125 nm and pitch between 500-700 nm were fabricated on top of the Er-implanted SOI wafer using substrate-conformal soft-imprint lithography. Measurements of the Er3+ emission at 1535 nm were then performed by exciting the sample using a 980 nm pump at a varying angle of incidence. The results show clear peaks in the PL intensity as a function of angle of incidence, that correspond to coupling to well-defined waveguide modes at 980 nm. The observed resonant peaks are consistent with the analytically calculated mode dispersion diagram for TE and TM modes in the 200 nm thick Si layer. We observe broadband light intensity enhancements corresponding to light trapping as large as a factor 9. We demonstrate how the mode coupling can be further enhanced by using random arrays of plasmonic nanoscatterers. In these arrays, the spectrum of spatial frequencies in the arrays is optimized using Monte Carlo simulations to lead to mode coupling over a broad spectral and angular range. In this way efficient light trapping can be achieved over a broad range of the near-infrared solar spectrum.
J7: Poster Session
Session Chairs
Kylie Catchpole
Hernan Miguez
Thursday AM, December 01, 2011
Exhibition Hall C (Hynes)
9:00 PM - J7.1
Low-Temperature Phosphorus Doping to Silicon Using Phosphorus-Related Radicals.
Taro Hayakawa 1 2 , Yuuki Nakashima 1 2 , Kouichi Koyama 1 2 , Keisuke Ohdaira 1 , Hideki Matsumura 1 2
1 Material science, Japan advanced institute of science and technology, Nomi, Ishikawa, Japan, 2 , JST-CREST, Nomi Japan
Show AbstractAmorphous Silicon (a-Si)/crystalline Si (c-Si) hetero-junction solar cells are known as high efficiency solar cells. In order to increase the efficiency of a-Si/c-Si hetero-junction solar cells, it is important to reduce the recombination of optically generated minority carriers at the a-Si/c-Si hetero-interface. Hetero-junction with thin intrinsic layer (HIT) structure cell consists of two hetero-junctions on an n-type c-Si wafer: p-type a-Si/ intrinsic a-Si/ n-type c-Si hetero-junction and of n-type a-Si/ intrinsic a-Si/ n-type c-Si hetero-junction. In order to reduce the carrier recombination of n-type a-Si/n-type c-Si hetero-junction, we attempt to introduce very thin n+-doped layer on the n-type c-Si surface. The thin n+-doped layer was formed by phosphorus (P)-related radicals generated from PH3 or mixed gas of PH3 and H2 by catalytic cracking reactions in a catalytic chemical vapor deposition (Cat-CVD) (Hot-Wire CVD) apparatus. A tungsten wire was used as a catalyzer. We confirmed that this P-doped layer is formed on the surface of a p-type c-Si substrate at low temperature as mentioned below when the catalyzer is heated at 1300 oC. We find no epitaxial Si layers on c-Si by transmission electron microscopy, which means that the formation of the n+-doped layer is not due to the unintentional chemical transport of Si species from chamber wall or other places.The electrical property of the radical-treated layer was investigated by the Hall effect. The high-resistivity (>1000 Ωcm) p-type Si substrates were exposed to the P-related radicals. When the catalyzer is heated at more than 1000 oC, the majority carriers of the radical-treated Hall element are found to be electrons. The relation between sheet carrier concentration and radical doping conditions were investigated at a catalyzer temperature of 1300 oC, and at a PH3 flow rate of 19 sccm and a pressure of 1 Pa. The sheet carrier concentrations were measured using the Hall element radical-treated for 30 - 3600 s at substrate temperatures of 80 to 350 oC, and are in the range of 2 x1012 - 1 x1013 cm -2 with the mobility of 150 - 400 cm 2 /Vs. Therefore, we confirmed the formation of n+-doped layer by the radical treatment. The passivation effect of the thin n+ layer was investigated by minority carrier lifetime. The samples are covered with 45 nm-thick a-Si passivation layers on both sides after thin n+ layers formation. The minority carrier lifetime of FZ-c-Si wafer measured by microwave photoconductive decay (μ-PCD) method increases from 2712 μs to 4829 μs at maximum [1]. Thus, it is found that the radical doping method can be utilized for the formation n+ passivation layers.
9:00 PM - J7.11
Enhancement in P3HT:ZnO Solar Cells with Plasmonic Gold Nanostructures.
Jian Wang 1 , Yun-Ju Lee 1 , Hue Nguyen 1 , Michael Nimmo 1 , Michael Jespersen 2 , Eric Pitt 3 2 , Anton Malko 1 , Richard Vaia 2 , Julia Hsu 1
1 , University of Texas at Dallas, Richardson, Texas, United States, 2 , Air Force Research Laboratory, Wright-Patterson Air Force Base, Ohio, United States, 3 , Wright State University, Dayton, Ohio, United States
Show AbstractPolymer based solar cell offers great promises for low-cost, light-weight applications. However, current polymeric materials have a low carrier mobility, which limits the thickness of the active layer in the photovoltaic devices. Hence, it is desirable to increase the optical absorption in these thin solar cells. Recently, it is demonstrated that incorporating metal nanostructure into solar cells can improve device performance. However, the competition between near-field enhancement in absorption and quenching due to energy transfer has not been well studied. Here, we introduce a layer of Au nanoparticles at controlled locations in the poly(3-hexylthiophene) (P3HT):ZnO hybrid bilayer solar cell. 15-nm Au nanoparticles with plasmon absorption peak at 520nm are synthesized by citrate reduction method followed by 3-mercapto-1-propanesulfonate(MPS) ligand exchange. By adjusting ionic strength and pH value of the nanoparticle suspension, a uniform Au nanoparticle layer with a controlled density is deposited on sol-gel ZnO surface. The dependence of the distance between the Au nanoparticles and the absorber is systematically investigated by depositing another sol-gel ZnO coating with a thickness (0-20nm) on top of the Au nanoparticle layer. The near-field plasmonic effects on P3HT films (7-20 nm) are studied using UV-vis absorption and time-resolved photoluminescence (PL). Enhancement in optical absorption and PL was observed for thin ZnO and P3HT thicknesses, consistent with a near-field effect. The plasmonic effect on device performance study will be discussed.
9:00 PM - J7.12
Effect of Inserting Polyfluorene Copolymer Layer in Dye-Sensitized Solar Cell.
Young hee Jung 1 , Changkook Hong 1
1 Advanced Chemicals & Engineering, chonnam national university, Gwang-ju Korea (the Republic of)
Show AbstractDye-sensitized solar cell is thin and possible to fabricate flexible devices. Also, it can be dyed various color, so it has lots of possibilities in photovoltaic industry field. To realize those possibilities, it is important to make efforts to achieve the high energy conversion efficiency. Many successful researches that have been studied to enhance the energy conversion efficiency of DSSC are regarding improving durability and electron-mobility. However, existing DSSC which is absorbing just visible light by dye can not make the best use of the sun light. Hence, newly designed DSSC; UV or infrared light-absorbing Polyfluorene copolymer inserted, will be helpful to enhance the energy conversion efficiency. In this project we inserted Polyfluorene(changing the absorbing wavelength by copolymerization)in DSSC in two ways. In the first way, Polyfluorene makes a role as a light converter from UV or infrared light to visible light so that dye can absorb more visible light. And the conversion efficiency of DSSC was different depending on the crystallinity of Polyfluorene. The short circuit photocurrent density(Isc)was increased due to the increased amount of visible light that dye absorbes.The second way is to insert the activlayer of organic bi-layer solar cell in DSSC. It increased the number of electron in DSSC and the increased amount of electron enhanced the energy conversion efficiency of dye sensitized solar cell.
9:00 PM - J7.13
Plasmonic Back Reflector for Thin Film Silicon Solar Cells.
Rufina Sesuraj 1 , Tristan Temple 1 , Darren Bagnall 1
1 Nano Research Group, School of Electronics and Computer Science, University of Southampton, Southampton United Kingdom
Show AbstractDecreasing the thickness of thin film silicon solar cells reduces material cost and improves carrier extraction. However it also reduces the amount of light that is absorbed, and so light-trapping schemes are required to recover this loss. Scattering of light by metal nanoparticles is a promising approach for light-trapping in thin-film solar cells. Metal nanoparticles can strongly scatter light across a broad range of wavelengths despite having sub-wavelength dimensions, due to the excitation and decay of localized surface plasmons. However, the optical properties of metal nanoparticles are highly sensitive to the particle size and shape, and the surrounding medium, which makes optimization challenging.In this paper we will consider the case of nanoparticles in a silicon solar cell with a planar back reflector. The nanoparticles are situated between the back reflector and the silicon layer, embedded in a layer of ZnO. Situating the nanoparticles at the rear of the device removes back-scattering losses that can be a problem for nanoparticles on the front surface, and minimizes the parasitic absorption of short wavelength photons that is caused by interband transitions and higher-order modes. In this arrangement we wish to create a highly diffuse back reflector with low absorption, and so must optimize the nanoparticle size and shape, the thickness of the ZnO and the position of the nanoparticles within it. We have experimentally studied the influence of nanoparticle size and distance from the back reflector using Ag island films separated from a planar Ag mirror, by a ZnO layer deposited using atomic-layer deposition. The diffuse reflectance is found to increase with nanoparticle size, and is sensitive to the ZnO thickness. A maximum diffuse reflectance of 30% at 800 nm is observed for ~150 nm diameter nanoparticles, at a distance of 50 nm from the Ag mirror. This improves upon the ~10% diffuse reflectance at 800 nm found for a conventional textured Ag reflector.FDTD simulations were used to further understand and optimize the optical properties of metal nanoparticles in a back reflector. Particles very close to the mirror can couple to propagating surface plasmons, thereby increasing parasitic absorption. Particles situated away from the mirror are influenced by driving-field effects due to the interaction of incident and reflected photons, which modulate the scattering cross-section. The simulations predict large improvements in diffuse reflectance by further optimization of nanoparticle size, shape and position.
9:00 PM - J7.14
Glow Discharge Techniques in the Analysis of Photovoltaic Materials.
Sebastian Schmitt 1 , Cornel Venzago 2 , Gerardo Gamez 3 , Vladimir Sivakov 4 , Björn Hoffmann 4 , Johann Michler 3 , Silke Christiansen 1 4
1 Photonic Nanostructures, MPI for the Sciene of Light, Erlangen Germany, 2 Anorganische Analytik , AQURA GmbH, Hanau Germany, 3 Mechanics of Materials and Nanostructures, EMPA, Thun Switzerland, 4 Photonic Nanostructures, IPHT , Jena Germany
Show AbstractFast, sensitive and quantitative chemical analyses of photovoltaic (PV) materials are still challenging and of particular interest in the support of a PV development or production process. Three glow discharge (GD) analytical techniques, mass spectrometry (GD-MS), time of flight MS (GD-TOF-MS) and optical emission spectrometry (GD-OES) exist that permit this type of analyses. All base on GD ionising sources, which sputter craters with nano-scale depth precision in the material of interest. The spatial resolution in all cases is only of the order of a few millimeters, a fact which, however, is not disadvantageous since 2D material structures are prevailing in PV. GD ionising sources require no sample preparation and work in low vacuum (10-2mbar), in contrary to x-ray photoelectron spectroscopy (XPS) or secondary ion MS (SIMS) that both require ultra-high vacuum conditions. Furthermore, GD methods have the capability to carry out quantitative multi-elemental analysis with a high elemental differentiation. GD methods are straight forward to be applied to the analysis of industrial feedstock materials and they are even applicable for the chemical analysis of thin films due to the high depth resolution. Of course GD methods permit to study materials even in devices at all stages of PV development and production. Each GD analytical technique has its strengths in particular applications in solid state analyses as pointed out below. Using a pulsed GD, it could be shown that applications of a GD-MS sector field instrument range from trace analysis in bulk materials (1ppb-100ppt) to more advanced profiling and trace analysis in thin film materials of down to 20nm thickness. Precision analyses of impurities in feedstock Si, amorphous Si (aSi) and multi-crystalline Si (mcSi) films as well as of other thin films deposited by chemical vapour deposition (CVD) and atomic layer deposition (ALD) on various substrates are demonstrated. GD-TOF-MS differs from the previous technique in offering the option to perform simultaneous multi-element analysis at better depth resolution. Despite slightly lower sensitivities (100ppb-1ppm) as compared to a sector field instrument the features ideally qualify the technique for monitoring the composition of solid thin films. The work gives an insight into profiling analysis of compound semiconductors and transparent conductive oxide (TCO) layers. Up to 10nm depth resolution is the key feature of GD-OES, a method which simultaneously detects up to 52 elements at about 1ppm-10ppm sensitivity. It is applied to depth profiling in 1st, 2nd and 3rd generation PV devices. In a mcSi solar cell and a Si thin film PV concept on glass dopant profiles, as well as diffusion profiles of impurities could be monitored. Finally, the compositions of 3D Si nano-rod PV devices were analysed. The composition profiles reveal the dimensions of the nano-rod array surface and the thickness a thin ALD tunneling barrier layer on top of the rods.
9:00 PM - J7.15
Linear Chains of Gold Nanospheres: Simulation and Construction towards Better Photovoltaic Cells.
Paul Mark 1 , Laura Fabris 1 2
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States, 2 Institute for Advanced Materials Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey, United States
Show AbstractGold nanoparticles are a critical element in the nanotechnologists toolbox, having tunable properties and surfaces that are easy to modify. Future plans for solar photovoltaic technology could utilize them by taking advantage of the high surface area and strong scattering behavior of these nanostructures to act as both active site for photovoltaic conversion and as a photon management technique. Linear chains of nanospheres retain the useful properties of isolated nanoparticles, while allowing the formation of conductive paths that can be tethered to one or both of the electrodes. These nanosphere chains would function as scattering centers, as the sites where charge separation takes place, and as charge conducting material. The advantage of these systems resides in the possibility of incorporating in one material those fundamental characteristics that have so far only been envisioned as belonging to separate components. By joining all these properties in one structure, linear chains of gold nanospheres hold the promise to help increase the efficiency and reliability of future solar photovoltaic applications. Herein I will present the most recent results of our study on 1D nanoparticle assembly, with a specific focus on the forces that drive the phenomenon and on its future repercussions on the development of a strong, reliable, and standard approach for making such structures in a low-cost manner. By utilizing numerical simulations and bottom-up synthetic procedures, that mirror and reinforce each other, a method for making linear chains of gold nanoparticles is developed and characterized, and the implementation of the results of this study in an effective photovoltaic device are envisioned.
9:00 PM - J7.16
Hydrogen Diffusion in pm-Si:H Solar Cells.
Leon Hamui 1 , B. Monroy 1 , K. Kim 2 , G. Santana 1 , P. Roca i Cabarrocas 2
1 IIM, UNAM, Mexico Mexico, 2 Laboratoire de Physique des Interfaces et des Couches Minces, Ecole Polytechnique, Palaiseau France
Show AbstractThis work describes a study performed on polymorphous Silicon (pm-Si:H) PIN and NIP structures, deposited by plasma enhanced chemical vapor deposition (PECVD). Polymorphous silicon is a nanostructured thin film consisting of a small fraction of nanocrystalline silicon clusters embedded in an amorphous matrix. We study hydrogen stability and its evolution during thermal annealing in nanostructured pm-Si:H structures. From the simultaneous measurement of heat and hydrogen desorption using a mass spectrometer, we observed a long range diffusion of hydrogen through the whole device. We studied the hydrogen bonding and from which part of the structure it came from. Different crystalline fractions were achieved in these films for the various structures as-deposited, light-soaked and annealed samples. From the evolution of Raman measurements after annealing and after light soaking, we show that this long range motion of hydrogen causes a hydrogen rearrangement on the film followed by a crystallization process which is also indicated by a shift on the exodiffusion peaks. PIN and NIP structures were compared to determine the best stability and performance for a solar cell device and are discussed in terms of the hydrogen bonding structures. These studies could determine which structure could lead to a better charge transport and efficiency enhancement due to annealing for solar cell devices.
9:00 PM - J7.17
Nanostructured Si by Metal-Assisted Etching to Enhance Light Trapping in Thin-Si Solar Cell Absorber Layers.
Jihun Oh 1 , Benjamin Lee 1 , Howard Branz 1
1 , NREL, Golden, Colorado, United States
Show Abstract Thin crystalline silicon (c-Si) solar cells are a viable route to realize $1/W PV technology because they can significantly reduce and even eliminate Si wafer costs [1]. Light management (anti-reflection and light trapping) in the thin c-Si is essential to achieve high efficiency (and hence $1/W) because Si < 10 µm can not capture weakly-absorbed red and near-infrared photons with a single pass. Yablonovitch and Cody showed that a randomly and ideally roughened surface can enhance light absorption by factor of 4n2, which is ~ 50 times for Si, due to total internal reflection [2]. This indicates that Si only 5 µm thick with the ideally roughened surface can absorb 88% of incident photons with energy E > Egap. This corresponds to a maximum photocurrent of nearly 38 mA/cm2. Here, we show that nanostructured Si can greatly enhance light absorption in a thin Si absorber by increasing light trapping and reducing optical reflection. Si nanostructures are fabricated by an inexpensive metal-assisted etch technique and have randomly-distributed nano and sub-micron scale features that can maximize scattering of light. Our nanostructured Si shows nearly ideal light trapping approaching the Yablonovitch limit. In addition, our nanostructures provide a graded surface density which suppresses optical reflection to below ~5% over the solar spectrum without any anti-reflection coating layers [3]. We will present both optical absorption and photocurrent enhancement of nanostructured Si formed on 20 and 200 µm-thick wafers and discuss critical role of nanostructure morphology on light trapping and anti-reflection in detail. Reference1. H.M. Branz, C.W. Teplin, M.J. Romero, I.T. Martin, Q. Wang, K. Alberi, D.L. Young, and P. Stradins, Thin Solid Films, in press (2011).2. E. Yablonovitch and G.D. Cody, IEEE Transactions on Electron Devices 29, 300 (1982). 3. H.M. Branz, V.E. Yost, S. Ward, K.M. Jones, B. To, and P. Stradins, Appl. Phys. Lett. 94, 231121 (2009).
9:00 PM - J7.18
Rare Earth Doped Si-Based Layers for Frequency Conversion.
Yong-Tao An 1 , Christophe Labbe 1 , Fabrice Gourbilleau 1
1 , CIMAP, Caen Cedex 4 France
Show AbstractThe quantum cutting (QC) material allowing the conversion of an energetic photon into two photons having lower energy is of interest due to the potential application in the achievement of high efficiency solar cell. For example, a phosphor doped with Pr3+ ions showing QC from ultra violet to visible lights has been recently reported [1]. Nevertheless, the main limitation in the use of rare earth ions for such a purpose is their low absorption cross section. To overcome this drawback, one solution consists in taking benefit from the efficient sensitization of rare earth ions by Si nanocluster as demonstrated in the case of Er3+ and Nd3+. But for QC an efficient energy transfer at high energy should be demonstrated. This is the objective of the present work. We propose to study Si-rich SiO2-Pr thin films deposited by reactive magnetron co-sputtering. The structure and optical properties of samples were investigated as a function of the deposition parameters (H2 content, Pr6O11 chips, total plasma pressure, etc…). FTIR and spectroscopic ellipsometry experiments have been carried out to study the samples structure, while the emission properties were explored by the photoluminescence (PL) and PL excitation measurements. We evidence the presence of PL emission of Pr3+ ions under non-resonant excitation that demonstrates the efficient energy transfer from sensitizer toward Pr3+ ions. The possibility to achieve a QC effect in Si-SiO2-Pr-Yb system is thus studied.[1] Y. Katayama, S. Tanabe. Materials 2010, 3, 2405-2411
9:00 PM - J7.19
Evaluation of Absorption Loss by Metal Nanoparticles in Plasmonic Enhancement for Thin-Film Solar Cells.
Zhu Wang 1 , Fiona Beck 1 2 , Kylie Catchpole 1
1 Centre for Sustainable Energy Systems, College of Engineering and Computer Science, The Australian National University, Canberra, Australian Capital Territory, Australia, 2 , ICFO-Institut de Ciencies Fotonique, 08860 Castelldefelds, Barcelona Spain
Show AbstractCompared with conventional wafer-based cells, thin-film solar cells with thicknesses of only a few microns and below can significantly decrease the amount of expensive crystalline silicon used, and thus, cut the production cost. However, a thin absorber layer compromises the cell performance due to poor light absorption, especially at long wavelengths (close to the bandgap) in indirect-bandgap silicon solar cells, and more efficient light-trapping techniques are required. A promising approach for light trapping is to use the scattering from metallic nanoparticles to enhance the light absorption in thin photoactive layer. Through excitation of nanoparticle surface plasmons, the incident light very effectively interacts with the nanoparticles in a resonant manner over a cross-sectional area much larger than their geometrical cross-sections. The coupling of incident light with nanoparticle surface plasmons produces strong electromagnetic field enhancement in the vicinity of nanoparticle surfaces. When the plasmonic nanoparticles are placed sufficiently close to the cell surface, the overlap of the enhanced near-fields with the cell surface will lead to strongly scattered light which is coupled to the trapped waveguide modes of the photoactive layer, dramatically increasing the optical path and hence the light absorption in the active layer. To further increase the absorption, multiple scattering events by nanoparticles are desired. However, besides scattering, the resonant coupling of incident light with nanoparticle surface plasmons also causes absorption inside the nanoparticles. Even a small absorption loss at each scattering event will accumulate to cause a considerable loss, limiting the maximal efficiency of coupling incident light with the photoactive layer. Recently, our group has shown that particles in direct contact with a semiconductor exhibit surface plasmon polariton type modes. In this paper, we use the existence of these modes to design metal nanostructures with low losses. By optimizing the particle parameters, the metallic nanoparticles can exhibit small absorption, while maintain large scattering so that strong enhancement of light absorption in photoactive layer can be achieved. For example, Ag disks 100nm in diameter directly on a Si substrate can achieve scattering cross-sections 5 times their cross-sectional area, with 9 times higher scattering than absorption and over 90% of the scattered light directed into the substrate.
9:00 PM - J7.2
Local Bandgap Change Measurement within a Dome-Shaped PbS Quantum Dot Using STEM-VEELS.
Hee Joon Jung 1 6 , Neil Dasgupta 2 6 , Ai Leen Koh 3 , Phil Stockum 4 6 , Mike Langston 2 6 , Velimir Radmilovic 5 , Fritz Prinz 2 6 , Robert Sinclair 1
1 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States, 6 Nanoscale Prototyping Laboratory, Stanford University, Stanford, California, United States, 2 Department of Mechanical Engineering, Stanford University, Stanford, California, United States, 3 Stanford Nanocharacterization Laboratory, Stanford University, Stanford, California, United States, 4 Department of Physics, Stanford University, Stanford, California, United States, 5 National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractThe ability to tune the bandgap of semiconductors using quantum confinement structures (size control at nm scale) enables the fabrication of devices including lasers, modulators, and solar cells. Lead sulfide (PbS) with a low bandgap of 0.41 eV is a strong candidate for a quantum confining medium for photovoltaics. Our research focuses on the investigation of nucleation of PbS quantum dots (QDs) by atomic layer deposition (ALD) as a route for high-aspect ratio coverage of solar cell architectures with QDs. Our previous study demonstrated a thickness-dependence of the bandgap of PbS thin films fabricated by atomic layer deposition (ALD); the bandgap of PbS can be tuned up to almost 2.0 eV, significantly beyond its bulk bandgap (0.41 eV) [1].Nucleation of PbS crystallites has been observed in thin films (<20 Å) deposited by ALD on thin SiO2 transmission electron microscopy (TEM) support grids [2]. Examination of these films by bright-field TEM, high angle annular dark field (HAADF) and in-situ TEM leads to the formation of dome-shaped and isolated PbS QDs with diameters below 10nm due to coalescence and sublimation during 200kV e-beam irradiation. These QDs are expected to demonstrate quantum confinement effects on the bandgap due to their relatively large Bohr exciton radius of ~18nm.The ability to measure the structural and electronic properties of individual QDs is important to understanding their potential application to next-generation solar cells. We measure the local bandgap and local electronic energy state within individual QDs using valence electron energy-loss spectroscopy (VEELS) in scanning TEM (STEM) mode at different electron acceleration voltages (80~300keV). We observe that the local bandgap at the edge of a QD is higher than that at the center. The local density of states (LDOS) is also changing across a dome-shaped QD presumably due to the confinement dimension variation between the edge and center. This pattern is also suggested by basic numerical particle-in-a-box analysis using dome-shaped boundary conditions.This research indicates that the bandgap can be made to vary by shape control. This implies that not only size control at the nm scale but also shape control can be used to tune the LDOS and bandgap of QDs.The authors acknowledge the National Center for Electron Microscopy, Lawrence Berkeley Lab, supported by the U.S. Department of Energy under Contract # DE-AC02-05CH11231. This research is supported as part of the Center on Nanostructuring for Efficient Energy Conversion (CNEEC) at Stanford University, an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences under Award # DE-SC0001060.1. W. Lee, N. P. Dasgupta, H. J. Jung, J. Lee, R. Sinclair and F. B. Prinz, Nanotechnology, 21, 485402, 4pp (2010)2. H. J. Jung, N. P. Dasgupta, O. Trejo, M. T. McDowell, A. Hryciw, M. Brongersma, R. Sinclair and F. B. Prinz, Nano Lett,. 11, 934-940 (2011)
9:00 PM - J7.20
Simulation and Fabrication of Two Dimensional Core-Shell Structures for Broadband Solar Cells Absorption.
Pao Lin 1 2 , Yasha Yi 2 , Xiaoman Duan 1 , Lionel Kimerling 1
1 Materials Processing Center, Microphotonics Center, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Graduate Center, CUNY, New York, New York, United States
Show AbstractSolar cell with broadband absorption and large acceptance angle are demonstrated by using two dimensional core-shell structures. The solar cell is composed by silicon oxides shell and silicon cores. The structure parameters such as core/shell thickness and the periodicity are considered in this study. Finite difference time domain calculation (FDTD) is used in the simulationWe found that the absorption spectra and the angular reflectance of the cell surface are determined by the nano-structure parameters. From our experiments, a 30 % enhancement of absorption is observed between wavelength 400 nm and1200 nm. In addition, the broadband absorption spectra are not sensitive to the light incident angles. The large acceptant angle is contributed by the continuous varied refractive indexes. The easy fabrication of core-shell structure enables the large scale fabrication of high efficient solar cells.
9:00 PM - J7.21
Shape Dependent Photovoltaic Performance of InN Nanostructures/p-Si(100) Heterojunction Grown by Molecular Beam Epitaxy.
Thirumaleshwara Bhat 1 , Mohana Rajpalke 1 , Mahesh Kumar 1 , Basanta Roul 1 , S. Krupanidhi 1
1 MRC, Indian Institute of science, Bangalore, Karnataka, India
Show AbstractFrom the perspective of the devices, the internalization of high performance III-nitides into the well established silicon technology is of significant academic and commercial interest. In this paper, comparative studies have been carried out on the performance of the photovoltaic devices which depends on the shape of the InN nanodots as well as nanorods fabricated on p-Si(100), hence on the topographical texture of the solar cell. The experimental demonstration of fabrication of InN nanodots as well as nanorods by molecular beam epitaxy is described. The electron microscopic studies confirm that, the shape of the as grown nanodots corresponds to a perfect hexagon in the film plane and a truncated pyramid in the vertical direction with very clear crystallogaraphic facets of hexagonal structure. Further, the nanodots as well as nanorods are found to be single crystalline and grown along [0001] direction. The devices fabricated with the nanodots shows a superior performance compared to the devices fabricated with the nanorods due to the inherent random pyramid texture of the cell. Such single junction devices exhibit a promising fill factor and external quantum efficiency of 40% and 30%, respectively, under concentrated AM1.5 illumination. The main advantages and disadvantages of devices are also discussed.
9:00 PM - J7.22
Plasmonic-Enhanced Organic Solar Cells Based on Grating Structures.
Akira Baba 1 , Dai Murashima 1 , Kazunari Shinbo 1 , Keizo Kato 1 , Futao Kaneko 1
1 Center for Transdisciplinary Research and Graduate School of Science and Technology, Niigata University, Niigata Japan
Show Abstract Organic thin-film photovoltaic cells are one of the most promising candidates for inexpensive and flexible photovoltaic cells based on organic materials. An important challenge in the advancement of the organic thin-film photovoltaic cells is reducing their film thickness while maintaining their high efficiency. Hence, it is essential to develop a way to strongly absorb light in the thin-film layer. Because surface plasmon resonance (SPR) offers an enhanced optical field, i.e., increased absorption in the cell, there has been considerable interest in fabricating plasmonic-structured photovoltaic cells. In this study, we demonstrate the fabrication of organic thin-film bulk-heterojunction photovoltaic cells on Blu-ray Disc recordable (BD-R) substrates for the excitation of grating-coupled SPs, which efficiently improve the photocurrent conversion. We also studied the distance dependence of the plasmon-enhanced photocurrent property by controlling the thickness of the P3HT:PCBM layer because the SP is an evanescent wave that exponentially decays as it moves away from the metal surface. Furthermore, in order to understand the experimental results, finite-difference time-domain simulations were performed by assuming that the organic thin-film photovoltaic cells had a grating structure. FDTD calculations indicated an increased electric field distribution in the cell, which corresponded well with the experimental results.
9:00 PM - J7.24
Oligothiophene Dye-Sensitized Solar Cells.
Xiaoyan Jing 1 , Jingyuan Liu 1 , Milin Zhang 1 , Peng Wang 2
1 aKey laboratory of Superlight Materials and Surface Technology, College of Materials Science and Chemical Engineering, Harbin Engineering University, Harbin China, 2 State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Science, Changchun China
Show Abstractwe have investigated the energetic and kinetic interplays in oligothiophene dye-sensitized solar cells via a joint photophysical and electrical analysis. Electron injection from the excited-state oligothiophene dyes to titania nanocrystals is ultrafast, ensuring almost quantitative exciton dissociation yields in spite of short exciton lifetimes. The charge recombination rate of oxidized dye molecules with the titania electrons is nearly independent of the structural variation in this series of sensitizers. However, there is an apparent Gibbs free energy dependence of the interception rate of dye cations by iodide. The sharp kinetic contrasts of these two charge-transfer channels for T1−T4 guarantee excellent net charge separation yields. Analysis of electrical impedance spectra reveals that the rate of charge recombination between the titania electrons and triiodide strongly depends on the reaction order, which is noticeably augmented with the dye length. A synchronous downward shift of the titania conduction band edge is also noted for dyes with more thiophene units, contributing to a gradually declining open-circuit photovoltage, along with a remarkably accelerated interfacial charge recombination.
9:00 PM - J7.25
The Development of Bifacial Dye Sensitized Solar Cells Based on Solid Electrolyte.
Mustafa Cosar 1 2 , Halil Yavuz 1 2 , Ahmet Ozenbas 1 2
1 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey, 2 Center for Solar Energy Research and Applications (GUNAM), Middle East Technical University, Ankara Turkey
Show AbstractDye sensitized solar cells (DSSC) have gained much interest in recent years due to their low cost, improved conversion efficiency and practical applications. Most of the efficiency measurements for dye sensitized solar cells are made in liquid electrolytes (I- /I3- redox couple), since these electrolytes allow a high electron mass transfer between dye and counter electrode. On the other hand, liquid electrolytes cause several problems to the solar cell system such as volatility of electrolyte, electrode corrosion, difficulties in sealing and short time stability of the device. Recently, some work has been done to replace liquid electrolytes with some solid systems. Solvent free ionic liquid electrolyte is shown to be a good choice for DSSC applications due to its thermal stability, non-flammability, high ionic conductivity, negligible vapour pressure and non-toxicity in solid state. However, solid structure causes a decrease in the electron transfer rate and diffusion length; in other words, with this type of electrolyte, efficiency decreases dramatically. This decrease will be compensated using 3 different mechanisms. First one is the introduction of bifacial design. Bifacial structure makes it possible to receive sunlight from two sides and this approach increases number of photons which are picked up by the cell. Other compensating mechanism is the presence of additional SiO2 layer. SiO2 nanoparticles are solidified together with ionic liquid electrolyte. SiO2 nanoparticles behave like a rigid matrix to the electrolyte and separate TiO2 layer from Pt counter electrode and SiO2 inclusions prevent generation of unwanted back current. SiO2 spacers enhance the conductivity of the electrolyte and interfacial contact between electrode/electrolyte. Last compensating mechanism is thickness optimization of the semiconductor layer. Efficiency and fill factor are affected from thickness of the semiconductor, adversely. For the TiO2 semiconductor, efficiency is maximum and fill factor is minimum at 15 µm thickness. In this work, TiO2 will be used as semiconductor and it will be synthesized with related procedures as porous structures. 1-Propyl 3-Methylimidazolium iodide and 1-Ethyl 3- Methylimidazolium tetracyanoborate (PMII // (EMIB(CN)4)) electrolyte will be used as ionic liquid electrolyte. Also the effect of guanidinium thiocyanate, N-methylbenzimidazole, Iodine and 4-tert butylpyridine on the ionic liquid performance will be investigated. TiO2 and electrolyte (with SiO2 nanoparticles) will be coated on ITO glass substrates sequentially. Finally, some characterization techniques will be performed to compare the properties of the system with other similar systems. These are XRD, SEM, EDS, UV-Vis, FTIR, electrical conductivity measurements for the different layers, cyclic voltammetry analysis for redox couples, using of solar simulator to find the cell efficiency and incident photon to current conversion efficiency measurement.
9:00 PM - J7.26
π-Conjugation Extension Correlated Charge Generation and Recombination in Indoline-Rhodanine Dye-Sensitized Solar Cells.
Yi Yuan 1 , Xiaoyan Jing 1 , Jingyuan Liu 1
1 aKey laboratory of Superlight Materials and Surface Technology, College of Materials Science and Chemical Engineering, Harbin Engineering University, Harbin China
Show AbstractBy employing two organic dyes featuring an indoline electron donor and rhodanine electron acceptor, we investigate the profound effects of the π-conjugation extension on the photocurrent action spectra and current voltage characteristics of dye-sensitized solar cells containing the iodide/triiodide redox mediator. Joint transient absorption and electrochemical measurements have exposed an evident reaction free energy dependent dye regeneration kinetics along with an extension of the π-conjugation length, which, otherwise brings forth a remarkable reduction of electron injection yield, as proved by both an elaborate analysis of the kinetic traces and the photocurrent action spectrum measurements. Furthermore, a 107 mV shrinkage of open-circuit photovoltage is noted upon extending the conjugation length, which is collectively contributed by an 80 mV positive displacement of titania conduction band edge and a 10 fold faster interfacial charge recombination.
9:00 PM - J7.27
Preparation of TiO2–ZrO2 Mixed Oxide Electrode for Dye Sensitized Solar Cells.
Halil Yavuz 1 2 , Mustafa Cosar 1 2 , Ahmet Ozenbas 1 2
1 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey, 2 Center for Solar Energy Research and Applications (GUNAM), Middle East Technical University, Ankara Turkey
Show AbstractAfter the introduction in 1991, dye-sensitized solar cells (DSSC) have attracted great interest due to their large potential applications as a cheap alternative candidate to replace conventional silicon-based p–n junction solar cells. The best suitable material is, although TiO2, different n type metal oxides (MO) can be used as absorber electrodes like NiO, SnO2, Nb2O5, ZrO2 and ZnO. The TiO2 matrix should be modified to obtain high efficiency dye sensitized solar cells and nanostructured MO layers with high surface areas have been shown to be efficient photo electrodes for photon-to-current conversion in DSSC. Due to the differences in the electrical properties on nanoscale, MO-TiO2 nano composite layers can be obtained and used as modified absorber layers on DSSC's. To overcome the absorber layer electron accumulation, several works have been conducted. One of the attractive techniques is the use of different cation additions such as Zr+4 , Sr+2 and La+3 as a component of absorber layer in DSSC systems. Several studies show that the cation modification on TiO2 matrix has improved the efficiency of DSSC by decreasing electron-hole recombination. In this work; TiO2–ZrO2 mixed oxide electrode has been realized on ITO (indium tin oxide) coated glass substrates by sol-gel technique and these were used for the production of nano-crystalline dye-sensitized solar cells (nc-DSSC), which are a relatively new class of low-cost thin film solar cells. Structural, topographical and chemical analysis were made using XRD, SEM and EDS. On the average of 5 nm TiO2–ZrO2 mixed oxide nanoparticles were observed in SEM analysis. Highest porosity has been observed in %5 ZrO2-%95 TiO2 structure. Different ZrO2 concentrations in nc-DSSCs caused an improvement in the efficiency with respect to pure TiO2 dye sensitized solar cells.
9:00 PM - J7.28
Core-Shell Type Nanocrystalline FTO Photoanodes for Dye Sensitized Solar Cells.
Kerem Icli 1 3 , Halil Yavuz 2 3 , Ahmet Ozenbas 2 1 3
1 Micro and Nanotechnology Program, Middle East Technical University, Ankara Turkey, 3 Center for Solar Energy Research and Applications (GUNAM), Middle East Technical University, Ankara Turkey, 2 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey
Show AbstractDye sensitized solar cells (DSSC) employing nanoparticle based mesoporous anodes have been extensively studied in the recent years. Although best efficiencies are obtained with cells made from titanium dioxide (TiO2), application of new metal oxides and anode structures is a major subject of research. Tin dioxide (SnO2) is a promising candidate presenting high electron mobilities and electronic conductivity upon doping with elements like fluorine. In this work, as a novel production technique, homogeneously precipitated tin dioxide nanoparticles has been doped with fluorine under hydrothermal conditions resulting in resistivies around 770 ohm.cm. Dye sensitized solar cells, employing core shell type nanocrystalline FTO/TiO2 photoanodes, have been constructed by screen printing from bare fluorine doped SnO2 nanoparticles, commercial TiO2 nanoparticles and core shell type mesoporous anodes employing TiO2 as shell material. TiO2 coating has been achieved from hydrolysis of aqueous solutions of ammonium hexafluorotitanate and titanium tetrachloride as precursor materials. Upon surface treatment of tin dioxide, photovoltage, photocurrent and fill factor of cells have been extensively improved as a result of supression of recombination reactions. Best results were obtained from titanium tetrachloride treated cells giving current densities of 22.8 mA/cm2, higher than TiO2, which was considered to be very promising for the future work. However, photovoltage and fill factor values are still lower than TiO2, giving efficiencies of 4.61% and 6.54%, respectively. These efficiency results were mostly attributed to suppressed recombination of photoelectrons and it is concluded that improved efficiencies can be obtained after successful synthesis of FTO nanoparticles having lower resistivity values and deposition of homogeneous shell coatings.
9:00 PM - J7.29
Plasmonic Light Scattering for Epitaxial Silicon Thin Film Solar Cells.
Ounsi El Daif 1 , Lianming Tong 2 , Bruno Figeys 1 , Dries Vercruysse 1 , Kris Van Nieuwenhuysen 1 , Alexander Dmitriev 2 , Pol Van Dorpe 1 , Ivan Gordon 1 , Ivan Gordon 1 , Frederic Dross 1
1 , IMEC, Leuven Belgium, 2 , Chalmers, Göteborg Sweden
Show AbstractPromising innovations for solar cells are offered by thin film silicon (Si), with an active layer thickness of the order of 10-microns. These thin film cells still suffer from optical losses which decrease their short-circuit current. Although plasma texturing of the front surface of the cells allows a good light-in coupling, the low thickness and absorption coefficient of silicon at long wavelengths result in important losses.Metallic nanoparticles, showing localised plasmonic resonances, can be a powerful tool to increase the efficiency of such solar cells, through an increase in the absorption. Some light-scattering effects have been shown, allowing improving the solar cell absorption. Nevertheless, these preliminary works often use non-optimised benchmarks.In order to have a better understanding of the effect of silver nanoparticles on the optoelectrical properties of Si based thin film solar cells, we investigate model structures: silver nanodiscs deposited on the surface of epitaxial cells grown on highly doped Si substrates. Epitaxial silicon deposition has the potential to provide high-quality layers with a controlled thickness. This enables a careful study of the different mechanisms affecting the short-circuit current, and the estimation of the effect of each.The nanodiscs were deposited through hole-mask colloidal lithography (HCL) which is a low-cost, bottom-up and extremely versatile technique of functionalizing various surfaces with arrays of diverse nanoarchitectures. A cell with nanodiscs embedded in a silicon oxide dielectric layer was always fabricated simultaneously with a sample with the oxide stack alone as a reference.We did reflectivity experiments using an integrating sphere, and observed a clear shift of the resonance between the various sizes of the disk radius. We observed, at resonance, a reflectance decrease, so an increase of the overall absorption. We compare this trend with simulations and show a good agreement.For these structures to be usable in photovoltaics, the ultimate criterion is the photoelectrical conversion efficiency. In order to check the particles effect on the electrical properties of the structure, we measured the external quantum efficiency, and we see a decrease in efficiency at short wavelengths followed by a slight increase at longer wavelengths. For the large disk diameters, we show that this is due to Fano resonance, a detailed study of the smaller diameters’ case will be presented.In order to understand the loss mechanisms, we also studied the material properties (internal quantum efficiency, open circuit voltage). We were able to deduce that there is an important absorption in the nanoparticles. We will show a detailed study of this trend depending on the nanoparticles’ geometry. We will finally draw some conclusions, based on these experiments and on comparisons with optimised Si cells, about silver nanoparticles for thin film solar cells.
9:00 PM - J7.3
Plasmon Mapping of Individual Ion-Shaped Nanoparticles.
Abdallah Slablab 1 , Pierre-Eugène Coulon 1 , Giancarlo Rizza 1 , Sandrine Perruchas 2 , Thierry Gacoin 2 , Christian Cardin 3 , Christian Dufour 3 , Dominique Mailly 4 , Mathieu Kociak 5 , Arthur Losquin 5 , Pierre Stadelmann 6 , Anas Mouti 6
1 Laboratoire des solides irradiés, Ecole Polytechnique, Palaiseau France, 2 LPMC, Ecole Polytechnique, Palaiseau France, 3 CIMAP/CNRS, ENSICAEN, Caen France, 4 LPS, Université d'Orsay, Orsay France, 5 LPN, LPN, Marcoussis France, 6 EPFL, EPFL, Lausanne Swaziland
Show AbstractAn innovative and powerful technique for manipulating matter at the nanometer scale by ion-shaping has been investigated since few years. This technique allows the deformation of metallic spherical particles embedded within an ion-deformable amorphous host matrix into prolate nanorods and nanowires. This creates new opportunities to obtain original noble-metal nanostructures that could not be produced by conventional lithography, chemical and etching techniques. This new insight allows the development of a unique nanoshaped network of gold nanoparticles for several fields of nanotechnology, optical computing, novel optical devices as solar cells, and— more recently—biological and medical research. Here, Electron Energy Loss Spectroscopy (EELS) and Cathodoluminescence are used to study Localized Surface Plasmon Resonance (LPSR) in ion-deformed metallic nanoparticles with a nanometer scale spatial resolution. LSPR are generated through electron excitation is a Scanning Transmission Electron Microscope (STEM), equipped with a High Angle Annular Dark Field (HAADF) detector. Finally, the experimental results are simulated using a specifically developed Auxiliary Differential Equations-Finite Difference Time Domain (ADE-FTDT) code.
9:00 PM - J7.30
Multiprobe Apertureless near-Field Imaging (MANI) of Optical Plasmonic Distribution.
Hesham Taha 2 , Boaz Fleischman 2 , Aaron Lewis 1
2 , Nanonics Imaging Ltd., Jerusalem Israel, 1 Computer Science & Engineering , Hebrew University of Jerusalem, Jerusalem Israel
Show AbstractScattering near-field scanning optical microscopy called ANSOM or sSNOM has been applied to look at plasmonic distribution. Unfortunately, the probes that need to be used in order to effectively scatter the plasmonic signal have significant perturbation on the plasmonic propagation because of the need to use probes with high dielectric constant to obtain effective signal to noise. In this paper, we will demonstrate the application of our development of multiprobe scan probe microscope technology for effective localized illumination of plasmonic structure with an apertured NSOM probe which produces all k-vectors and so it is most efficient for such plasmonic propagation. The propagating plasmons are collected with a second probe which has a very low dielectric constant and minimal perturbation of the plasmonic propagation. In addition, we will describe an active spectral probe that can also be used as a localized detector of plasmonic propagation without significant effect on the distribution of plasmons. The results indicate that localized aperture NSOM illumination and apertureless monitoring of plasmons has significant potential for investigating plasmonic structures.
9:00 PM - J7.31
Fabrication of Embedded Silver Nanoparticles in Silicon and Impact on Carrier Lifetimes for Surface Plasmon-Enhanced Photovoltaics.
Nirag Kadakia 1 , Hassaram Bakhru 1 , Mengbing Huang 1
1 Ion Beam Laboratory, State University of New York - Albany, Albany, New York, United States
Show Abstract Due to the low absorption coefficient of silicon in the bulk of the solar spectrum, the majority of silicon-based photovoltaic cells are a few hundred micrometers thick, limiting their economic feasibility due to the costs of silicon feedstock. To achieve cost parity with conventional sources of energy, silicon-based photovoltaics have begun to move towards thinner substrates, on the order < 10 micrometers; such thinner cells necessitate the use of light-trapping methods to increase the optical path length. Much research has begun investigating the use of trapped electromagnetic waves, or surface plasmons, to increase light scattering and interband carrier transition rates in the surrounding material. In one scheme, plasmonic modes can be supported through polarization of metallic nanoparticles. Past research has focused on the deposition of silver nanoparticles on the surface, and has shown that light scattering into the silicon substrate can be increased markedly, in accordance with theory. In addition to increased light scattering, the electric near field in the vicinity of the nanoparticles at the surface plasmon resonance wavelength is enhanced. Such high fields can increase the carrier transition rates in the embedding medium by orders of magnitude. The enhancement however decreases rapidly with the radial distance from the particle surface, and therefore such particles should be embedded into silicon itself. To that end, we have developed a method to create subsurface silver nanospheres in a crystalline silicon substrate using ion implantation. By tailoring the implantation parameters, we can localize the layer of nanoparticles at desired depths. TEM, RBS, and RBS-channeling data indicate that the particles are indeed bulk phase silver and that the surrounding substrate implant damage is annealed out. In addition, the effects of silver contamination on the minority carrier lifetime can be damaging; indeed, the absorption enhancement may be entirely negated if the carrier lengths are significantly decreased due to higher recombination. With thinner devices, this is typically of less concern than the purity of the interfaces, as surface recombination plays a more central role in carrier statistics. Nevertheless, to ensure that the bulk lifetime is not reduced to unacceptably low levels, we have compared the lifetime of reference samples with Ag nanoparticle-fabricated silicon substrates using photoluminescence-based techniques. These results will also be presented. Our method is one step toward realizing more cost-effective and efficient thin silicon photovoltaics.
9:00 PM - J7.33
Approaches to Reduce Interface Defects for ZnO/Si Heterojunction Solar Cells.
Eric Kozarsky 1 , Chong Tong 1 , Juhyung Yun 1 , Tingfang Yen 1 , Wayne Anderson 1
1 Electrical Engineering, University at Buffalo, Buffalo, New York, United States
Show AbstractZnO is being explored as an inexpensive and safe transparent conductive oxide (TCO) layer. The ZnO/Si heterojunction solar cell utilizes ZnO as a TCO as well as an active emitter layer which improves the solar cell UV absorption. ZnO/Si heterojunction solar cells are currently being explored using bulk Si as well as microcrystalline Si (µc-Si) thin films produced by the Metal Induced Growth (MIG) process. The MIG process uses a metal film as a catalyst to crystallize sputtered Si and to form an ohmic back contact. These thin films offer reduced processing and deposition temperatures, lower material costs, and lighter substrates. ZnO is deposited onto the bulk or micro-crystalline Si using RF sputtering to produce heterojunction solar cells. Theoretical performance simulated by PC1D for a ZnO/µc-Si structure without interface defects suggests a short circuit current density (JSC) of 29 mA/cm2, an open circuit voltage (VOC) of around 0.7 V, with an efficiency of around 15.4%. While heterojunction devices have been demonstrated, they suffer from poor performance with low JSC values resulting in efficiencies below 1%. This can be attributed to interface defects caused by lattice mismatch, thin film strains, and surface damage during ZnO deposition. While a ZnO/p-Si device without defects can achieve an efficiency of 15.8%, the inclusion of interface defects into the simulation drops efficiency down to 0.428%. The introduction of a buffer layer between ZnO and Si has been proposed to improve performance by reducing the presence and impact of surface defects in the Si. Simulations performed by AMPS-1D including defects in the Si layer surface have shown that devices utilizing a ZnO/Interlayer/Si structure have improved performance over direct ZnO/Si heterojunction devices. A ZnO/n-Si buffer layer/p-Si device with the same interface defects increases the efficiency up to 10.7%. Preliminary experiments using a ZnO/SiO2/Si structure gave a VOC improved from 0.26 V to 0.42 V. Experiments are in progress using different interlayer materials/approaches to better support the simulation results. A successful ZnO/Interlayer/µc-Si device can utilize the low cost benefits of both the ZnO and Si thin film processes.
9:00 PM - J7.34
Numerical Investigation of Plasmonic Structures in Amorphous Silicon Using the Discrete Dipole Approximation.
Giulia Tagliabue 1 , Olivier Knutti 1 , Majid Nabavi 1 , Dimos Poulikakos 1
1 D-MAVT, ETH Zurich, Zurich Switzerland
Show AbstractThin film amorphous silicon (a:Si) solar cells are among the good candidates for driving the solar market in near future due to their simple structure and abundant material. Though, efficiency remains an issue because of the poor absorbing properties of Si. In this context, it is recognized that plasmonic structures can have an important impact on the technological and commercial development of these cells. In fact, by proper choice of the plasmonic structure and its positioning in the cell, light absorption and hence, the efficiency can be boosted. In our study, we focus on the numerical investigation of different plasmonic nanoparticle (NP) arrangements in a:Si that can be later realized and tested experimentally on a real solar cell. For such calculations, we use DDSCAT software, which works based on the discrete dipole approximation (DDA). To the best of our knowledge, this numerical technique has never been used to solve plasmonic structures in an absorbing medium such as a:Si. DDA technique can be extremely powerful as it does not require discretization of the whole space of interest but rather of the objects interacting with the radiation. On the other hand, as highlighted by Yurkin et al. (J. Nanoph.,4,2010), care should be taken in the DDA analysis of materials with large refractive index. We focused on the simulation of Ag NPs with spherical shape, and comparison of their behaviour in vacuum and in a:Si. Because of its complex refractive index, a:Si needs to be discretized as well, which in turn increases complexity of the simulation. We investigated Ag NPs in vacuum with size ranging from 25nm to 150nm in order to validate our solutions with Mie calculations. Then, we simulated the embedded NPs in a:Si. We considered two different a:Si shapes, finite slab and spherical shell, with different size ratios with respect to the NPs. Finally, we introduced periodic boundary conditions in order to simulate large films containing regularly spaced array of NPs. Our results show that a:Si redshifts the resonance of the Ag sphere, which is in agreement with Mie calculations. In addition, we observed that the size and shape of the simulated a:Si surrounding strongly modify the scattered electric field in the film itself as a:Si nanostructures can also show a resonant extinction spectrum. We observed that a:Si finite slab and shell have similar enhancements of the electric field in terms of amplitude. However, slab geometry broadens such an enhancement to a larger portion of the spectrum. Such observations lead us to investigate in two directions. First, the identification of the size of the a:Si surrounding beyond which it acts as an infinite surrounding for a NP embedded into it. Second, the study of optimal shape and size of an a:Si structure surrounding a plasmonic NP.
9:00 PM - J7.35
Broadband Plasmonic Snowflake Antennas with an Adjustable Spectral Response.
Rustu Tok 1 , Eren Unlu 1 , Kursat Sendur 1
1 , Sabanci University, Istanbul Turkey
Show AbstractNanoscale metallic antennas couple incident optical beams to length scales much smaller than the diffraction limit at optical frequencies. Effective coupling of incident optical energy around the antenna gap can be utilized in many potential applications including photovoltaic devices. Plasmonic structures have been utilized to improve the energy conversion efficiency of photovoltaic devices. By embedding plasmonic particles in solar cells, the absorption cross section and energy conversion efficiency have been increased. Recently, nanoantennas have been utilized in solar cells as electromagnetic collectors to improve the conversion efficiency of the devices in the spectral regime where the majority of the solar energy is present. A major challenge for plasmonic nanoantennas in solar cells is their narrow band spectral response. The spectrum of the incident solar radiation is broad, whereas, the spectrum of plasmonic nanostructures is narrow with sharp resonances. Plasmonic antennas with a broadband spectral response are an emerging need to improve the efficiency of photovoltaic devices.In this study, six-particle and eight-particle plasmonic snowflake nanoantennas are utilized to obtain a broadband spectral response when illuminated with circular and elliptical polarization. Due to the insensitivity of dipole antennas to circular polarization, the resonant structures are brought together around the common-gap to expand the spectrum of the whole system. Their ability to focus light at different frequencies is demonstrated. The spectral response is manipulated by geometrical parameters and the strength of the spectral peaks is tailored through the ellipticity of the elliptically polarized light.
9:00 PM - J7.36
Aggregation-Induced Increase of the Light Harvesting Efficiency and Quantum Yield of Electron Injection of Chalcogenoxanthylium Dyes on TiO2.
Kacie Mulhern 1 , Michael Detty 1 , David Watson 1
1 Chemistry, State University of New York at Buffalo, Buffalo, New York, United States
Show AbstractDye sensitization is an attractive strategy for solar-to-electrical and solar-to-chemical energy conversion. We have shown that aggregation can induce spectral broadening, and therefore increase light harvesting, as well as increase the quantum yield of electron injection from organic dyes into TiO2. Thus, controlled aggregation can be effective in improving the performance of photovoltaic devices. This presentation will focus on the use of steady-state and time-resolved spectroscopies to quantify the aggregation-induced increases of light-harvesting efficiency and electron-injection yield for organic dye-sensitized TiO2 films. Transient absorption spectroscopy was utilized to characterize excited-state electron injection from a 2,7-bis(dimethylamino)-9-(2-thienyl-5-carboxy)selenoxanthylium dye (1-Se) and a 2,7-bis(dimethylamino)-9-(3-thienyl-2-carboxy)selenoxanthylium dye (2-Se) to TiO2 [1]. Monolayers of 1-Se on nanocrystalline TiO2 films consisted of both H-aggregated and non-aggregated dyes, whereas 2-Se underwent little or no aggregation upon adsorption. A high-energy absorption band, indicative of H-aggregation, was present for 1-Se on nanocrystalline thin films, increasing the range of absorptive wavelengths. Electron injection into TiO2 resulted in a transient bleach, from approximately 540-650 nm, that persisted for longer than 180 μs. Additionally, an absorptive signal was observed at wavelengths shorter than 540 nm. For dye-sensitized TiO2, the signals after 10 μs are assigned to the dication radicals (1-Se+ and 2-Se+) generated by electron injection and are the focus of all comparisons. Relative quantum yields for electron injection (φinj) were calculated from the measured amplitudes of these signals. The value of φinj for H-aggregated 1-Se was approximately 2-fold greater than φinj for non-aggregated 1-Se and approximately 3-fold greater than φinj for non-aggregated 2-Se. Thus, H-aggregation can increase both the light-harvesting efficiencies and the electron-injection yields of rhodamine derivatives. Our findings suggest that controlled aggregation of organic dyes may represent an attractive strategy for improving the global energy-conversion efficiencies of organic dye-sensitized solar cells (DSC) and photocatalysts.Reference: 1.Mulhern, K. R., Detty, M. R., Watson, D. W. J. Phys. Chem. C, 2011, 115, 6010–6018.
9:00 PM - J7.37
Advances in Hyperdoping for Sub-Gap Photon Management.
Daniel Recht 1 , Joseph Sullivan 2 , Matthew Smith 2 , David Hutchinson 3 , Mark Winkler 2 , Aurore Said 1 , Jeffrey Warrender 4 , Peter Persans 3 , Silvija Gradecak 2 , Tonio Buonassisi 2 , Michael Aziz 1
1 , Harvard School of Engineering and Applied Sciences, Cambridge, Massachusetts, United States, 2 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 , Rensselaer Polytechnic Institute, Cambridge, Massachusetts, United States, 4 , US Army ARDEC - Benet Laboratories, Watervilet Arsenal, New York, United States
Show AbstractIntermediate band photovoltaics have the potential to generate electricity from sub-gap photons without a reduction in open circuit voltage. Silicon doped to nearly 1 atomic percent (i.e., hyperdoped) with chalcogens by ion implantation and pulsed laser melting is known to have strong infrared optical absorption and the ability to detect light with energy less than silicon's bandgap. In addition, we have observed a metal-insulator transition with increasing chalcogen concentration, which indicates the presence of an intermediate band formed from delocalized impurity states. In pursuit of our goal of a proof-of-concept intermediate band solar cell we are developing techniques for creating a wider range of hyperdoped materials and structures. Here we focus on two recent advances: 1) our efforts to hyperdope silicon with metals that have the potential to form a mid-gap, partially-occupied impurity band and 2) our discovery that varying the atmosphere under which laser melting is performed is an effective and controllable lever for tailoring the dopant concentration profile and creating buried hyperdoped layers.
9:00 PM - J7.38
Tuning Light Scattering by Periodic Metal Nanoparticle Arrays for Solar Cell Applications.
David Payne 1 , Tristan Temple 1 , Darren Bagnall 1
1 Nano Research Group, University of Southampton, Southampton, Hampshire, United Kingdom
Show AbstractThe drive to reduce the thickness of solar cells is putting ever greater demands on light-trapping techniques. Methods are required to improve absorption of light within the semiconductor while not adversely affecting the electrical properties of the device. Conventional diffraction gratings can scatter visible and near-infrared photons into large angles, which are then trapped in the silicon layer by total internal reflection. However, diffraction gratings typically have large feature sizes and so increase the overall surface area of a solar cell compared to the planar case. A periodic arrangement of metal nanoparticles acts as a diffraction grating, but an over-coated semiconductor layer will have a similar surface area to a planar layer due a combination of a low particle height and low surface coverage.Random arrays of identical metal nanoparticles feature Lorentzian scattering peaks that can be tuned by modifying the size and shape of the particle. Periodic arrays have much more complicated scattering peaks, due to the enhancement and suppression of scattering at different wavelengths caused by the constructive and destructive interference between each nanoparticle. In effect the scattering spectrum of the individual nanoparticle is modified by the diffractive orders of the array, and so both parameters must be optimized together. We have studied periodic and random arrays of metal nanoparticles fabricated using electron-beam lithography, and characterised in terms of transmittance, haze, and angle-resolved scattering. The optical properties of the fabricated arrays were found to be in good agreement with finite-difference time-domain (FDTD) simulations. Au nanoparticles are found to scatter more strongly than Al nanoparticles, but Al nanoparticles scatter over a broader wavelength range. Arrays with a period lower than wavelength of interest the only exhibit specular transmittance and reflectance, as only the zero order mode is supported. Current work is focused on investigating how a silicon layer affects the angular distribution of scattering by the array, and optimization of the size and shape of the particles using FDTD simulations.
9:00 PM - J7.39
Compositional Variations in Nanoimprinted Bulk Heterojunction Organic Photoactive Layers Used in Light Trapping Device Architectures.
John Tumbleston 1 , Abay Gadisa 2 3 , Brian Collins 1 , Edward Samulski 3 , Rene Lopez 2 , Harald Ade 1
1 Physics, North Carolina State University, Raleigh, North Carolina, United States, 2 Physics and Astronomy, University of North Carolina - Chapel Hill, Chapel Hill, North Carolina, United States, 3 Chemistry, University of North Carolina - Chapel Hill, Chapel Hill, North Carolina, United States
Show AbstractNanoimprinting highly ordered submicron features from bulk heterojunction (BHJ) polymer/fullerene materials has been recently developed for organic photovoltaic (OPV) device geometries with non-planar active layers. For many nanoimprinting methods, including those used for light trapping device designs, an elastomeric mold is contacted with the BHJ film under elevated temperature and pressure during the nanoimprinting process. Herein, we demonstrate that such nanoimprinted features have a different composition ratio of polymer to fullerene compared to the residual “flash” layer that connects neighboring features. Using scanning transmission x-ray microscopy (STXM) with photon energies near the carbon edge, we utilize the characteristic spectra of polymer and fullerene to deduce a compositional map of the non-planar photoactive layer. For the nanoimprinting method used here, Pattern Replication in Nonwetting Templates (PRINT), we show that the submicron features are more heavily composed of fullerene, while the residual “flash” layer is more heavily weighted with polymer. For semi-crystalline systems, like P3HT:PCBM, this may be a general phenomenon of nanoimprinting methods where compositional mapping yields critical morphological information to help understand device performance.
9:00 PM - J7.4
Real-Space Distribution of Cavity Modes in Single ZnO Nanowires.
Frank Guell 1 , Alejandro Goni 2 , Josep Oriol Osso 3 , Luis Alberto Perez 4 , Eduardo Coronado 4 , Joan Ramon Morante 5
1 Electronica, Universitat de Barcelona, Barcelona, Catalunya, Spain, 2 , ICMAB, Bellaterra, Catalunya, Spain, 3 , MATGAS, Bellaterra, Catalunya, Spain, 4 , INFIQC-CLCM, Córdoba Argentina, 5 , IREC, Sant Adrià de Besòs, Catalunya, Spain
Show AbstractScanning near-field optical microscopy (SNOM) has become nowadays a very powerful technique for investigating the optical properties of nanostructures with a sub-wavelength spatial resolution below 100 nm. A spatially resolved study of the electromagnetic field distributions of different cavity modes in ZnO NWs is still lacking. In this work, we have used an SNOM to map out the evanescent fields of optically excited ZnO NWs grown by the vapour transport method using Au as catalyst. The SNOM measurements were performed at room temperature in transmission-collection mode using four different laser wavelengths (378, 514, 633 and 785 nm). They reveal a different spatial distribution of the electromagnetic fields associated to each cavity mode, which are unique properties of the NWs depending primarily on their size and the wavelength of the mode. The SNOM patterns are quite different for a ZnO NW of approx. 260 nm in diameter using unpolarized UV (378 nm) and red (633 nm) excitations. Whereas for UV illumination the pattern exhibits two well defined bright lines running along the edges of the upper hexagonal facet of the wire, for red laser excitation the SNOM pattern displays a strong but wider maximum at the center of the facet. In order to interpret the experimental findings, we have performed electrodynamics simulations using the discrete dipole approximation (DDA), which is an accurate numerical method in which the object of interest is represented as a cubic lattice of N polarizable points. We used about 890000 dipoles to describe the ZnO NW, out of a total of 1.5 million for taking also the substrate into account. We notice the striking qualitative agreement between calculated and measured field distributions.
9:00 PM - J7.40
Effect of Post Growth Annealing on Quaternary (InAlGaAs) Capped Multilayer InAs/GaAs QD Heterostructures with Variation in Capping Layer Thickness and Monolayer Coverage for the Seed QD Layer.
Arjun Mandal 1 , Utkarsha Verma 2 , Subhananda Chakrabarti 1
1 Electrical Engineering, Indian Institute of Technology Bombay, Mumbai India, 2 Electrical Engineering, Indian Institute of Technology Rajasthan, Jodhpur India
Show AbstractMultilayer quantum dots (MQDs) have huge potential to harvest laser application and intermediate band gap solar cells. Our research reveals very promising results which may pave the way for several technologically viable and advantageous avenues in these fields.10 layered InAs/GaAs MQDs were grown over semi insulating GaAs substrate (001) by solid source molecular beam epitaxy. First an intrinsic GaAs buffer layer of thickness 0.4 µm was grown at 590°C on the GaAs substrate followed by the growth of the 1000Å intrinsic GaAs layer at 520°C. The first (or seed) layer of InAs QDs was grown at 520°C and capped with a combination of 20Å quaternary In0.21Al0.21Ga0.58As and 80Å intrinsic GaAs layer for sample A while same combination of thickness 20Å and 90Å was used for samples B and C. Other 9 periods of coupled active QDs were grown at 480°C to avoid In/Ga intermixing, at 0.2011ML/s, with same capping combination as of sample A but for both samples B and C, it was a combination of 20Å and 130Å respectively. The seed layer coverage was 2.5 monolayer (ML) for samples A and C but 2.7 ML for sample B and 2.5 ML for all active layers of dots. Each sample was then subjected to rapid thermal annealing at 650°C, 700°C, 750°C and 800°C. High ML coverage at the seed layer helped in good propagation of templating effect in the upper layers of dots; thus more number of complete QD stacks with lesser defects and dislocations for sample B, verified from the TEM images. When annealed at higher temperatures, low temperature PL study showed a blue shift for sample A due to the In-Ga inter-diffusion but it was negligible for samples B and C due to the inter-mixing of In – Al between the quaternary alloy and wetting layer, thus showing thermal stability. In sample B, the almost constant FWHM is attributed to non-resonant multi-phonon assisted tunneling (NPTP) which counterbalances the decrease in FWHM due to enhanced carrier relaxation, reflecting the enhanced uniformity. Above 250K, NPTP dominates in all the samples. Integrated PL intensity increased at initial annealing for sample A as the carriers got lower minimum energy states, optimising it for inter-mediate band gap solar cells. Sample B had negligible change in integrated PL intensity up to 700°C annealing temperature and the least variation in sample C’s integrated PL intensity with higher annealing temperature suggests that there was no change in the hetero-structure with annealing. Also the activation energies for samples B and C varied slightly with annealing. Greater strain produced due to larger monolayer coverage at the seed layer along with the thick capping layer led to enhanced dot quality in the QD stack; post growth annealing does not affect much on these high quality dots and its carrier confinement. Our research suggests that sample A has potential to be used in intermediate band gap solar cells and samples B and C in the field of laser devices. DST, India is being acknowledged.
9:00 PM - J7.42
Light Trapping in Hydrogenated Amorphous and Nanocrystalline Silicon Based Thin-Film Solar Cells with Ag/ZnO Back Reflectors and the Plasmonic Effect on Light Trapping.
Baojie Yan 1 , Laura Sivec 1 , Guozhen Yue 1 , Jessica Owens 1 , Jeffrey Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractLight trapping is one of the key techniques for improving photocurrent generation in a-Si:H, a-SiGe:H, and nc-Si:H thin-film silicon solar cells. We report on our systematic study of light trapping effects using Ag/ZnO BRs. The textures of the Ag and ZnO were optimized to achieve a high gain in photocurrent; the light trapping effect on the photocurrent gain in cells with different thicknesses was carefully investigated. Comparing single-junction solar cells deposited on flat stainless steel substrates, the gain in Jsc by using Ag/ZnO BRs is ~30-40% for a-SiGe:H solar cells, and ~60-70% for nc-Si:H solar cells, much higher than what have been achieved by advanced light trapping using photonic structures or plasmonic light trapping . We achieved a Jsc of around 29-30 mA/cm2 in a nc-Si:H single-junction solar cell with an intrinsic layer thickness of 2.5 μm and >30 mA/cm2 total current density for an a-Si:H/nc-Si:H/nc-Si:H triple-junction cell. We compared the quantum efficiency of single-junction cells to the classical limit of fully randomized scattering and found there is a 3-4 mA/cm2 difference between the measure Jsc and the classical limit. However, by taking into consideration the losses from reflection of the top contact, absorption in the doped layers, and imperfect reflection in the BRs, the difference disappears. This implies we have reached the practical limit if the scattering from randomly textured substrates is the only mechanism of light trapping. In reality, surface plasmonic oscillations always exist at the Ag/ZnO interface. Plasmonic oscillations have two effects on light trapping, the plasmonic scattering may enhance light absorption in the cell, but the plasmonic dissipation may reduce the enhancement of light trapping. In addition, the classical limit of 4n2 is reduced when the cell thickness is comparable to or smaller than the wavelength of light. Although a recent theoretical study shows that an additional gain to the classical limit should be included when plasmonic scattering exists , whether and how much a gain in Jsc could be achieved remains a challenging question. To answer this question, we developed Ag/ZnO BRs with controlled nanostructures, including Ag nano-structures with well-defined feature size and shape at the Ag/ZnO interface, and Ag nano-particles embedded in the ZnO layer. We also investigated light trapping with metal nano-particles on top of the transparent contact of solar cells. Although a noticeable gain in Jsc has been indeed observed by using the nano-structured BRs, the best result has not exceeded the value achieved using our optimized Ag/ZnO BRs.
9:00 PM - J7.43
Plasmonically Enhanced Silicon Based Schottky Photodetectors for near Infrared Detection.
Levent Erdal Aygun 1 , Kazim Gurkan Polat 1 , Ali Kemal Okyay 1 2
1 Electrical and Electronics Engineering, Bilkent University, Ankara Turkey, 2 , UNAM-Institute of Materials Science and Nanotechnology, Ankara Turkey
Show AbstractSchottky photodetectors have advantages to the other photodetector types such as high speeds, low capacitances. Also their sensitivities in the telecommunication standard bands made them important in the near infrared wavelength range. More importantly, Silicon’s extreme cost advantage over compound semiconductors and seamless integration with VLSI circuits made Silicon Schottky photodetectors very attractive low cost alternatives to InGaAs technology. However, their limited efficiencies due to thin absorption region are a vital bottleneck for near infrared detection. To overcome this problem, we design plasmonic grating structures to increase light absorption at the metal-Silicon Schottky interface. This technique will allow us enhancements in a VLSI compatible fashion. Previous efforts such as resonant cavities increase the sensitivity using optical techniques, however their integration with VLSI circuits is difficult. In this study, we report the design, fabrication and characterization of Gold-Silicon Schottky photodetectors with enhanced absorption in the near infrared region. Although Silicon is infrared blind, Gold-Silicon Schottky interface can absorb light up to 1600nm. We design and optimize metal gratings that provide field enhancement in the close proximity of the metal-semiconductor interface for substantial absorption enhancement at 1550nm. We used finite-difference time-domain analysis to computationally calculate field profiles in our structure, using Lumerical FDTD Solutions. Electric field intensity at the interface is maximized for highest absorption assuming transverse-magnetic (electric field is perpendicular to grating direction) polarized illumination.Au-Si Schottky photodetectors are fabricated and metallic gratings are patterned using focused ion beam tool. Spectral characterization of the photodetectors exhibit improved sensitivity in the near infrared. We record 150mA/W responsivity at 1550nm illumination.
9:00 PM - J7.44
Absorption Enhancement for Inorganic Photovoltaics via Triangle-Shaped Metallic Gratings.
Enes Battal 1 , Taha Alper Yogurt 1 , Levent Erdal Aygun 1 , Ali Kemal Okyay 1 2
1 , Department of Electrical and Electronic Engineering, Bilkent University, 06800 Ankara, Turkey, Ankara Turkey, 2 , UNAM-Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara, Turkey, Ankara Turkey
Show AbstractInterest in thin-film photovoltaic (PV) devices is growing due to low cost and easiness of fabrication. However, the major problem for thin active layers is inefficiency of light harvesting. The emerging fields of plasmonics suggest new methods to enhance absorption efficiency of PVs. The metal gratings in contact with dielectric medium yield excitation of plasmonic effects involving surface plasmon polaritons (SPP) and localized surface plasmons (LSP). Both organic and inorganic PV devices integrating rectangular-prism shaped nanometallic gratings have been widely studied. For inorganic solar cells, rectangular metallic gratings placed on the top, at the bottom and buried into the active layer have been reported. In this work, we investigate, for the first time, triangular-prism shaped gratings integrated with thin-film inorganic PV devices for improved absorptivity efficiency.Computational study is conducted for the design that triangular-prism shaped nanometallic gratings are placed at the bottom of the absorbing layer by using finite-difference time-domain (FDTD) analysis. Our design assumes an amorphous-Silicon (a-Si) absorbing layer (ta-Si =100nm) with an indium tin oxide (ITO) (tITO=20nm) top contact for illumination and a silver (Ag) bottom electrode (tAg= 80nm) with triangular gratings penetrating a-Si layer. An isosceles triangular shape is assumed that can be produced from a template of anisotropic wet etched Silicon. The optimum design providing best absorptivity has 135nm triangle base width and 470nm period gratings.We observe 48.5% overall absorptivity enhancement with our optimal design for the complete solar spectrum, compared to the reference structure , with a metallic back reflector (no grating). The enhanced structure’s absorptivity exhibits up to 23.6% under AM1.5G illumination while that for the reference device is 15.9%. This result is above the previous reports of 100nm and thicker Silicon active layer devices with single layer gratings. Unlike many earlier reports, we achieve improved absorptivity under both transverse-electric (TE) and transverse-magnetic (TM) polarized illumination. This is due to fact that combined effects of LSP and Fabry-Perot resonances, SPP and Waveguide modes provide polarization insensitive, broadband and significantly high absorptivity enhancement. We also demonstrate the electric field profiles of optimum structure for different wavelengths where dominant impacts of each enhancement mechanisms can be analyzed in details. This work was supported by TUBITAK 108E163, 109E044, EU FP7 PIOS 239444. The authors acknowledge TUBITAK BIDEP. The numerical calculations reported in this paper were performed at TUBITAK ULAKBIM, High Performance and Grid Computing Center (TR-Grid e-Infrastructure).
9:00 PM - J7.45
Photovoltaic Studies on Multiferroric Thin Films Prepared by PLD.
Arun Singh 1 2 , Satyaprakash Sahoo 1 , Ram Katiyar 1
1 Physics, University of Puerto Rico, San Juan United States, 2 Physics, Jamia Millia Islamia University , New Delhi, Delhi, India
Show AbstractThe investigation of a broad spectrum of smart materials for thin-film photovoltaic solar cells application is an essential footstep towards the future global energy demands. For the past several decades oxide materials have been considered as inexpensive, durable and their essential physical and electronic properties such as band gap, conductivity, etc. may be scientifically tuned, which enables them as promising candidates for thin-film photovoltaic devices. Recently, ferroelectric materials have shown some interesting phenomenon in which the direction of ferroelectric polarization can influence the magnitude of electrical conduction. This could account for charge separation of photoexcited carriers. BiFeO3 (BFO) is one of the few best known attractive and stimulating multiferroic materials which possess ferroelectric (in particular, in the form of thin film) and antiferromagnetic properties at room temperature. From crystallographic point of view, it has a rhombohedrally distorted perovskite structure with space group R3c at room temperature. The films of BFO were deposited on ITO/glass and Pt/TiOx/SiO2 by pulsed laser deposition method. The film thicknesses were found to be around 200 nm, the smooth surface morphology of the films was observed using AFM. The crystallinity and phase formation was confirmed by X-ray diffraction. Scanning electron microscopy along with the energy dispersive x-ray fluorescence spectroscopy confirmed the chemical homogeneity of the sample. Micro-Raman spectroscopy has been used to study lattice dynamics associated with the ferroelectric domains of a BiFeO3 thin film. The photovoltaic properties of the multiferroic oxide were investigated under dark and light state by measuring the current-voltage response.
9:00 PM - J7.46
Structural and Optical Studies of Self-Assembled ZnTe Quantum Dot in ZnTe/ZnSe Heterostructure.
Sung Joo Kim 1 , Weiming Wang 2 , Bor-chau Juang 2 , Jacob Jokisaari 1 , Jimmy Chen 2 , Jamie Phillips 2 , Xiaoqing Pan 1
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractIntermediate band solar cells using type-II band alignment has attracted immense interest for improved efficiencies due to effective charge separation, thus, enhancing the radiative lifetime of charge carriers. Intermediate-band engineering may be realized using self-assembled quantum dots. Here, we report the Stranski-Krastanow growth of ZnSe/ZnTe heterostructures which yield potentially suitable epitaxial and coherent quantum dots. QD structures with different monolayer thicknesses were fabricated using molecular beam epitaxy (MBE) and studied using transmission electron microscopy (TEM), atomic force microscopy (AFM), and photoluminescence spectroscopy (PL). Each specimen was grown on strain-induced ZnTe wetting layers (WLs), interspersed by ZnSe spacers on a (001) GaAs. PL studies indicate the emergence of quantum dots for ZnTe WLs thicker than 4 monolayers (MLs), shown by the strong peak at 2.2 eV that replaces the original WL peak at 2.3 eV. With increasing WL thickness, a single peak splits into two within the range of 2.1-2.25 eV, indicating coarsening, where the larger dots grow and the smaller dots shrink, eventually disappearing. AFM studies also indicate coarsening, where the density of QDs decreases drastically, by an order of magnitude as the smaller dots vanish. This phenomenon allows the size and density of QDs to be easily tuned in this system. The QDs in this heterostructure show several interesting properties. Firstly, their formation is associated with the formation of {111}-plane stacking faults as shown in high-resolution TEM. Secondly, increased exciton-binding through activation of Te iso-electronic centers was observed in PL spectra at higher energy levels. Thirdly, band-gap shrinkage occurs in the QD structure since the temperature dependence of integrated PL intensity follows Varshni relations. This study suggests that optimization of the low-temperature growth of ZnSe/ZnTe QDs allowed the formation of high aspect-ratio quantum dot arrays with good exciton binding, making them promising for photovoltaic applications.
9:00 PM - J7.48
Band Structure Engineering of Silicon through Non-Equilibrium Doping.
Matthew Smith 1 , Filippo Fabbri 2 , Daniel Recht 3 , Michael Aziz 3 , Giancarlo Salviati 2 , Silvija Gradecak 1
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 2 , IMEM-CNR Institute, Parma Italy, 3 , Harvard School of Engineering and Applied Sciences, Cambridge, Massachusetts, United States
Show AbstractSilicon can be doped with sulfur well above the equilibrium solubility limit using ion implantation followed by pulsed laser melting (PLM), and such treatment results in drastic changes in the optical and electronic properties of the bulk material: the PLM S:Si has an substantially enhanced absorption coefficient for light of wavelengths of 400 to 1600 nm, and exhibits impurity-mediated transport at low temperatures. PLM Si:S is therefore of great interest for thin-film photovoltaic devices and is a candidate material for intermediate band photovoltaics. Though changes in the optical and electronic properties suggest substantial impurity-induced changes to the band structure, questions remain about the impurity distribution and the possibility of an impurity band. In this work, we investigate the resulting band structure using cathodoluminescence in a scanning electron microscope and measure the impurity-induced lattice strain using X-ray diffraction (XRD). Strong sub-band gap cathodoluminescence – an order of magnitude stronger than the band-edge emission – from deep states within the silicon band gap is observed. We study the CL emission as related to sulfur concentration (1018 – 1020 cm-3) and post-treatment annealing (up to 700°C, 30 minutes) to elucidate the relationship between the sub-band gap luminescence and sulfur impurity distribution. A threshold sulfur dosage exists below which no sub-band gap luminescence is detected, indicating that the luminescence is due to the presence of a sufficiently high concentration of sulfur impurities. With annealing we observe a decrease in the sub-band gap luminescence, impurity-induced lattice strain, and sub-band gap absorption, all related to the relaxation of the metastable sulfur impurity distribution. We also compare the sub-band gap luminescence of sulfur-doped and selenium-doped silicon prepared under identical conditions. This work makes progress towards understanding the impurity distribution of sulfur in PLM silicon and the resulting band structure, and identifies CL-SEM as a valuable tool for probing band-structure modifications in silicon resulting from hyperdoping with deep-level impurities.
9:00 PM - J7.5
Flexible Thin Film Crystalline Templates for Silicon Photovoltaics.
Daniela Bogorin 1 , Lee Heatherly 2 , Tolga Aytug 1 , Sung-Hun Wee 2 , Claudia Cantoni 2 , Frederick List 2 , Jon Bornstein 3 , Amit Goyal 2 , Mariappan Parans Paranthaman 1
1 Chemical Sciences Division, Oak Ridge National Laboratory, Oak Ridge , Tennessee, United States, 2 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 , Ampulse Corporation, Golden, Colorado, United States
Show AbstractThin film c-Si based photovoltaics on biaxially textured metal foils with suitable buffers can be capable of achieving high efficiency at low costs. Using the rolling-assisted biaxially textured substrate (RABITS) technology, we are developing thin film buffers on flexible, metallic substrate. A series of epitaxial thin films are deposited on top of cube textured copper with a cubic texture greater than 99%. Physical Vapor Deposition techniques such as pulsed laser deposition (PLD), e-beam evaporation, and sputtering were used for buffer layer deposition. A multi-layer buffer architecture that is suitable for growth of epitaxial c-Si was developed. Cu diffusion was effectively stopped by the buffer structure as revealed by SIMS depth profiling. We will report details on our thin film synthesis, texture and microstructural characterizations of the complete buffer architecture.
9:00 PM - J7.50
Device Analysis of Planar and Vertical Nanocoaxial Solar Cells.
Timothy Kirkpatrick 1 , Michael Burns 1 , Michael Naughton 1
1 Physics, Boston College, Chestnut Hill, Massachusetts, United States
Show AbstractAnalytical expressions for device transport are calculated for an array of vertically aligned nanocoaxial solar cells, and compared to that of a planar solar cell. Beginning with a canonical derivation for the total current of a PIN solar cell, in both planar and cylindrical geometries, expressions for rectifying current behavior are subsequently derived and analyzed. Fundamental differences in the physics of cell performance are inferred based on the analytical solutions for each geometrical structure. Key differences of importance are the differential equations governing minority carrier concentration in the device and the functional dependence of the dark and short circuit current on analogous physical parameters in each corresponding geometry. Finally, a comparison between planar and nanocoaxial device performance for an amorphous silicon PIN solar cell is analyzed numerically, and an attempt at optimizing cell efficiency in the nanocoaxial structure is performed.
9:00 PM - J7.51
Growth and Characterization of ZnTe/Zn1-xCdxSe Type-II Multilayer Quantum Structures for Photovoltaic Applications.
Siddharth Dhomkar 1 2 , Le Peng 1 3 , Uttam Manna 4 , Richard Moug 3 , Ildar Salakhutdinov 4 , Maria Tamargo 3 , Ismail Noyan 4 , Igor Kuskovsky 2
1 Department of Physics, The Graduate Center, The City University of New York, New York, New York, United States, 2 Department of Physics, Queens College of the City University of New York, Flushing, New York, United States, 3 Department of Chemistry, The City College of the City University of New York, New York, New York, United States, 4 Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York, United States
Show AbstractIntermediate band solar cells (IBSCs) are substantially more efficient than conventional solar cells. IBSCs rely on multi-photon absorption with an assistance of an intermediate band in the mid-gap region of the semiconductor material used for the solar cell fabrication. In this regard, the formation of the intermediate band due to type-II quantum dots (QDs) is thought to be one of the best approaches to fabricate IBSCs. The staggered band alignment of type-II semiconductor heterostructures results in the spatial separation of photo-generated electrons and holes, suppressing both radiative and Auger recombinations, making the carrier extraction process more efficient in a photovoltaic device.Previously, we investigated type-II, both undoped and doped (using nitrogen), ZnTe/ZnSe QDs fabricated via introduction of sub-monolayer quantity of ZnTe within ZnSe matrix by molecular beam epitaxy. However, the band gap of ZnSe is much higher than that of the ideal IBSC host material, which is predicted to be between ~ 1.9 and 2.4 eV. On the other hand, Zn1-xCdxSe alloy is an excellent candidate for the IBSC host material. We report here on first attempts to fabricate ZnTe/Zn1-xCdxSe QD multilayer structures on InP substrate, with Zn1-xCdxSe barriers lattice matched to InP. Initial undoped samples seem to be of high quality as indicated by sharp and streaky reflection high energy electron diffraction (RHEED) pattern throughout the growth. The narrow near-band-edge emission at ~ 2.2 eV and weak deep level emission at ~ 1.76 eV seen in the low temperature photoluminescence (PL) studies indicate the same. The PL emission from such a structure is found to be a broad band, probably due to the large size distribution of the QDs and the excitation intensity dependent PL shows a typical type-II behavior. In addition, we shall present and discuss results of the optical absorption and photoelectric response of doped samples as well as that of high resolution x-ray diffraction measurements, which we use to determine exact structural parameters of the grown material.
9:00 PM - J7.54
Elucidation of Oxidizer Effects on Growth and Property of APCVD ZnO.
Young Zo Yoo 1 , SeoHyeon Kim 1 , GeunSang Yoon 1 , JeongWoo Park 1 , Taejeong Park 1 , BoGyong Kim 1 , SuHo Park 1
1 R & D center, Samsung Corning Precision Materials, Chungcheongnam-do Korea (the Republic of)
Show AbstractAtmospheric pressure chemical vapor deposition (APCVD) has been known to be one of the most high throughput deposition methods available to industrial production. APCVD has been applied to deposit SnO2 which is commercially available TCO (transparent conduction oxide). SnO2 TCO still suffers from reduction induced transmittance drop during cell manufacturing process. In terms of reduction and transmittance, ZnO, advantageous over SnO2, is recently getting a lot of attention as an ideal TCO for Si tandem PV. APCVD ZnO can offer improvement of the cell efficiency and its cost down by high transmittance and throughput. Regardless of these advantages of APCVD ZnO, little efforts have been made due to the lack of precursor and the complexity of atmospheric deposition process. In this presentation, APCVD of large area and high quality ZnO TCO applicable to sub-module size of Si tandem will be demonstrated as a potential method viable to industrial production. Unique surface morphology and optical property of APCVD ZnO TCO are understood in terms of interfacial reaction between glass and precursor as well as atmosphere pressure growth induced structural effects.
9:00 PM - J7.55
Characterization of Nanocrystalline Titanium Dioxide for Dye-Sensitized Solar Cells by Spectroscopic Ellipsometry and Raman Spectroscopy.
Takumi Moriyama 1 , Tomoko Numata 1 , Nataliya Nabatova-Gabain 1 , Shozo Yanagida 2 , Li Yan 3
1 , HORIBA, Ltd., Kyoto Japan, 2 , Osaka University, Osaka Japan, 3 , HORIBA Scientific, Edison, New Jersey, United States
Show AbstractInvented in the early 90s, dye-sensitized solar cells (DSSCs) offer viable and attractive alternatives to conventional solid-state photovoltaic devices on the bases of cost, stability and environmental compatibility. High-efficiency DSSCs based on mesoporous nanocrystalline titanium dioxide (TiO2) electrodes, in particular, have received considerable research attention in the past decade. Efforts are continually being undertaken to improve the efficiency and reliability of DSSCs, especially as related to future printable and flexible electronics. Thickness of the mesoporous TiO2 film, for instance, has shown a dominant effect on the performance of the photovoltaic performance. Grain size is another very important factor for device optimization. In this work, we have investigated screen printed TiO2 films deposited on a textured FTO glass substrate, using spectroscopic ellipsometry (SE) combined with Raman spectroscopy. The three TiO2 samples under study have been made by repeating a same screen-printing procedure once, twice and three times, using TiO2 paste with 10nm, 20 nm and 200nm particles sequentially. Thickness, optical constants and porosity of all TiO2 films have been determined by ellipsometry; whereas grain size and crystallinity analyzed by Raman. Different Raman excitation wavelengths have been employed to study the anatase nanocrystalline TiO2 at various penetration depths. Results showed not only varying grain sizes as correlated with different TiO2 paste applied, but also variations within the individual single and double deposition films themselves. In addition, Raman mapping of the single deposition TiO2 sample saw different peak positions, which could be correlated to the grain size variations and/or stress.
9:00 PM - J7.56
Absorption Enhancement in Tapered Silicon Nanowires for Photovoltaics.
Baomin Wang 1
1 , University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractSilicon nanowires and tapered silicon nanowires or nanocones have recently been suggested as promising nanomaterials for photovoltaics. In this poster, we numerically investigate different tapered nanowire geometries as compared to nanowires. The optical absorption and ultimate efficiencies of different geometries are calculated by the finite difference time domain method. Compared with optimal siliconnanowires of length L = 2.33 μm which have an optimal efficiency of 29%, we find that tapered silicon nanowires have an optimal ultimate efficiency of 36.17% over the solar spectral range (280 nm to 4000 nm). The enhanced efficiencies of tapered silicon nanowires are found to be not particularly sensitive to tip diameter, which should facilitate their fabrication. We discuss how the graded structure allows for both antireflection and absorption enhancement in tapered silicon nanowires over single diameter nanowires. Dispersive band structures are calculated to explain the role of guided resonance modes in enhanced absorption. The angular dependence from 0 to 55 degrees of optical properties is also calculated and discussed.
9:00 PM - J7.57
Light Scattering by Electrochemically Grown ZnO Nanoparticles for Photovoltaic Application.
Yuji Suzuki 1 2 , Arman Ahnood 1 , Poopathy Kathirgamanathan 2 , Arokia Nathan 1
1 London Centre for Nanotechnology, University College London, London United Kingdom, 2 Organic Electronics, Wolfson Centre, School of Engineering, Brunel University, Uxbridge United Kingdom
Show Abstract Amorphous silicon (a-Si:H) deposited using Plasma Enhanced Chemical Vapour Deposition (PECVD) has been widely used in photovoltaic (PV) applications. a-Si:H is a well-studied material with high photo-absorption coefficient, and low environmental impact. PECVD deposition is compatible with low cost, low temperature and large area fabrication processes. Intensive research is on-going to develop a-Si:H PECVD solar cells with higher power output. One method to improve the performance of PV devices is to increase the light absorption using optical trapping techniques. Surface texturing of transparent conductive oxides (TCOs ) leads to enhancement of light trapping though optical scattering. Etching of ITO, for example, is a widely used surface texturing technique. Electrochemical deposition (ECD) is also another large area processing technique. It offers advantages such as nanoscale controlled deposition, low cost and low temperature making it compatible with other PV fabrication processes. ECD also allows simple deposition of wide bandgap nanostructure material, such as ZnO nanorod, which could be used for texturing pruposes. In this work we explore the applicability for ZnO nanoparticles for enhancement of in light absorption in a-Si:H solar cells. First, ZnO nanoparticles were grown directly on ITO coated glass substrates using the ECD method. The structural evolution of nanoparticles was investigated using scanning electron miscopy (SEM) and x-raydiffraction (XRD). The effect of deposition time on the optical reflection of the ITO substrate, was studied and it was observed that in all cases its inclusion lead to a substantial reduction in the light reflection. The amorphous silicon (a-Si:H) solar cells were then fabricated using PECVD, on ITO coated glass, textured with nanostructures ZnO. The current-voltage characteristics of a-Si:H p-i-n solar cells is analysed and the effects of the ZnO nanoparticles are discussed.
9:00 PM - J7.6
Difference in Gold, Silver, and InP/ZnS Photoluminescence.
Scott Mangham 1 , Jiang Wu 1 , Seungyong Lee 1 , Vanga Reddy 1 , Omar Manasreh 1
1 , University of Arkansas, Fayetteville, Arkansas, United States
Show AbstractReported is the photoluminescence enhancement due to dipole field from the multitude of nanoparticles that are linked to the surface of a GaAs capped InAs quantum dots using dithiol as a linker to the surface. The different nanoparticles investigated includes: gold nanoparticles, varying shapes of silver nanoparticles, and InP/ZnS nanocrystals. The photoluminescence enhancement that is observed is interpreted in terms of photon scattering from the large dipole scattering cross section by light trapping. These different nanoparticles and nanocrystals were deposited into self-assembled monolayers using the dithiol onto the surface, with also the possible difference which can be afforded by thermal annealing, resulting in significant enhancement. This enhancement can indicate the reduction of the excited carrier’s lifetime due to the plasmon coupling effect, with this enhancement factor being investigated as a function of the difference that each nanoparticle or nanocrystal can change the GaAs capped InAs quantum dots. These differences can be dependent upon the various natures of the particles, including, but not limited to the different shape and sizes of the different metal nanoparticles and nanocrystals. Due to this difference is why the different possible shapes and sizes of silver nanoparticles will also be examined upon its effect on the photoluminescence observed. All these factors help to increase the enhancement factor for each nanoparticle and nanocrystals observed.
9:00 PM - J7.7
Enhanced Optical Absorption in Nanopatterned Silicon Thin Films with a Nano-Cone-Hole Structure for Photovoltaic Applications.
Qingguo Du 1 , Chanhin Kam 1 , Xiaowei Sun 1
1 , nanyang technological university, Singapore Singapore
Show AbstractThin film silicon solar cell with the thickness in the range of a few microns is a promising way to reduce the cost of solar cell. However, the weak absorption, especially in the long wavelength side near the band gap edge of silicon, remains a challenge. Nano textured active layer has been introduced to achieve strong absorption. Here, we presented a new nano-cone-hole array structure which shows better absorptance compared to nano-hole array structure. The absorptance enhancement of nano-cone-hole arrays is attributed to lowered reflectance, more supported resonant modes and enhanced modes interaction. For nano-cone-hole array structure, the highest ultimate efficiency is 32.65%. The highest efficiency of nano-hole array structure is 26.52%. The ultimate efficiency of the optimized nano-cone-hole array is enhanced by 23.11% compared to the optimized nano-hole array with the same thickness. The nano-cone-hole array has better ultimate efficiency than that of the nano-hole array even for large incident angles up to 60 degrees.
9:00 PM - J7.8
Porous Silicon Antireflection Coatings for Colored Solar Cells.
Josefine Selj 1 , Erik Marstein 1
1 Solar Energy, Institutt for Energiteknikk, Kjeller Norway
Show AbstractBuilding-integrated photovoltaic (BIPV) systems represent an interesting, alternative approach for increasing the available area for electricity production and potentially for further reducing the cost of solar electricity. Traditionally, the visual impression of solar modules has not been of much consequence as typical locations have been rooftops and power plants. In BIPV however, esthetical aspects become essential and access to efficient, but differently colored solar cells are important for further development. The color of a solar module is determined by the color of the cells in the module, which is given by the antireflection coating (ARC). The primary function of the ARC is to reduce the reflection from the front side of the cell and any modifications of the appearance of the ARC should not compromise this function.Electrochemical etching of porous silicon (PS) provides a rapid and simple manufacture of ARC structures with many tens of layers. We have shown that the refractive index of the PS layers can be accurately controlled in depth, resulting in a high degree of flexibility in the optical properties of the ARCs. Coatings with reflectance of less than 5% in a range of different colors have been fabricated and the effect on the efficiency of the solar cells has been modelled using PC1D. This shows that the use of PS multilayer structures can allow colored solar cells to retain very high efficiencies. Challenges related to the implementation of PS multilayers in the solar cell process are also discussed.
9:00 PM - J7.9
Angular Response of Photonic Crystal Based Dye Sensitized Solar Cells.
M Carmen Lopez 1 , J.Miguel Luque 1 , Silvia Colodrero 1 , Gabriel Lozano 1 , Mauricio Calvo 1 , Hernan Miguez 1
1 Instituto de Ciencia de Materiales, Consejo Superior de Investigaciones Científicas, Seville Spain
Show AbstractHerein we report an experimental and theoretical analysis of the performance of photonic crystal based dye solar cells as the angle of incidence of light beams with respect to the cell surface varies. Nanoparticle based multilayers were coupled to the working electrode of a dye sensitized solar cell and its optical and photoelectric angular response measured. Measurements were compared to theoretical results that confirmed the accuracy of the measurements and helped to explain the observed trends in terms of the different electromagnetic field distribution found within the different parts of the cell for each angle of incidence. This analysis allow us to estimate the dependence of the magnitude of the efficiency enhancement effect caused by coherently back scattered light with the direction of propagation of light in the cell.
Symposium Organizers
Hernan Míguez CSIC
Shanhui Fan Stanford University
Kylie Catchpole The Australian National University
Dim-Lee Kwong Institute of Microelectronics
J8: Silicon Thin Film Solar Cells II
Session Chairs
Franz-Josef Haug
Miro Zeman
Thursday AM, December 01, 2011
Republic B (Sheraton)
9:30 AM - **J8.1
Efficient Light Trapping in Metallic and Dielectric Nanostructured Thin-Film Solar Cells.
Albert Polman 1
1 , FOM Institute AMOLF, Amsterdam Netherlands
Show AbstractThin-film solar cells offer many benefits over their bulk counterparts as they (1) require less material to fabricate, reducing materials and processing costs, (2) suffer less from bulk carrier recombination, which leads to a higher open circuit voltage, (3) show more efficient carrier collection, leading to a higher photocurrent, and (4) (for some materials) show strongly reduced photodegradation over time. While a thin film solar cell thus offers many advantages, it has one major limitation: the absorbance of near-bandgap light is small, in particular for the indirect-bandgap semiconductor silicon. Therefore, structuring the thin-film solar cell so that light is trapped inside in order to increase the absorbance (“light trapping”), while reducing the thickness, is very important.Here, we show that efficient light trapping can be achieved in ultra-thin film solar cells using suitably engineered metallic and dielectric nanostructures that are integrated with the active semiconductor layer. These structures are designed to be strong scatterers of light, leading to the coupling to localized and propagating in-plane modes of the semiconductor layer, effectively enhancing the optical absorption in the solar cell.We show how suitably designed arrays of Ag nanoparticles placed at the surface of a Si solar cell can provide near-perfect impedance matching between incident light and the Si active layer. We experimentally demonstrate clear enhancements of the photocurrent due to near-infrared light that is otherwise poorly absorbed in the cell. Next, we introduce a new design in which dielectric scatterers are integrated with the solar cell surface. Taking advantage of resonant Mie modes in these particles that are weakly coupled to the substrate, we demonstrate nanostructured “black” silicon over a very broad spectral range.In a complementary design, metallic nanoparticles are integrated with the metallic back contact of thin-film amorphous Si solar cells. By optimizing the spectrum of spatial frequencies in engineered random arrays, we demonstrate strong coupling of light to in-plane waveguide modes in the near-infrared over a broad range of incident wavelengths and angles. By combining a front-side dielectric nanostructure and a back-side metallic nanostructure in a nanopatterned hydrogenated amorphous Si solar cell, we demonstrate, in one design, an ultra-thin Si solar cell with enhanced spectral response in both the blue and red spectral range, demonstrating the first Si solar cell thinner than 100 nm with an efficiency > 9%.Our work can be applied to any thin film solar cell geometry and material. Ref: Plasmonics for improved photovoltaic devices, H.A. Atwater and A. Polman, Nature Mater. 9, 205 (2010)
10:00 AM - J8.2
A Conceptual Model for Predicting the Light Scattering Properties of Plasmonic Particles.
Fiona Beck 1 , Sudha Mokkapati 1 , Kylie Catchpole 1
1 , Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractPlasmonic light trapping using scattering by metal nanoparticles is a promising way of increasing the absorption in thin solar cells. The nature of the optical modes on metal nanoparticles is important because it determines how strongly the particles will scatter as well as how effectively they will couple to an adjacent solar cell. The plasmon excitation on metal nanoparticles has been generally described as a dipole mode, with additional peaks sometimes ascribed to higher order multipoles. We have recently shown that when there is significant surface contact between the particle and the substrate, a resonant surface-plasmon-polariton (SPP) state can be excited at the interface. This SPP-type mode leads to especially high scattering cross-sections (up to 7.5 times larger than a dipole with an equivalent free-space resonance) together with a very high fraction of light directed into the substrate, making it ideal for light trapping applications.Here we show that this new model allows us to predict the scattering behaviour of nanoparticles on substrates. Since the SPP mode is localized at the interface between the particle and the substrate, we would expect it to be relatively insensitive to particle height. We show using numerical simulations that this is indeed the case. In addition, we would expect the mode to be very sensitive to the area in contact with the substrate, and this is also confirmed with numerical simulations. We use this new interpretation together with a multiple scattering model to evaluate the potential for light trapping with plasmonic structures, and discuss the relative contribution of losses due to the angular spectrum of scattered light, the fraction of light scattered and absorbed, and the fraction of light scattered into the substrate. In addition we discuss how the new interpretation may lead to more efficient plasmonic light trapping geometries.
10:15 AM - J8.3
Plasmonic and Dielectric Scattering Arrays for Light Trapping in Thin-Film Silicon Solar Cells.
Er-Chien Wang 1 , Sudha Mokkapati 1 , Thomas Soderstrom 2 , Sergey Varlamov 2 , Thomas White 1 , Kylie Catchpole 1
1 Centre for Sustainable Energy Systems, Australian National University, Canberra, Australian Capital Territory, Australia, 2 ARC Photovoltaics Centre of Excellence, University of New South Wales, Sydney, New South Wales, Australia
Show AbstractEffective light trapping schemes are essential for increasing the efficiency of thin film solar cells, especially at longer wavelengths, where the absorption length of light in silicon is much greater than the thickness of the cell. Light trapping schemes that do not require texturing of the active region are preferred as they are less likely to degrade the cell’s electrical performance. In this work we present recent results for light trapping using arrays of metallic and dielectric nanoparticles that have been incorporated onto the back surface of complete thin-film silicon solar cells using soft-contact nanoimprint lithography.Plasmonic enhancement schemes based on scattering from metallic nanoparticles have received much attention recently, with a number of groups reporting significant increases in cell performance. Here we report the fabrication of large-area periodic arrays of silver nanoparticles on 2um thin-film polycrystalline silicon solar cells using nanoimprint lithography and a lift-off process. We compare these cells to identical cells with poly-disperse Ag nanoparticle arrays formed by evaporation and annealing. Both exhibit significant increases in Jsc compared to unpatterned cells, with increases of 18% and 24% measured for the mono-disperse and poly-disperse arrays, respectively. The higher performance of the poly-disperse array is attributed to a larger surface coverage and a broader enhancement bandwidth due to the distribution of scattering resonance wavelengths. Based on these results, a controlled distribution of particle sizes may provide the optimum enhancement for nanoplasmonic solar cells, rather than mono-disperse periodic arrays.We have also fabricated periodic 2D arrays of circular TiO2 pillars on the same thin-film cells using nanoimprint lithography and dry etching. These structures work as effective light trapping gratings by coupling incident light into higher diffraction orders that are trapped in the silicon by total internal reflection. For an array of pillars with diameter 400nm, and period 513nm a Jsc increase of 18% was measured relative to an unpatterned cell. Further efficiency increases are expected with improvements to the fabrication process, suggesting that optimized dielectric scattering layers are a promising alternative to plasmonic light trapping schemes.
10:30 AM - **J8.4
Thin-Film Silicon Solar Cells with a Photonic Pattern: Light Trapping towards Lambertian Limit.
Lucio Andreani 1 , Angelo Bozzola 1 , Marco Liscidini 1
1 Department of Physics "A. Volta", University of Pavia, Pavia Italy
Show AbstractLarge-scale electricity generation by photovoltaic solar energy conversion will require the development of technologies that make less use of precious semiconductor material, compared to conventional (bulk, or wafer-based) silicon solar cells. While thin-film technologies (based on amorphous Silicon, CdTe, CIGS) are rapidly increasing their market share, there is still much room for improvements in terms of material consumption, conversion efficiency, and cost. It would be especially interesting to develop thin-film solar cells based on crystalline silicon, although this is difficult because of the weak material absorption in most of the visible spectrum.In this talk, after a general introduction along the above lines, we shall discuss the prospects for increasing optical absorption in thin-film solar cells by means of light trapping. While the theory of light trapping by a lambertian scatterer in bulk solar cells is well known from ray-optics treatments [1,2] and a nearly optimal solution is given by surface texturization with inverse pyramids, the generalization to solar cells with a film thickness of the order of the wavelength of visible light requires introducing sub-micrometric (photonic) structures that have to be treated by wave-optics approaches [3,4]. Several effects have to be considered, including anti-reflection properties of the chosen structure, diffraction into the semiconductor material, and coupling into the guided modes of the slab.We specifically consider solar cells based on either crystalline or amorphous silicon and calculate optical reflection and absorption spectra when a 1D or 2D photonic lattice is patterned into the silicon layer, with an oxide material being placed inside the holes and above the patterned layer to act as an anti-reflection coating. The resulting short-circuit current is compared to that of a structure with the same material thickness and anti-reflection oxide coating, either without a patterning (reference structure) or when full Lambertian light trapping is assumed. For c-Si with thicknesses in the 0.25-4 micron range, and amorphous silicon in the 50 – 500 nm range, the short-circuit current is substantially increased by the photonic pattern, 2D lattices being more effective than 1D ones. The photonic lattice provides both anti-reflection and light scattering properties, the two functions being intimately related. The optimized short-circuit current is still below the ultimate limits: strategies for further improving the light-trapping properties will be discussed.[1] E. Yablonovitch, J. Opt. Soc. Am. 72, 899 (1982)[2] M.A. Green, Progr. Photovolt: Res. Appl. 10, 235 (2002)[3] Z. Yu, A. Raman, and S. Fan, Opt. Express 18, A366 (2010); Proc. Nat. Acad. Sci. 107, 17491 (2010)[4] S. Zanotto, M. Liscidini, and L. C. Andreani, Opt. Express 18, 4260 (2010)
11:30 AM - **J8.5
Approaching the Lambertian Limit in Randomly Textured Thin-Film Solar Cells.
Falk Lederer 1 , Stephan Fahr 1 , Carsten Rockstuhl 1 , Thomas Kirchartz 2
1 Physics and Astronomy, University of Jena, Jena Germany, 2 Blackett Laboratory, Imperial College, London United Kingdom
Show AbstractThin-film solar cells are considered to be attractive candidates for photovoltaic elements. They can be fabricated at low costs on large areas. Among various possible inorganic materials hydrogenated amorphous silicon (a-Si) is potentially the most attractive material. However, carrier collection in a-Si:H is hampered by their low initial mobility-lifetime product. Consequently, the optimal thickness of the aSi:H thin-film solar cells is limited to about250 nm. Although a further thickness increase leads to a higher absorption, insufficient carrier collection results in a reduction of the photocurrent and the fill factor. It is detrimental for the device performance that this thickness limitation yields to an insufficient light absorption of spectral components near the absorption edge. This constitutes a major limitation to be lifted. Thus, the absorptance has to be enhanced at wavelengths, where the absorption length is much larger than the absorber thickness. This can be achieved by integrating an assisting light trapping structure whose purpose is to steer light at the nanoscale inside the solar cell. To date the most common approach for an efficient light trapping is the use of textured surfaces made of transparent material. The purpose of these textures is to reduce reflection losses and to enhance the scattering of light, which leads to an effectively enhanced optical path length in the solar cell. For a Lambertian scattering texture, it was shown that the maximum achievable path length enhancement in the weakly absorbing limit amounts to 4n2, with n being the refractive index. This Lambertian limit, also called Yablonovitch limit, constitutes a referential benchmark for every possible light trapping scheme. Moreover, the race is on to propose structures that potentially even beat that limit, or operate at least as close as possible to it. This could be achieved for absorbing layer thicknesses between 1 and 100 micrometers. Although, there are also suggestions for extremely thin (a few nm) layers their implementation turned out to be difficult. Thus, it is of primary interest to reach this limit for thin-film solar cells with realistic, relevant thicknesses in the order of about 250 nm. By means of rigorous simulations we show that this can be achieved for thin film solar cells based on amorphous silicon by suitably scaling both the texture height and length. Whether or not the Lambertian limit is approached is marginally affected by the actual topology. The required scaling to reach the limit suggests smaller feature sizes (nanoscopic structures) as those already in place. Most importantly, we achieve this goal by relying on random textures already incorporated into state-of-the-art superstrates. This is a key step towards an ultimate implementation in a commercial device because it constitutes only a slight modification of the current fabrication technology.
12:00 PM - J8.6
Light Trapping by Femtosecond Laser Texture in Thin Silicon Solar Cells.
Benjamin Lee 1 , Yu-Ting Lin 2 , Renee Sher 3 , Eric Mazur 2 3 , Howard Branz 1
1 National Center for Photovoltaics, National Renewable Energy Lab, Golden, Colorado, United States, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 3 Department of Physics, Harvard University, Cambridge, Massachusetts, United States
Show AbstractFemtosecond laser texturing is used to create nano- and micron-scale surface roughness that strongly enhance light-trapping in thin silicon solar cells. Light trapping is crucial for thin solar cells where a single-pass is insufficient to capture the weakly absorbed red and near-infrared photons, especially with an indirect-gap semiconductor absorber layer such as crystalline Si which is less than 10 µm thick [1]. We achieve enhancement of the optical absorption from light-trapping that approaches the Yablonovitch limit [2].A variety of surface morphologies can be obtained from fs laser treatments, depending on laser parameters and the ambient gas environment [3]. In particular, wavelength-scale and larger features can: a) reduce reflectivity due to multiple reflection occurring between valleys; and/or b) scatter light at large angles, resulting in light-trapping due to total internal reflection. Thus, we used fs laser texturing in N2 ambient to generate ~0.1-1 µm scale features with a large degree of random roughness. Optical absorption measurements were done with laser-textured 400 and 20 µm Si wafers and 4 µm Si films, using a spectrophotometer equipped with an integrating sphere. Absorption of near-infrared light, by electronic transitions across the Si bandgap, was enhanced to practically the Yablonovitch limit for light-trapping. We attribute the excellent light-trapping to the nearly ideal random texture created by the laser treatment.We have made ~4 µm thin film-crystalline Si solar cells, with fs laser surface texturing. Initial results show working devices with Voc ~ 0.4 V and Jsc ~ 8 mA/cm2. Devices are not optimized, as there is some performance loss due to laser-induced damage; we will discuss our progress in reducing defects with post-annealing and a chemical damage-removal etch. Importantly, we observe increased quantum efficiency for red and infrared photons, consistent with a light-trapping enhancement for the laser-textured cell.NREL work is supported by the U.S. DOE under Contract DE-AC36-08GO28308. Harvard work is supported by NSF under Contract DMR-0934480.[1]H.M. Branz et al., Thin Solid Films, in press (2011).[2]E. Yablonovitch and G.D. Cody, IEEE Transactions on Electron Devices 29, 300 (1982).[3]R. Younkin et al., Journal of Applied Physics 93, 2626-2629 (2003).
12:15 PM - J8.7
Enhanced Light Trapping in Polycrystalline Silicon Thin Films through Ion Implantation Induced Surface Textures and Deposition of Silver Nanostructures.
Perveen Akhter 1 , Nirag Kadakia 2 , Mengbing Huang 2
1 Department of Physics, University at Albany- SUNY, Albany, New York, United States, 2 College of Nanoscale Science and Engineering , University at Albany-SUNY, Albany, New York, United States
Show AbstractThis work investigates a novel method to enhance light trapping within polycrystalline silicon (poly-Si) thin films for photovoltaic applications. The method combines the use of hydrogen ion implantation for creation of surface textures in poly-Si thin films and the deposition of silver nanostructures on the textured surface. Poly-Si thin films were prepared by solid phase crystallization of a 1.6-μm thick amorphous silicon (a-Si) layer deposited via electron beam evaporation on a glass substrate. The a-Si was annealed at various temperatures 600 -1050 °C for 48 hours to grow grains of different size in poly-Si, as confirmed by x-ray diffraction (XRD) measurements. These samples were then implanted with 20-keV hydrogen ions to a dose of 10e17 /cm^2, and some with an additional implant with 90-keV argon ions to a dose 5e15 /cm^2. Following implantation, these samples were annealed in an Ar ambient for 1 hr in a temperature range of 400 -1100 °C. Surface blistering effects were observed using an optical microscope. Compared to the single H implant, samples with both H and Ar implants were found to have remarkably enhanced blistering, with a higher density of blisters. Optical specular reflection measurements in the spectral range 300-1400 nm indicated that the reflectance of the samples with higher blistering (1100 °C annealed) had decreased remarkably from 40% to 10%. Lastly, the poly-Si samples with various textures created by previous ion implantation processes were deposited with a 20-nm silver thin film followed by annealing at 300 °C for 60 minutes in nitrogen ambient for forming Ag nanostructures on textured poly-Si surfaces. Scanning electron microscope (SEM) was used to image the surface structures. The results showed that the presence of a textured surface can greatly affect the growth of Ag nanoparticles. The formation of Ag nanoparticles of size 500-590 nm on the poly-Si surface, with textures created by implantation followed by low-temperature annealing (e.g., 400 °C), can significantly reduce light reflection as opposed to the case with Ag nanoparticles formed on an un-textured, smooth poly-Si surface. We also conducted finite-difference time-domain (FDTD) calculations to further understand these experimental observations.
12:30 PM - J8.8
Engineered Plasmonic-Photonic Arrays for Enhanced Photovoltaics.
Jacob Trevino 1 , Nate Lawrence 2 , Gary Walsh 2 , Luca Dal Negro 1 2
1 Materials Science and Engineering, Boston University, Brookline, Massachusetts, United States, 2 Electrical and Computer Engineering, Boston University, Boston, Massachusetts, United States
Show AbstractWe report on the design, fabrication and measurement of thin-film solar cells with nanostructured plasmonic and dielectric arrays, which demonstrate broadband and polarization insensitive light scattering and trapping in thin-film solar cells. In this work, Schottky solar cells coupled to deterministic aperiodic Au nanoparticle and dielectric nanopillar arrays were fabricated using electron beam lithography on Si wafers. The Schottky cells consist of ITO conductive films deposited on p-type SOI wafers. The I-V curves and photocurrent spectra of the solar cells were measured with and without the deposition of nanoparticle arrays. Arrays with different morphologies and nanoparticles sizes were systematically investigated in a large range of geometrical parameters. Our results demonstrate highly reproducible absorption enhancement from lithographically defined deterministic aperiodic arrays with optimized broadband scattering suitable for the engineering of reproducible Si-based devices. In this work, we specifically focused on the efficiency enhancement of solar cells integrated with aperiodic plasmonic structures with isotropic scattering properties such as Fermat spirals, Pinwheel lattices, and Danzer arrays of with varying particle sizes and interparticle separations. All these arrays lack translational and orientational invariance but possess circularly symmetric, diffuse diffraction spectra resulting in strong and polarization-insensitive light scattering around the Si absorption gap region. Wafer-size scalability by nano-imprint lithography and cost-effective process integration of the proposed technology will also be discussed.
J9: Organic Solar Cells
Session Chairs
Kylie Catchpole
Hernan Miguez
Thursday PM, December 01, 2011
Republic B (Sheraton)
2:30 PM - **J9.1
Bio-Inspired Electro-Photonic Structure for Organic Solar Cells.
Rene Lopez 1 , John Tumbleston 1 , Edward Samulski 2 , Doo-Huyn Ko 2
1 Physics and Astronomy, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States, 2 Chemistry, University of North Carolina, Chapel Hill, North Carolina, United States
Show AbstractA major challenge in solar cell technology dwells in achieving an efficient absorption of photons with an effective carrier extraction. In all cases, light absorption considerations call for thicker modules while carrier transport would benefit from thinner ones. This dichotomy is a fundamental problem limiting the efficiencies of most photovoltaics. Light absorption in silicon cells, for example, is very weak, especially at the red side of the absorption band, requiring a thick active layer to capture enough photons. This active layer needs to be of high quality (and expensive!) crystalline material to transport the excitons over tens of microns. One pathway to overcome this problem is to decouple light absorption from carrier collection. We present solutions to this problem applying bio-inspired nanostructures to organic photovoltaic (OPV) solar cells.For OPV devices based on poly-3-hexylthiophene:[6,6]-phenyl-C61-butyric acid methyl ester (P3HT:PCBM), we describe a 2-D photonic crystal geometry that enhances the absorption of polymer-fullerene photonic cells ~ 20% relative to conventional planar cells. Remarkably, the photonic crystal cell offers the possibility to increase photocurrents by improvements in optical absorption and carrier extraction simultaneously, and particularly through the excitation of photonic resonant modes near the band edge of organic PV materials. We also present an optical method to extract charge transport lengths from device photoactive layers.
3:00 PM - J9.2
Self-Assembled Plasmonic Electrodes for High-Performance Organic Photovoltaic Cells.
Wade Luhman 1 , Si Hoon Lee 2 , Timothy Johnson 2 , Russell Holmes 1 , Sang-Hyun Oh 2
1 Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota, United States, 2 Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractDue to the intrinsic thin-film character of organic photovoltaic cells (OPVs), there has been much interest in the use of plasmonic nanostructures as a means to enhance optical absorption and improve overall efficiency. Here, we investigate thin Ag films incorporating plasmonic nanohole arrays as transparent conducting electrodes for OPVs. While previous work has often involved electron-beam lithography, focused ion beam milling, interference lithography, or nano-imprint lithography, the cost of patterning periodic nanostructures over large areas becomes a significant bottleneck for low-cost applications. To address this challenge, we fabricate plasmonic electrodes using nanosphere lithography to create hexagonal nanohole arrays over centimeter-sized areas. In this work, OPVs based on the electron donor-acceptor pairing of copper phthalocyanine (CuPc) and C60 are constructed on a nanopatterned Ag anode and show power conversion efficiencies that exceed those of devices constructed on conventional indium-tin-oxide, independent of light polarization. In comparison to cells constructed on unpatterned Ag, the power conversion efficiency is noted to double with patterning. The increase in device performance is attributed to the excitation of surface plasmons and the associated large field enhancements near the anode-donor interface that enhance absorption in the CuPc donor layer. Here, the use of a solution-based patterning approach facilitates the integration of electrode processing with existing low-cost OPV fabrication techniques.
3:15 PM - J9.3
A Plasmonic Nano-Patterned Rear Contact for Organic Solar Cells.
Bjoern Niesen 1 2 , Barry Rand 1 , Pol Van Dorpe 1 2 , Lianming Tong 3 , Alexandre Dmitriev 3 , Paul Heremans 1 2
1 , IMEC, Leuven Belgium, 2 Electrical Engineering (ESAT), Katholieke Universiteit Leuven, Leuven Belgium, 3 Applied Physics, Chalmers University of Technology, Gothenburg Sweden
Show AbstractThe efficiency of organic solar cells suffers from the inherently low exciton diffusion length and the small charge carrier mobility of organic semiconductors. A promising approach to address these limitations is the use of plasmonic nano-structured metal layers which exhibit strong near-field enhancement as well as intense light scattering and therefore enhance the light absorption inside the organic layers when placed in a solar cell. The active layer thickness of such a plasmonic solar cell could therefore be reduced to allow for better exciton dissociation and charge collection without compromising the absorption. To simplify the device architecture, the nano-structured metal layer usually also acts as electrode to extract charges. For optical reasons, it is desirable to structure the rear electrode, which acts as a back-reflector. This is mainly because metal structures at the front of the cell would reflect and absorb a significant amount of the light before it reaches the active layer. As organic solar cells are usually processed on top of a transparent conducting substrate, this means the patterning of the rear electrode has to be done on top of the organic layers without harming their optical and electrical properties. This is not trivial because organic semiconductors are easily damaged by exposure to air, heat, humidity or mechanical stress.Here, we demonstrate a route to nano-pattern the metallic rear electrode of an organic solar cell on top of the P3HT:PCBM active layer without compromising the electrical and optical properties of the organic semiconductor materials. The technique employed for the patterning, hole-mask colloidal lithography, makes use of polystyrene nano-beads that are solution-processed on top of the active layer to form a shadow mask during the subsequent metal deposition. The beads are then removed by a residue-free tape, which leads to a metal rear electrode comprising well separated circular holes with near-range periodicity and a diameter similar to that of the beads, as determined by scanning electron microscopy. These processing steps were found to have no significant impact on the fill factor and the open-circuit voltage of the device. The plasmon resonance of the nano-patterned electrode can easily be adjusted to a desired wavelength in the visible or near-infrared by altering the size of the beads. For this study, we used beads with sizes between 60 and 200 nm, which led to resonances between 470 and 760 nm. By reflection measurements, a strong light scattering was observed at the resonance wavelength. By adjusting the plasmon resonance to 560 nm using 110 nm diameter polystyrene beads, we observed an external quantum efficiency enhancement of up to 36% in the red absorption tail of the P3HT:PCBM blend, which we could directly attribute to the plasmonic light scattering.
3:30 PM - J9.4
Light Management in 20-nm-Thick Organic Semiconducting Polythiophene Layers Using Split-Dipole Plasmonic Nanoantenna Arrays.
Deirdre O'Carroll 1 2 , Alexa Abdelaziz 1
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States, 2 Chemistry and IAMDN, Rutgers University, Piscataway, New Jersey, United States
Show AbstractLight management in organic opto-electronic devices using optical nanoantennas is a path toward a next generation of devices with thinner active layers (< 50 nm) and reduced optical losses and energy dissipation. For organic photovoltaic devices, receiving optical nanoantennas (i.e., those with resonance tuned to the absorption wavelength of the semiconductor) can be designed to enhance light absorption rate or redirect normally incident solar radiation into in-plane modes of the semiconductor layer. In this way, the semiconductor layer thickness (and, hence, series resistance) can be minimized while preserving the effective light absorption depth of the device. For excitonic emission processes, transmitting optical antennas can be employed to increase the radiative decay rate (Tr) of spontaneous emission relative to the non-radiative decay rate (Tnr) - thereby increasing the apparent quantum efficiency (QE)). Both receiving and transmitting nanoantennas can play a part in optimizing organic photovoltaic device performance by controlling the excitonic transition rates in the active layer.Here, integration of monopole and split-dipole plasmonic nanoantenna arrays with 20-nm-thick P3HT films over 10 × 10 mm areas will be presented to experimentally demonstrate macroscopic enhancements in Tr and QE. When the plasmonic nanoantennas resonate at the emission wavelength of P3HT, empirical values for Tr increase by a factor of 36 and QE values of up to 40 % will be shown to be theoretically possible. By doping the P3HT active region with an n-type material, such as [6,6]-phenyl-C61-butyric acid methyl ester, exciton dissociation occurs within monopole nanoantenna arrays leading to nanoantenna-mediated photocurrent in the organic semiconductor. Routes for efficient exciton dissociation and free-carrier collection within the nanoantennas will be discussed. In summary, this work demonstrates plasmonic nanoantenna designs that can lead to high-efficiency organic photovoltaic devices.
3:45 PM - J9:Organic
BREAK
4:15 PM - J9.5
Optical Resonator Effect to Enhance the Efficiency of Organic Photovoltaic Cells.
Rafael Betancur 1 , Pablo Romero-Gomez 1 , Jordi Martorell 1 2
1 , ICFO-Institut de Ciencies Fotoniques, Castelldefels, Barcelona, Spain, 2 Fisica i Enginyeria Nuclear, Universitat Politecnica de Catalunya, Terrassa, Barcelona, Spain
Show AbstractSeveral routes are currently under study to enhance the photon harvesting efficiency in organic solar cells. Very recently, it was shown that in a cell where the ITO electrode was replaced by a Cu-Ni metal layer, the loss in transparency of the metal versus ITO electrode could be partially compensated by the optical cavity formed between the Al and Cu-Ni electrodes [1]. However, because of absorption in the metal the overall efficiency of the metal electrode based cell was 76% of the ITO based one. It has also been demonstrated experimentally that a layer of gold nano-particles can increase the PCE (photo conversion efficiency) by 18% when inserted in the PEDOT:PSS which is the organic typically used as electron blocking layer (EBL) between the ITO and active material [2].Here, we propose a very simple approach to achieve an enhancement of the PCE which is based on the optimization of the optical resonator effect which is formed by the several layers -each one having a different index of refraction- that constitute the architecture of a bulk hetero-junction P3HT:PCBM cell. A comparison of the numerically determined internal and external quantum efficiencies of such cell indicates that, if all the photons that are rejected by the optical structure of the cell could be absorbed by the photovoltaic active layer, the PCE that could be potentially achieved would be 34% higher than the PCE from a standard P3HT:PCBM cell. We show that by adjusting the thicknesses of the ITO electrode and the PEDOT:PSS EBL, we achieve a positive resonator effect in a wavelength range of approximately 250 nm. This effect leads to an enhancement of the harvesting efficiency up to 13%. The thicknesses of these layers are varied within a range such as that the electrical characteristics of the cell remain essentially unchanged. Preliminary experimental results we obtained using a bulk hetero-junction P3HT:PCBM cell indicate that it is possible to measure an increase in the PCE close to 10% by taking advantage of such simple optical resonator effect. Contrary to other types of photon harvesting enhancements proposed that would require the addition of new materials and a change in the fabrication procedure, the one we propose here can be implemented easily, without changing the cell architecture or fabrication procedure, and at no added cost.[1] D.S. Ghosh et al. “Semi-transparent metal electrode of Cu–Ni as a replacement of an ITO in organic photovoltaic cells ” Solar Energy Materials and Solar Cells, Vol. 95, 1228 (2011).[2] J-L Wu et al. “Surface Plasmonic Effects of Metallic Nanoparticles on the Performance of Polymer Bulk Heterojunction Solar Cells,” ACSNano, Vol. 5, 959 (2011).
4:30 PM - J9.6
The Origin of Enhanced Light Harvesting in Organic Solar Cells with ITO-Free MoO3/Ag/MoO3 Transparent Anodes.
Nicholas Sergeant 1 , Afshin Hadipour 2 , Bjoern Niesen 2 , David Cheyns 2 , Paul Heremans 2 , Peter Peumans 2 , Barry Rand 2 , Shanhui Fan 1
1 Electrical Engineering, Stanford University, Stanford, California, United States, 2 , IMEC, vzw, Leuven Belgium
Show AbstractOrganic semiconductors suffer from short exciton diffusion lengths and low charge carrier mobility. These characteristics necessitate, respectively, the use of intercalated networks of the donor and acceptor molecules in a bulk heterojunction (BHJ) as well as a reduced thickness of the photoactive films. Low film thickness, however, produces weak optical absorption, leading to the well known tradeoff between internal quantum efficiency (IQE) and absorption efficiency. To address this tradeoff, we suggest a thermally evaporated molybdenum trioxide/silver/molybdenum trioxide (MoO3/Ag/MoO3 or MAM) stack on glass as a transparent anode. This anode has the additional benefit of being ITO-free and its deposition process is compatible with low-temperature substrates. Rather than optimizing the transparency of the MAM anode, we spectrally tune the anode to create a strong resonant cavity effect for a specific photoactive material to alleviate the absorption-IQE tradeoff. We apply our light trapping approach to an organic solar cell composed of a BHJ of the low-bandgap co-polymer poly[N-9''-hepta-decanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole) (PCDTBT) and the fullerene derivative [6,6]-phenyl C70-butyric acid methyl ester (PC70BM). Solar cells fabricated with these materials have shown excellent electrical behavior with IQEs approaching 100%. However, when using ITO anodes PCDTBT suffers from reduced absorption close to its band gap with absorption efficiency dropping below 50% at wavelengths near λ= 650 nm. We have optimized the optical resonant cavity created between the tri-layer MAM anode and a reflective back-contact, by tuning the thickness of the top layer of MoO3 which also serves as a hole transport layer (HTL). The resonant cavity effect leads to a strong red-shift in the absorption edge. The external quantum efficiency (EQE) of the optimized solar cells on tri-layer anodes outperform the ITO based devices in the spectral range 520 nm ≤ λ ≤ 700 nm, with a peak value of 28% enhancement at λ = 645 nm. From the AM1.5G weighted integrated EQE we find that the optimized devices have a short circuit current of 8.02 mA/cm2 compared to 7.87 mA/cm2 for the device fabricated on ITO. A detailed study was performed to explain the origin of the light trapping effect which leads to the enhancement in short circuit current. We find an excellent agreement between the transfer matrix model and the experimental observations. From the modeled field intensity profiles we explain how the thickness of the HTL impacts the optical performance of the solar cell and we extract guidelines to optimize the photonic design. The cells on these tri-layer anodes show excellent electrical characteristics with fill factor=62%, open circuit voltage =0.85V and power conversion efficiency of up to 4.4%.
4:45 PM - J9.7
Plasmonic Polymer Tandem Solar Cell.
Jingbi You 1 , Jun Yang 1 , Chunchao Chen 1 , Wan-Ching Hsu 1 , Hairen Tan 2 , Xingwang Zhang 2 , Ziruo Hong 3 , Yang Yang 1
1 , Department of Materials Science and Engineering, UCLA, Los Angeles, California, United States, 2 , Key Lab of Semiconductor Materials Science, Institute of Semiconductors, Chinese Academy of Science, Beijing China, 3 , Graduate School of Science and Enginnering, Yamagata University, , Yamagata Japan
Show AbstractWe demonstrated plasmonic effect in inverted tandem polymer solar cell configuration by blending Au nanoparticles (NPs) into the inter-connection layer (ICL), which connects two sub-cells. Experimental results showed this plasmonic enhanced ICL improves both the top and bottom sub-cells efficiency simultaneously by enhancing optical absorption. The presence of Au nanoparticles did not cause electrical characteristics to degrade within the tandem cell. As a result, a 20% improvement of power conversion efficiency (PCE) has been attained by the light concentration of Au NPs via plasmonic near field enhancement. The simulated near-field distribution and experimentally Raman scattering investigation support our results of plasmonic induced enhancement in solar cell performance. Our finding shows a great potential of incorporating the plasmonic effect with conventional device structure in achieving highly efficient polymer solar cell.
5:00 PM - J9.8
Toward Some Photonic Structures to Improve Organic Solar Cells Efficiencies.
David Duche 1 , Judikael Le Rouzo 1 , Jean-Jacques Simon 1 , Francois Flory 1 , Ludovic Escoubas 1
1 , IM2NP, Marseille France
Show AbstractThe second and third generations of solar cells require optimization to overcome various limitations, either technological or theoretical. Organic materials offer the possibility to decrease the manufacturing costs of solar cells. Nevertheless, the photo-conversion efficiencies of organic solar cells (OSCs) are still low and have to reach 10% to make this technology commercially viable. In this way new concepts and new structures must be found. Among these, the use of photonic structures such as thin-films, nanostructures, gratings, photonic crystals and plasmons in solar cells are expected. This work is under progress in our team and I will present some of this work done in the laboratory. In that context, photonic concepts seem to be adapted to improve the photonic absorption of such thin film devices. Accordingly, we investigated the use of photonic crystals slabs (PCS) to trap the light inside the OSCs. The aim is to couple Bloch modes with very low group velocities, also called Slow Bloch Modes (SBM), into the active layer. Using a Finite Difference Time Domain method, we theoretically studied PCS made of organic semiconductors and their incorporation in the stack of an OSC. We showed that an absorption gain between 4% and 11% can be obtained according to the band gap of the used material. Nanoimprint has been used to directly pattern P3HT :PCBM thin films in the shape of PCS able to couple SBMs. In agreement with the model, some optical characterizations showed significant absorption gains. Furthermore, the results proved that the crystalline properties of the blend are conserved during the process.