Symposium Organizers
Don Futaba AIST
Annick Loiseau Laboratoire d'Etude des Microstructures (LEM)
Yoke Khin Yap Michigan Technological University
Ming Zheng National Institute of Standards and Technology
AA5: Poster Session: Synthesis and Characterization
Session Chairs
Don Futaba
Annick Loiseau
Tuesday AM, November 29, 2011
Exhibition Hall C (Hynes)
1:00 AM - AA5:Syn-Char
AA5.67 TRANSFERRED TO AA12.5
Show AbstractAA1: Synthesis of Carbon Nanostructures I
Session Chairs
Monday PM, November 28, 2011
Ballroom B (Hynes)
9:00 AM - **AA1.1
Putting Single-Walled Carbon Nanotubes to Use as the Industrial Materials - Recent Progress in Development of Single-Walled Carbon Nanotube Mass Production and Their Development into Applications in Japan.
Motoo Yumura 1 2
1 Nanotube Research Center, AIST, Tsukuba Japan, 2 , Technology Research Association for Single Walled Carbon Nanotubes (TASC), Tsukuba Japan
Show AbstractSingle Walled Carbon Nanotube (SWCNT) Mass Production :Due to the high cost from low yield production, industrial use of SWCNTs has not yet been realized despite their excellent properties. Industrial mass production technology is being developed based on highly efficient "Super-Growth" (i.e. water-assisted chemical vapor deposition) method1) by combining large area with continuous process. On February 2011, a pilot plant based was established (in AIST) with Nihon Zeon Corp. capable to produce 0.6 kg of SWCNT per day. In addition,improvement of eDIPS (enhanced Direct Injection Pyrolytic Synthesis) method was developed to control diameter of highly crystalline SWCNTs. As a result, the development of both the super-growth and eDIPS methods for mass production with low cost will promote the development of the industrial use of SWCNTs in the near future.Development of SWCNT Application:In August 2010, the 'Innovative Carbon Nanotubes and Their Applications Project' was begun which aims to develop applications utilizing the excellent properties of carbon nanotubes. Research and development work of this project was assigned to the "Technology Research Association for Single Wall Carbon Nanotubes" (TASC), a consortium of five companies (Sumitomo Precision Products Co., Ltd., TEIJIN Ltd., Toray Industries, Inc., ZEON Corporation, and NEC Corporation) and National Institute of Advanced Industrial Science (AIST). The goals of this project by 2014 are to promote the development of Single-Walled Carbon Nanotubes (SWCNT) technology. More specifically, we aim to develop innovative applications of SWCNTs synthesized by Super-Growth method and eDIPS methods, and to build up methods of separation and dispersing SWCNTs. In addition, we are assessing the safety and the management of nanotube materials. Moreover, we promote R&D on ultra-light, super-strength, high-performance materials for application development through the establishing a new industry on Carbon Nanotube (CNT) composite materials.
9:30 AM - AA1.2
Growth of Ultrahigh Density Single-Walled Carbon Nanotube Forests.
Guofang Zhong 1 , Santiago Esconjauregui 1 , John Robertson 1
1 , Cambridge University, Cambridge United Kingdom
Show AbstractA number of applications of carbon nanotubes require that they are grown in extremely high area density, for example for use as interconnects (conductors) in integrated circuits. There has been considerable work on growth of CNT forests, but the emphasis tends to be on growth of the tallest forest [1], rather than densest forest. We discuss ways of growing ultra-high density CNT forests using control of the catalyst structure, and choice of the growth gas mixture and pressure [2-4]. We have been able to grow nanotube forests of density 1.5e13 cm-2 by careful design of the catalyst and its support layer. This value is close that required for CNTs to be used as interconnects. Our forests are also valuable for use as thermal interface materials. It is notable that our density is 10 times higher than previously achieved [1] and also many orders higher than found by previous workers for horizontal grown nanotubes.1 K Hata et al, Science 306 1362(2004)2 S Esconjauregui et al, ACS Nano 4 7431 (2010)3 G Zhong et al, ACS Nano (2011)4 G Zhong et al, Nanoletts 8 886 (2008)5 C Zhang, et al, J App Phys 108 24311 (2010) 6 J Rogers et al, Nature Mats 2 230 (2007)
9:45 AM - AA1.3
Quantitative Analysis of Catalyst Particle Formation and CNT Nucleation by In Situ GISAXS and AFM.
Eric Meshot 1 , Mostafa Bedewy 1 , Eric Verploegen 2 , A. John Hart 1
1 Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Laboratory, Menlo Park, California, United States
Show AbstractThere remains a void in understanding how the catalyst particle geometry influences the nucleation of small-diameter carbon nanotubes (CNTs), particularly when thin metal films dewet to form populations of particles. Known methods of monitoring catalyst particle dynamics in situ are only suitable for small sample sizes, and/or are restricted to low-pressure conditions. We combine in situ grazing-incidence small-angle X-ray scattering (GISAXS) with complementary ex situ AFM analysis to observe the dynamics of thin film dewetting, catalyst coarsening, and CNT film nucleation. A cold-wall chemical vapor deposition (CVD) apparatus is mounted directly in the G1 beamline at the Cornell High Energy Synchrotron Source (CHESS), enabling real-time scattering measurements of statistically significant populations of nanostructures (10^10) at atmospheric pressure, with up to 10-ms time resolution.We refine a grazing-incidence scattering model of the particles as a lognormal population of truncated spheres (Renaud, et al. 2009), which we fit to time sequences of GISAXS images in order to quantify the particle size, degree of truncation, contact angle, and spacing during dewetting and annealing. We verify this model for Fe particles on Al2O3 by comparing the in situ GISAXS results with measurements from ex situ AFM images, from which we quantify the peak height and Voronoi cell size for each particle. The geometric parameters measured by AFM show excellent agreement with those extracted from GISAXS, even with the simplifying assumption that particle size and spacing are decoupled.During rapid heating of the substrate (~100 °C/s), we observe that film agglomeration is influenced by gas chemistry; the film dewets at 550 °C in H2/He compared to 400 °C in C2H4/H2/He. Particle geometry is highly dynamic in the early seconds of heating but quickly reaches a quasi-steady shape, and slow coarsening and diffusion of Fe into the substrate occur at long annealing times. We also investigate how oxidizing additives (i.e., H2O, C2H5OH) influence the dynamics of particle formation and thus CNT growth, as well as the effects of varying both catalyst and support layer thicknesses. Modeling of the CNT scattering enables comparison of the CNT diameter and variance to the catalyst measurements; we find that the CNT diameter is bound by the particle diameter, and the mean and variance of CNT diameter decrease rapidly during the first few seconds of growth. Finally, the number density of CNTs (cm^-2) is significantly less than the number of Fe particles per unit area, which demonstrates how this comprehensive methodology establishes statistical relationships between catalyst and CNT populations.Renaud, G., R. Lazzari, F. Leroy. (2009). "Probing surface and interface morphology with Grazing Incidence Small Angle X-Ray Scattering." Surface Science Reports 64(8): 255-380.
10:00 AM - AA1.4
Growth of Carbon Nanotube Carpets from Al2O3/Fe: Role of Physicochemical Properties of Al2O3 Substrates Probed with AFM, XPS, and Contact Angle Goniometry.
Placidus Amama 1 , Shawn Putnam 2 , Benji Maruyama 3
1 , AFRL/UDRI, Dayton, Ohio, United States, 2 , AFRL/UTC, Dayton, Ohio, United States, 3 , AFRL/RXBN, Dayton, Ohio, United States
Show AbstractWater-assisted catalytic CVD using a thin Fe catalyst film supported on an aluminum oxide (AO) layer is one of the preferred methods for producing single-walled carbon nanotube (SWNT) carpets due to the high activity and lifetime of the catalyst. The AO films used as catalyst support are usually deposited using any of the physical or chemical vapor deposition techniques such as electron beam (e-beam), atomic layer deposition (ALD), and magnetron sputtering. The substrate surface during magnetron sputtering is constantly under powerful electron bombardment and the sputtered particles are known to have high kinetic energy. Particles deposited on the substrate by e-beam are generally characterized by limited mobility because of their lower kinetic energy. On the other hand, ALD is a slow, well controlled chemical deposition process whereby atomic layers are deposited one layer at a time and the films are highly uniform in thickness and relatively free of pinholes. These deposition processes are clearly different and the resulting films should have different properties. Our recent work confirmed that Fe catalyst supported on sapphire, and amorphous AO films deposited by ALD, sputtering, and e-beam have catalyst activity and lifetime that are remarkably different.1 The rational design of immortal catalysts for improved and controlled growth of SWNT carpets will require a good understanding of the properties of the different AO substrates. Using scanning probe microscopy, spectroscopy, and contact angle goniometry, we have successfully elucidated the surface topography, surface energy, stoichiometry, and surface hydroxyl fraction of c-cut sapphire and amorphous AO films deposited using standard chamber conditions. (1) Amama, P. B.; Pint, C. L.; Kim, S. M.; McJilton, L.; Eyink, K. G.; Stach, E. A.; Hauge, R. H.; Maruyama, B. Acs Nano 2010, 4, 895-904.
10:15 AM - **AA1.5
Type- and Chirality-Controlled Carbon Nanotube Synthesis and Applications.
Chongwu Zhou 1
1 Electrical Engineering, University of Southern California, Los Angeles, California, United States
Show AbstractCarbon nanotubes hold great potential but also face significant challenges for future electronic applications. In this talk, we report our recent progress on type- and chirality-controlled synthesis of massively aligned carbon nanotubes for nanoelectronics. In order to achieve predictable and uniform device performance for large scale integrated circuits, it is important to control the type and chirality of the carbon nanotubes. To address the problem of coexistence of metallic and semiconducting nanotubes, we have carried out the controlled synthesis of predominant semiconducting nanotubes using chemical-vapor deposition. Under optimized growth conditions, we have observed that more than 90% of the nanotubes are semiconducting, as confirmed by Raman and electrical characterizations. In addition, Rayleigh scattering has been utilized to further confirm the chiralities of the as-synthesized semiconducting nanotubes. We have also carried out chirality-controlled carbon nanotube synthesis by moving away from metal catalyzed CVD and using chirality-pure nanotube seed instead. Extensive AFM, Raman, Rayleigh, and electrical characterization results will be presented, confirming that chirality-control nanotube synthesis is achieved. In conclusion, this work has addressed the challenging task of controlling the electronic type and chirality of carbon nanotubes, and can serve as a critical foundation for future nanoelectronics.
10:45 AM - AA1.6
Roles of Oxidants in the Growth of Single-Walled Carbon Nanotubes by Chemical Vapor Deposition Method.
Weiwei Zhou 1 , Shutong Zhan 1 , Lei Ding 1 , Jie Liu 1
1 Chemistry Department, Duke University, Durham, North Carolina, United States
Show AbstractRecently oxidant-assisted chemical vapor deposition method (CVD) has been successfully demonstrated for growing vertically aligned single-walled carbon nanotubes (SWNTs).[1] The addition of the precursors containing oxygen atom, such as water, carbon dioxide, acetone, methanol et al, can dramatically enhance carbon nanotube growth yield. A common hypothesis attributes this yield increase to the etching of amorphous carbon deposited on the surface of catalyst particles by the oxidants to avoid their poisoning.[2-3] In the meantime, oxidants also play an important role in the preferential growth of semiconducting nanotubes.[4] Our recent work showed a dense array of well-aligned SWNTs with over 95% nanotubes being semiconducting could be obtained by using a mixture of methanol and ethanol as carbon precursors in a CVD process.[5] In order to further understand the role of oxidants in the growth of SWNTs, we systematically studied the effects of the concentration of two oxidants: methanol and water on the growth density and electronic property of carbon nanotubes. In contrary to general belief, introducing suitable amount of oxidants not only does not prevent the growth of carbon nanotubes, but can actually assists the formation of carbon nanotubes under certain CVD conditions which have been proven unfavorable for nanotube growth. Moreover, Raman spectra and electrical measurement of thin-film transistors (TFTs) both show electronic properties of aligned SWNT arrays are very sensitive to the concentrations of oxidants. Using optimum growth conditions, highly pure semiconducting SWNT array can be selectively synthesized and TFTs with high on/off ratio and high on-state-current density can be obtained. (1)Hata, K.; Futaba, D. N.; Mizuno, K.; Namai, T.; Yumura, M.; Iijima, S. Science 2004, 306, 1362.(2)Futaba, D. N.; Hata, K.; Yamada, T.; Mizuno, K.; Yumura, M.; Iijima, S. Physical Review Letters 2005, 95, 4.(3)Futaba, D. N.; Goto, J.; Yasuda, S.; Yamada, T.; Yumura, M.; Hata, K. Advanced Materials 2009, 21, 4811.(4)Yu, B.; Liu, C.; Hou, P. X.; Tian, Y.; Li, S. S.; Liu, B. L.; Li, F.; Kauppinen, E. I.; Cheng, H. M. Journal of the American Chemical Society 2011, 133, 5232.(5)Ding, L.; Tselev, A.; Wang, J. Y.; Yuan, D. N.; Chu, H. B.; McNicholas, T. P.; Li, Y.; Liu, J. Nano Letters 2009, 9, 800.
11:00 AM - AA1:CNS-1
BREAK
AA2: Growth Mechanism
Session Chairs
Yoke Khin Yap
Motoo Yumura
Monday PM, November 28, 2011
Ballroom B (Hynes)
11:30 AM - **AA2.1
Investigation of the Chirality Control of Carbon Nanotube at Atomic Scale.
Hakim Amara 1 , Mamadou Diarra 1 , Christophe Bichara 2 , Francois Ducastelle 1
1 , ONERA-CNRS, Chatillon France, 2 , CINaM-CNRS, Marseille France
Show AbstractAlthough significant efforts have been directed towards nanotube synthesis, the diameter and chirality distribution is still disparate and its control remains a great challenge. The mechanisms involved are not clear even in cases where such control has been partially achieved. This is not surprising since the detailed microscopic mechanisms involved in the growth of carbon nanostructures are still lacking. An atomic scale investigation of the nanotube – catalyst particle interface and of the way carbon atoms get incorporated in the tube, under the conditions of the experiments is then highly desirable. However, whether the employed method is empirical or semi-empirical, all final configurations are plagued by a high concentration of atomic-scale defects making impossible the modelization of tubes with defined chirality. In the present work, we investigate the healing processes of defective carbon nanotubes at atomic scale. We have developed a tight-binding (or semi-empirical) model for nickel and carbon that uses Monte Carlo simulations in the grand canonical ensemble to study the formation of carbon structures (graphene and nanotubes) from a metallic substrate [1,2]. In particular, we have recently discussed the key role played by metallic atoms in the reconstruction of a defected graphene sheet by annealing defects [3].Here, we use our approach to study the evolution at finite temperatures of defected nanotubes. Different lengths and diameters of nanotubes have been investigated at various temperatures demonstrating that defects can thermally be activated and that high temperatures are able to heal the structure. We have also investigated the role played by the metal catalyst and a vapor of carbon atoms in the healing process. The building up of the tube chirality is analyzed and discussed through electron diffraction patterns. The approach proposed here could help identify individual healing mechanisms during growth that produces perfect tube structures and those favouring a definite chirality [4].[1] H. Amara et al., Phys. Rev. Lett., 100, 056105, (2008).[2] H. Amara et al., Phys. Rev. B 79, 014109 (2009).[3] S. Karoui et al., ACS Nano, 4, -10-, 6114-20 (2010).[4] M. Diarra et al., (in preparation)
12:00 PM - AA2.2
Understanding the Correlation between the Catalyst Particles and C-SWNT Diameter: A First Step towards the Chirality Control.
Annick Loiseau 1 , Marie-Faith Fiawoo 1 2 , Hakim Amara 1 , Anne-Marie Bonnot 3 , Jany Thibault-Penisson 2 , Christophe Bichara 4
1 LEM, ONERA-CNRS, Chatillon France, 2 IMMNP, CNRS-U. Aix Marseille, Marseille France, 3 Institut Louis Néel, CNRS-UJF, Grenoble France, 4 CINAM, CNRS-U. Aix Marseille, Marseille France
Show AbstractControlling the nanotube structure during the CVD growth remains an hot challenge. Here, by studying in a systematic way, using ex situ transmission electron microscopy, the structure of the tubes and the particles from which they grow and of their link, we inspect how the nanotube diameter is driven by the particle. We used a specific HFCVD synthesis protocol described in [1] for, first, making possible the direct observation of both the tubes and their seed particles at any stage of the growth without any post synthesis manipulation and, second, for avoiding any particle size evolution during the synthesis. We performed a statistical study on tens to hundreds nano-objects as a function of the synthesis time. At the early stages of the synthesis, two nucleation modes are identified, depending on whether the tube nucleates tangentially or perpendicularly to the surface of the nanoparticle. In the first case called tangential, the tube diameter is directly linked to that of the particle, which is not the case of the second one called perpendicular [2]. These modes accounts for the different particle-tube configurations and the apparent discrepancy on the tube-particle diameter ratios found in the literature. With our synthesis conditions, both modes are active on equal footing at short synthesis time, independently of the particle size. Then, a double selectivity occurs on both the particle size and the nucleation mode so that only nuclei tangentially linked to particles with defined diameter give rise to long tubes. Selectivity on the particle size can be explained by a tuning effect of the carbon feeding rate, which is too large for the smallest particles and too low for the largest ones. Tight-binding calculations using the approach developed in [3] have been performed to understand the origin of the nucleation mode selectivity. Results indicate that the tangential mode is the most stable from a thermodynamical point of view [2]. On this basis, we propose that the perpendicular mode is driven by kinetics effects, dominant in the early stages or for high growth rates and that steady state conditions with low growth rates should be looked for experimentally for favoring the tangential mode and achieving a direct control of the nanotube diameter by the particle size. This represents an essential step towards a better control of the structure during the synthesis. [1] M.-F. Fiawoo et al, Surf. Science 603, 1115 (2009)[2] M.-F. Fiawoo et al, submitted to Phys. Rev. Lett. (2011)[3] H. Amara et al, Phys. Rev. Lett. 100, 056105 (2008); H. Amara et al, Phys. Rev. B 79, 014109 (2009).
12:15 PM - AA2.3
In Situ Evaluation of the Role of Catalyst Structure in Carbon Nanotube Formation.
Renu Sharma 1
1 Center for nanoscale Science and Technology, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractUnderstanding the nucleation and growth process of carbon nanotubes (CNTs) and carbon nanofibres (CNFs) is fundamental to control the parameters for their selective synthesis for desired applications. Recent in situ observations of nucleation and growth process, using an environmental scanning transmission electron microscope (ESTEM) have answered some of the fundamental questions about the morphological changes and structure of the catalyst particle during growth for Ni and Fe catalyst particles.[1-4] We have also applied this technique to understand the role of Au doping in improving the catalytic activity of Ni catalyst for CNF and CNT formation (also known as 1-D carbon structures).[5] Particle shape change and carbide formation are two common phenomenon revealed during in situ observations of the nucleation and growth of CNFs and CNTs for the three transition metal catalysts (Ni, Fe, and Au-Ni) studied so far. As we know surface or bulk C diffusion is an essential last step for the growth of 1-D carbon structure formation. Carbon diffusion is one of the fundamental steps (precursor dissociation and C-C bond formation being others) and can proceed via surface, bulk or both on a catalyst particle. It is reasonable to assume that metal carbide formation will require C bulk diffusion. However neither Ni3C nor Fe3C is stable at the temperatures (520 °C for Au-Ni and 650 °C for Fe) employed for CNF and CNT synthesis, as the decomposition temperature for Ni3C and Fe3C are reported to be above 300 °C and 500 °C, respectively. Our detailed analysis of CNT and CNF growth videos show that the carbide structure formed under growth conditions exists in dynamic equilibrium and is an intermediate step for nucleation and growth of 1-D carbon structure. Both the activity and CNT growth termination may be explained using the structure and thermodynamic model for carbide formation and decomposition reaction. References:[1]. Helveg et al., Nature 427 (004) 426.[2]. Hoffman et al., Nano Letters 7 (2007) 602-608.[3]. Yoshida et al., Nano Letters 9 (2008) 3910-3815.[4] Sharma Nano Letters 9, (2009) 689-694.[5] Sharma Nano Letters (2011) ASAP (June 2)
12:30 PM - AA2.4
In Situ, High-Pressure XPS and XRD Study of Graphene CVD.
Piran Ravichandran Kidambi 1 , Robert Weatherup 1 , Bernhard Bayer 1 , Carsten Baehtz 2 , Raoul Blume 3 , Robert Schloegl 3 , Stephan Hofmann 1
1 Engineering Department, University of Cambridge, Cambridge United Kingdom, 2 Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, Dresden Germany, 3 , Fritz Haber Institute, Berlin-Dahlem Germany
Show AbstractThe understanding of the role of transition metal templates in the chemical vapour deposition (CVD) of graphene and carbon nanotubes remains incomplete, which limits a widespread utilisation of these materials. We present a combination of high-pressure X-ray photoelectron spectroscopy (XPS)[1] and in-situ X-ray diffraction (XRD) experiments analysing the behaviour of thick (>300 nm) poly-crystalline and nano-particulate catalysts during hydrocarbon exposure at temperatures ranging from 500-1000°C. We focus on the comparison of transition metal catalysts and novel oxide catalyst systems[2,3]. Our time and depth resolved in-situ measurements allow for a clear identification of the catalyst state at any point of time during growth and an understanding of how carbon is incorporated in the growing nanostructure.[1] The state of transition metal catalysts during carbon nanotube growth, Hofmann S. , Blume R., Wirth C. T., Cantoro M., Sharma R., Ducati C., Haevecker M., Zafeiratos S., Schnoerch P., Oestereich A., Teschner D., Albrecht M., Knop-Gericke A., Schloegl R., Robertson J., J. Phys. Chem. C 113, 1648 (2009).[2] Nanoscale Zirconia as a Non-Metallic Catalyst for Graphitization of Carbon and Growth of Single- and Multi-Wall Carbon Nanotubes, Steiner III S. A., Baumann T. F., Bayer B. C., Blume R., Worsley M.A., Moberlychan W. J., Shaw E. L., Schloegl R., Hart A. J., Hofmann S., Wardle B. L., JACS 131, 12144 (2009).[3] Hafnia nanoparticles – a model system for graphene growth on a dielectric, Kidambi P.R., Bayer B.C., Weatherup R.S., Ochs R., Ducati C, Szabó D.V., Hofmann S., submitted
12:45 PM - AA2.5
Nucleation and Growth of Graphene on Copper.
HoKwon Kim 1 , Cecilia Mattevi 1 , Manish Chhowalla 2 1 , Eduardo Saiz Gutierrez 1
1 Department of Materials, Imperial College London, London United Kingdom, 2 Department of Materials Science and Engineering, Rutgers, the State University of New Jersey, Piscatawy, New Jersey, United States
Show AbstractChemical vapor deposition of graphene on copper is one of the most promising synthesis routes for large scale production of high quality graphene [1]. However, the growth mechanism and effect of growth conditions such as growth pressure, temperature, and gas composition on the growth graphene properties are not yet fully understood. According to recent findings, graphene growth on Cu proceeds through a surface adsorption mechanism where islands of graphene nucleate at random sites on the surface of Cu catalyst and the graphene domains enlarge to form a continuous film of polycrystalline graphene. The polycrystalline nature of CVD graphene appears to be one of the limiting factors for electronic quality of CVD graphene due to the scattering of charge carriers at the grain boundaries [2,3]. Hence, the nucleation and growth mechanism of graphene require further study in order to produce high quality material.To this end, we have investigated the growth process at low pressures and hydrocarbon concentrations to allow thorough observation of the nucleation and growth of graphene islands. Using scanning electron microscopy (SEM), and atomic force microscopy (AFM), the evolution of the graphene nuclei over time has been probed. We have observed that some nucleation sites can initiate the formation of graphene multilayers and the density of nuclei is strongly affected by the surface roughness, and step edges of Cu substrate on different crystallographic orientation of the copper grains. Furthermore, temperature dependent study has shown that surface decomposition of hydrocarbon is the rate-limiting step for graphene domain growth. A comparison with the existing growth models for the atomistic formation of graphene onto other transition metals is presented.[1] X. Li, W. Cai, J. An, S. Kim, J. Nah, D. Yang, R. Piner, A. Velamakanni, I. Jung, E. Tutuc, S. K. Banerjee, L. Colombo, and R. S. Ruoff, Science 324, 1312 (2009). [2] Q. Yu, L.A. Jauregui, W. Wu et al. Nature Mater. 10, 443 (2011).[3] X. Li, C. W. Magnuson, A. Venugopal et al., Nano Lett. 10, 4328–4334 (2010).
AA3: Synthesis of Carbon Nanostructures II
Session Chairs
Pulickel Ajayan
Yoke Khin Yap
Monday PM, November 28, 2011
Ballroom B (Hynes)
2:30 PM - **AA3.1
Graphene-Based and Graphene-Derived Materials.
Rodney Ruoff 1
1 Mechanical Engineering and the Materials Science and Engineering Program, The University of Texas at Austin, Austin, Texas, United States
Show AbstractGraphene-based materials are promising due to their electronic and thermal transport, mechanical properties, high specific surface area, that they can act as an atom thick layer, barrier, or membrane, and for other reasons. Our micromechanical exfoliation approaches [1,2] conceived of in 1998 yielded multilayer graphene and one paper described in detail how monolayer graphene could be obtained [1]. Three main research areas of our group are: (i) Growth of large area graphene on metal substrates, characterization and physical properties, and studies of devices having graphene as a central component; (ii) the generation, study, and use of graphene-based platelets (typically derived from graphite oxide) including as dispersed in liquids, and powders derived from such colloids or generated by microwave or thermal treatment of graphite oxide; (iii) Entirely new materials such as a new form of carbon comprised of atom thick walls and a distribution of micro- and meso-pores, made by activation with KOH of microwave expanded graphite oxide (MEGO) or thermally expanded graphite oxide (TEGO). Our pioneering studies (composites, thin films, transparent conductive films, electrical energy storage, large area monolayer CVD growth on copper, graphene as a protective coating, others) can be seen on our home page (publications). Thus, I will here focus primarily on new work since my presentation at the Spring 2011 MRS Meeting. A recent talk (at Harvard) may be useful to those audience members wanting to familiarize themselves with research from my group; it also provides a (brief) history of the experimental discovery of graphene, at: http://bucky-central.me.utexas.edu/Support of our work by the W. M. Keck Foundation, NSF, DARPA ‘iMINT’, DARPA ‘CERA’, ONR, SWAN NRI, ARO, AEC, SRC, and previously by Graphene Energy, Inc. and the NASA URETI program, is appreciated. 1. Lu XK, Yu MF, Huang H, and Ruoff RS, Tailoring graphite with the goal of achieving single sheets, Nanotechnology, 10, 269-272 (1999). 2. Lu XK, Huang H, Nemchuk N, and Ruoff RS, Patterning of highly oriented pyrolytic graphite by oxygen plasma etching, Applied Physics Letters, 75, 193-195 (1999).
3:00 PM - AA3.2
Crystalline Plane-Dependent Domain Structure of Graphene over Hetero-Epitaxial Cu Films.
Yui Ogawa 1 , Hiroki Ago 1 2 , Baoshan Hu 2 , Masaharu Tsuji 1 2 , Ken-ichi Ikeda 1 , Seigi Mizuno 1 , Hiroki Higino 3
1 Grad. Sch. Eng. Sci., Kyushu univ., Kasuga, Fukuoka, Japan, 2 , Inst. Mater. Chem. Eng., Kasuga, Fukuoka, Japan, 3 , NTT Basic Res., Atsugi, Kanagawa, Japan
Show AbstractRecently, CVD on Cu foils is widely used to grow large-area, single-layer graphene. However, the graphene film consists of a number of small and random domains reflecting the polycrystalline nature of the Cu foil. We previously demonstrated the orientation-controlled single-layer graphene growth over hetero-epitaxial Co and Cu films deposited on single-crystal substrates [1,2]. Such hetero-epitaxial metal films can also convert amorphous carbon to uniform single-layer graphene [3]. However, there still lacks information on domain structure of graphene films, which strongly influences the quality and physical properties of graphene. Here, we present the differences the domain structure and quality of the graphene films grown on hetero-epitaxial Cu(111) and Cu(100) thin films. Low energy electron microscope (LEEM) of the as-grown graphene on Cu(111) shows uniform single-layer graphene whose orientation is consistent with the underneath Cu lattice for areas over 1 mm2. No apparent domain boundaries are detected by LEEM and Raman spectroscopy. On the other hand, the graphene film grown on Cu(100) indicates clear multi-domain structures with two preferential orientations based on LEEM analysis. In addition, Raman D-band is weakly observed along the domain boundaries for the graphene transferred from Cu(100). These results demonstrate that domain structure and defects of CVD graphene are strongly correlated with the crystallinity of the catalyst metal. Our work offers a promising approach to realize a “single crystalline” graphene film free from domain boundaries.[1] H. Ago et al., ACS Nano, 4, 1414 (2010). [2] B. Hu et al., submitted. [3] C. M. Orofeo et al., Nano Res., 4, 531 (2011).
3:15 PM - AA3.3
A Crystallographic Relationship between Multi-Layered Graphene and Catalytic Metal in Low Temperature Growth.
Makoto Wada 1 , Yuichi Yamazaki 1 , Masayuki Kitamura 1 , Tatsuro Saito 1 , Atsunobu Isobayashi 1 , Masayuki Katagiri 1 , Atsuko Sakata 1 , Akihiro Kajita 1 , Tadashi Sakai 1
1 , Low-power Electronics Association & Project (LEAP), Tsukuba Ibaraki Japan
Show Abstract The crystallographic relationship between multi-layered graphene and catalytic metal was studied by transmission electron microscopy (TEM) diffraction method. We found a new model for low temperature graphene growth. The nucleation of graphene occurred on the facet of (111)-oriented catalytic grain and multi-layered graphene spread on the catalytic metal terrace. This is because graphene network structure had a crystalline consistency with the edge structure of catalytic (111) plane. Graphene is the promising material for nano-scaled interconnects since it has a ballistic conduction characteristic and it can conduct high current densities. In order for graphene to be applied to LSI Back-End-of-Line process, low temperature growth below 600°C is essential. However, the growth mechanisms at such low temperature have not been clarified. In this work, we investigated the behavior of graphene growth by detailed TEM analysis. TiN adhesion layer and Co catalytic layer were deposited on SiO2 substrate to the thickness of 15nm and 30nm. Graphene growth was performed by plasma based CVD system controlled at 600°C. TEM analysis was performed in the cross-sectional direction of samples.TEM analysis showed a remarkable result on the low temperature graphene growth. Cross-sectional observation revealed that the graphene growth originated from the catalytic facet as a starting point and multi-layered graphenes were formed. Thickness of multi-layered graphenes corresponded to the height of catalytic facets and the highest number of layers was around 30 to 40. Multi-layered graphenes grew continually even on the underlying catalytic grain boundaries and extended throughout several catalytic grains. TEM diffraction patterns were measured at (1) multi-layered graphene, (2) catalytic grain of graphene growth point and (3) catalytic grain located below graphene, respectively. Clear crystallographic relationship was found between (1) and (2). Catalytic grain of graphene growth point had (111) texture and <111> axis coincided with the alignment of diffraction spots from the multi-layered graphene. On the other hand, catalytic grain located below graphene had less interaction with multi-layered graphene since <111> axis of catalytic grain was off-aligned to the diffraction spots of multi-layered graphene. These results indicate that the nucleation of graphene occurred on the edge of catalytic (111) planes and graphene layers grew spontaneously in a planer direction. This growth behavior is different from high temperature growth model that the dissolved carbon into catalytic metal segregates from whole area of catalytic surface [1]. We conclude that the growth model taken from this study is a characteristic phenomenon in low temperature graphene growth.This work was supported by the New Energy and Industrial Technology Development Organization (NEDO) and the Minister of Economy, Trade and Industry (METI) of Japan.[1] Q. Yu et al. Appl. Phys. Lett. 93 (2008) 113103
3:30 PM - AA3.4
Role of Cu Substrates on the Growth of Graphene.
Jianwei Liu 1 , Guowei Xu 1 , Judy Wu 1 , Tina Edwards 2 , Cindy Berrie 2 , Javier Baca 3 , Zhijun Chen 4 , Victor Maroni 4 , M. Parans Paranthaman 5 , Amit Goyal 5
1 Physics and Astronomy, University of Kansas, Lawrence, Kansas, United States, 2 Chemistry, University of Kansas, Lawrence, Kansas, United States, 3 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 4 , Argonne National Laboratory, Argonne, Illinois, United States, 5 , Oak Ridge National Laboratory, , Oak Ridge, Tennessee, United States
Show AbstractFinding ways to improve the properties of graphene, including the grain orientation, plays a central role in the applications of graphene. In this work, we have demonstrated that the polycrystalline copper (poly-Cu) and cube textured (100) orientation copper (CTO-Cu) were chosen as substrates for the controlled growth of graphene by chemical vapor deposition (CVD) process under a combined flow of CH4 and H2 at 1000 oC. The SEM and AFM studies revealed the nucleation and evolution of random shape grain graphene growth on poly-Cu and triangular graphene on CTO-Cu at high temperature. Electron backscattering diffraction (EBSD) mapping results demonstrated the triangles on CTO-Cu appear to be strongly (111) oriented, which confirms the initial nucleation of graphene occurs on the Cu (111) facets. The Cu (111) facets exposed through reduction at the surface of the triangles provide ideal nucleation sites for the epitaxial growth of graphene and the reduction of the amount of grain boundaries may explain the lower defect intensity in the Raman spectra taken on graphene/CTO-Cu as compared to graphene/Poly-Cu grown at the same conditions. This scheme of self-assembly may be further developed to generate a desired template for large-area epitaxy of grapheme. The grain orientation of poly-Cu and CTO-Cu substrates during the growth of graphene provided useful information and direct evidences for understanding the growth mechanism of graphene on Cu substrate. By engineering the substrates, we can prepare the graphene film with uniformity, high conductivity and transparency.
3:45 PM - AA3.5
Self-Organizing Formation of Graphene Nanoribbons.
Shintaro Sato 1 , Kenjiro Hayashi 1 , Katsunori Yagi 1 , Ayaka Yamada 1 , Daiyu Kondo 1 , Naoki Yokoyama 1
1 Green Nanoelectronics Center, AIST, Tsukuba Japan
Show AbstractSelf-organizing formation of graphene nanoribbons (GNRs) by chemical vapor deposition (CVD) has been achieved on a Cu/SiO2/Si substrate. GNRs were preferentially formed on steps on Cu surface under a certain growth condition. GNRs were then transferred to SiO2/Si substrate and confirmed by Raman spectroscopy.Graphene growth was attempted on a Cu film deposited on a SiO2/Si wafer using CH4 diluted by Ar and H2 as the source gas. The total pressure ranged from 100 Pa to 1 kPa. The growth temperature was ~860°C. When the total pressure was ~100 Pa and the partial pressure of CH4 was low, we obtained GNRs on steps of the Cu surface. On the other hand, normal graphene grains were grown on the Cu surface at a total pressure of 1 kPa. Evaporation of Cu from the surface also seems to affect the preferential GNR formation. GNRs were then successfully transferred to a SiO2/Si substrate, and confirmed by Raman spectroscopy. Our results propose a new route to form GNRs on a substrate in a self-organizing manner. This research is granted by the Japan Society for the Promotion of Science (JSPS) through the “Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program),” initiated by the Council for Science and Technology Policy (CSTP).
4:00 PM - AA3:CNS-2
BREAK
AA4: Spectroscopy and Microscopy I
Session Chairs
Arkady Krasheninnikov
Annick Loiseau
Monday PM, November 28, 2011
Ballroom B (Hynes)
4:30 PM - **AA4.1
Imaging and Spectroscopy of Atomic Defects in Low-Dimensional Materials.
Kazutomo Suenaga 1
1 Nano-Scale Characterization Team Nanotube Research Center, ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY, Ibaraki Japan
Show AbstractCurrent flurry to miniaturize functional devices largely relies on the atomic configuration controlled at local scale. Elemental identification and electronic state analysis of specific atoms different from those in bulk materials becomes more and more crucial in order to predict precisely the properties of nano-devices. Single-layered low-dimensional materials, such as nanotubes, graphene and hexagonal boron-nitride (h-BN), are regarded as a promising candidate for future electronic devices. The physical properties of single-layered low-dimensional materials in a finite size will be governed by the atomic defects as well as the edge structures and therefore understanding these structures and properties is of great importance. So far the atomic configurations at the edge or defects were mostly investigated either by TEM or STM. To investigate the electronic states of the specific atoms experimentally is our next challenge.Single atom spectroscopy of carbon with the fine structure information has been believed difficult so far because of the extremely weak signal and the intrinsic problem of specimen damage. Here we demonstrate the site specific single atom spectroscopy at the graphene edge by means of an aberration corrected STEM operated at 60kV, so that the peculiar electronic/bonding structures can be directly investigated [1]. The experimental results about the atomic defects of h-BN and WS2 nano-ribbons will be also presented [2][3].This work is supported by CREST, Grant-in-aid from MEXT and JST/AF international collaborative program.References[1] K. Suenaga and M. Koshino, Nature 468 (2010) pp1088-1090[2] J. Kotakoski, C. Jin, O. Lehtinen, K. Suenaga and A. Krasheninnikov, Phys. Rev. B 82 (2010) 113404[3] Z. Liu, K. Suenaga, Z. Wang, Z. Shi, E. Okunishi and S. Iijima, Nature Commun. 2:213 (2011)
5:00 PM - AA4.2
Nanoengineering Carbon Nanotubes: The Effect of Electron Irradiation on Nanotube Structure.
Katherine McDonell 1 2 , Gwenaelle Proust 2 1 , Luming Shen 1
1 Civil Engineering, The University of Sydney, Sydney, New South Wales, Australia, 2 Australian Centre for Microscopy and Microanalysis , The University of Sydney, Sydney, New South Wales, Australia
Show AbstractA combined experimental and simulation approach into the impacts of electron irradiation on carbon nanotube morphology was conducted. Single-walled nanotubes (SWNTs) were irradiated using Transmission Electron Microscopy (TEM) a range of voltages (90keV to 200keV), temperatures (25°C to 670°C) and exposure periods (orders of minutes). The effects of irradiation were observed and characterised using electron microscopy and Raman spectroscopy. Samples of SWNTs were observed prior to, during and following irradiation to discern any changes that occurred in SWNTs as a result of irradiation. Raman spectroscopy was used to characterise the variation in carbon nanotube structure present in irradiated and non-irradiated samples of SWNTs. Comparison of micrographs indicated that more damage was sustained at higher accelerating voltages including the creation of amorphous carbon. At lower accelerating voltages, the type and variety of defects induced as a result of irradiation increased. For instance, there was a higher incidence of local scale modifications such as kinks and pits relative to higher accelerating voltages i.e. modifications limited to specific areas rather than modification of the whole nanotube. Accumulations of local scale modifications such as non-uniform diameter and straightening where observed. Raman spectroscopy corroborated the creation of amorphous carbon through gradual loss and shift in G band height and wavenumber from SWNT to a:C which is consistent of irradiation induced damage to CNTs. In addition, raman spectroscopy detected another non SWNT carbon allotrope characterised by loss of distinctive SWNT RBM and G band and presence of strong raman lines a number of locations which are indicative of other allotropes of carbon such as C70.Experimental conditions were mimicked using the classical molecular dynamics simulations. SWNTs were irradiated with simulation conditions approximating that of experimental electron beam intensity and specimen temperature using AIREBO and Primary Knock-on (PKA) approximation. Results indicate a higher rate of atom removal and variation in molecular geometry at higher accelerating voltages.The preliminary results indicate that electron beam intensity and temperature affect the type and frequency of modification to SWNT structure. At higher accelerating voltages there is a tendency toward global modification of SWNT structure being creation of non SWNT allotropes of carbon. At lower accelerating voltages tendency toward local modifications such as the creation of kinks and pits.
5:15 PM - AA4.3
The Effect of Point Defects on the Band Structure and Optical Response of Graphene.
Wu Zhou 1 2 , Jaekwang Lee 1 2 , Micah Prange 1 2 , Mark Oxley 1 2 , Jagjit Nanda 2 , Sokrates Pantelides 1 2 , Stephen Pennycook 2 1 , Juan Carlos Idrobo 1 2
1 Physics and Astronomy, Vanderbilt University, Nashville, Tennessee, United States, 2 Materials Science & Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractGraphene holds promising potential for applications in high frequency electronics, optoelectronics, and nanoplasmonics [1], owing to its exceptional physical properties [2]. As a two-dimensional carbon crystal with single-atom thickness, graphene shows ultra-high electron mobility, strength, and thermal conductivity, and excellent optical properties. Some of these, e.g. electronic and mechanical properties, can be tuned by the presence of structural defects such as grain boundaries, vacancies, and dopants that are commonly present in the graphene layer [2]. In this work, we use aberration-corrected scanning transmission electron microscopy (STEM) and first-principles calculations, based on density functional theory (DFT), to study the atomic structure, bonding, electronic and optical properties of monolayer graphene in the presence of point defects containing Si and N dopant atoms. Electron microscopy results and DFT calculations show that a defect complex consisting of two adjoining five-fold rings, formed by the exchange of two C atoms for one Si atom, and a substitutional N atom bonded directly with the Si atom is the most favorable and stable defect configuration in monolayer graphene with Si and N dopants. The precise atomic configuration at the defect sites determines the local bonding and electronic structure in the graphene layer. We demonstrate that the bonding information of single Si dopant at various defect configurations can be directly obtained from atomic resolution electron energy-loss spectroscopy (EELS), and in some cases, the single Si atom in the graphene layer displays similar bonding character as that in bulk SiC. From EELS and DFT calculations, we also observe local changes in the band structure and the optical response of graphene at the defect sites. The results suggest possibilities for designing optoelectronic and plasmonic devices at the single atom level via point defect engineering on monolayer graphene sheets.References:[1] A. K. Geim, Science, 234 (2009), 1530.[2] D. S. L. Abergel et al. Advances in Physics, 59 (2010), 261.This research was supported by NSF grant No. DMR-0938330 (WZ, J-CI), DOE grant DE- F002-09ER46554 (MPP, MPO, STP), by the Shared Research Equipment (SHaRE) User Facility, Oak Ridge National Laboratory, which is sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences (J-CI), and by the Office of Basic Energy Sciences, Materials Sciences and Engineering Division, U.S. Department of Energy (SJP, JL).
5:30 PM - AA4.4
Revealing the Electronic Structure of Pt Nucleation Sites in Single- and Multi-Layer Graphene.
Juan Idrobo 1 , Wu Zhou 2 1 , Kelly Perry 2 , Wujun Fu 3 , Chengdu Liang 3 , Karren More 2
1 MSTD, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Physics and Astronomy, Vanderbilt University, Nashville, Tennessee, United States, 3 Center for Nanophase Materials Science, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractPt nanoparticles supported on graphitic carbon represent one of the most extensively used and well-studied catalyst systems for fuel cell applications [1]. The catalytic active sites in this case are Pt atoms dispersed on the surface of graphitic carbon, which is formed by the stacking of one-atom thick graphene layers. However, the types of carbon sites and the mechanisms that most efficiently “trap” and stabilize Pt atoms in the early stages of particle nucleation are still not well understood. Specifically, the atomic configuration, chemical identities, and electronic structure of the graphene sites that Pt atoms can bind strongly with are still not well identified. The mechanism by which the Pt clusters are stabilized at the adsorption sites in the early stages of nucleation is also an issue of ongoing debate.In order to address these questions, we have deposited Pt atoms on chemical vapor deposited (CVD) single- and double-layer suspended graphene films, such that the position/location of individual Pt atoms can be clearly observed with analytical electron microscopy techniques. In this work, we used aberration-corrected scanning transmission electron microscopy (STEM) and first-principles calculations based on density functional theory (DFT), to study the atomic structure, bonding characteristics, and electronic properties of Pt nucleation sites on the surfaces and defects of single- multi-layer graphene films.From STEM Z-contrast images, we find that individual Pt atoms do not occupy substitutional or interstitial positions in single or double layer graphene. We observe that the stability of the Pt nucleation sites is highly correlated with particular defects present in the graphene lattice and increases with the number of graphene layers. We also find that Pt atoms preferentially bond at the edge sites of double, triple, (etc) graphene layers. However, the edge sites seem to be less stable than those associated with defects. The atomic scale structure-property relationships controlling the stability of Pt deposition sites in graphene will be discussed in the context of atomic-resolved electron energy-loss spectroscopy (EELS), Z-contrast imaging in low-kV aberration-corrected STEM, and in conjunction with first-principles calculations. [2][1] Xin, Y., et al. Journal of Power Sources, 196(3), 1012-1018 (2011).[2] Research sponsored by (a) the Fuel Cell Technologies Program (KAP, KLM), Office of Energy Efficiency and Renewable Energy, U.S. Department of Energy, (b) ORNL’s Shared Research Equipment (SHaRE) User Facility (J-CI, KLM) and the Center for Nanophase Materials Science (CNMS) (WF, CL), which are sponsored by the Office of Basic Energy Sciences, U.S. Department of Energy, and (c) the National Science Foundation under Grant No. DMR-0938330 (WZ).
5:45 PM - AA4.5
Mapping the Electronic Structure of Graphene and Graphene Oxide: Substrate Hybridization, Imaging Electronic Grain Boundaries, and Electronic Structure Recovery.
Brian Schultz 1 , Vincent Lee 1 , Christopher Patridge 1 , Robert Dennis 1 , Cherno Jaye 2 , Daniel Fischer 2 , David Prendergast 3 , Sarbajit Banerjee 1
1 Department of Chemistry, University at Buffalo, Buffalo, New York, United States, 2 Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 3 Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractThe unoccupied density of states of graphene and graphene oxide will be discussed with particular reference to mapping and assignment of electronic structure heterogeneties. In particular, the direct mapping of discrete electronic domains within a single graphene sheet via scanning transmission X-ray microscopy (STXM) will be the focus of this presentation. In conjunction with ab initio density functional theory (DFT) calculations, STXM imaging provides an unprecedented wealth of detail regarding the extent to which the unoccupied levels of graphene are modified by corrugation, doping, and adventitious impurities. The inherent susceptibility of the electronic structure of 2D graphene to modulations as a result of synthesis, handling and transfer make these measurements a particularly worthwhile endeavor. Local electronic asperities, visualized as distortions of the π* cloud have been imaged alongside inhomogeneously doped regions characterized by distinctive spectral signatures of altered unoccupied density of states (UDOS). The combination of DFT calculations, STXM imaging, and in situ near-edge X-ray absorption fine structure (NEXAFS) spectroscopy experiments also provide resolution of a longstanding debate that has raged in the literature regarding the spectral assignments of pre-edge and interlayer states.The electronic structure recovery of graphene oxide upon thermal and chemical reduction has also been studied by NEXAFS spectroscopy. This allows the anisotropy and extent of pi conjugation of chemically derived graphene to be correlated to the electrical conductivity.
AA5: Poster Session: Synthesis and Characterization
Session Chairs
Don Futaba
Annick Loiseau
Tuesday AM, November 29, 2011
Exhibition Hall C (Hynes)
9:00 PM - AA5.1
A General Strategy to Prepare Graphene-Metal/Metal Oxide Nanohybrids.
Xiaobin Fan 1 , Junyi Ji 1 , Yang Li 1 , Fengbao Zhang 1 , Guoliang Zhang 1
1 , School of Chemical Engineering & Technology, Tianjin University, China, Tianjin China
Show AbstractGraphene based nanohybrids, especially the graphene–metal/metal oxide nanohybrids have potential applications in solar cells, heterogeneous catalysis, photothermal materials, etc. Metal/metal oxide nanoparticles with different shapes and structures exhibit specific optical, electronic and magnetic properties, and their hybrids with graphene will endue graphene additional properties and potential applications. However, it is still a great task to prepare metal/metal oxide nanoparticles with desired shapes (such as nanorod and nanocube) and designed compositions on the surfaces of graphene. In this study, we report a convenient and general method to fulfill this task. We successfully prepared the sulfhydrylated graphene for the first time and used it to “anchor” Au nanorod, nanocube and other metal/metal oxide nanoparticles with interesting properties. The sulfhydrylated graphene and graphene–metal/metal oxide nanohybrids were characterized by scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDS), high-resolution transmission electron microscopy (HRTEM), X-ray photoelectron spectroscopy (XPS), etc. This general method also provides an easy and efficient way to prepare other novel graphene based multifunctional nanohybrids.
9:00 PM - AA5.10
Graphene Growth Using a Solid Carbon Feedstock.
Hengxing Ji 1 , Yufeng Hao 1 , Yujie Ren 1 , Matthew Charlton 1 , Wihyoung Lee 1 , Qingzhi Wu 1 , Huifeng Li 1 , Yanwu Zhu 1 , Carl Magnuson 1 , Richard Piner 1 , Rodney Ruoff 1
1 The Department of Mechanical Engineering and the Materials Science and Engineering Program, The University of Texas at Austin, Austin, Texas, United States
Show AbstractCVD on a Cu surface has provided uniform, low defect graphene films transferrable to arbitrary substrates whose dimensions can meet the needs of, e.g., the semiconductor industry. Recent attempts at graphene growth with solid and liquid carbon sources, such as poly(methyl methacrylate), sucrose, polystyrene, benzene and alcohol suggest that Cu could allow for graphene growth from a variety of carbon containing sources. However, the chemistry occurring at the surface of Cu ultimately yielding graphene is not clear (nucleation, island growth, island merger to a continuous film).We explored the possibility of obtaining graphene from pure carbon amorphous thin films tens of nanometers in thickness. We could not obtain graphene without simultaneous exposure to H2(g) but with such simultaneous exposure we could obtain high quality monolayer graphene. Our work strongly suggests that it is hydrocarbons that yield graphene through reaction of H2(g) with the a-C film, generation of hydrocarbons and/or reactive hydrocarbon intermediates, and then reaction of such species with the neat Cu substrate. The large area, uniform monolayer graphene obtained yielded electron and hole mobilities of 2520 cm2 V-1 s-1 and 2050 cm2 V-1 s-1 (measured with a graphene based field-effect transistor with a channel length of 1 mm and a width of 5 mm).We appreciate support from the Office of Naval Research and the National Science Foundation.
9:00 PM - AA5.12
Nanotube Synthesis: Nature of Catalyst Nanoparticles and Nanotube Alignment Revealed by In Situ Time-Resolved X-Ray Diffraction and Absorption.
Mathieu Pinault 1 , Perine Landois 2 1 , Stephan Rouziere 2 , Dominique Porterat 1 , Brigitte Bouchet-Fabre 1 , Cristian Mocuta 3 , Erik Elkaim 3 , Quingyu Kong 3 , Francois Baudelet 3 , Pascale Launois 2 , Martine Mayne-L'Hermite 1
1 DSM/IRAMIS/SPAM, CEA Saclay-Laboratoire Francis Perrin, Gif sur Yvette Cedex France, 2 , Laboratoire de Physique des Solides, UMR CNRS 8502, Université de Paris Sud 11, Orsay France, 3 , SOLEIL synchrotron, L'orme des merisiers, Saint Aubin, Gif sur Yvette France
Show AbstractCatalytic Chemical Vapour Deposition (CCVD) process is a versatile and low cost process to synthesize carbon nanotubes (CNT), and in particular Vertically Aligned CNT forests. However, despite intense scientific efforts, the mechanisms of CNT formation and growth are still badly understood due in particular to the technical difficulty of in-situ experiments required to study these mechanisms. The present work is based on a technological challenge: specific reactors and furnace, similar to the ones commonly used to produce CNT at laboratory scale, have been for the first time developed for synchrotron in situ experiments required for the study of CNT growth mechanisms: (i) time-resolved X-Ray Diffraction (XRD) and (ii) X-Ray Absorption Spectroscopy (XAS) at Synchrotron SOLEIL. Experiments were performed at 850°C, a standard temperature for CCVD synthesis of aligned multi-walled CNT [1]. Such time-resolved experiments (resolution ~1s for XRD and few ms for XAS) have been made possible thanks to the development of a new generation of X-ray detectors. XRD patterns and XAS spectra were recorded as a function of time, during nucleation and growth. These pioneer experiments give access to new information concerning (i) the nature of the catalyst nanoparticles and (ii) the evolution of CNT alignment during the growth.Catalyst particles are shown to be crystalline cementite nanoparticles[2]. The occurrence of this Fe-phase as catalyst in our CVD process will be discussed based on thermodynamical arguments. We will also give some keys towards a better understanding and thus further improvement of CNT alignment, a key parameter for some applications of nanotubes in composites or membranes.References[1] M. Pinault, V. Pichot, H. Khodja, P. Launois, C. Reynaud and M. Mayne-L’Hermite, NanoLett. 2005, p. 2394[2] P. Landois, M. Pinault, S. Rouzière, D. Porterat, C. Mocuta, E. Elkaim, M. Mayne-L’Hermite and P. Launois, submitted
9:00 PM - AA5.13
Controlling and Scaling up the Growth of Spinnable Carbon Nanotube Forests.
Marcio Dias Lima 1 , Ray Baughman 1 , Xavier Lepro 1 , Raquel Ovalle-Robles 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractSpinnable carbon nanotube (CNT) forests have a special internal structure that allows its nanotubes to be drawn in to thin, aligned and transparent sheets [1] that can be used on composites manufacturing, actuators, conductive and transparent films, light polarizers, etc, or also can be easily spun into fibers of pure nanotubes or composite one (biscrolling process) [2]. However, to realize the many applications of this type of forest it is necessary to increase the efficiency of its production, which normally is done by chemical vapor deposition (CVD) over highly polished, expensive silicon wafers. Here we report our findings on the catalyst nucleation (iron) and growth (using C2H2 or C2H4) of this type of CNT forest over conventional silicon wafers and also our advances on the use of inexpensive, thin and flexible substrates [3], what creates the possibility of continuous CVD growth of carbon nanotube forest based on a belt substrate.[1] M. Zhang et al., Science, 306, 5700 pp. 1358-136 (2004).[2] M.D. Lima et al., Science, 331, 6013 pp. 51-55 (2011).[3] X. Lepro et al., Carbon , 48, pp. 3621-3627 (2010).
9:00 PM - AA5.14
Plasmon Assisted Graphitization of Amorphous Carbon Nanostructures.
Dhaval Kulkarni 1 , Songkil Kim 2 , Andrei Fedorov 2 , Vladimir Tsukruk 1
1 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Woodruff School of Mechanical Engineering , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractWe use photothermal property of the metal nanostructures (gold and silver) to initiate a highly localized amorphous to graphitic phase transformation in electron beam induced deposited (EBID) carbon nanostructures. The extent of transformation was found to be largely dependent on the plasmon absorption frequency and the absorptive index of the underlying metal substrate. The phase transformation was analyzed using the characteristic Raman signatures for amorphous and graphitized carbon. The electrical characteristics of the phase transformation process were assessed using conductive force microscopy (CFM) imaging. It was observed that the amorphous carbon deposits on silver substrate with the plasmon absorption near the excitation wavelength undergo enhanced graphitization, leading to an increase in the electrical conductivity by almost five orders of magnitude, compared to that on the gold substrate. We suggest that fast graphitization of carbon nanostructures might serve as an efficient path for the formation of complex submicron metal-graphite interconnects with high electrical conductivity.
9:00 PM - AA5.15
3D Continuous CVD Graphene: A New Class of Materials.
Mathieu Monville 1 , Karlheinz Strobl 1 , Daniil Stolyarov 2 , Elena Polyakova 2
1 , CVD Equipment Corporation, Ronkonkoma, New York, United States, 2 , Graphene Laboratories Inc., Ronkonkoma, New York, United States
Show AbstractGraphene is an ideal 2D carbon material which is studied worldwide for a broad range of applications because of its many outstanding material properties. Preserving these 2D properties at the third dimension level on 3D structures with high surface area remains a challenge. Previous attempts to make 3D architectures based on chemically derived graphene materials show reduced electrical conductivity because of their still fundamental discontinuous nature and presence of structural defects. Recently it has been shown that it is possible to produce 3D continuous graphene-based structures by the Chemical Vapor Deposition method using nickel foam as a catalyst template. Creation of a self-supported “graphene foam” with high surface area, high porosity and good electrical conductivity was reported. This work inspired us to apply CVD to a range of 3D structures in order to manufacture a variety of 3D continuous graphene-based materials and to investigate their commercial scale-up potential.Metallic foam is chosen as a starting material because it can be easily tailored to produce 3D continuous CVD graphene materials varying in shape, porosity and density, including free standing 3D CVD graphene, once the supporting material is removed. Derivative materials can then be made through additional steps: Atomic Layer Deposition and other coatings, chemical functionalization, etc.CVD Equipment Corp., in collaboration with Graphene Laboratories Inc. have developed 3D CVDGraphene™ materials using nickel foams manufactured by Vale Inco. Such metal foams are already being manufactured at 100,000 tons per year level primarily for usage as positive electrode in rechargeable batteries, with applications ranging from consumer electronics to hybrid vehicles. Adding a 1000°C CVD graphene growth step to the standard sintering/annealing step in the nickel foam manufacturing process is relatively straightforward as we already have demonstrated in our Application Laboratory.We will report on the progress in scaling-up this process and evaluating the potential use of such 3D continuous CVD graphene-based materials in a range of applications.
9:00 PM - AA5.16
CVD-Synthesis of Highly-Graphitized Double-Wall Carbon Nanotubes by Enhanced Direct Injection Pyrolytic Synthesis Method.
Keita Kobayashi 1 , Masaharu Kiyomiya 1 , Takayoshi Hirai 1 , Motoo Yumura 1 2 , Sumio Iijima 1 2 , Takeshi Saito 1 2
1 , Technology Research Association for Single Wall Carbon Nanotubes, Tsukuba Japan, 2 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractExtensive studies have been performed on synthesis of double-wall carbon nanotubes (DWCNTs) due to their superiority in structural and electronic properties promising for the expected technological applications, especially for the application as a transparent conductive film and a field emitter. Diverse applications increase the necessity of the mass-production of high-quality DWCNTs at low-cost.A chemical vapor deposition (CVD) method has attracted significant research interests due to the advantage for realization of a large-scale CNT production. Among the CVD methods, enhanced direct injection pyrolytic synthesis (eDIPS) method [1] is one of the most suitable methods for the industrial mass production of CNTs. In addition, CNTs obtained by eDIPS method are well graphitized, comparable to that by an arc discharge and a laser vaporization. To date we have investigated eDIPS method mainly for the selective diameter control in the mass production of single wall CNTs [1].In this study, we have found that the control of carbon species in eDIPS growth changes the productivity and relative abundance of DWCNTs. Raman spectroscopy showed their high graphitization. We will also discuss the growth mechanism on this system based on the results.The study has been supported by the New Energy and Industrial Technology Development Organization (NEDO). We thank Mr. Y. Hashimoto, Mr. H. Katayama, Mr. K. Hoshi, Ms. A. Kobayashi and Ms. T. Owada for the experimental help.Reference[1] T. Saito, et. al., J. Nanosci. Nanotech., 8, 6153 (2008).
9:00 PM - AA5.18
Direct Growth of Graphene Pad on Exfoliated Hexagonal Boron Nitride Surface.
Minhyeok Son 1 , Hyunseob Lim 1 , Misun Hong 1 , Hee Cheul Choi 1
1 Chemistry, Pohang University of Science and Technology, Pohang, Gyungbuk, Korea (the Republic of)
Show AbstractA direct and metal layer-free growth of flat graphene pads on exfoliated hexagonal boron nitride (h-BN) substrate has been demonstrated by atmospheric chemical vapor deposition (CVD) process employing CH4 and H2 precursor gases. The highest yield of graphene pad of which thickness is ~ 0.5 nm has been obtained when the CVD condition is at 1000 oC for 60 min, and the population of multi layer graphene pad gradually increases upon longer reaction. The graphene pads have a regular round shape with a largest diameter of about 110 nm. Micro-Raman spectroscopy and X-ray photoelectron spectroscopy studies support the formation of high quality graphene pads. The atomic force microscopy (AFM) study on time-dependent growth provides evidences for the presence of semi-bilayer intermediate and the role of nucleate particle for the peripheral growth of graphene pad on h-BN. The effects of reaction temperature and precursor gas amount on the graphene pad formation are also investigated.
9:00 PM - AA5.19
Phase Separation in Proton-Irradiated Graphite.
Do-wan Kim 1 , Kyu Won Lee 1 , Hyun Jin Cho 1 , Cheol Eui Lee 1
1 , Department of Physics, Korea Univ., Seoul Korea (the Republic of)
Show AbstractDetailed nature of proton-irradiation-induced defects in proton-irradiated graphite have been revealed by our electron spin resonance measurements; Proton irradiation creates defect regions confined and imbedded in the graphite background, consisting of a central part of itinerant spins and an outer part of localized, magnetically correlated spins. Our results indicate that proton-irradiation creates confined defect regions of a metallic island surrounded by an insulating magnetic region which “isolates” the metallic island inside from the metallic graphite background outside. We have thus come up with a picture of phase separation in proton-irradiated graphite comprising three regions of distinct electrical and magnetic properties. In effect, an illuminating phase separation picture was addressed in this work, involving two metallic regions “insulated” by a magnetic region in between.
9:00 PM - AA5.20
The Organic Additives Effects during Electroless Nickel Deposition on Carbon Nanotube.
Takagi Yasuyuki 1 , Takeyasu Saito 1 , Kazuo Kondo 1 , Naoki Okamoto 1 , Yutaka Fujiwara 2 , Yasuyuki Kobayasi 2
1 chemical engineering, osaka prefecture university, Sakai Japan, 2 , osaka municipal technical reserch institute, Osaka Japan
Show Abstract Nanostructured carbon materials, such as nanohorn and carbon nanotube (CNT), have attracted much attention due to their strength, morphological controllability and high electric conductivity. Metal particle supported on CNTs are expected as novel functional materials for battery electrodes and catalysts and so on. However, it is still difficult to obtain uniformly metal-coated CNTs, which restricts various applications of modified CNTs. In this work, we investigated the organic additives effects on morphologies during electroless plating of Ni on multi-wall CNTs (MWCNTs). After the mixed acid oxidation and the catalytic treatment by Sn-Pd containing solution, electroless plating of Ni on MWCNTs was carried out. We prepared a ctrl bath containing 0.08 mol/l of Ni2SO4, 0.20 mol/l of sodium phosphinate, 0.08 mol/l of citric acid, and then adjusted pH as 9 by adding NH3 solution. Thiourea, methyl amine or ethyl amine was added in the ctrl bath independently. Thiourea concentration was varied up to 0.50 mg/l and amine groups were varied up to 3.0×10-3 mol/l. The morphologies of the MWCNTs and Ni weight were evaluated by FE-SEM and ICP analysis, respectively. The averaged diameter of as-received CNTs, Sn-Pd catalyzed CNTs and Ni electroplated CNTs with the ctrl bath for 15min were 58 nm, 73 nm and 125 nm, respectively, from FE-SEM observation. It was elucidated that Ni was deposited like particles; however, we could not tell Ni was deposited outside or inside of MWCNTs from HR-TEM images and EELS analysis. For the cases with thiourea in the ctrl bath, Ni weight increased at lower concentration less than 0.10 mg/l, but decreased at higher concentration from 0.10 mg/l to 0.50 mg/l. With thiourea 0.50 mg/l addition, Ni weight was as same as the case from the ctrl bath but diameter was as same as Sn-Pd catalyzed MWCNTs. With increasing thiourea concentrations, Ni particles became smaller and more uniform on MWCNTs from TEM images. For the cases with amine groups in the ctrl bath, Ni weight increased at lower concentration leas than 1.0×10-3 mol/l, but decreased at higher concentration from 1.0×10-3 mol/l to 3.0×10-3 mol/l. With methyl amine 3.0×10-3 mol/l addition, Ni weight was as same as that from the ctrl bath, however the peak center of the diameter distribution was about the half of peak center of those from as-received or catalyzed MWCNTs. The same trend was recognized with increasing methyl amine. This suggests that Ni deposition on MWCNTs was suppressed due to thiourea or amine groups addition and that Ni deposition morphologies were drastically changed.
9:00 PM - AA5.21
Growth of Spinnable Carbon Nanotube Arrays by Chloride Mediated CVD Method.
Yusuke Suzuki 1 , Morihiro Okada 2 , Hidenori Mimura 2 , Yoku Inoue 1
1 Department of Electrical and Electronic Engineering, Shizuoka University, Hamamatsu Japan, 2 Research Institute of Electronics, Shizuoka University, Hamamatsu Japan
Show AbstractCarbon nanotubes (CNTs) have been reported to have lightweight and high tensile strength and Young's modulus. Recently, spinning features from drawable CNT arrays have been researched to realize large-scale CNT structures with high material performances. However, relationship between CNT array structures and the spinnability of the structures is not well understood. We established a growth method of highly drawable multi walled CNT (MWCNT) arrays using iron chloride [1]. This method grows millimeter scale ultra-long spinnable MWCNT arrays in short time.In this study, we investigated the relationship between MWCNT array structures and spinnability. We fabricated a wide variety of MWCNT arrays with changing growth conditions including growth pressure, growth time and so on. Structures of MWCNT arrays were analyzed using scanning electron microscope and Raman scattering. MWCNT arrays grown at 9 Torr for 9 min had the highest spinnability. CNTs grown under such condition are quite straight and thus highly aligned in the vertical direction to a substrate, which is called super alignment. MWCNT arrays grown at higher pressure and/or for longer growth time had low spinnability. CNT array morphology was undulated or strongly tangled. From these results, it is concluded that in the highly spinnable arrays, MWCNTs are super aligned over the long range in the length direction, and hence are highly bundled each other because of strong van dee Waals force. The undulation structure is caused by fluctuation of catalyst activity, which arises from deposition of amorphous carbon on the catalyst. Then the fluctuation of catalyst activity causes fluctuation of growth rates of MWCNTs. When the growth rate fluctuates, MWCNT arrays can not grow with keeping the super alignment. The MWCNT array structure is then undulated and finally tangled. Once distances between MWCNTs increases by these reason, MWCNTs are not bundled because of small interaction. As a result, spinnability decreases.[1] Y. Inoue et al., Appl. Phys. Lett. 92, 213113 (2008).
9:00 PM - AA5.22
Selective Growth of CNT on Si Substrate by Triode Type RF Plasma CVD Method.
K. Yoshida 1 , Yoshiyuki Show 1
1 , Tokai University, Hiratsuka Japan
Show AbstractCarbon nanotube (CNT) is one of the candidate materials for the interconnection in an ultralarge-scale integrated (ULSI) circuit with 32nm technology node. In this study, the vertically aligned CNT was deposited by triode type RF plasma CVD method which employs the grid electrode in addition to the anode and the cathode electrodes at low temperature below 500 oC. Moreover, the selectively growth of vertically aligned CNT was performed on the Si substrate.The growth of CNTs is caused by the catalytic reaction with hydrocarbon gas and/or hydrocarbon radicals. Therefore, the selective growth of the CNT is achieved by preparing the catalyst on specific area in where CNTs are grown. The fabrication process of the selectively grown CNT is the below.(1) The patterned photoresist film is formed on the Si substrate by the conventional photolithography process. In this process, the photoresist is removed in the areas, where the CNT is to be grown.(2) The Fe catalyst film is deposited on the whole surface of the substrate by using the DC sputtering equipment.(3) The photoresist is removed together with parts of the Fe catalyst film covering it by using resist stripper. The patterned Fe catalyst film is remained on the substrate.(4) The CNT is grown by the triode-type RF-PECVD equipment. The vertically aligned CNT is selectively formed on the area in where the Fe catalyst film exists.The triode type radio frequency plasma CVD equipments were used for the CNT growth below 500oC in this study. The CNT bundles were grown on the patterned catalyst existing on the substrate by its self-alignment feature. On the other hand, there was no deposit such as amorphous carbon on the place where no catalyst was prepared.The CNT bondless, which were vertically aligned against the substrate, were formed by the above process. The diameter and the height of the CNT bundles were 20 micro m and 40 micro m, respectively. Each bundles consisted of the CNTs with the diameter of 20nm and high density of 1200 /micro m2.
9:00 PM - AA5.24
Large Area Synthesis of AB-Stacked Bilayer Graphene.
Yufeng Hao 1 , Luigi Colombo 2 , Rodney Ruoff 1
1 , The University of Texas at Austin, Austin, Texas, United States, 2 , Texas Instruments, Dallas, Texas, United States
Show AbstractA-B stacked bilayer graphene has a tunable bandgap up to 250 meV under orthogonal electrical fields. We have synthesized A-B stacked bi-layer graphene islands with lateral sizes of over 200 micrometers by exposing Cu foils to methane and hydrogen at elevated temperature. The second layer nucleation density, growth rate, and uniformity are controlled by precursor partial pressure, growth temperature, and duration of exposure to methane. Raman spectra, transmission electron microscopy (TEM), and Selected Area Electron Diffraction (SAED) patterns show that the films have predominantly AB-stacking order. If available, measurement results on carrier mobility and of a possible band gap opening with an applied transverse field will also be presented (as they were not available at the time of abstract preparation). In this presentation we will show that we can reproducibly grow bi-layer graphene as determined by optical and electrical characterization and describe the growth mechanism. We appreciate support from the SWAN-NRI, DARPA CERA, ONR, and NSF.
9:00 PM - AA5.25
Novel Flame Synthesis of Graphene Films.
Nasir Memon 1 , Stephen Tse 1 , Jafar Al-Sharab 2 , Hisato Yamaguchi 2 , Bernard Kear 2 , Yogesh Jaluria 1 , Manish Chhowalla 2
1 Mechanical and Aerospace Engineering, Rutgers University, Piscataway, New Jersey, United States, 2 Materials Science, Rutgers University, Piscataway, New Jersey, United States
Show AbstractFew-layer graphene (FLG) is grown on copper and nickel substrates at high rates using flame synthesis in open-atmosphere environments. A novel burner provides a large volume of hydrogen and carbon species, at elevated temperatures, suitable for the mass production of graphene films. Our setup demonstrates the ability to produce FLG in open and ambient conditions, thus providing advantages of scalability for large-area surface coverage, increased growth rates, continuous processing, and reduced costs due to efficient use of fuel as both heat source and reagent. The FLG is transferred to SiO2/Si and quartz by spin-coating a thin layer of poly-methylmethacrylate (PMMA) and etching away the transitional metal. Once transferred, the optoelectronic properties of the FLG are measured. Raman spectroscopy, analytical electron microscopy techniques, and X-ray photoelectron spectroscopy verify the quality and uniformity of the FLG across the substrate. Resistance and transmittance properties of the transferred films are comparable to those grown by other methods, but the amount of oxygen contamination, as measured by XPS, is actually less than that for CVD-grown graphene under near vacuum conditions.
9:00 PM - AA5.27
Atmospheric Pressure CVD Growth of Graphene Using Liquid Precursors.
Rahul Rao 1 , Kent Weaver 1 , Benji Maruyama 1
1 Materials and Manufacturing Directorate, Air Force Research Laboratory, Wpafb, Ohio, United States
Show AbstractChemical vapor deposition (CVD) growth of graphene has become a hotly pursued field ever since the first reports of graphene growth on nickel and copper substrates by a low pressure CVD process using methane as the carbon source [1,2]. However, for their large-scale use in applications, it would be more practical to produce graphene films at atmospheric pressure using inexpensive organic solvents. Here we demonstrate atmospheric pressure growth of single- and multi-layer graphene films on metal substrates using liquid precursors. Growth of graphene on nickel and copper substrates is achieved by controlled injection of toluene using a syringe pump into the hot zone (~1000 °C) of a tube furnace. Raman, SEM and AFM analysis reveal that the injection rate directly affects the number of graphene layers, with the lowest rates producing single- or bi-layer graphene and the highest rate producing turbostratic graphene. The effect of hydrogen annealing time on the quality and coverage of the graphene films will be also be discussed. In addition, growth of graphene on other metals (such as gallium), and from other organic solvents will be presented.[1] A. Reina et al., Nano Letters, 9(1), 30 (2009)[2] X. Li et al., Science, 324, 1312 (2009)
9:00 PM - AA5.28
Graphene Evaporated from Carbon: A Comparison of Sources.
Adolfo De Sanctis 1 , Susana Alvarez 1 , Carmen Munuera 1 , Federico Mompean 1 , Alicia de Andres 1 , Mar Garcia-Hernandez 1 , Ana Ruiz y Ruiz de Gopegui 1
1 ICMM, CSIC, Madrid Spain
Show AbstractGraphene deposition from carbon sources under ultra-high vacuum (UHV) conditions has been reported on SiC (1, 2) and mica substrates (2). This procedure is attractive since it has the potential for the integration of graphene in heterostructures and devices with other materials grown, for example, by molecular beam epitaxy (MBE). We report on the design, construction and evaluation of graphene-oriented C sources compatible with UHV MBE equipment. We address our attention to the operational regimes of the sources, the substrate choice and conditions during growth and to the characterisation of the properties of the resulting graphene samples by optical, micro-Raman spectroscopy, AFM topography and transport measurements.(1)J. Park et al.: Adv. Mater., 22, 4140 (2010)(2)G. Lippert et al.: Paper C1.10 presented at the MRS 2010 Fall Meeting, Boston.
9:00 PM - AA5.3
Dynamic In Situ Characterization of Carbon Nanostructures.
Litao Sun 1
1 MEMS Lab, SEU-FEI Nano-Pico Center, Southeast University, Nanjing China
Show AbstractThe advantage of in-situ electron microscopy is that structural transformations can be induced and imaged with the same electron beam and studied in real time with atomic resolution. Here the techniques of in-situ electron microscopy and nano-fabrication by electron irradiation at high specimen temperatures are treated. Examples of electron irradiation phenomena in carbon nanostructures such as carbon nanotubes and graphene structures are given. Controlled irradiation of multiwalled carbon nanotubes can cause large pressure (up to 40GPa) buildup within the nanotube cores that can plastically deform, extrude, and break solid materials that are encapsulated inside the hollow cores [1]. The elastic and slow plastic deformations of nanometer-sized metal crystals inside carbon onions are monitored at an atomic scale by in-situ electron microscopy [2,3]. In addition, reconstructed point defects in graphene are created by electron irradiation and annealing. By applying electron microscopy and density functional theory, it is shown that the strain field around these defects reaches far into the unperturbed hexagonal network and that metal atoms have a high affinity to the non-perfect and strained regions of graphene. The electron irradiation induced trapping of metal atoms in strained areas and at defects in graphene may be used for engineering the local electronic and magnetic structure of graphene which is an alternative to substitutional doping [4-6].References: [1]L. Sun, F. Banhart, A. V. Krasheninnikov, J. A. Rodriguez-Manzo, M. Terrones and P. M. Ajayan, Science, 312 (2006) 1199.[2]L. Sun, A. Krasheninnikov, T. Ahlgren, K. Nordlund and F. Banhart, Phys. Rev. Lett., 101 (2008) 156101.[3]J. A. Rodriguez-Manzo, M. Terrones, H. Terrones, H.W. Kroto, L. Sun and F. Banhart, Nature Nanotechnology, 2 (2007) 307.[4]Y. Gan, L. Sun and F. Banhart, Small, 4 (2008) 587.[5] W. Zhang, L. Sun, Z. Xu, A. Krasheninnikov, P. Huai, Z. Zhu, F. Banhart, Phys. Rev. B, 81 (2010) 125425.[6] O. Cretu, A. Krasheninnikov, J. A. Rodríguez-Manzo, L. Sun, R. M. Nieminen, and F. Banhart, Phys. Rev. Lett., 105 (2010) 196102.
9:00 PM - AA5.30
Two-Dimensional Nanocrystals of Ternary Transition Metal Carbides and Nitrides Produced by Exfoliation of MAX Phases.
Michael Naguib 1 2 , Murat Kurtoglu 1 2 , Volker Presser 1 2 , Jun Lu 3 , Junjie Niu 1 2 , Min Heon 1 2 , Lars Hultman 3 , Yury Gogotsi 1 2 , Michel Barsoum 1
1 MSE Department, Drexe University, Philadelphia, Pennsylvania, United States, 2 A.J. Drexel Nanotechnology Institute, Drexel University, Philadelphia, Pennsylvania, United States, 3 Department of Physics, IFM, Linkoping University, Linkoping Sweden
Show AbstractTypically two-dimensional 2-D free-standing crystals exhibit properties that differ from those of their three-dimensional, 3-D counterparts. Currently, there are relatively few such atomically layered solids. Herein we report on the fabrication of 2-D nanosheets of Mn+1Xn sheets from MAX phases. The latter are a large family of machinable layered ternary carbides and nitride, where M is an early transition metal, A is a group 13 to 16 element and X is C and/or N. Several examples are discussed. The most characterized to date is Ti3C2 produced from the room temperature exfoliation of Ti3AlC2 in a common acid. Not only are individual layers formed, but also conical scrolls and nanotubes. The large elastic moduli predicted by ab initio simulation, and the possibility of varying their surface chemistries renders these nanosheets attractive as polymer composite fillers. Theory also predicts that their band gap can be tuned by varying the surface terminations. The good conductivity and ductility of the exfoliated powders suggest uses in Li-ion batteries, pseudocapacitors and other electronic applications. We also present evidence for the formation of Ta4C3 and (Cr0.5V0.5)3C2 atomically thin sheets. Since there are over 60 MAX phases known to date, this discovery opens the door to the synthesis of a large number of other 2-D transition metal carbide and nitride crystals.
9:00 PM - AA5.31
A ``How To'' for Magnetic Carbon.
Hendrik Ohldag 1 , Tolek Tyliszczak 2 , Elke Arenholz 2 , Roland Hoehne 3 , Daniel Spemann 3 , Pablo Esquinazi 3 , Magda Ungureneau 3 , Tilman Butz 3
1 Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Center, Menlo Park, California, United States, 2 Advanced Light Source, LBNL, Berkeley, California, United States, 3 Experimentalphysik, University Leipzig, Berkeley Germany
Show AbstractWhile conventional wisdom says that magnetic materials have to contain some metallic atoms, the confirmation of intrinsic magnetic order in pure metal free carbon represents an ultimate and general scientific breakthrough because of the fundamental importance of carbon as an elemental building block of organic as well as inorganic matter. The common controversy raised across all disciplines is whether the magnetism of carbon is intrinsic or induced by other elements. We address this controversy by providing clear experimental evidence that metal free carbon can be ferromagnetic at room temperature using dichroism x-ray absorption spectro-microscopy. For this purpose we acquired soft x-ray microscopy images of magnetic structures on a thin carbon film that have been produced by irradiation with a focused 2.25MeV proton beam. Our element specific magnetic probe shows no indication of magnetically ordered Fe, Co or Ni impurities in these samples. In a second step we investigate the particular electronic states that are involved in carbon magnetism and find that the carbon p-states as well as C-H bonds show a magnetic moment, indicating that hydrogenation plays a crucial role in developing the ferromagnetic order. Our surface sensitive approach reveals that the magnetism at the surface of the irradiated graphite samples is much larger than in the bulk of the sample. We observe a surface magnetic moment similar to what is typically present in classical ferromagnetic 3d transition metals.REFERENCES P.Esquinazi et al., Magnetic order in graphite: Experimental evidence, intrinsic and extrinsic difficulties, Journal of Magnetism and Magnetic Materials, Vol 322, 1156 (2010).H. Ohldag et al., π-Electron ferromagnetism in metal free carbon probed by soft x-ray dichroism, Phys. Rev. Lett. 98, 187204 (2007)H. Ohldag et al., The role of hydrogen in room temperature ferromagnetism at graphite surfaces, New J. Phys. 12 123012 (2010)
9:00 PM - AA5.32
Thermal Imprint Dry Transfer of Monolayer Graphene to Arbitrary Substrates.
Li Tao 1 , Jongho Lee 1 , Milo Holt 1 , Deji Akinwande 1
1 , The University of Texas at Austin, Austin, Texas, United States
Show AbstractMonolayer graphene, a 2-D sheet of sp2 bonded carbon atoms, has attracted significant research attention because of its unique potential to enable electronic devices with high performance. The most promising progress to make large area monolayer graphene is with chemical vapor deposition (CVD) on Cu foil followed by wet transfer to desired substrate for devices(1). However, subsequent devices suffer from degraded performance(2) because of wet transfer technique (3) employed in this popular process. To avoid invasive wet chemicals during transferring of graphene, a dry transfer technique is on demand. Here we report our development on thermal imprint based dry transfer of CVD graphene onto arbitrary substrates including SiO2/Si, quartz and plastics. In this study, we first grow graphene on Cu foils using low CVD with methane as the precursor. The Raman spectrum of graphene on Cu foil was taken using blue laser with energy at 2.8 eV to confirm the successful growth of monolayer graphene(4). Thermal-release tape was applied to the graphene sample and then held under a force of ~5 N for 2 min to ensure compliance with graphene on Cu foil. The graphene was then peeled off by thermal-release tape from Cu and applied to target substrate (e.g. standard 300 nm SiO2/Si). Afterwards, this sample stack was kept in a pre-heated oven at 150 °C while maintaining pressure for 3 min. Thermal-release tape lost its adhesion at 120 °C, leaving graphene on target substrate. The transferred graphene was rinsed with 98% toluene for 2 min to remove any residue from thermal-release tape. As a control group, the same batch of CVD graphene was transferred onto standard SiO2/Si using aforementioned wet transfer. The Raman spectrum on target substrate confirmed a feasible dry transfer technique that can yield transferred graphene with potentially higher quality than conventional wet transfer techniques. Electrical property such as sheet resistance and I-V curves will be compared as further evidence. Moreover, this transfer method could be scalable in large area by better control of two important parameters: the surface smoothness of Cu substrates and the uniformity of applied pressure.Our preliminary results illustrated a thermal imprinting technique to dry-transfer few-layer graphene from Cu foil to various substrates. Compared to other reported dry techniques(5,6), it is more cost-effective in terms of time and tool access, and affords superior properties. This could lead to a platform that enables graphene based nanoelectronics with enhanced performance on hard and soft substrates.
9:00 PM - AA5.34
Hierarchical Engineering of Nanostructured Amorphous Carbon Microarchitectures.
Michael De Volder 1 2 , Dominiek Reynaerts 2 , Chris Van Hoof 1 , A. John Hart 3
1 , IMEC, Heverlee Belgium, 2 , KULeuven, Leuven Belgium, 3 , University of Michigan, Ann Arbor, Michigan, United States
Show AbstractAmorphous carbon is a particularly interesting material as it shows a wide electrochemical stability window, excellent bio-compatibility, and high thermal conductivity. For these reasons, as well as its cost-effective fabrication, pyrolysed carbon has found wide applications in microsystems, including heat exchangers, chemical probes, lab on a chip systems, biosensors, fuel cells, and it has been implanted over a million times in heart valves and orthopedic joints. However, relatively few methods have been developed for the fabrication of amorphous carbon nanowires (CNWs), the most common probably being pyrolysis of electrospun polymers. Here we show that novel anisotropic microarchitectures comprising vertically aligned CNWs can be made by a harsh oxygen plasma treatment (5-60 min, 300 W, 200 sccm O2) of a patterned negative photoresist, followed by pyrolysis. Pyrolysis was performed by heating the samples in a nitrogen environment to 300°C (40 min ramp followed by 30 min hold) and subsequently to 900°C (90 min ramp followed by 60 min hold) followed by a slow cooling down to room temperature (app. 12 hours). TEM and XPS analysis showed that this process results in amorphous CNWs with a diameter ranging between 15 and 30 nm. By patterning a top layer that contracts laterally upon heating, the pillars can be deterministically shaped into intricate three-dimensional (3D) microdevices. Further, by controlling the plasma etching time, and stacking the developed structures, hierarchical architectures have been developed. These structures are reminiscent of biological dendrite architectures which emerged in nature as an optimization between the maximization of a surface area and the minimization of transport losses. Mimicking such structures in engineering could play a key role in the development of new electrodes for microsensors, bioprobes, batteries, and fuel cells, as well as for various biomimetic applications. For this purpose we developed an electrical integration scheme for these new structures and characterized their electrical properties.
9:00 PM - AA5.35
Nanoscale Solid-State Reducing Agent for Co-Catalytic Growth of Carbon Nanotubes.
Bernhard Bayer 1 , Martin Fouquet 1 , Raoul Blume 2 , Christoph Wirth 1 , Robert Weatherup 1 , Axel Knop-Gericke 3 , Robert Schloegl 3 , Stephan Hofmann 1 , John Robertson 1
1 Department of Engineering, University of Cambridge, Cambridge United Kingdom, 2 , Helmholtz-Zentrum Berlin for Materials and Energy, Berlin Germany, 3 , Fritz-Haber-Institut der Max-Planck-Gesellschaft, Berlin Germany
Show AbstractWe report on a new class of co-catalysts in carbon nanotube chemical vapor deposition, where one nanoscale component (Ta) acts as a solid-state reducing agent for the other nanoscale component (Fe). Thus, the FeTa co-catalyst allows the Fe-catalyzed growth of carbon nanotubes without the use of a reducing gas under conditions where normally, without the Ta, gaseous reducing species such as H2 or NH3 are required to activate the Fe. Using in-situ X-ray photoelectron spectroscopy, we confirm that the tantalum(-oxide) getters the oxygen from the iron(-oxide) by a diffusive solid state process, driven by the much larger affinity to oxygen of Ta compared to Fe. We suggest that this mechanism is applicable to a wider range of metal-(oxides) in combination with Fe (including e.g. the prominent CNT co-catalyst FeMo) and is not only useful for the rational design of co-catalysts for nanotube growth but also for other economically important catalytic processes in general.
9:00 PM - AA5.36
Adhesion Measurement of Graphene with Mica Interfaces.
Guangxu Li 1 , Xiaohong An 2 , Swastik Kar 2 , Kai-tak Wan 1
1 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States, 2 Department of Physics, Northeastern University, Boston, Massachusetts, United States
Show AbstractThe cleaved mica is said to have the smoothest surface and will form atomically flat terraces when graphene is deposited on it by recently experiments found. The graphene-mica interface is claimed to have much higher adhesion energy than graphene-silicon interface, but no direct experiment is implemented to verify this result. Here, the standard blister model is used to mechanically characterize the graphene sheet and deduce the adhesion energy of graphene with mica surfaces. Using chemical vapor deposition method, single or multilayer graphene sheets are prepared and transferred on to freshly cleaved muscovite mica surface. During the etching and transfer process, some nano particles are coming in and trapped under the graphene. The adhesion between the graphene and mica forms several circular blisters with nano particles underneath. By measuring the blister radius and height, the adhesion energy of graphene and mica can be deduced. Changing the sizes of nano particles gives different sizes blisters. Not only the isolated blisters can be found, also blisters may interact and corrugate when the separation between particles are too small. This phenomenon should be observed using scanning electron microscope (SEM). In the meantime, the changing of the relative humidity will alter the adhesion energy between graphene and mica which will cause the expansion or contraction of the blister size. The result obtained here can be useful to increase the performance and the durability of the graphene based device.
9:00 PM - AA5.37
Study of Catalytic Etching on Suspended Graphene by Silver Nanoparticles Combining In-Situ Measurements and DFT Simulations.
Filippo Pizzocchero 1 , Marco Vanin 2 , Tim Booth 1 , Karsten Jacobsen 2 , Bøggild Peter 1
1 Micro- and Nanotechnology, Danmarks Tekniske Universitet (DTU), Kgs. lyngby Denmark, 2 Physics, Danmarks Tekniske Universitet (DTU), Kgs. Lyngby Denmark
Show AbstractCatalytic etching of graphene by metal nanoparticles with oxygen or hydrogen may represent a solution for the patterning of graphene. This phenomenon, well known in literature for bulk graphite and recently discovered also for graphene, produces μm-long channels with the same width of the particles down to a few nanometers, which highly desirable for a number of fundamental and technogical applications. Moreover, this process is unique due to tendency of the trenches to follow the graphene lattice orientations, and the possibility of forming long channels in graphene with a width of a few nanometer, sub-nanometer edge roughness and consistent lattice orientation. However, there are serious challenges: controlling the starting point of the particles, the size of the particles and thus the width and length of the produced channels, as well as selection of new directions and turning points towards these directions. We have made in-situ observation of the channeling process of silver nanoparticles on suspended graphene in the controlled atmosphere of an environmental transmission electron microscope (ETEM). The aim of this work is to study in detail the microscopic processes in order to develop solutions for the mentioned challenges. Moreover, we have combined our experimental studies with density functional theory (DFT) to characterize the energetics of the process, and explain the phenomena in terms of the reaction path and dynamics. The etching of the graphene flakes follow an intermittent pattern, which we relate to the statistical nature of the process. The channel edges as well as the leading front of the particle are exclusively zigzag edges, despite the fact that armchair edges are normally considered energetically more stable. In the studied system, the removal of atoms from zigzag edges appear to represent the limiting step for the reaction. The roughness of the produced edges increase with temperature, hence an optimal temperature must be found in terms of the specific application in mind. Other phenomena remain to be explained, such as the curious fact that the characteristic shape of even large silver particles appear to be determined by the 1D graphene-silver interface involving just a few hundred atoms. In the light of these findings, the scientific challenges and technological opportunities involved in turning this proces into a zero-disorder nanolithographic technique are discussed.
9:00 PM - AA5.38
Catalyst Pretreatment Effect on the Nucleation Density of SWCNTs on Quartz Substrate.
Jie Li 1 , Kai Liu 1 , Kaili Jiang 1
1 Department of Physics and Tsinghua-Foxconn Nanotechnology Research Center, Tsinghua University, Beijing China
Show AbstractSingle-walled carbon nanotubes (SWNTs) have many exceptional electronic properties, including mobilities more than ten times larger than silicon, current-carrying capacities as high as 10^9A/cm^2 and ideal subthreshold characteristics in single tube transistors. Recently, much progress has been made on controllable synthesis of well-aligned arrays of long, perfectly linear SWNTs on single-crystal quartz substrates. Compared with random networks of SWNTs on surface, the aligned SWNTs have promising applications in high-performance digital and analog circuits which can avoid the overlap of the nanotubes and the resistance caused by the tube-tube junctions. However, to achieve real integrated applications, there are still challenges, such as how to improve their current outputs, how to separate semiconductive and metallic CNTs, etc.Here we show our systematic investigation on how to improve the nucleation density and length of SWCNTs grown on ST-cut quartz substrate. The key question is how to prepare high density uniform catalyst nanoparticles and how to improve the lifetime of the catalyst. We have investigated the influence on the size distribution and surface density of iron catalyst nanoparticles by different pretreatment conditions. It is found that the temperature, process time, and atmosphere of pretreatment, can heavily affect the size distribution and surface density of Fe catalyst particles, as well as the nucleation densities of SWNTs. Optimum conditions for synthesizing high density SWCNTs on quartz substrate are suggested.
9:00 PM - AA5.39
Graphene-Carbon Nanotube Composite Nanogrids for HRTEM Characterization.
Xiaoyang Lin 1 , Chen Feng 1 , Lina Zhang 1 , Kaili Jiang 1
1 Department of Physics and Tsinghua-Foxconn Nanotechnology Research Center, Tsinghua University, Beijing China
Show AbstractCharacterizations of nanometer-sized materials by high resolution transmission electron microscope (HRTEM) are becoming more and more important. Conventional TEM micro-grids are copper meshes with amorphous carbon layer on it. Due to the interference from the amorphous carbon layer, the HRTEM results of nanoparticles (NPs) with diameter smaller than 5 nm are far from satisfaction. Recently super-aligned CNT nanogrids (SACNT grid) have been invented for HRTEM characterization, with the merits of strong mechanical strength, good conductivity, simple fabrication process, and most importantly much sharper TEM images than conventional amorphous carbon TEM grids. But SACNT grid is only ideal for observing individual nano-objects which can be easily adsorbed on the surface of CNTs. To investigate an ensemble of NPs, especially to observe the interactions between NPs, it is desirable to have an ultrathin and flat surface as supporting film. The one-atom thick graphene layer is just the best choice. It has been reported that single layer of graphene flake fabricated by scotch tape method can be employed as the HRTEM grids. But obviously, it is not a batch fabrication process. The challenge is how to make large area graphene layer with enough mechanical strength to suspend over the copper mesh. Here we demonstrate that a Graphene-CNT composite TEM nanogrid fabricated by stacking quasi-continuous suspended graphene over SACNT nanogrid, can successfully meet the aforementioned challenge.The graphene layer was synthesized on a copper foil by CVD method. Then 2 layers of SACNT films were cross stacked on the surface of graphene/copper foil. After alcohol treatment, the SACNT films form submicron-sized mesh with high mechanical strength, and the graphene stick to the SACNT mesh firmly due to the strong van der Waals interaction between clean surfaces of SACNT and graphene. Then after etching away the copper, we can get a Graphene-CNT composite film floating on the solution surface which can be then transferred to the target copper mesh and finally get the Graphene-CNT composite TEM nanogrids. The merits of the Graphene-CNT nanogrids include possessing strong mechanical strength, good conductivity, simple fabrication process and large area, flat, uniform, quasi-continuous surfaces, which enable the possibility to observe the interactions between NPs(such as self-assembly). Perfect HRTEM characterization results of 2nm Au NPs show that the Graphene-CNT nanogrids have performance superior to conventional micro-grids. The batch fabrication of super-aligned CNT arrays and the large area CVD synthesis of graphene enable the scale up process of Graphene-CNT composite TEM grids.
9:00 PM - AA5.4
Catalyst-Free Growth of Carbon Nanosheet from Nitrogen-Contained Polymer.
Sang Youp Hwang 1 , Sung Ho Lee 1 , Tae-Wook Kim 1 , Bon-Cheol Ku 1 , Han-Ik Joh 1
1 Institute of Advanced Composite Materials, Korea Institute of Science and Technology, Dunsan-ri Korea (the Republic of)
Show AbstractRecently, studies on graphene and related applications have extremely increased owing to its unique properties such as high electric conductivity, mechanical strength, and large surface area. Large-sized graphene sheet, which includes single- or multi-layer, has been synthesized using hydrocarbon gases, thin polymer films, and silicon carbide. In the two former methods, metal catalysts as a growing point have to be deposited on substrate and should be removed for application to the soft electronics, energy storage and electrodes. In the latter method, SiC is expensive to produce the graphene. Moreover, in order to synthesize nitrogen-doped graphene, doping agents such as NH3 gas and melamine (C3N6H6) should be included in the carbon sources. In this study, we have introduced a novel and simple strategy to synthesize carbon nanosheet, namely graphene, using nitrogen-contained polymer with no metal catalysts.The experimental procedures have been composed of three steps; 1) preparation of polymer nanosheet by spin coating of polyacrylonitrile (PAN) dissolved in dimethylformamide (DMF), 2) stabilization of resulting polymer nanosheet at around 300 degrees Celsius, 3) carbonization at temperature of more than 1000 degrees Celsius to develop the hexagonal structure and remove ligands bonded to the carbon atom. Nitrile group (-C≡N) in the polymer was converted into conjugated group, which is called a ladder structure, through the cyclizing reaction. The cyclized polymer nanosheet was converted to the carbon nanosheet like a graphene via healing reaction adjacent between each ladder structure. The electric conductivity, thickness, structure and other properties of the carbon sheet was characterized by four-point probe, AFM, Raman spectroscopy, HR-TEM and XPS, respectively. The characteristics of the carbon nanosheet have changed according to contents of polymer. While carbon nanosheet prepared using 0.5 wt% of PAN solution have about 0.7 nm of thickness and 1,200 S/cm of sheet resistance, the nanosheet of 1.0 wt% have about 4.0 nm of thickness and 700 S/cm of sheet resistance. A doping content of the nanosheet measured by X-ray photoelectron spectroscopy was about 2 atomic percent against carbon atom even though no doping agents were supplied in any steps. It is expected that the carbon nanosheet with properties of nitrogen-doped multi-layer graphene is a promising candidate as an electrode for field emitter transistor. The specific properties and the possibility of utilization of the carbon nanosheet will be discussed.
9:00 PM - AA5.40
Liquid Ammonia as a Medium for Low Temperature Synthesis of Carbon Nano-Materials.
Vladimir Novikov 1 , Sergey Kyrik 1
1 , SSPA "Scientific-Practical Materials Research Centre of NAS of Belarus, Minsk Belarus
Show AbstractLiquid ammonia as a solvent has several unusual features, making it an unique medium for chemical synthesis. For example, it dissolves organic and inorganic compounds and even some metals. In addition, free radicals and electrons can to exist for long time in this solvent. In this study, we showed that liquid ammonia can be used as a tool for low-temperature synthesis of different forms of carbon such as graphite, nanotubes, nanodiamond and carbenes.1.Graphene.The method of graphene preparation was based on two main stages. First one was an intercalation of graphite by alkali metal-ammoniac complex and second one was decomposition of the complex by water. The presence of individual graphene sheets we confirm by electron diffraction. Owing to high reduced condition at all stages of synthesis the are no any structural defects of graphene sheets Raman and IR spectroscopic analysis of these films suggests the flakes to be largely free of defects and oxides. High aspect ratio graphite nanoplatelets offer promise as reinforcements for high strength Polymer carbon composites2.Linear carbon (carbyne).The method is based on defluorination of (poly) tetrafluoroethylene by solution alkali metals in liquid ammonium. The starting material was PTFE films. The product of such a reaction under the optimal concentration of alkali metals was black paper-like, flexible porous material. According to FITR and Raman spectra this substance was a chain of carbon atoms connected by sp-hybrid bonds.3. Diamond-like carbon nanopowders.Diamond-like carbon was synthesize by transformation of acetylene-nickel-ammonium complexes in medium of liquid ammonium. Product of the synthesis represents yellow-brawn powders size of 10 nm. Raman spectra and functional chemical analysis indicate prevalence of sp3 bonds in this structure.
9:00 PM - AA5.41
Anisotropic Magnetoresistance of Stretched Sheets of Carbon Nanotubes.
Elena Cimpoiasu 1 , David Lashmore 2 , George Levin 3
1 Physics, US Naval Academy, Annapolis, Maryland, United States, 2 , Nanocomp Technologies Inc., Concord, New Hampshire, United States, 3 Propulsion Directorate, Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States
Show AbstractWe present results on the electric transport in stretched carbon nanotube thin fabric (CNT cloth) with a focus on the anisotropy of the magnetoresistive properties and the mechanisms responsible for the observed behavior. The anisotropic resistivity and magnetoresistance (MR) of four rectangular samples of CNT cloth has been measured using the four-point method in the temperature range from 20 K to 2 K and in magnetic fields of up to 9 T. The magnetic field was applied both perpendicular and parallel to the plane of the fabric, but always normally to the direction of the electric current. The current was applied either along or perpendicular to the direction of the stretch. Two of the samples were as-grown and two were treated with nitric acid. The results show that MR is composed of two terms, a negative contribution dominant in low field and a positive term dominant at higher fields. The negative contribution seems to indicate magnetoresistance due to quantum interference. Both contributions to MR exhibit anisotropy with regard to the magnetic field orientation. This anisotropy depends on the direction of the current (along or perpendicular to the stretch) and doping. Possible reasons for the observed behavior will be discussed.
9:00 PM - AA5.42
Graphene Oxide: Intercalation Structure and Cast Film Morphology.
Lianfeng Zou 1 , Kaikun Yang 1 , Liwei Huang 1 , Si Liang 2 , Steven Decaluwe 3 , Joseph Dura 3 , James Fang 1 , Cheol Park 4 , Howard Wang 1
1 , Institute for Materials Research, State University of New York, Binghamton, Vestal, New York, United States, 2 , Department of Polymer Science and Materials, Sichuan University,, Si Chuan China, 3 , NIST Center for Neutron Research, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 4 , National Institute of Aerospace, Hampton, Virginia, United States
Show AbstractGraphene oxide (GO) powders have been synthesized from graphite particles and treated with various amounts of oleylamine (OA) as intercalant to form intercalation compounds (OA-GO). X-ray diffraction (XRD) patterns reveal that the inter-layer distances strongly depend on the OA/GO mass ratio. The equilibrium-like behavior implies a diffusion-dominated intercalation process and easy tailoring of the OA-GO structure. Thin GO films have been prepared by solution casting from aqueous GO solutions or OA-GO suspensions in non-polar organic solvents. By controlling solution parameters, surface preparation, and casting conditions, the characteristics of GO films can be continuously varied. In particular, monolayer coverage of GO sheets on substrates with a root-mean-square roughness of 0.7 nm has been achieved. Furthermore, transparent and conductive coatings have been fabricated through thermal annealing and reduction of GO films.
9:00 PM - AA5.43
Non-Radiative Exciton Decay in Single-Walled Carbon Nanotubes.
David Harrah 1 2 , Jude Schneck 3 2 , Alexander Green 7 5 4 , Mark Hersam 5 4 , Lawrence Ziegler 3 2 , Anna Swan 1 2 6
1 Electrical and Computer Engineering, Boston University, Boston, Massachusetts, United States, 2 Photonics Center, Boston University, Boston, Massachusetts, United States, 3 Chemistry, Boston University, Boston, Massachusetts, United States, 7 Wyss Institute, Harvard University, Cambridge, Massachusetts, United States, 5 Materials Science and Engineering and Department of Chemistry, Northwestern University, Evanston, Illinois, United States, 4 Chemistry, Northwestern University, Evanston, Illinois, United States, 6 Physics, Boston University, Boston, Massachusetts, United States
Show AbstractThe mechanisms behind exciton decay are essential to understanding the intrinsic limits of the optical quantum efficiency in carbon nanotubes. Towards this goal, we study the exciton dynamics for an ensemble of individual, suspended (6,5) single-walled carbon nanotubes[1] via single color E22 pump-probe spectrocopy for a wide range of pump fluences. Our calculated initial exciton population ranges from approximately 5 to 120 excitons per 600 nm nanotube, putting the high fluence experiment well into the nonlinear regime[2]. The pump-probe data is not well described by either multi-exponential decay nor by power law behavior for all fluences. Based on a physical model of the decay dynamics[3], we have developed a single model that describes all data. That is, this single model applies to two decades of pump fluence and three decades of delay times. The lower fluence ground state recovery result is dominated by a stretched exponential that is consistent with the distribution of decay rates resulting from diffusion-limited contact quenching[3] for an exponential length scale distribution in an ensemble. The change in dynamics as a function of increasing pump intensity is attributed to exciton-exciton Auger de-excitation in the E11 subband and, to a lesser extent, in the E22 subband. We find an important initial fast decay component that we attribute to E22 excitons rapidly acquiring non-zero momentum while remaining in the E22 subband.
9:00 PM - AA5.45
Healing of Defective Graphene.
Sangwon Lee 1 , Alberto Salleo 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractAtomic-scale defects in graphene layers alter the physical and chemical properties of this carbon nanostructure. Defects in graphene films act as charge scatterers, leading to a decrease in the path length of ballistic transport and affect carrier mobility and thermal conductivity. Defects are responsible for a dramatic reduction in charge carrier mobility in graphene films obtained by all type of synthesis methods: micromechanical cleavage, annealing SiC (Silicon Carbide) and CVD (Chemical Vapor Deposition). Hence, defects are undesirable, and the ability to repair them may be important for generating graphene films with high thermal and electrical conductivities and, enhanced mechanical strength. Improvements in these properties are of central importance because the successful realization of graphene-based electronics requires graphene films with superior structural and electrical properties. In this talk, we describe a defect healing method based on using hydrocarbon gas with catalytic metal source. The method was applied to thermally grown epitaxial graphene on SiC. At moderate temperatures (~ 900 °C), a large number of defects on the graphene sheets can be healed. We show that in the presence of a catalytic metal source we obtain improved graphene layers: depending on the process time, new graphene layers can be grown on the initial graphene layers. Various characterization techniques such as RHEED, Raman, Synchrotron X-ray, TEM are used to verify and compare the epitaxial graphene on SiC before and after this healing process. The method described in this talk holds promising results for healing defective surfaces of various graphene based materials (e.g. CVD graphene on SiO2 substrates), and for improving their structural, mechanical, and electrical properties. Such improvements are crucial for the successful realization of graphene-based electronics.
9:00 PM - AA5.46
Effects of Copper Surface Morphology on CVD Graphene Growth.
Xuesong Li 1 , Ageeth Bol 1 , Shu-Jen Han 1 , James Hannon 1 , Rudolf Tromp 1
1 , IBM T.J. Watson Res. Ctr., Yorktown Hts, New York, United States
Show AbstractGraphene has been attracting increasing attention over the last few years.1, 2 To date, the most commonly used method to produce large area graphene films is chemical vapor deposition (CVD) of hydrocarbons such as methane on Cu foils.3 It has been shown that graphene growth on Cu is a surface-mediated process.4 The effects of growth parameters such as pressure, temperature, and the flow rates of gases have been investigated.5, 6 Here we report on the effects of copper surface morphology, such as surface orientations and roughness, on graphene growth, e.g., nucleation rate and density, growth rate, film quality, etc. The copper surface morphology is characterized by scanning electron microscopy, atomic force microscopy, and low-energy electron microscopy. The graphene films are characterized by Raman spectroscopy, and mobility and carrier density measurements. This analysis is useful for rational development of improved graphene growth processes. 1.Geim, A. K. Science 2009, 324, (19), 1530-1534.2.Zhu, Y. W.; Murali, S.; Cai, W. W.; Li, X. S.; Suk, J. W.; Potts, J. R.; Ruoff, R. S. Adv. Mater. 2010, 22, (35), 3906-3924.3.Li, X. S.; Cai, W. W.; An, J. H.; Kim, S.; Nah, J.; Yang, D. X.; Piner, R. D.; Velamakanni, A.; Jung, I.; Tutuc, E.; Banerjee, S. K.; Colombo, L.; Ruoff, R. S. Science 2009, 324, 1312-1314.4.Li, X. S.; Cai, W. W.; Colombo, L.; Ruoff, R. S. Nano Lett. 2009, 9, 4268-4272.5.Li, X. S.; Magnuson, C. W.; Venugopal, A.; An, J. H.; Suk, J. W.; Han, B. Y.; Borysiak, M.; Cai, W. W.; Velamakanni, A.; Zhu, Y. W.; Fu, L. F.; Vogel, E. M.; Voelkl, E.; Colombo, L.; Ruoff, R. S. Nano Lett. 2010, 10, (11), 4328-4334.6.Li, X. S.; Magnuson, C. W.; Venugopal, A.; Tromp, R. M.; Hannon, J. B.; Vogel, E. M.; Colombo, L.; Ruoff, R. S. J. Am. Chem, Soc 2011, 133, (9), 2816-2819.
9:00 PM - AA5.47
Investigations of Zipping Mechanism in Relativistic Heavy Ion Interactions with Carbon Onions.
Raed Al-Duhaileb 1 , Kan Xie 1 , Virginia Ayres 1 , Reginald Ronningen 2 , Albert Zeller 2 , Thomas Baumann 2 , Atsushi Hirata 3
1 College of Engineering, Michigan State University, East Lansing, Michigan, United States, 2 National Superconducting Cyclotron Laboratory, Michigan State University, East Lansing, Michigan, United States, 3 Graduate School of Mechanical Sciences, Tokyo Institute of Technology, Tokyo Japan
Show AbstractCarbon nanostructures and composites are under investigation as structural elements in radiative situations, including collider, space and nuclear environments. In the present work, we report observations of a zipping mechanism response to relativistic heavy ion irradiation, similar to that reported for electron irradiation [1-4]. Multi-layer carbon onions were irradiated with Argon-40 [5] and Oxygen-16 heavy ion primary beams with initial kinetic energies of 140 MeV/nucleon and 70 MeV/nucleon. Irradiation times that resulted in 10,000 Gray (Joule/kg) cumulative total doses were calculated based on experimental masses and volumes for each sample. Controlled graphene layer zipping was observed as a systematic response, while inter-layer carbon aggregation was not observed. The experimental results were investigated using both standard Monte Carlo analysis of energy deposition based on knock-on and electronic interactions, and in terms of recently reported dislocation-driven mechanisms [6]. Our results suggest that dislocation-driven mechanisms may have a significant effect in heavy ion interactions with carbon nanostructures, whereas a standard analysis indicated that there would be little interaction. [1] F. Banhart, “Formation and transformation of carbon nanoparticles under electron irradiation”, Phil. Trans. R. Soc. Lond. A: 362: 2205-2222 (2004).[2] H. Terrones, F. Banhart, J.-C. Charlier, and P. M. Ajayan, “Coalescence of Single-Walled Carbon Nanotubes”, Science 288:1226-1229 (2000).[3] F. Banhart, “Irradiation Effects in Carbon Nanostructures”, Rep. Prog. Phys. 62 1181-1221 (1999).[4] G. Ya. Gerasimov, “Formation and Conversion of Carbon Nanostructures Under Radiation”, JEPT 83: 849-862 (2010). [5] R.A. Alduhaileb, K. Xie, J.C. Myers, V.M. Ayres, B.W. Jacobs, K. McElroy, T. Bieler, M. Crimp, X. Fan, R.M. Ronningen, A.F. Zeller, T. Baumann, A. Hirata, “Responses of Carbon Onions to High Energy Heavy Ion Irradiation”. In Mater. Res. Soc. Symp. Proc. Vol. 1298: Advanced Materials for Applications in Extreme Environments, Editors Maria Samaras , Chu Chun Fu, Thak Sang Byun, Marius Stan, Toru Ogawa, Arthur Motta, D. Simeone, R. Smith, Lumin Wang, Xinghang Zhang, Oliver Kraft, Michael Demkowicz, and Memei Li, Cambridge University Press, Cambridge, UK (2011).[6] E. Akatyeva, J.Y. Huang, and T. Dumitrica, “Edge-Mediated Dislocation Processes in Multishell Carbon Nano-Onions”, Phys. Rev. Lett. 105: 106102 (2010).
9:00 PM - AA5.48
In Situ Characterisation of Low-Temperature Graphene Growth.
Robert Weatherup 1 , Bernhard Bayer 1 , Piran Kidambi 1 , Raoul Blume 2 , Caterina Ducati 3 , Carsten Baehtz 4 , Robert Schloegl 5 , Stephan Hofmann 1
1 Electronic Engineering, University of Cambridge, Cambridge United Kingdom, 2 , Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany, 3 Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom, 4 Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, Dresden Germany, 5 , Fritz Haber Institute, Berlin Germany
Show AbstractCatalytic chemical vapour deposition (CVD) on transition metal templates offers a low-cost method of producing mono- and few-layer graphene across large areas, but with a limited understanding of the underlying growth mechanism(s), growth control remains limited. Here we demonstrate low-temperature (~450°C), scalable CVD of predominantly monolayer (74%) graphene films with an average D/G peak ratio of 0.24 and domain sizes in excess of 220μm2 and rationalise the catalyst design by in-situ metrology at realistic growth conditions. Time- and depth resolved X-ray photoelectron spectroscopy (XPS) and in-situ X-ray diffraction (XRD) allow a detailed record of transient C/metal core level signatures prior to and during low temperature graphene formation. The combination with extensive ex-situ calibrations enables a coherent model for graphene formation to be devised, which we will compare to our previous work on carbon nanotube formation1.[1] Hofmann et al., J. Phys. Chem. C 113, 1648 (2009)
9:00 PM - AA5.49
Dry Transfer of High-Quality Graphene Films onto Arbitrary Substrates.
Junmo Kang 1 , Soon-Hwi Hwang 1 , Jae Hwan Kim 2 , Min Hyuck Kim 1 , Sangjae Seo 1 , Dolly Shin 3 , Byung Hee Hong 1 3 , Young-Jin Kim 1 2 , Moon Ki Kim 1 2 , Jae-Boong Choi 1 2
1 SKKU Advanced Institute of Nano Technology and Center for Human interface Nano Technology, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of), 2 School of Mechanical Engineering, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of), 3 Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of)
Show AbstractTo fabricate transparent electrode based on graphene film, the graphene grown on metal substrate by chemical vapor deposition (CVD) has to be transferred onto a desired substrate without defects. We demonstrated the improved dry transfer techniques of graphene films using a hot press process, resulting in the fabrication of large-scale and high-quality graphene films. The comparison of electrical properties of graphene films transferred by the hot press process with those of the roll-to-roll process showed the similar sheet resistance to each other. The scanning electron microscope (SEM) images and Raman mapping revealed that the graphene film transferred by the hot press process has very uniform morphology on the SiO2/Si wafer substrate. Theoretical multiscale analysis from continuum to atomic level indicated the different mechanical properties of graphene films with and without defects, which was supported by atomic force microscope (AFM) images and primary graphene properties. The improved transfer process can be used in fabrication of electronic devices on arbitrary substrates for future applications.
9:00 PM - AA5.5
Au Nanoparticles as a Versatile Catalyst for Diameter Specified Growth of Single-Walled Carbon Nanotubes.
Seung-Hwan Lee 1 , Goo-Hwan Jeong 1
1 Dept of Advanced Materials Science & Engineering, Kangwon National University, Chuncheon Korea (the Republic of)
Show AbstractDue to their outstanding properties and potential applications in many areas, single-walled carbon nanotubes (SWNTs) have been intensively studied. In special, a great deal of growth researches toward uniform diameter or chirality has been dedicated since their physical properties are fully dependent on tube diameter and chirality. More detail, the diameter coincidence between tube and catalytic particles is not necessarily in SWNTs cases although the size window of catalytic particles yielding thin or thick SWNTs seems to present. In addition, a horizontally aligned growth of SWNTs (HSWNTs) has recently reported using quartz substrates towards nanoelectronics device application. Here, we demonstrate the growth of HSWNTs via catalytic chemical vapor deposition using gold nanoparticles as a catalyst which has a low melting temperature and thus their size can be easily controlled via thermal evaporation. The high temperature annealing gave a high reliability of the size reduction thermal process. Silicon with thermal oxide and ST-cut quartz wafers were used as growth substrates and their growth results such as tube density, diameter distribution, degree of horizontal alignment, and growth yield will be systematically compared.
9:00 PM - AA5.50
Barrier-Guided Growth of Micro- and Nano-Structured Graphene.
Nathaniel Safron 1 , Myungwoong Kim 1 , Padma Gopalan 1 , Michael Arnold 1
1 Material Science and Engineering, Univ of Wisconsin, Madison, Wisconsin, United States
Show AbstractGraphene has received tremendous attention because of its exceptional properties such as its ultrafast charge transport characteristics, outstanding thermal conductivity, and excellent mechanical strength and flexibility. Traditionally, the patterning of graphene (i.e., for the purpose of defining device architectures, altering its electronic properties through quantum confinement effects, or fabricating pores for mechanical structures) has been achieved via top-down chemical or physical etching, which induces defects, disorder, and edge roughness, and requires additional processing equipment not compatible with many applications.In this work, we introduce a novel approach for the rational synthesis of patterned graphene from the bottom-up, called Barrier-Guided Chemical Vapor Deposition (BG-CVD). In BG-CVD, a patterned barrier layer, deposited on the copper substrate prior to growth, serves to locally passivate the Cu surface, (i) preventing the decomposition of methane and (ii) blocking the growth and nucleation of graphene on the barrier or on the Cu underneath it, thereby guiding the growth of graphene on only the exposed areas of Cu foil. By fabricating various barrier layers, this technique can be used to fabricate arbitrary designs at both the micro- and nano-scale, where graphene’s placement, orientation, spatial and lateral extent is defined by this self-limited growth mechanism. We have grown graphene nanoribbons and nanoperforated graphene using electron-beam and block copolymer lithographies to define the barrier layers, respectively, demonstrating features down to 20 nm with ~1 nm resolution. Utilizing Selective Area Diffraction, Raman Spectroscopy and Optical Microscopy of the growing graphene crystallites, we report that the BG-CVD nanostructured graphene is highly crystalline with a large domain size, similar to normal CVD graphene. Electrical transport measurements of large-area, nanoperforated graphene transistors demonstrate ambipolar field-effect characteristics with high mobility (40 cm2/Vs) and ON/OFF conductance of ~5, expected for the constriction width of 25 nm. These results indicate that BG-CVD is a scalable avenue for the development of patterned graphene for electronic, mechanical, and sensing applications.
9:00 PM - AA5.51
Large-Area Graphene Sheets by Edge Combination Reaction of Graphene Oxide.
Jin Woo Yi 1 , Jea Uk Lee 1 , Sang-Su Yoon 1 , Wonoh Lee 1 , Sang-Bok Lee 1 , Joon-Hyung Byun 1 , Byung-Sun Kim 1
1 , Korea Institute of Materials Science, Changwon, Gyeongnam, Korea (the Republic of)
Show AbstractGraphene is a two-dimensional carbon material consisting of hexagonal sp2-hybridized monolayer. It is well known that graphene has outstanding electrical and thermal conductivities and also exhibits excellent mechanical properties and high transparency compared to existing carbon materials. Because of its exceptional properties, great attention has been paid to graphene in recent year.In general, the synthetic route of graphene can be mainly categorized by two methods: dry and wet process. In case of the dry processes such as chemical vapor deposition (CVD) and epitaxial growth, some researchers have already reported that nearly 30-inch graphene films can be grown on the metallic substrates of nickel (Ni) and copper (Cu) under a high temperature condition. However, these kinds of method are not cost-effective and the yield is low. Moreover, they are not suitable for mass production. Since a wide range of potential applications including graphene has been considered, more economical and more facile fabrication method for graphene is necessary. Due to the necessity, a solution-based method is an attractive technique, in particular, for the production on a large scale. Typical solution-based method can provide a large amount of graphene oxide (GO) which is made from low-priced graphite particles by a chemical oxidation/exfoliation. GO can be changed into reduced graphene oxide (rGO) by chemical or thermal treatments. In addition, GO retains several active functional groups and can be homogeneously dispersed in an aqueous or organic media. Therefore, many research efforts have been made on the synthesis, modification, and applications of GO and rGO. However, since several-hundred micrometer of initial graphites is treated by a strong oxidizing reagent followed by a mechanical exfoliation, they split into a smaller size GO. As a result, there is inherent limitation in producing large-area GO because GO originates from graphite powders. Probably, this small-size GO shows poor surface electrical conductivity when it is deposited on a substrate especially by a spin-coating and a solution-casting. In this work, an edge combination reaction among GOs was suggested for the synthesis of large-area graphene sheets. In order to achieve this goal, firstly GO was produced using the modified Hummers method and carboxyl acid groups at the edge of GO were activated. Edge combination reaction was carried out using a telekelic chemical, for instance, diamine or diol, as “molecular bridge” and the edge of activated GOs was connected each other by covalent bonds. The reaction was confirmed by a TEM and an FT-IR. The edge-connected GO can be deposited on a substrate by a simple coating or casting and can be transformed into large-are graphene sheets by a proper thermal treatment. Furthermore, effect of the edge combination reaction of GO will be investigated by an electrical conductivity measurement.
9:00 PM - AA5.52
Quantifying Structure Evolution of CNT Turfs.
Isaac Steele 1 , Juan Trevino 1 , David Field 1
1 , Washington State Univ, Pullman, Washington, United States
Show AbstractCarbon Nanotubes (CNT) were grown in disordered intertwined fields called turfs. Electrical, thermal, optical, sensing, and permeability properties of these turfs are of interest and are controlled by the details of the turf microstructures. Any deformation of the CNT turfs could result in an effective change in these properties. Characterization of CNT turfs is the focus of this work including quantification of lineal density, tortuosity, and connectivity. To measure these parameters, high resolution SEM images of the CNT turfs have been obtained. Images were taken before and after mechanical deformation occurred. Images were analyzed in a semi-automated way to obtain distribution functions for various features of the microstructure. Measurements include diameter, orientation, and contact points. Statistical analysis was used to demonstrate that the observations constituted a representative sampling of the microstructures. The ultimate goal is to obtain information that can be used to predict CNT turf properties by use of microstructural observation and not by destructive mechanical testing.
9:00 PM - AA5.53
Multiple Exciton Generation in Single Chirality Single-Walled Carbon Nanotubes.
Bradford Loesch 1 , Shujing Wang 1 , Marat Khafizov 1 , Xiaomin Tu 2 , Ming Zheng 2 , Todd Krauss 1
1 Chemistry, University of Rochester, Rochester, New York, United States, 2 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractThe phenomenon of multiple exciton generation (MEG) describes the creation of more than one electron-hole pair in a semiconductor material from a single high-energy photon, thereby improving light to charge conversion efficiency. By incorporating materials with efficient MEG into photovoltaic devices, solar conversion efficiencies could exceed the traditional Shockley-Quiesser thermodynamic limit of 33%. It has been suggested that MEG is potentially very efficient in nanoscale materials when compared to bulk materials, although this idea is still a matter of current debate.. Nonetheless, MEG has been observed for several quantum confined systems, including II-VI and IV-VI colloidal semiconductor nanocrystals. We will present studies of MEG in single-walled carbon nanotubes (SWNT) using ultrafast transient absorption (TA) spectroscopy. Our studies are motivated by the idea that Auger recombination and MEG are inverse quantum mechanical processes, and so it is expected that materials with fast Auger recombination rates such as SWNTs will exhibit a high efficiency of MEG. SWNTs were dispersed in water with DNA and were highly enriched in a single chirality. With low-energy photons, we varied the pump fluence and observed the characteristic Auger recombination decay rate through absorption of multiple photons per NT. With high photon energies (but low fluence), we observe a fast component in the TA signal, corresponding to the annihilation of multiple excitons, a signature of MEG. This component has a decay identical to the Auger recombination rate and is on the order of about 1 picosecond. Our preliminary studies of (6,5) SWNTs showed MEG efficiencies of ~20% when excited at 335 nm (hω/Eg = 2.96). We will present data on the Auger rates and MEG efficiencies of other SWNT chiralities, such as (8,6) SWNTs, in order to elucidate how structure, band gap, and Auger rate are related to MEG efficiencies.
9:00 PM - AA5.54
Bilayer Graphene Film Based Transparent Conductor.
Seunghyun Lee 1 , Kyunghoon Lee 1 , Zhaohui Zhong 1
1 Electrical Engineering, University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States
Show AbstractSince its discovery, graphene has attracted broad attentions across disciplines due to its superior electrical and optical properties. One of its key applications is its usage as high performance transparent/flexible conductive material (TCM). Although single graphene layer has opacity of 2.3%, its sheet resistance value is too high for practical applications, and graphene TCM requires multiple layers stacking of graphene films. To this end, we demonstrate that multiple layers of homogeneous CVD bilayer graphene film not only reduces the number of transfers by half, but also shows improvement in transmittance and sheet resistance compared to its single layer counterpart. Transmittance up to four layers of both single layer stacks and bilayer graphene stacks was measured for comparison. Sheet resistance was measured using Van der Pauw method for both single layer and bilayer stacks. Doping characteristics and sheet resistance change due to strain will also be discussed. The size of our bilayer graphene film is only limited by the synthesis apparatus and can be readily scaled up, thus enabling large area transparent conductor for various applications such as photovoltaics and flexible electronics.
9:00 PM - AA5.55
Direct Synthesis of Free-Standing Graphene Macrostructures from Molten Metal Phase by Chemical Vapour Deposition (CVD).
Tereza Paronyan 1 , Elena Pigos 1
1 , Honda Research Institute USA Inc., Columbus, Ohio, United States
Show AbstractFabrication of monolayer graphene sheets into macroscopic structures is very essential for various applications. The direct growth of the graphene macrostructures without using any composites and chemicals is the best way to improve the interconnection and, therefore, the conductivity between the graphene nanosheets for those applications.Here we report on the direct synthesis of free-standing monolayer graphene macrostructures detached from the metal catalyst surface. The macrostructures were grown on the molten phase of commercially available metal Copper or Cu alloys by using CVD technique and methane gas as a carbon source under low pressure (50 mtorr). The purity of the metal foils was investigated as an important factor to verify the synthesis temperature. Raman spectra, Scanning Electron and Atomic Force Microscopes were used for the characterization of graphene macrostructures. The growth of graphene from metal-carbon melt by other methods was reported earlier [1]. However, using simplified CVD technique and commercially available cost efficient metals allow synthesizing large scale of free-standing monolayer graphene macrostructures.[1] S. Amini et al . Journal of Applied Physics 108, 094321 (2010)
9:00 PM - AA5.56
Synthesis of Few-Layer Hexagonal Boron Nitride Thin Film by Chemical Vapor Deposition.
Yumeng Shi 1 , Ki Kang Kim 1 , Jing Kong 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractIn this contribution we demonstrate a method of synthesizing a hexagonal boron nitride (h-BN) thin film by ambient pressure chemical vapor deposition on polycrystalline Ni films. Depending on the growth conditions, the thickness of the obtained h-BN film is between 5 and 50 nm. The h-BN grows continuously on the entire Ni surface and the region with uniform thickness can be up to 20 μm in lateral size which is only limited by the size of the Ni single crystal grains. The hexagonal structure was confirmed by both electron and X-ray diffraction. X-ray photoelectron spectroscopy shows the B/N atomic ratio to be 1:1.12. A large optical band gap (5.92 eV) was obtained from the photoabsorption spectra which suggest the potential usage of this h-BN film in optoelectronic devices.
9:00 PM - AA5.57
Chemical Vapor Deposition Growth of Single and Few Layers Graphene on Commercial Pure Metal and Metal Alloys Meshes.
Elena Pigos 1 , Tereza Paronyan 1 , Gugang Chen 1 , Avetik Harutyunyan 1
1 , Honda Research Institute USA Inc., Columbus, Ohio, United States
Show AbstractBecause of its unique electrical, thermal and mechanical properties, graphene holds the potential to be used in future applications in nanoelectronics, sensors, etc. This has sparked huge efforts in recent years to achieve the growth of high quality single or multilayer graphene. Up until now, the growth of high quality graphene by CVD has been limited to the use of pure metallic substrates like Cu or Ni. Yet, various potential applications entail different substrates depending on the nature of graphene-substrate interface. Only recently, the growth of graphene on stainless steel foil by using a liquid phase chemical vapor deposition technique was reported [1,2]. Here we present the direct growth of single and few layer graphene on commercially available metal (Cu, Ni) and metal alloys (stainless steel, bronze and inconel) meshes. The graphene nanostructures were synthesized by a generic CVD method using methane as the hydrocarbon gas at low pressure. Raman scattering measurements and scanning electron microcopy analyses were used to study the suitability of the different substrates to catalyze the growth of graphene, the effect of different growth parameters (i.e: temperature and cooling rate) on the quality of the nanostructures grown, as well as the coverage of the substrates by the grown graphene. The results show that high quality few layer graphene can be grown on economically affordable conducting metal alloys meshes, opening the opportunity to expedite the integration of this material into nanoelectronic devices.[1] R. John et al. Nanotechnology 22, 165701 (2011)[2] H. Gullapalli et al. Small, 7, 1697 (2011)
9:00 PM - AA5.58
Electronic Structure of Twisted Multilayers of Epitaxial Graphene.
Lede Xian 1 , Salvador Barraza-lopez 1 3 , Mei-Yin Chou 1 2
1 School of Physics, Georgia Inst. of Technology, Atlanta, Georgia, United States, 3 Department of Physics and Astronomy, University of Arkansas, Fayetteville , Arkansas, United States, 2 Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei Taiwan
Show AbstractEpitaxial growth of graphene on the carbon face of the SiC substrate has produced an interesting system of quasi-ordered multilayers. With the advantages of a continuous, high-quality scalable production over large areas [1] and mobilities as high as 200,000 cm2/V-s [2], this is considered a promising material for applications. It is intriguing that the results of quantum Hall and angle-resolved photoemission measurements for this multilayer system all exhibit physical properties (Landau levels, Fermi velocity, etc.) that resemble single-layer graphene. This is believed to arise from the particular rotational stacking pattern in which the Bernal AB sequence is interrupted (twisted) by interleaved layers that are rotated by an angle θ between -10 and 10 degrees, or very close to 30 degrees, as suggested by the diffraction measurements [3]. However, previous theoretical studies of the electronic structure of twisted (rotated) bilayers have found a strong Fermi velocity renormalization if the twist angle is small. This velocity renormalization has not been observed in epitaxial graphene and remains to be a puzzle. To resolve this inconsistency, we have performed first-principles calculations of trilayer systems using density functional theory (DFT) in order to understand the unique electronic dispersion in this experimental system. Various geometries involving the A-a(rotated)-A and A-a(rotated)-B stacking patterns are considered in DFT calculations, and one-orbital tight-binding calculations are used to extend the study for twist angles down to 2 degrees. We find that for the AaA stacked triple-layer graphene the bands in the vicinity of the K point can be decomposed into a pair having the monolayer graphene velocity, regardless of the angle of rotation in the a layer, and a set of bands of bilayer-like character. Similar results are obtained for the AaB triple-layer graphene, with additional hybridization among all layers involved. These results shed light on the anticipated electronic structure of this particular rotated multilayer graphene system.[1] J. Hass et al., J. Phys:Condens. Matter 20, 323202 (2008).[2] D. J. Miller et al., Science 324, 924 (2009).[3] M. Sprinkle et al., Phys. Rev. Lett. 103, 226803 (2009).
9:00 PM - AA5.59
Vertically Aligned and Periodically Distributed Carbon Nanotube (CNT) Bundles Grown by a Combination of Laser Interference Ablation and Metal-Catalyzed Chemical Vapor Deposition (CVD).
Dajun Yuan 1 , Wei Lin 2 , Rui Guo 1 , C. p. Wong 2 , Suman Das 1
1 1.Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 2.School of Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractCarbon Nanotubes (CNTs) offer attractive anisotropic mechanical, thermal, and electrical properties. CNT bundles have received great attention as a potential alternative to copper in electrical and thermal conductors due to their wettabillity and high conductivity. Scalable fabrication of CNT bundles is essential to future advances in several applications. Nevertheless, the creation of geometries at sub-micron scales in CNT bundles with existing fabrication methods remains a difficult challenge. Here, we report on the development of a simple, two-step method for fabricating vertically aligned and periodically distributed CNT bundles. The method involves laser interference ablation of an iron film followed by CNT growth through metal-catalyzed chemical vapor deposition (CVD). Iron films of 2nm thickness are first deposited by e-beam evaporation on SiO2/Si substrates. Laser interference ablation of these films with a 10 ns pulsed Nd:YAG laser with 355 nm wavelength, and 10 Hz repetition rate creates periodic patterns. Different types of periodic patterns are created with two and three interfering beams, respectively. Ablation with two interfering beams including a rotation of 90 degree between successive exposures creates square islands of iron ranging from 500 nm to 5 µm as a function of laser intensity varying from 50 to 250 mJ/cm2. Interference ablation with three beams leads to a hexagonal close-packed array of holes with period ranging from 500 nm to 10 µm through adjustment of the angles between the beams. The patterned substrates are then used for CVD growth of CNT bundles with gas flow rate ratio as Ar/H2/C2H4 =380/150/150 standard cubic cm/min (sccm) at 750 degree C. CNT bundles with sizes ranging from 500 nm to 5 µm in width, and 50 to 200 µm in length, are grown atop the patterned catalyst over areas spanning 5 cm2. The CNT bundles exhibit a high degree of control over size, orientation, uniformity, and periodicity. Characterization of the catalyst post-ablation of the CNT bundles is conducted through AFM and SEM. This simple method of producing well-placed and oriented CNT bundles demonstrates high application potential for wafer-scale integration into IC interconnects, field emission devices, and optoelectronic devices.
9:00 PM - AA5.6
Plasma Oxidative Treatment on Suspended Graphenes and Their Property Characterization.
Byeong-Joo Lee 1 , Goo-Hwan Jeong 1
1 Dept of Advanced Materials Science & Engineering, Kangwon National University, Chuncheon Korea (the Republic of)
Show AbstractGraphene has been received significant attention by its outstanding properties and, especially, can offer potential applications in flexible electronics. The detail of the graphene structures such as the number of layers, edge structures, defects, and element doping is major factor to determine the properties of graphene. Therefore, detecting these structures, investigation of their effects, and even precise control of them are crucial for future applications. Herein, we report the results of air plasma treatment on suspended graphenes, which are grown by chemical vapor deposition and transferred onto trench substrates. Plasma oxidation and analysis using mainly Raman and AFM were performed alternatively to investigate the effect of plasma power and treatment time on graphene structure and properties include charge doping phenomena. It was observed that the pristine graphene structures were gradually changed with increase of the plasma treatment time. Moreover, we observed the change of IG’/IG in Raman spectra, which mean that several layered graphene might be changed into mono or bilayer graphene with the simple plasma oxidative treatment. Finally, it is worth to note that the plasma induced oxidation, as a post treatment, can be a quick, convenient, and reliable methodology to make few layered graphene from relatively thick graphite sheets.
9:00 PM - AA5.61
Continuous Production and Characterisation of SWCNT Fibres by CVD.
Rajyashree Sundaram 1 , Krzysztof Koziol 1 , Alan Windle 1
1 Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom
Show AbstractCarbon nanotubes (CNT) based macroscopic systems (films, fibres, yarns etc.) have gained significant interest amongst both the academic and industrial communities. For the purposes of scientific study and to gauge the performance of these materials, it is imperative to synthesise such structures with well-defined micro and nanostructures which are governed by the nanotube type (single walled - SW, double walled - DW, multiwalled- MW), structural characteristics (aspect ratio and chirality distributions) and orientation. Many existing methods have demonstrated production of SWCNTs as individual entities – often resulting in a mixture of metallic and semiconducting nanotubes and less frequently focusing on direct selective synthesis. Here, we present approaches towards large-scale continuous production of CNT based macroscopic structures consisting bundles of exclusively SWCNTs by chemical vapour deposition (CVD). The process involves the pyrolysis of catalyst and carbon precursors (ferrocene and methane respectively) in a stream of hydrogen resulting in the in-situ production of catalyst nanoparticles, followed by nucleation and growth of CNTs which are directly spun in to fibres. A sulphur precursor is incorporated to the reaction feedstock – addition of sulphur, recognised as a promoter, results in the growth exceptionally long nanotubes. We report, strategies with respect to the synthesis, to control the size of the catalyst thereby exercising control on the nanotube diameter and type. Extensive characterisation of the SWCNT fibres elucidating their internal structure, diameter distributions and purity by electron microscopy, Raman spectroscopy, X-ray scattering etc. will be presented in relationship to the mechanical and electrical properties they exhibit.
9:00 PM - AA5.62
Measurement of VUV Absorption with the Condition of CNTs Growth in Antenna-Edge Remote Plasma Chemical Vapor Deposition.
Takumi Ochiai 1 , Kazuyoshi Oohara 1 , Masafumi Inaba 1 , Atsushi Hiraiwa 1 , Hiroshi Kawarada 1
1 Nano-Science and Nano-Engneering, Waseda University, Tokyo Japan
Show AbstractWe have synthesized vertical aligned carbon nanotubes (VA-CNTs) from methane (CH4) and hydrogen (H2) with antenna-edge remote plasma chemical vapor deposition (AE-RPCVD) [1]. In this apparatus, the position of decomposing CH4 can be separated from one of the growth of CNTs, and then low temperature growth can be demonstrated. The carbon atoms in CH4 that decomposed by a plasma fixed with the antenna edge reach the catalytic substrate and synthesize CNTs. In this work, we measured the vacuum ultraviolet (VUV) absorption of the condition and the distance from plasma, that CNTs have grown, for demonstrating the effect of AE-RPCVD.
The VUV absorption spectra was measured by VUV monochromator and photomultiplier using the microdischarge hollow-cathode lamp (MHCL) as the VUV light source [2] at the CNT grown area, 40mm from the antenna edge. The light of 166nm from the discharge from carbon is absorbed by afterglow area of CH4/H2 discharge The absorbed fraction was calculated from the difference of absorption intensity when the plasma is present or absent in AE-RPCVD. It is measured where the plasma condition of CNTs growth was microwave power 60~120W, pressure 20~60Torr, the reaction gases are CH4 (10%) and H2 (90%).
A lot of carbon and hydrocarbon species such as carbon radical, ethylene, acetylene, etc, were generated from the plasma CH
4 and H
2 [3]. If we assume the VUV absorption is mainly caused by carbon radical, the absorption fraction is calculated to the carbon radical concentration. For example, the absorption fraction 16% corresponding to carbon radical concentration of 10
11/cm
3, has been obtained in the CNTs growth rate 250μm/h (normal condition) with microwave power 60W at 20Torr. In contrast, the fraction increases greatly to 65% corresponding to carbon radical concentration of 10
13/cm
3 at CNTs growth rate 2000μm/h; with microwave power 120W at 60torr. The products from CH
4/H
2 plasma including carbon radical can reach the substrate. The growth rate is enhanced by the increase of the VUV absorbing species, in which ethylene is well known as the carbon source for synthesis of VA-CNTs [4]. The product containing carbon radical and/or ethylene contribute to the growth of CNTs.
This research was partially supported by Advanced Low Carbon technology research and development program.
[1] T.Iwasaki, H.Kawarada, et al. Nano lett. 8, 886(2008)
[2] S.Takashima, M.Hori, T.Goto, et al. J.Vac.Soc.Jpn. 44, 803(2001)
[3] T.Fujii, M.Kareev, et al. J.Phys.Chem.A 105, 4923(2001)
[4] K.Hata, et al. Science 306, 1362(2004)
9:00 PM - AA5.63
Low Temperature, Plasma-Assisted Reduction of Graphene Oxide.
Seung Whan Lee 1 , Cecilia Mattevi 2 , Hisato Yamaguchi 3 , Manish Chhowalla 3 , R. Mohan Sankaran 1
1 Chemical Engineering, Case Western Reserve University, Cleveland, Ohio, United States, 2 Materials, Imperial College London, London United Kingdom, 3 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States
Show AbstractGraphene oxide (GO) is an exfoliated version of graphene that is easily dispersed in water and deposited over large areas using conventional solution based methods such as spin coating and ink-jet printing. However, unlike graphene, GO is electrically insulating and must be reduced to make it conductive and facilitate electronic applications [1]. The most widely used approach to reduction of GO is exposure to hydrazine vapors. Thermal annealing has also been applied at 200-1000 deg. C to yield reasonably good electrical transport properties. In comparison to these routes, plasma-assisted reduction of GO is attractive for scalability, the possibility of reducing GO at lower temperatures for flexible electronic applications, and the presence of energetic species such as atomic hydrogen that could enhance the removal of O:C bonds. Here, we report on the low temperature, plasma-assisted reduction of GO using a remote, atmospheric-pressure microplasma system [2]. Gas mixtures of argon and hydrogen are dissociated in the microplasma and carried by a gas flow to thin films of GO on Si substrates. The remote nature of the plasma and high-pressure operation prevents direct interaction of energetic ions with the films that could sputter or damage the GO. We explored the reduction of GO at various discharge currents, gas compositions, and substrate temperatures, and characterized samples by X-ray photoelectron spectroscopy, micro Raman spectroscopy, and atomic force microscopy. In this talk, we will discuss these results in detail, as well as the transmittance and conductance of the films after plasma reduction.1.C. Mattevi et al., Adv. Func. Mater. 19, 2577 (2009).2.D. Mariotti and R. M. Sankaran, J. Phys. D 43, 323001 (2010).
9:00 PM - AA5.64
Variation of Topological Defect Formation and Activation in Carbon Nanostructures.
Mukul Kabir 1 , Krystyn J. Van Vliet 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractTopological defects such as Stone-Wales defects play a crucial role in growth, fusion, mechanical and catalytic properties of carbon nanostructures. Here we investigate the variation of formation and activation energies of Stone-Wales defects in fullerene and nanotube from first-principles density functional theory calculation. For fullerene we find that the high Stone-Wales activation barrier can be reduced by single substitutional doping due to the local bond distortion and softening. For nanotube, we find that both the 5-7-7-5 defect formation and activation energies strongly depend on the chiral vector, nanotube radius, and the orientation of Stone-Wales defect. The variation of these energies is found to be much stronger for zigzag nanotube than in armchair nanotube. Similar to fullerene, the Stone-Wales activation energy can also be reduced by single substitutional doping.
9:00 PM - AA5.65
Study of Interaction between Graphene Layers: Fast Diffusion of Graphene Flake and Commensurate-Incommensurate Phase Transition.
Irina Lebedeva 1 2 3 , Andrey Knizhnik 2 3 , Andrey Popov 4 , Yurii Lozovik 4 , Boris Potapkin 2 3
1 , Moscow Institute of Physics and Technology, Dolgoprudny Russian Federation, 2 , Kintech Lab Ltd, Moscow Russian Federation, 3 , NRC "Kurchatov Institute", Moscow Russian Federation, 4 , Institute of Spectroscopy, Troitsk Russian Federation
Show AbstractGraphene is considered as a promising material for the use in nanoelectromechanical systems (NEMS). For development of such devices, investigation of interaction and relative motion of graphene layers is of high importance. In the present work, the density functional theory corrected for van der Waals interactions is used to calculate the potential relief of the interaction energy of graphene layers [1]. Based on these calculations, a new classical potential for interlayer interaction of graphene layers is developed. The potential is applied to study possible mechanisms of diffusion of a graphene flake on a graphene layer and a commensurate-incommensurate phase transition in bilayer graphene. Based on the analysis of the potential energy reliefs of the flake at different orientations with respect to the underlying graphene layer, a new mechanism of diffusion of the flake is proposed [2]. According to this mechanism, rotational transition of the flake from commensurate to incommensurate states takes place with subsequent simultaneous rotation and translational motion until the commensurate state is reached again, and so on. The analytic estimates demonstrate that the proposed diffusion mechanism is dominant at temperatures T ~ (0.5 – 3)T0, where temperature T0 corresponds to the barrier for transitions of the flake between adjacent energy minima in the commensurate states.A commensurate-incommensurate phase transition in bilayer graphene is investigated in the framework of the Frenkel-Kontorova model extended to the case of two interacting chains of particles [3]. Analytic expressions are derived to estimate the critical unit elongation of one of the layers at which the transition to the incommensurate phase takes place, length and formation energy of incommensurability defects. These estimates are confirmed by atomistic calculations using the developed classical potential. The possibilities to measure the barriers for relative motion of graphene layers by experimental studies of diffusion of a graphene flake on a graphene layer and of formation of incommensurability defects in bilayer graphene are discussed.1. I.V. Lebedeva, A.A. Knizhnik, A. M. Popov, Yu. E. Lozovik, B.V. Potapkin, Phys. Chem. Chem. Phys. 13, 5687 (2011).2. I.V. Lebedeva, A.A. Knizhnik, A. M. Popov, O. V. Ershova, Yu. E. Lozovik, and B.V. Potapkin, Phys. Rev. B 82, 155460 (2010).3. A. M. Popov, I.V. Lebedeva, A.A. Knizhnik, Yu. E. Lozovik, B.V. Potapkin, “Commensurate-incommensurate phase transition in bilayer graphene”, Phys. Rev. B (2011) in print.
9:00 PM - AA5.66
Ni-Assisted Transformation of Graphene Flakes to Fullerenes and Carbon Nanotubes.
Irina Lebedeva 1 2 3 , Andrey Knizhnik 2 3 , Andrey Popov 4 , Yurii Lozovik 4 , Boris Potapkin 2 3
1 , Moscow Institute of Physics and Technology, Dolgoprudny Russian Federation, 2 , Kintech Lab Ltd, Moscow Russian Federation, 3 , NRC "Kurchatov Institute", Moscow Russian Federation, 4 , Institute of Spectroscopy, Troitsk Russian Federation
Show AbstractThough various carbon nanostructures are successfully synthesised, mechanisms of their formation are still unclear. Recently formation of a fullerene from a graphene sheet was demonstrated experimentally by electron beam irradiation [1]. The mechanism of such a transformation at high temperatures of 3000 – 3500 K was studied using molecular dynamics simulations [2]. Here we present the results of molecular dynamics simulations of folding of a graphene flake assisted by a nickel cluster. For simulations of nickel-carbon systems, we develop a new classical potential based on the Brenner potential [3] for carbon. The potential reproduces the experimental data for nickel and relative energies of carbon structures on nickel surfaces obtained by density functional theory calculations. Therefore, the potential is suitable for simulations of nickel-catalysed growth of carbon nanostructures and their structural transformations.The molecular dynamics simulations using the developed potential show that a nickel cluster facilitates folding of a graphene flake, so that this transformation can be observed at lower temperatures of 2000 – 2500 K. Depending on the size and shape of the flake, fullerenes or short nanotubes are formed. It is revealed that the role of the nickel cluster is related both to acceleration of nucleation of a fullerene caps and to bringing together and zipping opposite edges of the flake. 1.A. Chuvilin, U. Kaiser, E. Bichoutskaia, N. A. Besley, A. N. Khlobystov, Nature Chemistry 2, 450 (2010).2.I. V. Lebedeva, A. A. Knizhnik, B. V. Potapkin, A. A. Bagatur’yants, Physica E 40, 2589 (2008).3.D. W. Brenner, Phys. Rev. B 42, 9458 (1990).
9:00 PM - AA5.68
The MBE Growth of Graphene Using Fullerene (C60) Source.
Naili Yue 1 , Yong Zhang 1 , Raphael Tsu 1
1 ECE, UNC-Charlotte, Charlotte , North Carolina, United States
Show AbstractFullerene (C60) was used to grow SiC-like thin-film on Si substrates of different orientations (111) and (100) using molecular beam epitaxy (MBE) under ultra high vacuum (UHV) at 500-800 degree C. The grown film was subsequently transformed into graphene layers by annealing. We have discovered that the growth temperature needs to be kept at substantially low followed by annealing, to give rise to better stoichiometry. To accommodate this, we resorted to a substantially lower growth temperature commonly used in CVD and MBE. Our approach opens up new opportunities to incorporate graphene with other materials to form heterostructures. Our samples were characterized by various structural, chemical, and optical characterization techniques, including SEM/EDS, XRD, AFM, TEM and µ-Raman. Raman spectroscopy clearly shows the signature phonon modes of the graphene layer, which is corroborated by the high resolution TEM image resolving the laminar structure of graphene layers. This effort represents the first step to grow a new type of superlattice - graphene/Si superlattice. [1] Preliminary results along this line will also be discussed.[1] Y. Zhang and R. Tsu, Binding graphene sheets together using Silicon – Graphene/Silicon superlattice, Nanoscale Research Letters 5, 805(2010).
9:00 PM - AA5.69
On the Existence of Ordered Phases of Encapsulated Diamondoids into Carbon Nanotubes.
Sergio Legoas 1 , R. dos Santos 2 , Karla Troche 3 , Vitor Coluci 4 , Douglas Galvao 3
1 , Federal University of Roraima, Boa Vista Brazil, 2 Physics Department, IGCE, State University of Sao Paulo, UNESP, Rio Claro Brazil, 3 Applied Physics, State University of Campinas, Campinas, Sao Paulo, Brazil, 4 Faculdade de Tecnoloiga, State University of Campinas, Limeira Brazil
Show AbstractThe discovery of fullerenes, carbon nanotubes and graphene opened a new era for carbon-based materials. Recently, other carbon nanostructures have also attracted the attention of the scientic community. They are hydrogen terminated nanosized diamond fragments, known as diamondoids [1]. Present in petroleum at low concentrations, adamantane, diamantane, and triamantane are the smallest diamondoids, each one having only one isomer. Recently, Yao et al. [1] reported the experimental realization of adamantane encapsulation into single and multiwalled carbon nanotubes. They also observed that the filling depends on the nanotube diameter and that the free rotation of the adamantanes is hindered by adamantane-nanotube interactions. We have investigagted diamondoids encapsulation into single walled carbon nanotubes using fully atomistic simulations. Classical molecular dynamics and energy minimizations calculations have been used to determine the most stable structural configurations. Molecular ordered phases were observed for the encapsulation of adamantane, diamantane, and dihydroxy diamantane. Chiral ordered phases such as double, triple, 4- and 5-stranded helices were also observed for those diamondoids. Our results also indicate that the modification of diamantane through functionalization with hydroxyl groups can lead to an enhancement of the packing of molecules inside the nanotubes compared to non-functionalized compounds. For larger diamondoids (i.e., adamantane tetramers), we have not observed long-range ordering but only a tendency of incomplete helical structures formation.[1] M. Yao, P. Stenmark, E. Abou-Hamad, F. Nitze, J. Qin, C. Goze-Bac, T. Wagberg, Carbon v49, 1159 (2011).
9:00 PM - AA5.7
Small-Size Gold Nanoparticles for Diameter-Selective Growth of Single-Wall Carbon Nanotubes.
Kazuki Yamada 1 , Yoshito Oka 1 , Hiroki Kato 1 , Yoshikazu Homma 1
1 , Tokyo Univ. of Science, Shinjuku-ku, Tokyo, Japan
Show Abstract Precise control of diameter and chirality is a major research topic of single-walled carbon nanotubes (SWCNTs) for applying to nanoelectronics. One of the approaches to the topic is to homogenize the size of catalyst particles. Catalyst particle determines not only the upper limit of the diameter of SWCNT, but also gives constraint on the variety of chirality when the size is small. Therefore, the narrow distribution of the small particle size is efficient to control the diameter and chirality of SWCNTs. In this study, we have investigated the size control of gold particles on ST-cut quartz and synthesized SWCNTs reflecting the size distribution of gold particles. The size distribution of gold nanoparticles changed dramatically depending on the ambience and time of annealing. In air ambience, the particle size became larger with an increase in the annealing time. When the annealing gas was changed to Ar/H2, the size distribution showed interesting behavior. First, the size of gold particles became larger (~ 12 nm). But then, the gold particles diminished dramatically to 4 nm or less, and gradually reduced afterward as the annealing time increased. At last, most gold particles were reduced to less than 2 nm in diameter. Half of them were even smaller than 1 nm. Chemical vapor deposition was performed with a mixture of ethanol vapor and Ar/H2 gas, and SWCNTs which reflected the size distribution of catalyst particles were synthesized. Catalyst particles with the narrow size distribution are useful for diameter-selective SWCNT growth. Furthermore, restriction to chirality by a small diameter is expected.
9:00 PM - AA5.71
Strong Diffraction from a Quasiperiodic Array of Vertically Aligned Carbon Nanotubes.
Tim Butler 1 , Haider Butt 1 , Gehan Amaratunga 1
1 Engineering, Cambridge University, Cambridge United Kingdom
Show AbstractLarge area arrays of vertically-aligned carbon nanotubes (VACNTs) are patterned in a quasi-crystalline Penrose tile arrangement through electron beam lithography definition of Ni catalyst dots and subsequent nanotube growth by plasma-enhanced chemical vapour deposition. When illuminated with a 532nm laser beam high-quality and remarkable diffraction patterns are seen. The diffraction is well matched to theoretical calculations which assume apertures to be present at the location of the VACNTs for transmitted light. The results show that VACNTs act as optical holograms in reflection which can be used as spatially phased arrays for optical beamsteering.
9:00 PM - AA5.72
Influence of Binary Metallic Alloys on the Growth of Single-Walled Carbon Nanotubes and Vertically Aligned Carbon Nanotube Carpets.
Neal Pierce 1 2 , Rahul Rao 1 3 , Kent Weaver 1 4 , Gordon Sargent 1 5 , Lee Semiatin 1 , Placidus Amama 1 6 , Benji Maruyama 1
1 , Air Force Research Laboratory, Materials and Manufacturing Directorate (AFRL/RX), WPAFB, Ohio, United States, 2 , University of Dayton, Dayton, Ohio, United States, 3 , National Research Council, Washington, District of Columbia, United States, 4 , Southwestern Ohio Council for Higher Education, Dayton, Ohio, United States, 5 , UES, Inc., Dayton, Ohio, United States, 6 , University of Dayton Research Institute, Dayton, Ohio, United States
Show AbstractThe logical design of catalysts is necessary in order to tailor the excellent mechanical and electrical properties of single-walled carbon nanotubes (SWNT) and to ultimately increase yield. One method used to modify SWNT growth involves using binary metallic catalysts; for example Co-Mo [1], Fe-Mo [2], and Fe-Ni [3], which have been shown to improve SWNT yield and selectivity. In this work, SWNTs and vertically aligned carbon nanotube (VACNT) carpets were grown by thermal chemical vapor deposition with various binary alloy catalysts and the effect of alloying content on the growth of the tubes was investigated using ex-situ Raman spectroscopy, electron microscopy, and atomic force microscopy. Our initial results show that for the Fe-Al alloy system, smaller diameter SWNTs are preferentially grown for small (2 to 4 atomic percent) additions of aluminum. Results will also be shown for other alloy systems including Ni-Al and Fe-Ga. Understanding the role binary metallic alloys play in catalyst stabilization and the prevention of growth termination will guide future efforts on the rational design of catalysts for controlled SWNT and VACNT growth, including enhancing the formation of smaller diameter SWNTs and increasing yield.1. W. E. Alvarez, B. Kitiyanan, A. Borgna, and D. E. Resasco: Synergism of Co and Mo in the catalytic production of single-walled carbon nanotubes by decomposition of CO. Carbon 39, 547 (2001).2. A. R. Harutyunyan, B. K. Pradhan, U. J. Kim, G. Chen, and P. C. Eklund: CVD Synthesis of Single Wall Carbon Nanotubes under “Soft” Conditions. Nano Lett. 2(5), 525 (2002).3. W.-H. Chiang and R. M. Sankaran: Linking catalyst composition to chirality distributions of as-grown single-walled carbon nanotubes by tuning NixFe1-x nanoparticles. Nature Materials 8, 882 (2009).
9:00 PM - AA5.73
Understanding the Role of Paper Structure and Deposition Methods on the Structure and Electrical Properties of Carbon Nanotube Thin Films.
Rachel Muhlbauer 1 , Rosario Gerhardt 1
1 , Georgia Institute of Technology, Atlanta , Georgia, United States
Show AbstractOne of the commonly used techniques to create carbon nanotube thin films is to deposit a known amount of carbon nanotube solution onto filter paper and then, after the film dries, to transfer the film to the final substrate. However, little is known about the role that the structure of the paper plays on the final properties of the transferred film. In order to gain an understanding, a systematic study of the properties of thin films of multiwall carbon nanotubes (MWNT) with respect to the paper type, deposition method, and the number of deposited layers onto the paper needs to be done. 4 layers of 150 µL of 1 mg/mL MWNT solution dissolved with 10 mg/mL SDBS were deposited onto 4 types of qualitative filter paper (VWR 410, 413, 415, and 417) using 4 different deposition methods: vacuum filtration, air drying, drying at a 65°C, and drying in a furnace at 65°C on top of ceramic heating board at 90°C. The microstructure and electrical properties (DC and AC) of the MWNT films were found to vary significantly depending on the paper type and drying method used. In addition, films of 1 to 20 layers made with 150 µL of 1 mg/mL MWNT solution dissolved with 10 mg/mL SDBS onto VWR 410 qualitative filter paper using the 4 drying methods described above were also made in order to evaluate the resultant MWNT structure and the AC and DC electrical properties as a function of layer number.
9:00 PM - AA5.75
Continuous-Feed Convective Self-Assembly of Catalyst Particle Monolayers for Carbon Nanotube Forest Growth with Decoupled Diameter and Density Control.
Erik Polsen 1 , Mostafa Bedewy 1 , A. John Hart 1
1 , University of Michigan, Ann Arbor, Michigan, United States
Show AbstractThe widespread potential applications of vertically aligned CNT forests have stimulated recent work on continuous-feed and large-area CVD growth methods; however, to enable a complete manufacturing process, improved control and scalability of catalyst deposition is needed. Most often, catalyst films are deposited by evaporation or sputtering, and precise control of the film thickness and annealing parameters is employed to tune the diameter and packing density of CNT forests. Unfortunately, vacuum deposition methods are unlikely to meet the cost metrics for large-area CNT forest production; and the monodispersity and packing density of CNTs is limited by thin film agglomeration mechanics. In an effort to overcome these limitations, we demonstrate the continuous deposition of well-defined nanoparticle monolayers for CNT growth, by convective self-assembly. Catalyst is deposited from a solution of commercially available iron oxide nanoparticles, by pinning the meniscus between a blade edge and the substrate. The substrate is translated at constant velocity under the blade, and the catalyst solution supplied continuously using a syringe pump so the meniscus and contact angle remain fixed. We have built an automated machine for this purpose, enabling catalyst assembly on indefinite substrates in a roll-to-roll fashion. We demonstrate a priori control of CNT diameter and packing density, based on design of the particle solution and tuning of the assembly parameters (speed, substrate temperature). Further, we study the relationship between the size and arrangement of the catalyst particles, and the CNT morphology and packing density, leading to insights on what limits the traditionally low packing density of CNT forests. This novel process shows promise to approach ultimate limits of CNT forest density, and to assemble catalyst arrays at high speeds under ambient conditions.
9:00 PM - AA5.76
RoboFurnace: An Automated CVD System for Reduced CNT Growth Variation and High-Throughput Experimentation.
C. Ryan Oliver 1 , Erik Polsen 1 , Sei Jin Park 1 , Sameh Tawfick 1 , Eric Meshot 1 , Tizoc Cruz-Gonzalez 1 , Jeremy Koehler 1 , William Westrick 1 , A. John Hart 1
1 , University of Michigan, Ann Arbor, Michigan, United States
Show AbstractThe use of manual tube furnaces and centimeter-scale substrates is ubiquitous in lab-scale research on CVD methods for nanomaterials fabrication, including synthesis of carbon nanotube (CNT) films. However, the rate of experimentation using these systems is low, and especially with CNTs, unwanted and often unknown process variations can hinder the repeatability of results and slow the pace of research. In particular, CNT forest growth is affected by small amounts of oxygen/moisture and fluctuations in reactor pressure, and useful levels of these growth additives can be swamped by variations in ambient conditions. Faced with these limitations, we performed a parametric study of process variations in CNT forest growth, and created an automated CVD furnace for high throughput experimentation with high repeatability. We first implemented a benchmark process to study the effect of sample location, reactor wall age, sample storage method (desiccator), ambient humidity, temperature, and pressure on CNT forest height and density. Based on statistical analysis of 280 samples, we found that CNT forest height correlates with the ambient humidity (R2 = 31%) and barometric pressure (R2 = 63%) in the lab, and when only ambient fluctuations are allowed the respective coefficients of variation in height and density are 31% and 63% of the mean. To address this systemic variation we designed and built an automated CVD system (“Robofurnace”), which is based around a standard tube furnace. Robofurnace can perform a preprogrammed sequence of CNT growth experiments on individual substrates for a continuous 24-hour period. Samples are stored in a motorized magazine, which is isolated from the tube furnace by a load lock with gate valve. Samples are loaded into the tube by a motorized quartz arm. The furnace enclosure is mounted on a ballscrew drive, enabling feedback control of the substrate temperature during both heating and cooling. A camera system images the CNT forest in situ by looking along the central axis of the furnace tube, and a machine vision algorithm is used to measure height and terminate the process when the setpoint is reached. We are using Robofurnace to discover CNT growth recipes that co-optimize growth rate and density, and present the findings of this further study. The identification of key sources of process variation, along with standardization of process conditions, enables more effective transfer of research results between labs, and from labs to commercialization.
9:00 PM - AA5.77
Graphene Nanostructures on Vicinal SiC Surfaces.
Takashi Kajiwara 1 , Yusuke Kurisu 1 , Satoru Tanaka 1
1 Applied Quantum Physics, Kyushu Univ, Fukuoka Japan
Show Abstract One of the promising ways to obtain a large area graphene is SiC surface decomposition, annealing SiC in an ultrahigh vacuum (UHV) [1]. However, there are many problems such as difficulty to control the number of layers and the surface morphology and so on. Recently, Al-Temimy et.al., reported low temperature growth of epitaxial grapheme on SiC by solid carbon evaporation [2]. In this method, since graphene can be grown at much lower temperatures than conventional thermal decomposition, where Si desorption from the surface is suppressed, the initial surface morphology can be maintained. In this study, we focus on graphene growth on vicinal (off-axis) SiC (0001) surfaces consisting of ordered nanofacet structures [3] by molecular beam epitaxy (MBE) using solid carbon source. By the use of such SiC nanofacet surfaces graphene nanostructures such as nanoribbons and periodic ripples can be formed. Graphene nanostructures may exhibit modification of electronic structures, e.g. band-gap opening [4] and anisotropic energy dispersions at K-points [5]. We investigate the correlations between nanostructures and electronic properties.Vicinal 6H-SiC(0001)-Si face (4 deg off toward [1-100]) substrates were used. H2 gas etching was initially performed to obtain the periodic nanofacet structure. The SiC sample were then transferred to the UHV chamber (<10-9 Torr) and annealed at 750 C for 180 min. and at 1050 C for 15 min. The SiC sample was heated to 1100 C with carbon flux, and hold for 30-120 min. to grow graphene. In-situ RHEED was performed during graphene growth. The samples were examined by AFM and Raman spectroscopy.In-situ RHEED images, when the SiC substrate is heated at 1100 C for 60 minutes with and without the C-flux, indicate that a clear ×6 satellite streak owing to the (6√3×6√3)R30 buffer layer is visible after 10min. with the C-flux, whereas the initial ×3 pattern due to Si adatoms is kept without the C-flux. This implies the C-flux is effective to nucleate the (6√3×6√3)R30 buffer layer. AFM images after 60min growth show that the initial periodic feature of the SiC nanofacets is maintained with the presence of the C-flux, indicating the growth of the (6√3×6√3)R30 buffer layer directly on the SiC surface. This is evidenced by Raman spectra of this sample before and after hydrogen intercalation. A G’ peak is appeared after hydrogen intercalation because of the transformation of the (6√3×6√3)R30 buffer layer into a quasi-free-standing graphene monolayer [5]. References[1] A. J. van Bommel et al., Surf. Sci. 48, 463 (1975).[2] Al-Temimy, C. Riedl, and U. Starke, Appl. Phys. Lett. 95, 231907 (2009).[3] H. Nakagawa, S. Tanaka, and I. Suemune, Phys. Rev. Lett. 91, 226107 (2003).[4] K. Nakada et al., Phys. Rev. B 54, 17954 (1996).[5] C. Park et al., Nature Phys. 4, 213 (2008).[6] C. Riedl et al., Phys. Rev. Lett. 103, 246804 (2009)
9:00 PM - AA5.79
Crystallographically-Ordered Carbon Nanotubes Grown on Few-Layer Graphene Films.
David Hunley 1 , Stephen Johnson 1 , Joseph Stieha 1 , Abhishek Sundararajan 1 , Aaron Meacham 1 , Ilia Ivanov 2 , Douglas Strachan 1
1 Physics and Astronomy, University of Kentucky, Lexington, Kentucky, United States, 2 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractCarbon nanotubes are grown on few-layer graphene films using chemical vapor deposition. We find that nanotubes show a striking alignment to specific crystal orientations of the few-layer graphene films. The nanotubes are oriented predominantly at 60 degree intervals and are offset 30 degrees from crystallographically oriented etch tracks, indicating alignment to the armchair axes of the few-layer graphene films. Nanotubes grown on various thicknesses of few-layer graphene under identical process conditions show that the thinnest films demonstrate significantly improved crystallographic alignment. Intricate crystallographic patterns are also observed having sharp kinks that can occur when two nanotubes intersect. These intersections can trap nanotubes between two parallel nanotubes resulting in crystallographic back and forth zigzag geometries. These interactions suggest a tip-growth mechanism of the nanotubes such that the catalyst particles remain within several nanometers of the few-layer graphene surface.
9:00 PM - AA5.8
Ultra Simple Catalyst Layer Preparation for the Growth of Vertically Aligned CNTs.
Ricardo Silva 1 , Andrea Pucci 1 , Catherine Marichy 1 , Diogo Mata 2 , Rui Silva 2 , Nicola Pinna 1 3
1 Chemistry and CICECO, University of Aveiro, Aveiro Portugal, 2 Ceramics and Glass Engineering and CICECO, University of Aveiro, Aveiro Portugal, 3 School of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractA dense mat of vertically aligned carbon nanotubes (VACNTs), can be formed if CNTs are efficiently grown by chemical vapor deposition (CVD) from a metal catalyst (generally Fe, Ni, Co) deposited on a substrate. The alignment is caused by their high packing density, which forces the vertical growth. The quality of the VACNTs is affected by various factors that influence the CNTs growth such as the nature of catalyst, substrate materials, the carbon source and the process parameters. The aim of this work is to introduce a simple and fast preparation of catalyst layers for the growth of high quality vertically aligned CNTs.Carbon nanotubes (CNTs) were synthesized by chemical vapor deposition (CVD) using a C2H2/H2 mixture. They were grown on various substrates, including wafers and nanoparticles, with an iron oxide film acting as catalyst. The catalyst was deposited via a microwave-assisted nonaqueous sol-gel process. Non-aqueous sol-gel routes are elegant approaches for the synthesis of nanomaterials such as, metal oxide nanocrystals [1] and ordered organic-inorganic hybrid materials [2]. These routes involving the reaction of metal oxide precursors in organic solvents (e.g. benzyl alcohol) at moderate temperature and pressure, offer advantages such as high crystallinity of the as synthesized oxides, high purity, high reproducibility and the ability to control the crystal growth without the need of additional ligands. Especially, non-aqueous sol-gel is particularly suitable for one-pot synthesis of multi-metal oxide and doped materials [3]. The advantages of this technique for the synthesis of the catalyst are its simplicity and the possibility of uniformly coat nonplanar substrates and complex nanostructures. In this work we will show that in only few minutes well defined particulate-like catalyst layers can be deposited on various substrates and can be used to efficiently grow VACNTs. As a proof of concept we will show that CNTs can be easily grown from silica spheres, few hundreds nm in diameter, coated with a thin layer of our iron oxide based catalyst layer. The quality and the properties of the CNTs grown from these catalysts will be discussed and compared to the ones obtained from CNTs grown from state of the art catalysts.References[1]N. Pinna, M. Niederberger, Angew. Chem. Int. Ed., 2008, 47, 5292-5304. [2]N. Pinna, J. Mater. Chem., 2007, 7, 2769-2774.[3]N. Pinna, M. Karmaoui, M.-G. Willinger, J. Sol-Gel Sci. Technol., 2011, 57, 323.
9:00 PM - AA5.80
Direct Formation of Continuous and Large-Area Thin Graphene Layers on Insulating Substrates.
Ang-Yu Lu 1 2 3 , Ching-Yuan Su 1 , Zheng-Yu Juang 1 , Fu-Rong Chen 3 , Jing Kong 2 , Lain-Jong Li 1
1 Research Center for Applied Sciences, Academia Sinica, Hsinchu Taiwan, 2 Electrical Engineering, Massachusetts Institute of Technology, Boston, Massachusetts, United States, 3 Engineering and System Science, National Tsing Hua University, Hsinchu Taiwan
Show AbstractDirect formation of high quality and wafer scale graphene on insulating gate dielectrics such as SiO2 is emergent for graphene electronics using Si-wafer compatible fabrication. Here, we report that the carbon species dissociated in a chemical vapor deposition process not only result in graphene layers on top of the catalytic Cu thin films but also diffuse through Cu grain boundaries to the interface between Cu and underlying dielectrics. Optimization of the process parameters leads to a continuous and large-area graphene directly grown on top of the dielectrics. The proposed growth method allows us to achieve wafer-sized graphene on versatile insulating substrates which may directly serve for electronic applications.
9:00 PM - AA5.81
Fabrication of Graphene Nanoribbon Network via Nanoimprint Lithography.
Liumin Zou 1 , Ziwei Yan 1 , Keita Konishi 1 , Morihisa Hoga 2 , Kanji Yoh 1
1 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo Japan, 2 , Dai Nippon Printing Co. Ltd., Tokyo Japan
Show AbstractHigh electron mobility of graphene [1] at room temperature, is very attractive candidate material for future high performance electron devices. However, as a zero-gap semiconductor, on-off ratio of graphene is too small [1][2][3]. To date, it is only reported that bandgap is opened appreciably wide enough after making graphene ribbon by electron-beam (EB) lithography [4]. However, it is not practical to make nanoribbons by electron beam lithography all over the wafer. We have proposed and reported a method to open the bandgap through graphene network (GN) formation by EB lithography [5] as a honeycomb network pattern which is made up of nanoribbons. For convenience, we define two parameters d and a, where ‘d’ is defined as the width of nanoribbon, and the ‘a’ is defined as the periodicity. It became clear that the mobility degrades due to radiation damage at the edge. In order to alleviate the problem, we have developed fabrication method of GN by nanoimprint lithography (NIL).We fabricated epitaxial graphene sample on semi-insulating silicon-face 4H-silicon carbide (SiC) substrate by thermal decomposition method in nitrogen pressure atmosphere. Polymethyl methacrylate (PMMA) was coated on the graphene sample. Mold, which was fabricated by silicon, and the graphene sample were set on the upper and lower stage of nanoimprinter, and heated at 150C and 110C, respectively. Then mold and sample were pressed together at certain pressure. PMMA was patterned by heat during the imprinting. We adjusted the condition of time, pressure and temperature of NIL, and found the optimum condition of the pattern transcription. After the dry etching by reactive-ion etching (RIE), we measured the PMMA pattern by atomic force microscopy (AFM).We have succeeded in transcription of the pattern which d= 40nm, a= 300nm. The bandgap measurements by gated Hall-bar measurements are under way and we will compare the results with previous devices fabricated by EB lithography.REFERENCES[1] K. S. Novoselov, A. K. Geim et al., Nature, 438,197 (2005) [2] Yuanbo Zhang et al., Nature 438, 201 (2005)[3] S. V. Morozov et al., Phys. Rev. Lett., 100,016602 (2008)[4] M-Y.Han et al, Phys. Rev. Lett. 98, 206805 (2007)[5] Liumin Zou et al, Jpn.J.Appl.Phys. in press.
9:00 PM - AA5.82
Synthesis of Large-Grain Graphene by Chemical Vapor Deposition and Anisotropic Etching of Graphene.
Yi Zhang 1 , Zhen Li 1 , Luyao Zhang 1 , Pyojae Kim 1 , Ning Yang 1 , Chongwu Zhou 1
1 , University of Southern California, Los Angeles, California, United States
Show AbstractGraphene, a two dimensional, honey comb arrangement of carbon atoms has drawn significant attention with its interesting physical and electronic properties. Tremendous efforts have been made to synthesize large-scale, high quality, single-layer graphene (SLG). Based on previous studies, CVD graphene with large grain size (less grain boundaries) and low defect density would show an enhancement of device mobility. Here we report a novel CVD method to synthesize graphene with grain size up to several hundreds of micrometers on copper foil. The large-grain graphene was transferred to SiO2/Si for the field effect study, and the device mobility derived from the large-grain graphene was ~ 2,500 cm2/V/s. Raman surface map of individual graphene grain indicates that the large-grain graphene is single-layer and with very low defect density. Transmission Electron Microscopy (TEM) and Selected Area Electron Diffraction (SAED) also showed the crystallnity of graphene grains. We also developed an anisotropic etching method of graphene. We have found out the etching of graphene is temperature dependent, and highly anisotropic under certain conditions. Raman surface maps confirmed that graphene after etching preserved the previous high quality. Based on the study of etching patterns on graphene, we concluded that the etching preserved the original chirality of graphene, and we assigned the orientation of etching to zigzag direction of graphene based on previous studies. The etching method is simple, clean, and highly anisotropic, which may use for the estimation of the grain size of graphene, as well as confinement of graphene into sub-10 nanometer nanoribbons or other geometry.
9:00 PM - AA5.83
Formation of Graphene through Pulsed Laser Exfoliation of Highly Ordered Pyrolytic Graphite.
Min Qian 1 2 , Yunshen Zhou 1 , Yang Gao 1 , Jongbok Park 1 , Zhuo Sun 2 , Yongfeng Lu 1
1 , University of Nebraska, Lincoln, Nebraska, United States, 2 , East China Normal University, Shanghai China
Show AbstractThis study focused on the pulsed laser ablation of highly ordered pyrolytic graphite (HOPG) at 1 Torr argon gas. The ablation depth and surface morphology of the ablated HOPG target were studied. Three different carbon phases, amorphous carbon, few-layer graphene sheets, and thin graphite films, landed on arbitrary substrates as the laser fluence increased. The laser energy at low laser fluence was not sufficient for an adequate laser-induced exfoliation, and the laser-induced photothermal process decomposed the target material into amorphous structure, which went through the laser plume and landed on arbitrary substrates as amorphous carbon. An efficient ablation depth was reached by a sufficient laser fluence, and the exfoliated nanosheets went through the laser plume and landed as graphene sheets. This study revealed a new approach for growing freestanding two-dimensional nanostructures through laser exfoliation.
9:00 PM - AA5.84
Integrated Single Chamber Chemical Vapor Deposition System for Fabrication of Diamond, Graphene, and CNT’s.
Ratnakar Vispute 1 , Rohan Agashe 1 , Andrew Seiser 1 , Lance Robinson 1
1 , Blue Wave Semiconductors, Baltimore, Maryland, United States
Show AbstractDespite the extraordinary properties of carbon substances, an efficient and low cost single chamber processing method to support practical applications has yet to be established. For this reason, we have put much effort into developing the Chemical Vapor Deposition (Thermal and hot filament) process, in order to make an efficient method of synthesizing all carbon based thin films and nanostructures including diamond, CNTs and graphene. Our objective is to create a chemical vapor deposition process in a single processing chamber to efficiently deposit carbon (diamond, CNTs, and graphene) nanostructures onto a substrate, so that carbon based nanoelectronics can be realized in a single processing chamber. The thermal chemical vapor deposition (thermal CVD) process allows fabrication of CNTs and graphene where as hot filament CVD allows nanocrystalline and microcrystalline diamond films. For CNT growth, a predeposited catalyst is treated in H2 and CH4 (or C2H2) gas. The stainless steel, double-wall, water cooled vacuum chamber was design to accept a 2” diameter wafer (capable up to 4” dia wafer) through a load lock chamber. The ultra-thin catalyst layers have been deposited with chemical diffusion barrier layer by integrated Pulse Laser Deposition (PLD) within a single processing chamber. Wafers have been treated at high temperatures in high purity hydrogen gas. This temperature increase can cause the metal catalyst to break down from a thin film into nanoparticles. These nanoparticles facilitate CNT growth. Once the set point is reached, methane gas is released to provide the carbon atoms necessary for growth. Similarly, by alteration in seeding process, diamond pretreated substrates can be used for hot filament CVD. For uniform film deposition, substrate or wafer can be rotated at 10 rpm while keeping the number of filaments to be as low as two or three. Our research efforts allowed us to develop a low cost, highly efficient, and high throughput commercial HFCVD system for production of high quality diamond, CNTs, and graphene films. For graphene synthesis, standard Ni and Cu substrates were developed. The CVD diamond, CNTs, and graphene samples were characterized by scanning electron microscopy, Raman spectroscopy, x-ray diffraction, UV visible optical spectroscopy, and electrical resistivity measurements. Raman spectroscopy was performed using confocal Raman Imaging mode (alpha 300 R). We will present our systematic study on identification of various processing parameters on growth of nanostructures of carbon materials on a substrate or wafer to achieve high quality carbon products (such as uniform diamond films over large area substrates or vertically aligned CNTs grown on substrates) while minimizing thermal and power requirements for efficient reactor operation.
9:00 PM - AA5.85
Graphene Growth Mechanism on Copper Substrate Treated by Chemical Mechanical Polishing.
Heetae Kim 1 , Gang Hee Han 1 , Ta Quang Huy 1 , Nguyen Van Luan 1 , Duong Dinh Loc 1 , Dong Hoon Keum 1 , Young Hee Lee 1
1 Physics Division, Department of Energy Science, CNNC, and SAINT, Sungkyunkwan University, Kyeonggi-do Korea (the Republic of)
Show AbstractHigh crystalline graphene is obtained from well-controlled surface morphology of a copper substrate. The surface roughness of the copper film is reduced a lot by using a chemical mechanical polishing method. At early growth stage, the density of graphene nucleation seeds from polished Cu film is much lower and the domain sizes of graphene flakes are larger than those from unpolished Cu film. At later growth stage, these domains are stitched together to form monolayer graphene, where the orientation of each domain crystal is not much different from each other. It turns out that grain boundaries and intentionally formed scratched area play an important role for nucleation seeds. Although most area of graphene grown from polished Cu is monolayer, small portion of multilayers is also found near the impurity particles or locally protruded surfaces.
9:00 PM - AA5.86
Fabrication and Analysis of Bulk CNT Wires and Cables.
Paul Jarosz 1 , Brian Landi 1 , Schauerman Christopher 1 , Jack Alvarenga 1 , Brian Moses 1 , Thomas Mastrangelo 1 , Ryne Raffaelle 3 , Richard Ridgley 2 , Aalyia Shaukat 1 , Timothy Maher 1
1 NanoPower Research Labs, Rochester Institute of Technology, Rochester, New York, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States, 2 US Government, US Government, Washington, District of Columbia, United States
Show AbstractCarbon nanotubes (CNTs) offer tremendous opportunities for integration into wires and cables for both power and data transmission due to their unique physical and electronic properties. Macroscopic CNT assemblies in wire and ribbon form factors have recently been shown as viable replacements for metallic conductors in lab-scale demonstrations of coaxial, USB, and Ethernet cables, as well as interconnects for solar cells. In certain applications, such as the outer conductor/shield of a coaxial cable, CNT materials are already positioned to displace metals in the near term to achieve substantial benefits (e.g. reduction in overall cable mass/length up to 50% in some cases). Bulk CNT materials have also been shown to possess several unique properties which may confer large advantages over metallic conductors in cabling applications, such as flexure tolerance and environmental stability. Specifically, CNT wires have been shown to withstand greater than 2 x 105 flexing cycles (1 cm displacement over a 4 cm span) without any change in resistivity. In addition, studies have shown no increase in CNT wire resistivity after more than 80 days of exposure to a corrosive environment (1 M HCl), and very little change in resistivity with temperature (<1% change between 170 -330 K). Each of these results is vastly superior to the performance of conventional metal wires and truly novel for a wiring material. Recently, the conductivity of a bulk CNT conductor, which was prepared through simultaneous densification and ionic doping, has exceeded 1.0 x 106 S/m. This level of conductivity brings CNTs within striking distance to match highly conductive metals such as copper (50-fold greater) and renders CNTs competitive with some metals (e.g. gold) on a mass-normalized basis. Ongoing developments in the manipulation of bulk CNT materials such as type enrichment, doping, alignment, and densification, have shown progress towards attaining this goal and will be discussed in detail. In parallel with continued efforts to improve bulk conductivity, the integration of CNT materials into cabling architectures will require development in electrical contacting and connectorization. The viability of several methods for contacting bulk CNT materials to metals has been demonstrated, including mechanical crimping and ultrasonic bonding. In addition, it has been shown that these contacting strategies can be enhanced by tailoring the CNT-metal interface via electroless plating, which serves to substantially lower contact resistance. The results of these and related investigations will be summarized to illustrate the potential of CNT conductors as a disruptive technology in wire and cabling designs.
9:00 PM - AA5.87
Conductive Atomic Force Microscope Nanopatterning of Epitaxial Graphene on SiC(0001) in Ambient Conditions.
Justice Alaboson 1 2 , Qing Hua Wang 1 , Joshua Kellar 1 , Joohee Park 1 , Jeffrey Elam 3 , Michael Pellin 2 , Mark Hersam 1 4 5
1 Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States, 3 Energy Systems Division, Argonne National Laboratory, Argonne, Illinois, United States, 4 Department of Chemistry, Northwestern University, Evanston, Illinois, United States, 5 Department of Medicine, Northwestern University, Evanston, Illinois, United States
Show AbstractGraphene has attracted significant attention in recent years for its extraordinary electronic, physical, optical, and magnetic properties. In particular, epitaxial graphene (EG) grown on SiC substrates is a promising route towards realizing graphene-based electronics. Control over the surface chemistry and lithographic engineering of graphene are required for the fabrication of a wide range of devices and for incorporation with different electronic materials such as high-k dielectrics. Specifically, the tailoring of surface chemistry with high spatial resolution will be enabling for many graphene-based electronic and sensor applications. In this report, we demonstrate conductive atomic force microscope (cAFM) nanopatterning on epitaxial grapheme grown on SiC(0001) under ambient conditions. The nanopatterning kinetics is quantified, and the chemistry of the resulting nanopatterns is probed via etching in hydrofluoric acid. These measurements suggest that ambient cAFM nanopatterning locally oxidizes the surface with the surface, interface, and bulk layers of epitaxial graphene on SiC(0001) playing distinct roles in the depth profile of the final nanopatterned structure. Highly anisotropic lateral growth of the cAFM nanopatterns is also observed on partially graphitized SiC(0001), which further illustrates the distinct chemical and electronic properties of graphene compared to silicon carbide. Overall, this study provides quantitative insight into the nanoscale chemical modification of graphene by cAFM, thus informing future efforts to fabricate and test prototype graphene-based electronic and sensing devices.
9:00 PM - AA5.88
Real-Time Detection of Mechanical and Electrical Characterization of Individual Boron Nitride Nanotubes.
Hessam Ghassemi 1 , Chee Lee 2 , Boyi Hao 2 , Jiesheng Wang 2 , Yoke Yap 2 , Reza Yassar 1
1 Mechanical Eng. Department, Michigan Technological University, Houghton, Michigan, United States, 2 Physics, Michigan Technological University, Houghton, Michigan, United States
Show AbstractThe recent observation of high flexibility in buckled boron nitride nanotubes (BNNTs) contradicts the pre-existing belief about BNNT brittleness due to the partially ionic character of bonding between the B and N atoms. Individual BNNTs were deformed inside a transmission electron microscope (TEM) equipped with an in-situ atomic force microscopy holder [1, 2]. High-resolution TEM images revealed that bent BNNTs form multiple rippling upon buckling. The critical strain to form the first ripple was measured as 4.1% and the buckling process was reversible up to 26% strain. The BNNTs buckled into V-shaped ripples rather than smooth wavy shapes. The rippling wavelength was quantified in terms of the outer diameter and thickness of the nanotubes. The BNNTs’ structure also exhibited a higher fracture strain compared to their counterpart [3].In addition, electrical properties of BNNTs were also investigated. Our high-quality BNNTs show completely insulating behavior under different ranges of applying voltage, due to their large bad gap [4]. However, it is well-known that the band gap can be tuned by either applying deformation force or adding elements to the structure. Here, we present the band gap evolution under different amounts of applied force, which showed significant drop of resistivity down to 320 MΩ, depending on the degree of bending deformation. Adding another element to the BN structure, either as interstitial elements or as a coating layer on the outer surface is another technique to enhance the conductivity of pure BNNTs. Our results showed that in case of functionalized BNNTs the conductivity was enhanced significantly and the band gap is lowered remarkably. In-situ field emission (FE) experiments were also carried out on different pure BNNTs. Individual pure BNNTs showed more stable FE characteristics compared to carbon nanotubes, due to their higher thermal stability. Our results show that individual BNNTs can exhibit current densities above 100 A/cm2, and emission currents up to 2μA. The field emission currents were measured to be comparable to that of carbon nanotubes; however the turn-on voltage is much higher in case of pure BNNTs, as a result of wide band gap. Stability and reproducibility of the FE characteristics, such as field enhancement factor and tip radius, under several cycles of experiments were studied and reported.
9:00 PM - AA5.89
Decoration of Graphene and Hexagonal Boron Nitride with Phosphonic Acids.
Mariana Prado 1 , Regiane Nascimento 1 , Matheus Matos 1 , Mario Mazzoni 1 , Luiz Gustavo Cancado 1 , Helio Chacham 1 , Bernardo Neves 1
1 Physics, UFMG, Belo Horizonte, Minas Gerais, Brazil
Show AbstractMuch attention has been drawn to graphene in the past years due to its remarkable properties. More than this, graphene initiated the search for other 2D materials. In this context, hexagonal boron nitride plays an important role since it is another 2D material with honeycomb lattice but with a totally different electronic structure: it is an insulator with a wide bangap ( ~5.5 eV).2D materials have only surface atoms so it is a natural choice to use surface modification and functionalization techniques to change and modulate their properties. Self-assembled monolayers are ultra-thin organic films that have been used to that propose in the past decades. We demonstrated previously [1] the formation of 2D molecular crystals of phosphonic acids atop graphene. This crystal can be used to identify the graphene’ s crystallographic orientation since it has a register with the hexagonal lattice and also, it induces a p-type doping of graphene of ~1013 cm-2.Here we show the exfoliation of graphene atop vertical bilayers[2] of phosphonic acids deposited on silicon oxide. In contrast with the previous work, charge transfer was not detected with Raman Spectroscopy, which agree with our theoretical calculations for this graphene-phosphonic acid geometry.In addition, we demonstrate the decoration of boron nitride with these same molecules, characterized with atomic force microscopy. We see the same type of registry with the substrate seen before for graphene. Ab initio calculations results were also analyzed.[1] Prado et al. Two-Dimensional Molecular Crystals of Phosphonic Acids on Graphene. ACS Nano 5, 394-398 (2011).[2] - Fontes, G. N. & Neves, B. R. A. Effects of substrate polarity and chain length on conformational and thermal properties of phosphonic acid self-assembled Bilayers. Langmuir 21, 11113-11118 (2005).
9:00 PM - AA5.90
Transparent Nanotube-Based Thin Films with Low Sheet Resistance via Acid Doping: An Alternative to ITO.
Jaime Grunlan 1 , Yong Tae Park 1
1 Mechanical Engineering, Texas A&M University, College Station, Texas, United States
Show AbstractHighly transparent and conductive thin films were assembled as a potential indium tin oxide (ITO) replacement using layer-by-layer (LbL) assembly with di-walled carbon nanotubes (DWNTs), sodium deoxycholate (DOC) as a stabilizer, and poly(diallyldimethyl ammonium chloride) [PDDA]. This assembly of DOC-stabilized CNTs and PDDA grows linearly as a function of bilayers deposited, with transparency (>84% T) and electrical conductivity (~300 Ω/sq) at a thickness of 23.5 nm (at 20 bilayers). Moreover, exposure to nitric acid vapor was able to further reduce sheet resistance in this film, down to 104 Ω/sq, due to the removal of insulating material and charge transfer doping. The optoelectronic performance of a 5 BL DWNT LbL film is much better than most other CNT thin films and capable of ITO replacement. Additionally, the bending and electrochemical stability suggest that these films could be a useful electrode for a variety of flexible electronics applications.
9:00 PM - AA5.91
Photocatalytic Synthesis of Pure and Water-Soluble Graphene Nanosheets.
Ji Wook Jang 1 , Jae Sung Lee 1
1 Department of Chemical Engineeing, POSTECH, Pohang Korea (the Republic of)
Show Abstract Reduced graphene oxide has attracted much attention for its potential utility in applications across a wide variety of fields, including electronic and optoelectronic devices. Currently, reduction of graphene oxide is carried out by chemical methods that use hydrazine as the reductant, which poses safety and cost problems. Here we report a new photocatalytic reduction method using UV light and titanium dioxide to synthesize highly pure mono graphene sheets that are soluble in water without the help of stabilizers. More than 90% of the graphene was recovered in a pure form in solution, and residual titanium dioxide was present at levels below the detection limit. No signs of aggregation were observed, even after one month. The conductivity of graphene films formed from this preparation of reduced graphene oxide was comparable to that of films formed from graphene reduced by hydrazine. The synthesis could be carried out in a continuous process because the recovered titanium dioxide could be reused continuously without altering the quality of the obtained graphene. A new reaction pathway was proposed, by which graphene oxide is reduced photocatalytically under UV light.
Symposium Organizers
Don Futaba AIST
Annick Loiseau Laboratoire d'Etude des Microstructures (LEM)
Yoke Khin Yap Michigan Technological University
Ming Zheng National Institute of Standards and Technology
AA10: Poster Session: Mechanical and Energy-Related Properties
Session Chairs
Tuesday PM, November 29, 2011
Exhibition Hall C (Hynes)
1:00 AM - AA10:Mech-Ener
AA10.33 Transferred to AA20.88
Show AbstractAA6: Synthesis of Carbon Nanostructures III
Session Chairs
Tuesday PM, November 29, 2011
Ballroom B (Hynes)
9:00 AM - **AA6.1
Building Hybrid Nanostructures with Carbon Layers.
Pulickel Ajayan 1
1 Mechanical Engineering and Materials Science, Rice University, Houston, Texas, United States
Show AbstractThe advent of low dimensional carbon materials has had big impact in nanotechnology. The ability to integrate these novel carbon nanostructures with other materials allows the building of very interesting platforms. We have successfully created various types of hybrid nanostructures that include graphitic layers in all different dimensions. This talk will detail the synthetic approaches in building hybrid carbon based nanomaterials for several applications that include energy storage, multifunctional nanocomposites, electronics, membranes etc. The challenges in the assembly and opportunities in creating new materials systems will be discussed with carbon nanomaterials as building blocks.
9:30 AM - AA6.2
In Situ Diagnostics of the Pulsed Growth of Graphene.
David Geohegan 1 , Alex Puretzky 1 , Norbert Thonnard 1 , Jason Readle 1 , Christopher Rouleau 1 , Gyula Eres 2 , Murari Regmi 2 , Gerd Duscher 2 , Mina Yoon 2
1 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show Abstract Non-equilibrium, pulsed gas delivery and pulsed heating approaches are used to explore the kinetics and mechanisms of graphene growth on thin metal films. Time-resolved, in situ optical diagnostics are presented to understand the nucleation and growth kinetics of graphene resulting from well-controlled, pulsed fluxes of acetylene on electron-beam evaporated Ni films by chemical vapor deposition. Time resolved reflectivity is used to characterize the surface roughening of the metal film, and measure the deposition of carbon in situ at high temperature resulting from single or successive sub-second pulses of acetylene in flowing argon and hydrogen at low pressure. These techniques permit real-time diagnostics to monitor and control graphene nucleation and growth. Growth kinetics measured at different temperatures and peak fluxes reveal a variety of flux-dependent effects including incubation behavior at low fluxes and rapid, sub-second film growth at high fluxes. Under most conditions in this study, the diagnostics show that the majority of graphene growth occurs at high temperature before cooldown. Using a tunable pulse-width Nd:YAG laser at 1064 nm as a pulsed heat source and in situ optical pyrometry, well-defined transient temperature profiles are used to explore the rapid growth of graphene by laser-CVD. Alternatively, pulsed laser deposition of pure carbon in vacuum is used to provide discrete pulses of carbon atoms and molecules to heated Ni films in order to understand the threshold dose for graphene island nucleation and growth. We show that high quality single- and double-layer graphene can be grown by PLD in vacuum. Similarities between the pulsed growth of graphene and the pulsed growth of vertically-aligned single-wall carbon nanotube arrays are discussed in terms of a growth model. Research supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Science and Engineering Division, and performed in part at the Center for Nanophase Materials Sciences, which is sponsored at Oak Ridge National Laboratory by the Office of Basic Energy Sciences, U.S. Department of Energy.
9:45 AM - AA6.3
High Surface Area Three Dimensional Graphene/Vertically Aligned Carbon Nanotube Structure.
Yu Zhu 1 2 , James Tour 1 2 , Zhengzong Sun 1 2
1 Chemistry, Rice University, Houston, Texas, United States, 2 , Rice University Smalley Institute for Nanoscale Science and Technology, Houston, Texas, United States
Show AbstractThe carbon nanotube pillared graphene structure has been studied by theoretical chemists in the past few years 1-3. Due to the high surface area and electrical conductivity, it’s regarded as a promising material in hydrogen storage, supercapacitor and lithium battery. From the point of view of synthetic chemists, however, there are still some issues need to be dealt with in order to achieve this structure. For example, the insulating aluminum oxide buffer layer is usually required for vertically aligned carbon nanotube growth, but it is not available for this pillared structure because it will block the formation of carbon-carbon bond between the graphene and carbon nanotube. Although there are a few synthetic work4-6 reported similar structure without using buffer alumina layer, the nanotube formed are poorly aligned and have very large diameter and many defects. Those materials didn’t meet the expectation of theoretical chemists because the actual structures are far distorted from their models. In this work, we developed a new method to grow vertically aligned carbon nanotube directly from graphene. By using pre-grown graphene 7, 8 and suitable top buffer layer, same quality of vertically aligned nanotubes as “super growth”9 were achieved with covalent bonding to the substrate graphene. The truly few walled (mostly 2~4 walled) carbon nanotube pillared graphene exhibits expected surface area over 1000 m2/g. The electrical conductivity from nanotube tip to graphene surface was measured and exhibited same order of magnitude as that of graphene itself. The carbon-carbon covalent bonding between graphene and carbon nanotube was confirmed by state of art aberration corrected STEM. The mechanism of the growth is discussed in detail.References1.Dimitrakakis, G. K.; Tylianakis, E.; Froudakis, G. E., Pillared Graphene: A New 3-D Network Nanostructure for Enhanced Hydrogen Storage. Nano Letters 2008, 8 (10), 3166-3170.2.Novaes, F. D.; Rurali, R.; Ordejón, P., Electronic Transport between Graphene Layers Covalently Connected by Carbon Nanotubes. ACS Nano 2010, 4 (12), 7596-7602.3.Varshney, V.; Patnaik, S. S.; Roy, A. K.; Froudakis, G.; Farmer, B. L., Modeling of Thermal Transport in Pillared-Graphene Architectures. ACS Nano 2010, 4 (2), 1153-1161.4.Jousseaume, V.; Cuzzocrea, J.; Bernier, N.; Renard, V. T., Few graphene layers/carbon nanotube composites grown at complementary-metal-oxide-semiconductor compatible temperature. Applied Physics Letters 2011, 98 (12), 123103-3.5.Kondo, D.; Sato, S.; Awano, Y., Self-organization of novel carbon composite structure: Graphene multi-layers combined perpendicularly with aligned carbon nanotubes. Appl. Phys. Express 2008, 1 (7).6.Paul, R. K.; Ghazinejad, M.; Penchev, M.; Lin, J. A.; Ozkan, M.; Ozkan, C. S., Synthesis of a Pillared Graphene Nanostructure: A Counterpart of Three-Dimensional Carbon Architectures. Small 2010, 6 (20), 2309-2313.7.Li, X.; Cai, W.; An, J.; Kim, S.; Nah, J.; Yang, D.; Piner, R.; Velamakanni, A.; Jung, I.; Tutuc, E.; Banerjee, S. K.; Colombo, L.; Ruoff, R. S., Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils. Science 2009, 324 (5932), 1312-1314.8.Sun, Z.; Yan, Z.; Yao, J.; Beitler, E.; Zhu, Y.; Tour, J. M., Growth of Graphene from Solid Carbon Sources. Nature 2010, 468, 549-552.9.Hata, K.; Futaba, D. N.; Mizuno, K.; Namai, T.; Yumura, M.; Iijima, S., Water-Assisted Highly Efficient Synthesis of Impurity-Free Single-Walled Carbon Nanotubes. Science 2004, 306 (5700), 1362-1364.
10:00 AM - AA6.4
The Growth and Properties of Graphene on Au(111).
Joseph Wofford 1 , Elena Starodub 4 , Andrew Walter 2 3 , Aaron Bostwick 2 , Norman Bartelt 4 , Eli Rotenberg 2 , Kevin McCarty 4 , Oscar Dubon 1
1 , UC Berkeley, Lawrence Berkeley National Lab, Berkeley, California, United States, 4 , Sandia National Laboratories, Livermore, California, United States, 2 , Advanced Light Source (ALS), Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Department of Molecular Physics, Fritz-Haber-Institut der Max-Planck-Gesellschaft, Berlin Germany
Show AbstractExamining graphene growth on different metals offers the opportunity to understand the fundamental processes that underpin epitaxy in two dimensions. Graphene displays a wide variety of growth behaviors depending on the metal substrate used, including Ru, Ni, and Cu. Copper in particular presents an interesting case; its relatively inert surface bonds weakly to the graphene film, and the low solubility of C in Cu allows for easy growth of monolayer films. Both of these properties are shared by Au, thus affording the opportunity to systematically study the effects of their differences, primarily the substantial difference in lattice constant. To this end, we have investigated the feasibility of graphene growth on Au(111) using low-energy electron microscopy (LEEM) and angle resolved photoemission spectroscopy (ARPES).High-quality graphene does indeed grown on Au(111), provided that the substrate is above ~825 degrees C and an elemental C source is used. The growing graphene islands have similarities with growth on Cu foils: they nucleate nearly instantaneously with the start of C deposition, and they do not grow during sample cooling. However, there are significant differences as well, such as the much lower degree of rotational disorder for graphene on Au. This suggests that physical vapor deposition of graphene on Au may lead to higher quality graphene than is grown on Cu.ARPES of the graphene-Au(111) surface reveals little disruption in the electronic structure of either material. A strong graphene-metal bond, such as graphene-Ru, leads to a significantly altered graphene band structure. The persistence of the characteristic linear dispersion of the graphene film in the presence of the Au substrate suggests the interaction between them is very weak, similar to that of graphene and Cu. The slight p-type doping of the graphene film, caused by charge transfer with the Au surface, is also comparable to graphene on Cu. A detailed analysis of the relationship between the relative orientation between the graphene and Au(111) offers further insight into the influence of the Au substrate.Work at Sandia was supported by the Office of Basic Energy Sciences, Division of Materials Sciences, U. S. Department of Energy under Contract No. DE-AC04-94AL85000. Work at LBNL was supported by the Director, Office of Science, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231, and the National Science Foundation Graduate Research Fellowship Program.
10:15 AM - AA6.5
Easily Controllable Growth of Millimeter-Tall Carbon Nanotube Carpets by In Situ Formation of Water from Added Oxygen Gas.
Gilbert Nessim 1 , Ahmed Al-Obeidi 2 , Haviv Grisaru 1 , Tomer Zimrin 1 , Erik Polsen 3 , Christopher Oliver 3 , A. John Hart 3 , Doron Aurbach 1 , Carl Thompson 2
1 Chemistry - nanotechnology center, Bar Ilan University, Ramat Gan Israel, 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractBy introducing controlled amounts of oxygen gas, millimeter-tall dense carpets of crystalline vertically aligned nanotubes (CNTs) were synthesized using thermal chemical vapor deposition (CVD). Decoupled preheating of the gas mixture - which comprises oxygen, hydrogen (reducing gas) ethylene (hydrocarbon precursor) – leads to the formation of water and hydroxyl groups that are essential to enhanced CNT growth. Through parametric studies in multiple growth systems, extensive characterization (HRTEM, HRSEM, AFM, GC/MS, and density measurements), and thermodynamic analyses, we find that hydroxyl groups and water reduce catalyst coarsening and extend the catalyst lifetime (above 2 hours for a CNT carpet height above 5mm). Compared to the well-known CNT “supergrowth” method where water vapor is added directly in small amounts (10-100’s of ppm), we find that the present method has a wider operating window, is highly repeatable, and does not require delicate control of the water vapor concentration, which often requires expensive sensors.
10:30 AM - AA6.6
Catalytic Growth of Centimeter-Long Carbon Nanotubes in a Suspended Bed Reactor.
Vladimir Mordkovich 1 2 , Aida Karaeva 1 2 , Maxim Khaskov 1 2 , Igor Solomonik 1 2 , Eduard Mitberg 1 2 , Boris Kulnitskiy 1 , I. Perezhogin 1
1 , Technological Institute for Superhard and Novel Carbon Materials, Troitsk, Moscow region Russian Federation, 2 , INFRA Technology, Moscow Russian Federation
Show AbstractThe growth of longer carbon nanotubes (CNT) for construction nanomaterials is one of three major challenges of CNT research. It has been recently demonstrated in literature that long contact time is a powerful tool and obvious precondition for longer carbon nanotube production. However it is well known since 1970s that longer contact time may lead and usually leads to stronger role of radial growth or nanotube thickening. The competition between axial and radial growth at longer contact time usually results in the production of microfibers other than nanotubes. The suppression of radial growth would allow producing very long CNT, which are good for spinning and other construction material applications. The purpose of the present work was to study possibilities of centimeter-long carbon nanotube growth from ethylene-based feedstock in the presence of water vapor, thiophene and Fe-based catalyst. The synthesis was carried out at the temperature of 1150°C in a proprietary suspended bed quartz reactor [1] with the use of ferrocene as a catalyst precursor. Hydrogen was used as a carrier gas at the flow rate from 200 ml/min up to 800 ml/min. The reactor allowed contact time from several seconds up to 1 hour. We varied H2O/C ratio in the range from 0.5/1 to 2/1, ferrocene content from 0.025 to 4.0 weight % and thiophene content from 0.25 to 4.0 weight %. TEM and SEM were used for the structural characterization of the resulting deposit. The electron microscopy data were complemented with Raman spectroscopy and surface/porosity measurements. Electrical and mechanical properties of fibers spun out of the produced CNT were measured.It was found that every variable parameter (i.e. flow rate, contact time, content of water vapor, ferrocene and thiophene) influences strongly both yield and structure of carbon deposits. The most interesting effect was observed by variations in sulfur concentration and contact time. At lower thiophene concentrations active growth of long, straight co-axial CNT was registered, while at thiophene concentrations over 0.8 weight % more non-CNT carbon and short crooked nanotubes appear. Too high concentration of sulfur leads to catalyst poisoning and complete stop of CNT growth. Variation of contact time results in dramatic changes of the deposit structure, i.e. from short multi-wall CNT to peculiar “winged nanotubes” through formation of centimeter-long co-axial nanotubes. The synthesis of aligned centimeter-long carbon nanotubes with profoundly co-axial structure was achieved by optimization of the parameters listed above. The nanotubes were characterized by 2-3 wall structure and high inner diameter of 2 nm. The results of this work allow starting scale-up of this process with the purpose of mass production of centimeter-long co-axial CNT. This kind of CNT promises to become a valuable component of mechanically strong construction composites or spun fibers.[1] Russian Patent Application, 2011. pending.
10:45 AM - AA6.7
Metallic Single Walled Carbon Nanotube Removal via Selective Sublimation and Etching.
Simon Dunham 1 , Sung Hun Jin 1 , Xu Xie 1 , John Rogers 1
1 Materials Science, University of Illinois, Champaign-Urbana, Urbana, Illinois, United States
Show AbstractSingle walled carbon nanotubes (SWNT) present a great deal of technological potential, however many applications require purely semiconducting films of SWNT. Solution processed SWNT offer a route to these films, however these approaches typically yield short (<1 micron), surfactant coated SWNT and film orientation can be challenging. Other approaches for selective removal of metallic SWNT from mixed films exist, however most result in significant loss of semiconducting SWNT or incomplete removal of metallic SWNT. Approaches such as electrostatic breakdown are promising, however, these are not consistent with scalable approaches that could be applied on a circuit level. Here, we present an approach for metallic SWNT removal via selective sublimation and etching that can be applied to aligned arrays of SWNT. A thin organic layer is deposited. This layer is subsequently locally sublimed away from the metallic SWNT via joule heating, while semiconducting SWNT are turned off via a capacitively coupled gate. The layer is then used as an etch mask (O2 plasma RIE) yielding complete removal of metallic SWNTs while preserving semiconducting SWNT. We demonstrate that this approach can be used to achieve highly selective metallic SWNT removal (more than 80% semiconducting SWNT preservation, with more than 99.9% metallic SWNT removal). Furthermore, because it results in complete removal of metallic SWNT, it can be applied over large areas and addition devices and circuits can be constructed in the treated regions.
11:00 AM - AA6:CNS-3
BREAK
AA7: Spectroscopy and Microscopy II
Session Chairs
Jean-Sebastien Lauret
Annick Loiseau
Tuesday PM, November 29, 2011
Ballroom B (Hynes)
11:30 AM - **AA7.1
Raman Spectroscopy of Identified Free-Standing Carbon Nanotubes.
Jean-Louis Sauvajol 1
1 , CNRS, Montpellier France
Show AbstractResonant Raman spectroscopy and electron diffraction experiments have been performed on suspended (free-standing) individual single-walled (SWNT) and double-walled carbon nanotubes (DWNT). In this communication we review the results obtained from this approach. The dependence of the Raman features of index-identified SWNTs and DWNTs, as a function of their structure is discussed.
12:00 PM - **AA7.2
Nitrogen Doped Carbon Nanotubes: A Scanning Tunneling Microscopy Investigation.
Jerome Lagoute 1 , Yann Tison 1 , Hong Lin 1 2 , Vincent Repain 1 , Yann Girard 1 , Cyril Chacon 1 , François Ducastelle 2 , Annick Loiseau 2 , Toma Susi 3 , Esko Kauppinen 3 , Sylvie Rousset 1
1 , MPQ Université Paris Diderot-CNRS, Paris France, 2 , LEM, ONERA-CNRS, Châtillon France, 3 , NMG, Aalto University, Espoo Finland
Show AbstractTuning the electronic properties of carbon nanotubes (CNTs) is a current challenge for the development of future carbon based technology. Doping by inserting foreign atoms in the atomic lattice is a promising strategy to reach the control of the electronic structure of CNTs. Nitrogen atoms are good candidates for chemical doping due to their suitable atomic radius and the additional electron that they contain as compared to carbon. In a nanotube, they can adopt different local environments (graphitic-like, pyridinic-like) which can have various effects on the electronic structure of CNTs.We have synthesized CNTs in order to be dope them with nitrogen using either laser vaporization technique [1] or CVD [2]. We have studied the effect of nitrogen doping using scanning tunneling microscopy (STM) and spectroscopy (STS) under ultra high vacuum and at low temperature (4 K). This technique is a unique tool that allows one to combine atomic scale imaging and local spectroscopy and has been successfully used to link atomic and electronic structure in perfect nanotubes [3-5]. In doped CNTs, STM/STS measurements indicate the presence of specific defects that do not appear in undoped nanotubes and may be due to the presence of nitrogen atoms in the carbon network. Topography images reveal bias dependant signatures that can be assigned to the combination of structural and electronic effects. Interference patterns extending over several nanometers are also observed. Local spectroscopy shows additional electronic states in the bandgap of CNTs. The various signatures measured indicate that several atomic configurations can exist for the nitrogen atoms from simple substitution to more complex structures involving possibly vacancies. This provides a general view of the possible structure and electronic properties of nitrogen doped nanotubes.[1] H. Lin et al, J. Phys. Chem. C, 113, 9509 (2009)[2] T. Susi et al, Physica Status Solidi B (2009)[3] J.W.G. Wildöer et al., Nature 391, 59 (1998) [4] T.W. Odom et al., Nature 391, 62 (1998)[5] H. Lin et al., Phys. Rev. B 81, 235412 (2010)
12:30 PM - AA7.3
Nitrogen-Doped Graphene: Connecting Dopant Bond Type and Work Function.
Theanne Schiros 1 , Dennis Nordlund 5 , Lucia Palova 3 , Hirohito Ogasawara 5 , Liuyan Zhao 2 , Cherno Jaye 6 , Christopher Gutierrez 2 , Daniel Fischer 6 , Abhay Pashupathy 2 , David Reichman 3 , Mark Hybertsen 7 , Tony Heinz 2 4
1 Energy Frontier Research Center, Columbia University, New York, New York, United States, 5 Stanford Synchrotron Radiation Laboratory, Stanford University, Menlo Park, California, United States, 3 Chemistry, Columbia University, New York, New York, United States, 2 Physics, Columbia University, New York, New York, United States, 6 Materials Science and Engineering Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 7 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States, 4 Electrical Engineering, Columbia University, New York, New York, United States
Show AbstractRobust methods to chemically tune the unique electronic properties of graphene are in great demand due to the potential of the 2-D material to impact a range of device applications. We demonstrate nitrogen doping (N-doping) of graphene grown by chemical vapor deposition (CVD) in NH3 environment and the influence of the dopant bond type on the graphene electronic structure via synchrotron-based core level spectroscopy, including x-ray absorption (XAS), x-ray emission (XES) and x-ray photoelectron spectroscopy (XPS), and density functional theory. A detailed picture of the local nitrogen bond environment for dilute (<1%) dopant concentrations is obtained. The suite of techniques shows that different N-C bond types (graphitic, pyridinic, nitrile) in the graphene have different effects on the electronic structure. For instance, graphitic and pyridinic nitrogen groups, which may coexist in N-doped graphene, have opposite effects on the carrier density: graphitic nitrogen n-dopes while pyridinic nitrogen p-dopes graphene. Thus, control over the dopant bond type, not simply the concentration, is crucial to controlling the work function of graphene. These findings have important implications for the potential of graphene as an electrode in organic electronics applications, where a tunable work function material is highly desirable.
12:45 PM - AA7.4
Edge and Interlayer Termination of Modified Graphene during Thermal Exfoliation.
Muge Acik 1 , Yves Chabal 1
1 Materials Science and Engineering, The University of Texas at Dallas, Dallas, Texas, United States
Show AbstractExtraction of graphene from graphite requires sophisticated methods for separation of individual flakes. Possible ways of exfoliating single sheets of graphene from graphite include mechanical exfoliation, intercalation, electrochemical separation, chemical or thermal exfoliation of graphite oxide (GO) via expansion.Amongst all these methods, exfoliation by thermal processing of modified graphene from GO, a solution-processable precursor compound where aromatic and heterocyclic rings with embedded functionalities exist, still remains elusive for several reasons: (1) poor control of GO composition (initial oxygen content), (2) poor understanding of the chemical composition, (3) unknown role of oxygen, adsorbed and trapped species such as water, adjoining oxygen interactions, and edge termination. In-situ infrared absorption spectroscopy performed upon thermal annealing makes it possible. Direct spectroscopic studies are important to examine the chemical changes occurring during thermal reduction and to identify and understand the role of the molecular environment and edge functionalization in the reduction process, including the impact of chemical modification with oxygen, fluorine, or nitrogen. To unravel these complex mechanisms in GO, we have performed in-situ transmission infrared absorption spectroscopy (IRAS) measurements of graphene/graphite oxide (GO) films upon thermal annealing (60-850°C) in vacuum (10-3-10-4 Torr).[1]Infrared spectroscopy provides information on the modifications in functionality via different chemistry, such as changes in the interlayers and at the edges. The intercalation of diamino, imidazolium, and pyrrolidone derivatives and ionic liquids in GO followed by thermal reduction results in either interlayer adsorption or chemical functionalization of modified graphene. Intercalated alcohols also change the reduction behavior of GO.[2] Apart from intercalation, control of the edge geometry of finite-sized modified graphene flakes depends very much on the control of the processing methods. This edge reconstruction further determines the electronic, electrical, optical and mechanical properties of the exfoliated modified graphene flakes. We describe here a surprisingly strong IR absorption band that occurs only upon thermal reduction of GO. After annealing at 850°C in vacuum, the strong enhancement of the new IR active absorbance band is observed at ~800 cm-1.[3] The intensity of this band is 10-100 times larger than what is expected for the oxygen content of the reduced GO, namely between 5 and 8 at.%. This band is assigned to a specific oxidation state forming C-O-C bonds and at atomically straight edges of reduced graphene. These findings therefore open new possibilities in the field of nano-electronics for all sensor and energy storage applications.[1] M. Acik, et al. J. Phys. Chem. C. (2011), in review. [2] M. Acik, et al. ACS Nano 4, 5861–5868 (2010).[2] M. Acik, et al. Nat. Mater. 9, 840-845 (2010).
AA8: Theoretical Investigation
Session Chairs
Anand Jagota
Yoke Khin Yap
Tuesday PM, November 29, 2011
Ballroom B (Hynes)
2:30 PM - **AA8.1
Tailoring the Atomic and Electronic Structure of 2D Carbon and Boron-Nitride Materials by Electron and Ion Beams.
Arkady Krasheninnikov 1 2
1 Department of Physics, University of Helsinki, Heslinki Finland, 2 Department of Applied Physics, Aalto University, Espoo Finland
Show AbstractRecent experiments (see Refs. [1,2] for an overview) on ion and electron bombardment of nanostructures demonstrate that irradiation can have beneficial effects on such targets and that electron or ion beams can serve as tools to change the morphology and tailor mechanical, electronic and even magnetic properties of various nanostructured materials. We systematically study irradiation effects in nanomaterilas, including two-dimensional (2D) systems like graphene and hexagonal boron-nitride (h-BN) sheets. By employing various atomistic models ranging from empirical potentials to time-dependent density functional theory we simulate collisions of energetic particles with 2D nanostructures and calculate the properties of the systems with the irradiation-induced defect. In this talk, our latest theoretical results on the response of graphene [3,4] and h-BN [5] to irradiation will be presented, combined with the experimental results obtained in collaboration with several groups. The electronic structure of defected graphene sheets with adsorbed transition metal atoms will be discussed, and possible avenues for tailoring the electronic and magnetic structure of graphene by irradiation-induced defects and impurities will be introduced. The effects of electron irradiation on boron-nitride sheets and nanotubes will also be touched upon. Finally, we will discuss [6] how electron irradiation and electron beam-assisted deposition can be used for engineering hybrid BN-C nanosystems by substituting B and N atoms with carbon with a high spatial resolution.[1] A. V. Krasheninnikov and F. Banhart, Nature Materials, 6 (2007) 723.[2] A.V. Krasheninnikov and K. Nordlund, Appl. Phys. Rev., 107 (2010) 071301. [3] J. Kotakoski, A. V. Krasheninnikov, U, Kaiser, and J. Meyer, Phys. Rev. Lett. 106 (2011) 105505. [4] J. Kotakoski, J. C. Meyer, S. Kurasch, D. Santos-Cottin, U. Kaiser and A. V. Krasheninnikov, Phys. Rev. B (2011) in press. [5] J. Kotakoski, C. H. Jin, O. Lehtinen, K. Suenaga, and A. V. Krasheninnikov, Phys. Rev. B 82 (2010) 113404. [6] N. Berseneva, A. V. Krasheninnikov, and R.M. Nieminen, Phys. Rev. Lett. (2011) in press.
3:00 PM - AA8.2
Electronic Properties of B-C-N Layers and Nanotubes: The Roles of Disorder and Chemical Composition.
Helio Chacham 1 , Jonathan Martins 1
1 , Universidade Federal de Minas Gerais, Belo Horizonte Brazil
Show AbstractBoron-carbon-nitrogen (B-C-N) graphene-type layers and nanotubes have attracted recent interest in the literature due to their novel structural, optical and electronic properties[1]. Regarding structural properties, recent experimental results on graphene-type B-C-N layers show segregation into either graphene islands in a planar BN matrix or BN islands in a graphene matrix, depending on the C/BN ratio [2,3]. Regarding optical and electronic properties, it is clear from the available experimental data that the band gaps of B-C-N nanotubes are determined not only by chemical composition, but also by other structural properties.In the present work we investigate theoretically the role of the (B,C,N) composition and positional disorder in the electronic structure of B-C-N nanotubes and graphene-type layers employing a combination of Monte Carlo simulated annealing and ab initio calculations. Near the B/N=1 ratio, we find [4] that the carbon atoms segregate into isolated islands. This is consistent with recent experimental results [2,3]. As the islands are formed, we also find that the electronic density of states evolves from a featureless, gapless D(E) to one that has a band gap near the Fermi level. We also consider N-rich alloys. Different from the B/N=1 limit, where both calculations and experiments indicate BN/C segregation, the N-rich alloys show carbon dilution within the boron sublattice. Also in contrast with the B/N=1 limit, where an insulating behavior is predicted, the N-rich alloys depict a (~2 eV) wide, half filled carbon impurity band that should result in either hopping conduction of metallic behavior, consistent with recent experiments.[1] B-C-N Nanotubes and Related Structures; Y. K. Yap, Ed.; Springer, New York (2009).[2] O. L. Krivanek et al., Nature 464, 571 (2010). [3] L. Ci et al., Nat. Mater. 9 (2010) 230.[4] J. R. Martins and H. Chacham, ACS Nano 5, 385 (2011).
3:15 PM - AA8.3
Geometries and Electronic Structure of Graphene and Hexagonal BN Superlattice.
Yuki Sakai 1 , Susumu Saito 1
1 Department of Physics, Tokyo Institute of Technology, Tokyo Japan
Show AbstractWe study the geometries and the electronic structure of graphene and hexagonal boron nitride (h-BN) superlattices in the framework of the density functional theory. We first study alternately layered superlattices composed of graphene and h-BN monolayer with several different stacking sequences [1]. By comparing the total energies, we identify the most stable stacking sequence. It is also found that the nearest-neighbor interlayer interaction between a boron atom and a carbon atom is stronger than the interaction between a nitrogen atom and a carbon atom. Moreover, the superlattice with the most stable stacking sequence is found to exhibit metallic electronic properties. It is also revealed that the electronic structure of the superlattices is found to depend on the stacking sequences [2]. In addition, we also study superlattices composed of graphene and h-BN bilayer in contrast to the graphene/h-BN monolayer superlattices. It is found that the graphene/h-BN bilayer superlattices possess interesting electronic structure depending on the stacking sequences. The superlattice with the shortest stacking period is found to possess a semiconducting electronic structure whereas the superlattices with longer stacking period are found to possess Dirac-like electronic dispersion relations in the vicinity of the K point in the first Brillouin zone. We will also discuss the recent experimental reports on the related heterostructures composed of graphene and h-BN such as graphene on h-BN substrate [3] and the CVD growth of h-BN on graphene [4]. These heterostructures have attracted much interest recently.[1]Y. Sakai et al., Phys. Rev. B 83, 205434 (2011)[2]M. Sakurai et al., J. Phys. Conf. Series (accepted)[3]C. R. Dean et al., Nature Nanotech. 5, 722 (2010)[4]Z. Liu et al., Nano Lett. 11, 2032 (2011)
3:30 PM - AA8.4
Electronic-Magnetic-Mechanical Coupling in Graphene Related Nanomaterials.
Wanlin Guo 1 2
1 Key Laboratory for Intelligent Nano Materials and Devices of Ministry of Education, Nanjing University of Aeronautics & Astronautics, Nanjing, Jiangsu, China, 2 State Key Laboratory of Mechanics and Control of Mechanical Structures, Nanjing University of Aeronautics & Astronautics, Nanjing, Jiangsu, China
Show AbstractAt nanoscale, matters show distinctly different behaviors from their bulk materials mainly due to the strong coupling between the local fields of matter consisting of electronic structures, charge, orbital and spin states and external applied fields. Such nanoscale multifield couplings can turn very common materials such as carbon, even insulating boron nitride, into functional nanomaterials with fantastic properties we expected for nanoelectronics and spintronics. We recently found that the magnetism in graphene nanoribbons on silicon substrates can be tuned linearly by applied bias voltage (Phys.Rev.Lett, 103, 187204, 2009), and this novel magnetoelectric effect is robust to material and geometry variations (Phys.Rev.B 81, 155428, 2010). Adsorbed graphene nanoribbons can also create tunable magnetism on silicon surface (Phys.Rev.B 82, 235423, 2010). Tunable magnetism has also been found in other nano materials and structures (ACS Nano 5, 1012–1017, 2011; ACS Nano 4, 2124, 2010; J.Am.Chem.Soc.132, 10215, 2010, Phys. Rev. B 82, 085425, 2010). Contrast to the zero-gap graphene, Hexagon-BN layers (white graphene) and rolled-up nanotubes are generally insulating, we show that the wide gap in them can be tuned into semiconducting range, even closed in BN nanoribbons by electric fields and narrowed by reduced tube diameter or local curvature radius (Nano Lett. 10, 5049, 2010); Phys. Rev. B 82, 035412, 2010). What is more, long-range ferromagnetic order can be induced in boron-nitride nanotubes by fluorination and tuned by pressure (J.Am.Chem.Soc.131, 6874, 2009). Such extraordinary mechanical-electronic-magnetic coupling effects in nanomaterials open up new vistas in functional devices compatible with silicon-based technology and nanotechnology for efficient energy conversion.
3:45 PM - AA8.5
Graphene Synthesis on Nickel: A Computer Simulation Study.
Alexandre Zappelli 1 , Christophe Bichara 1 , Hakim Amara 2 , François Ducastelle 2
1 CINaM, CNRS, Marseille France, 2 LEM, ONERA/CNRS, Chatillon France
Show AbstractGrowing graphene on a metal surface is one possible way to obtain a high quality graphene, with a controllable number of layers. The synthesis usually relies on a chemical vapor deposition of a carbon bearing gas on the surface of a metal such as Ir [1], Cu [2] or Ni [3]. We investigate the latter case of graphene on Ni that is of particular interest because the role of carbon solubility in subsurface layers is both difficult to investigate experimentally and important to understand for the production of high quality graphene.We first check that the tight binding model [4] used to study the nucleation of carbon nanotubes in CVD processes [5], that has been recently improved in terms of computational speed [6], gives reasonable solubility limits by calculating the C-rich part of the bulk Ni-C phase diagram. Then, using the same Grand Canonical algorithm as used in [7], but with significantly larger systems and better accuracy, we investigate the CVD synthesis of graphene on a Ni(111) surface. Depending on the growth conditions, we show that variable amounts of C can be found in the subsurface layers and we correlate this to experimental data.[1] J. Coraux et al., NanoLetters, 8, -2-, 565-70 (2008). [2] X. Li et al., Science, 324, 1312 (2009).[3] A. Reina et al., NanoLetters, 9, -1-, 30-35 (2009). [4] H. Amara, J. M. Roussel, C. Bichara, J.-P. Gaspard and F. Ducastelle, Phys. Rev. B 79, 014109 (2009).[5] H. Amara, C. Bichara and F. Ducastelle, Phys. Rev. Lett., 100, 056105 (2008).[6] J. H. Los, C. Bichara and R. Pellenq, submitted to Phys. Rev. B (2011).[7] H. Amara, C. Bichara and F. Ducastelle, Phys. Rev. B 73, 113404 (2006).
AA9: Electrical Properties I
Session Chairs
Claire Berger
Cory Dean
Yoke Khin Yap
Tuesday PM, November 29, 2011
Ballroom B (Hynes)
4:30 PM - AA9.1
Scaling to Sub-100 nm Contacts in Graphene and Carbon Nanotube Transistors.
Aaron Franklin 1 , Davood Shahrjerdi 1 , George Tulevski 1 , Shu-Jen Han 1 , Wilfried Haensch 1
1 T. J. Watson Research Center, IBM Research, Yorktown Heights, New York, United States
Show AbstractCarbon nanotubes (CNTs) and graphene are both promising nanoscale forms of carbon for electronics applications. While their electrical properties differ—CNTs are 1D and can have a sizable energy band gap while graphene is 2D and has a zero band gap—the interface between metal contacts and the sp2-bonded carbon surface of CNTs or graphene has similarities. Contact resistance is one of the foremost obstacles that limit the achievable performance in devices from either material, especially when the channel length is scaled to reduce the contribution of scattering. In this talk, new results on the effects of scaling down the size of metal contacts to CNT and graphene devices are presented. Recently, the impact of nanoscale Pd contacts to CNTs was reported (A. D. Franklin and Z. Chen, Nature Nanotechnol., 5:858, 2010), revealing the importance of contact scaling effects when considering CNT transistors for a highly integrated technology. It was observed that as contact lengths fall below approximately 100 nm, contact resistance increases considerably. It is important to know whether other metals will exhibit similar scaling behavior. Therefore, we present results on the contact length scaling behavior for several high and low work-function contacts to CNTs, including Ti, Au, and Er. Using long, semiconducting CNTs, many devices were fabricated on the same nanotube channel with contact lengths ranging from 200 nm down to 20 nm. Results indicate significant disparities in the scaling behavior for these different metal-nanotube interfaces.In addition to contact scaling in CNT devices, for the first time the same effect was studied on graphene. Using single-layer graphene grown by chemical vapor deposition on Cu foil, hundreds of devices were fabricated at contact lengths ranging from 500 nm down to 20 nm. The use of noninvasive voltage probes to the graphene channel enabled straightforward extraction of the contact resistance, which increased dramatically at contact lengths below 200 nm—similar to the CNT case. No significant change in performance per unit width was observed when reducing the contact/device width from 500 nm to 80 nm. These results not only reveal the considerable cost of contact scaling in graphene transistors, but also confirm that transport between the metal and graphene does not occur purely at the contact edge. Overall, this study suggests that the metal contact interface to both graphene and CNT devices is highly sensitive to scaling and must be further optimized for these materials to be suitable for a highly integrated device technology.
4:45 PM - AA9.2
Electrical Reliability Testing and Failure of Carbon Nanotube-Based Interconnects.
Mark Strus 1 , Ann Chiaramonti 1 , Nicholas Barbosa 1 , Robert Keller 1
1 , NIST, Boulder, Colorado, United States
Show AbstractAs integrated circuits continue to scale downward, the performance of microprocessors and memory chips is limited by the interconnects which transport power, ground, and clock information. At small sizes, current copper interconnects suffer from significant surface scattering, and cannot supply the current densities that the industry needs. Because of their high current-carrying capability and electromigration resistance, carbon nanotubes (CNTs) have emerged as a potential material to replace copper in future integrated circuit interconnects and vias [1]. Whereas much of the previous work has concentrated on the fabrication required to incorporate them into final device form [2], the long-term performance and reliability of entire CNT-based electrical devices remain largely unstudied. Because CNT electrical properties are sensitive to fabrication process variability, the tools to monitor and predict their electrical reliability are needed to improve process design and ensure that CNT-based commercial devices exhibit long lifetimes.In this work, we investigate the failure mechanisms of fluidically self-assembled single-walled CNT interconnect bundles when subjected to various conditions of electrical and thermal stress. We show that single-walled carbon nanotubes can carry high current densities (> 1 MA/cm2) for several hours but degrade over time at rates that depend on initial input power. We also show two distinct failure mechanisms for CNTs, a classical breakdown via resistive heating [3], and a seemingly physically intact but nonconducting failure mode. Above a certain current threshold, we observe micrometer-scale physical migration of gold atoms near CNT-gold electrode interfaces. This migration leads to voids in the electrode as large as 300 nm and gold mounds up to three times as thick as the original 50 nm structure. We suggest that the likely mechanism for this void and mound growth is a combination of localized melting, thermomigration, and electromigration. Regardless of the dominant physical cause, this work shows that all components of CNT-based interconnect devices must be carefully designed and tested for reliability, or else the impressive thermo-electro-mechanical properties of CNTs will never be properly utilized.[1] “International technology roadmap for semiconductors,” Semiconductor Industry Association, San Jose, CA, http://public.itrs.net (2009).[2] S. Sato, A. Kawabata, T. Nozue, D. Kondo, T. Murakami, T. Hyakushima, M. Nihei, and Y. Awano, Sensor Mater., 21, pp. 373, (2009)[3] K. Mølhave, S. B. Gudnason, A. T. Pedersen, C. H. Clausen, A. Horsewell, and P. Bøgglid, Nano Lett., 6, pp. 1663, (2006)
5:00 PM - AA9.3
Graphene Solution-Gated Field Effect Transistors for Bioelectronics.
Lucas Hess 1 , Moritz Hauf 1 , Max Seifert 1 , Michael Jansen 2 , Vanessa Maybeck 2 , Amel Bendali 3 , Serge Picaud 3 , Andreas Offenhaeusser 2 , Ian Sharp 1 , Martin Stutzmann 1 , Jose Garrido 1
1 Walter Schottky Institut, TU München, Garching Germany, 2 ICS8/PGI8, Forschungszentrum Jülich GmbH, Jülich Germany, 3 , Institut de la vision, Paris France
Show AbstractFor medical applications in neuroprostheses as well as for fundamental research on neuron communication, it is of utmost importance to develop a new generation of electronic devices which can effectively detect the electrical activity of nerve cells. Due to the maturity of Si technology, most of the work with the so-called solution-gated field effect transistors (SGFETs) has been done based on Si-MOSFETs [1]. However, the high electronic noise and poor stability associated to Si technology have motivated the search for more suitable materials. In this respect, the outstanding electronic and electrochemical performance of graphene holds great promise for bioelectronic applications [2].In this work, we report on arrays of graphene solution-gated field effect transistors (G-SGFETs) which can detect the electrical activity of electrogenic cells. G-SGFETs were fabricated using large area CVD-grown graphene films, which were deposited on Cu and then transferred to insulating substrates where arrays of transistor were processed. Using on-chip structures for Hall-effect measurements in-electrolyte, we report on carrier mobilities higher than 8000 cm2V-1s-1 for the CVD-grown graphene films. The high carrier mobilities in graphene, together with the large interfacial capacitance at the graphene/electrolyte interface, leads to transconductive sensitivities of the G-SGFETs which are a factor 20 higher than for comparable silicon devices [3]. Furthermore, we will show that G-SGFETs exhibit very low electronic noise with an RMS value that is equivalent to gate signals of less than 8 µV allowing the detection of very small signals [4].For biological applications, it is of crucial importance that the sensors do not affect or harm the investigated system. To assess the biocompatibility of graphene, pure retinal ganglion cells from postnatal rats have been cultured on bare and modified CVD-grown graphene layers [4]. Retinal neurons on graphene surfaces show a healthy growth comparable to standard glass substrates. Furthermore, we have investigated the ability of graphene SGFETs to transduce the electrical activity of electrogenic cells. To this end, cardiomyocyte-like HL-1 cells have been cultured on G-SGFET arrays. Employing the transistors beneath, we were able to detect and resolve the action potentials generated by the HL-1 cells. The propagation of the cell signals across the transistor array was successfully tracked [4]. Our results clearly suggest that graphene can far outperform state-of-the-art devices for bioelectronic applications.[1] P. Fromhertzet al., Science 252, 1290 (1991).[2] M. Dankerl et al., Adv. Funct. Mater. 20, 3117 (2010).[3] L. H. Hess et al., arXiv:1105.6332v1 [cond-mat.mtrl-sci][4] L.H. Hess et al., unpublished.
5:15 PM - AA9.4
Switching Devices Based on Functionalized Boron Nitride Nanotubes.
Boyi Hao 1 , Cheehuei Lee 1 , Shengyong Qin 2 , An-Ping Li 2 , Juan-Carlos Idrobo 2 , Anjana Asthana 3 , Jiesheng Wang 1 , Yoke Khin Yap 1
1 Physics, Michigan Technological University, Houghton, Michigan, United States, 2 , Oak Rigde National Laboratory, Oak Rigde, Tennessee, United States, 3 Materials Science and Engineering, Michigan Technological University, Houghton, Michigan, United States
Show AbstractBoron nitride nanotubes (BNNTs) are wide band gap nanomaterials with extraordinary mechanical and chemical stability [1, 2]. Unlike carbon nanotubes (CNTs), the band gap of BNNTs is merely uniform, not sensitive to the change of chirality, diameter, and number of nanotubular walls. Furthermore, BNNTs are of advantageous to nanowires as they are ideally free of dangling bonds at their surfaces. Thus BNNTs are promising nanostructures for nanoscale electronic and photonic devices. However, doping of BNNTs is proven to be challenging. Here we demonstrated a series of novel approaches in functionalization of BNNTs with various zero- and one- dimensional materials, making them applicable as nanoelectronic devices. First we have established a novel growth vapor trapping (GVT) approach to produce high-quality BNNTs on Si substrates by using conventional tube furnaces [3, 4]. This approach enabled the growth of high-quality BNNTs that are completely insulating under a bias potential difference of ~150V. This is consistent to the fact that our BNNTs have an optical band gap of ~6eV, identical to that of hexagonal BN (h-BN) single crystals. Secondly, we have established a series of unique capabilities in functionalize BNNTs with zero- and one dimensional materials. Thirdly, we have developed a new printing approach to form horizontally-aligned BNNTs on substrates for device application. Finally, a series of electronic characterization techniques have been performed on the functional BNNTs including the standard photolithography approach, conducting AFM-TEM probe, and a four-probe STM system at the Center for Nanophase Materials Sciences (CNMS) at Oak Rigde National Laboratory (ORNL). Results indicate that functionalized BNNTs are new class of electronic materials with high on-off ratios (~signal up to submicron Ampere), gating effects, and quantum tunneling behaviors. Details of these results will be discussed in the meeting.Y.K.Yap acknowledges supports from National Science Foundation CAREER award (Award 0447555), the U.S. Department of Energy, the Office of Basic Energy Sciences (Grant DE-FG02-06ER46294), and the Center for Nanophase Materials Sciences (CNMS) at Oak Rigde National Laboratory (ORNL).[1]. Wang et al, Nanoscale 2, 2028 (2010).[2]. Wang et al, in Chapter 2 of B-C-N Nanotubes and Related Nanostructures (Springer, 2009).[3]. Lee et al, Nanotechnology 19, 455605 (2008).[4]. Lee et al, Chem. Mater. 22, 1782 (2010).
5:30 PM - AA9.5
PMMA-CNT and PMMA-STO-CNT Matrices for Stable Field Emission Display Devices.
Archana Pandey 1 , Abhishek Prasad 1 , Yoke Khin Yap 1
1 Physics, Michigan Technological University, Houghton, Michigan, United States
Show AbstractCarbon nanotubes have proven to be versatile nano-materials which can be used in wide variety of applications such as nano-electronics, energy storage, sensing and field emission etc. Numerous reports have been reported on improving field emission of as-grown vertically-aligned multi-walled carbon nanotubes (VA-MWCNTs). Researchers have tried many different techniques to improve the field emission like patterned growth [1], e-beam lithography [2] etc. All these techniques use CNTs coated with either wide bandgap materials [3] or used highly ordered carbon nanotubes arrays [4]. In this paper we report on the enhanced field emission from PMMA coated VA-MWCNTs (PMMA-CNT matrices) and PMMA-STO coated VA-MWCNTs (PMMA-STO-CNT matrices). VA-MWCNTs were synthesized using dual rf Plasma-Enhanced Chemical Vapor Deposition system. VA-MWCNTS were embedded in a solution of PMMA: Developer: 2:1 and cured at 1000C for 2~3 minutes. Embedded VA-MWCNTS were mechanically polished using fiber free lapping cloth and colloidal silica solution followed by rinsing with de-ionized water. Polishing exposed tips of carbon nanotubes by removing nickel catalyst particle on tips. Next we characterized the embedded VA-MWCNTs with SEM, Raman and field emission. We compared field emission characteristics of as grown VA-MWCNTs, etched CNTs, PMMA-CNT matrices and PMMA-STO-CNTs matrices. Embedding of VA-MWCNTs in PMMA results in enhanced field emission with lesser turn on voltage and increased emission stability for longer duration. Enhancement occurs due to reduction in screening effect and increase in field enhancement at the tips. Theoretical models and simulation were conducted to support these observations [4, 5]. Furthermore, the performances of PMMA-STO-CNT matrices are even better than that of PMMA-CNT matrices [6] with emission threshold field below 1V/micron. References: 1) Nilsson et al, Applied Physics Letters, Volume 76, No. 15,pp.2071-2073 2) Kim et al, J. Journal of Applied Physics, Vol45, No.1A,2006, pp.346-349 3) Yi et al, Adv.Mater.,2002,14,N0.20,October 16 4) Pandey et al, ACS Nano 4, 6760 (2010).5) Pandey et al, Carbon 48, 287 (2010).6) Pandey et al, in preparation.*E-mail address:
[email protected] (Archana Pandey)This work was supported by the Defense Advanced Research Projects Agency (Contract number DAAD17-03-C-0115 through the U.S. Army Research Laboratory)
5:45 PM - AA9.6
Enhanced Field Emission from Vertically Oriented Graphene by Thin Solid Films of ThO2.
Michael Bagge-Hansen 1 3 , R. Outlaw 1 , D. Manos 1 2
1 Applied Science, The College of William and Mary, Williamsburg, Virginia, United States, 3 Condensed Matter and Materials Division, Lawrence Livermore National Lab, Livermore, California, United States, 2 Physics, The College of William and Mary, Williamsburg, Virginia, United States
Show AbstractRecent progress and a coordinated national research program have brought considerable effort to bear on the synthesis and application of carbon nanostructures for field emission. Field emission arrays of vertically-oriented graphene (carbon nanosheets, CNS) have demonstrated promising cathode performance, delivering emission current densities up to 2 mA/mm2 and cathode lifetime >800 hours. The work function (θ) of CNS and other carbonaceous cathode materials has been reported to be θ~4.5-5.1 eV. Theory suggests that the application of low work function thin films could achieve several orders of magnitude enhancement of field emission. ThO2 thin film coatings were pursued because of a reported work function of θ~2.6-2.8 eV. Thin film ThO2 coatings deposited on CNS initially demonstrated excellent field emission (up to ~2 μA/mm2) and apparently activated spontaneously without thermal treatment. Fowler-Nordheim plots suggest a work function of θ~2.6 eV. Undesired beading and ThO2 surface diffusion away from active emission sites resulted in deteriorating performance at higher field emission currents. The impact of thin films of ThO2 on the magnitude of field emission from carbon nanosheets was substantial. For a given field emission current density, J ~2 μA/mm2, the necessary applied field for uncoated CNS was ~12 V/μm, but only ~5 V/μm when coated with ThO2 (θ~2.6 eV). The mechanism for enhanced emission and the stability of the coatings will be discussed, with special focus on the activation of ThO2 thin films.
AA10: Poster Session: Mechanical and Energy-Related Properties
Session Chairs
Wednesday AM, November 30, 2011
Exhibition Hall C (Hynes)
9:00 PM - AA10.1
Elastic Constants of Boron Nitrides at Cryogenic Temperature.
Akira Nagakubo 1 , Kenichi Tanigaki 1 , Hirotsugu Ogi 1 , Masahiko Hirao 1 , Hitoshi Sumiya 2 , Katsuko Harano 2
1 Engineering Science, Osaka University, Toyonaka, Osaka, Japan, 2 Electronics Materials R&D Laboratories, Sumitomo Electric Industries, Itami, Hyogo, Japan
Show Abstract Cubic boron nitride(cBN) and wurtzite boron nitride(wBN) show similar properties as diamonds. For example, their structures are equivalent to those of cubic and hexagonal diamonds, respectively, leading to very high thermal conductivity, hardness, stiffness and other superior properties. Concerning their mechanical properties, great efforts have been focused on hardness, while few studies reported the elastic stiffness, because of the difficulty of measurement for small specimens. The harness test is highly affected by structures, such as grains, twins, and stacking faults, and relaxation behavior, and it fails to reflect the intrinsic atomic bonds. On the other hand, the elastic stiffness reflects the interatomic potential and represents the intrinsic bonding strength. Thus, it is important to evaluating the elastic constants of BNs for studying origin of their remarkable mechanical properties. However, difficulties of their synthesis have suppressed the specimen size and purity: The conventional high-pressure and high-temperature synthesis method used binder materials. The restriction of the size and purity of specimen precluded accurate measurement of the elastic stiffness: Few experiments appear for elastic constants of cBN, and there is no reliable report for wBN. Recently, Sumiya developed the direct-conversion sintering method and succeeded in obtaining high purity polycrystalline cBN and wBN with starting material of hexagonal boron nitride(hBN). In this study, we used these high-purity BNs for the stiffness measurement. We developed low-temperature picoseconds ultrasounds spectroscopy method to observe the Brillouin oscillation. It can determine the stiffness for small materials (∼50 µm) and causes small measuring error (∼<1%) owing to ultrashort-wavelength coherent phonons excited by ultrafast pulse laser. We evaluated the purity of the specimens by x-ray diffraction. We found that elastic constant C11of polycrystalline cBN is higher than the previous measurements, and that of wBN is as high as that of cBN. Then, we measured their elastic constants at cryogenic temperature and found that they are nearly independent of temperature.
9:00 PM - AA10.10
Fabrication and Charaterization of Graphene/Cu Nanocomposite.
Jaewon Hwang 1 , Donghoon Nam 1 , Byungkyu Lim 1 , Sunghwan Jin 1 , Dongju Lee 1 , Seokwoo Jeon 1 , Soonhyung Hong 1
1 Materials Science, KAIST, Daejeon Korea (the Republic of)
Show AbstractGraphene, discovered in 2004, is one of the most interest materials. Graphene is 2-dimension carbon material with many unique properties such as high thermal and electric conductivity and mechanical properties. Properties of graphene are similar to a single wall carbon nanotube. However, graphene was predicted to be suitable for reinforcement of composite rather than carbon nanotube, because graphene has lager surface area. Recently, many researchers have been focused on graphene based composite, especially polymer matrix. Results of graphene based polymer composite have shown the potential for improving strength or electrical conductivity of polymers. Graphene has possibility to overcome the performance limit in metal matrix as they did in the polymer matrix. However, it is hard to fabricate graphene based metal matrix composite due to difficulty in distributing graphene homogeneously in metal matrix. Many researchers try to fabricate graphene/metal nanocomposite, but most of results were coating metal particles on graphene, which is not suitable for structural application.In this study, bulk form of graphene based metal matrix nanocomposite was fabricated at first time. RGO/Cu nanocomposite powders were synthesized by molecular level mixing process. RGO flakes were homogeneously dispersed in Cu powders because Cu ions and graphene oxide were reduced in mixed solution at the same time. Cu particles can prevent the graphene to be agglomerated by van der Waals force between graphene. RGO/Cu powders were sintered by spark plasma sintering. Mechanical properties of RGO/Cu were increased and grain size was decreased with the increase in volume of RGO contents. Yield strength of 2.5vol.% RGO/Cu is 1.5 times greater than pure Cu. Furthermore, tensile strength and elastic modulus of 2.5vol.% RGO/Cu are 1.6 and 1.13 times greater than pure Cu. Fracture surface of RGO/Cu shows that RGOs are uniformly distributed. In short, these experiments show that homogeneously dispersed graphene can reinforce metal matrix with the enhanced mechanical properties.
9:00 PM - AA10.13
Electrochemical Testing of Aligned CNT Forests on Oxide Free Copper Substrates.
Gowtam Atthipalli 1 , Korrinn Strunk 1 , Yifan Tang 2 , Alexander Star 2 , Jennifer Gray 1
1 Department of Materials Science and Engineering, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Department of Chemistry, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractWe studied the effect of native oxide layer on the capacitance and power density of aligned carbon nanotubes on copper substrates tested as double layer capacitors using “Swagelok” type arrangement. Two types of copper substrates with different growth times were investigated to understand the impact of the oxide layer on charge storage: (1) smooth, sputtered copper on copper foils stripped off their native oxides and (2) electro-polished copper foils with a native copper oxide layer on them. A sputtered Inconel thin film and iron, produced in situ from ferrocene decomposition during the CVD growth, both act as catalysts for CNT growth. We analyzed effectiveness in using this Inconel-Iron “co-catalyst” combination for dense, aligned CNT growth for potential access to greater surface area and subsequent charge storage. SEM, TEM, and Raman measurements were performed to study structure and quality of the CNTs. Electrochemical testing measurements made using a three electrode system were used to measure the power density and the specific capacitance values of the CNTs grown on both oxidized and oxide free copper substrates. This novel technique of aligned CNT growth, directly on copper using a cost effective thermal CVD technique represents a paradigm shift in CNT-metal growth studies.
9:00 PM - AA10.14
Free-Standing, Macroscopic Composite Graphene Sheets and Pure Yarns.
Marcio Dias Lima 1 , Monica Jung de Andrade 1 , Ray Baughman 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractQuite recently Nobel prize in physics has crowned the groundbreaking experiments regarding the two-dimensional material graphene first published by Novoselov et al.[1]. Since that work, several works reported the wide potential applications of this two-dimensional monatomic layer [2]. Recently, large area and high quality graphene films, of single to few-layers of graphene have been also obtained by chemical vapor deposition (CVD) on transition metal substrates [3].Besides its remarkable thermal and electrical properties, recent theoretical and experimental [4] works have shown that graphene has mechanical properties that should surpass the one from the carbon nanotubes. This makes this material attractive to a wide field of application from manufacturing of MEMS to macroscopic structural components. However, for the realization of these applications it is necessary first to learn how to produce macroscopic, free-standing structures of graphene. This is a challenging task due to the extreme thickness of the material, what make graphene very prone to damage caused by capillary forces.Hereby we report the manufacturing of centimeter wide, free-standing, single layer graphene obtained by CVD growth (based on [3]) supported by a thin CNTs sheet, which cover only partially one of the sides of the graphene layer, preventing it to crack during handling and removal from the etching and washing solutions [3]. This allows both sides of the graphene monolayer to be exposed to the surrounding medium.Also, yarns of pure graphene were prepared from CVD grown samples. By using the spontaneous wrapping of the graphene layer during etching in aqueous medium, free-standing, centimeter long yarns of few micrometers in diameter made of a single, continuous graphene layer were produced. [1]Novoselov KS et al. (2004) Science 306:666-669[2]Gem AK et al. (2009) Science 324:1530-1534[3]Li XS et al. (2009) Science 324:1312-1314[4]Rafiee MA et al. (2009) ACS Nano 3:3884-3890
9:00 PM - AA10.15
Composites Produced by Direct Infiltration of Liquid Metal and Glass in Carbon Nanotubes Yarns and Sheets.
Marcio Dias Lima 1 , Monica Jung de Andrade 1 , Ray Baughman 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractCarbon nanotubes (CNTs) composites with metallic or ceramic matrices is a promising field for several applications [1] but there are relatively few reports if compared to polymeric composites. The addition of CNTs potentially can greatly reduce creep [2] of metals and glasses, increases electrical conductivity [2,3] and fracture toughness [4,5], mechanical strength [4,5] and rigidity of both. In order to realize these possibilities many challenges on the production of bulk samples have to be surpassed, between them: (a) dispersion of CNTs in the matrix at a molecular level; (b) high temperatures (>500 °C) usually required to achieve fluidity (melting) of the matrix and good wetability of the CNTs. Wetting between the matrix and the reinforcing agent is desirable since it allows the dispersion of the nanotubes in the liquid matrix and also leads to a good interfacial mechanical load transfer. Usually wetting is achieved when there is chemical reaction at the interface filler/matrix [6]. In the case of metallic alloys, this can be achieved by using matrices that contain carbide forming elements. Those will react with the surface of the CNT leading to wetting of the CNTs and infiltration of the CNT network by the liquid metal. However, that also imply on the destruction of the CNT outer surface and, eventually, the entire CNT. That is especially critical for single-walled nanotubes. Many engineering metals like iron, nickel and cobalt and titanium will form carbides and destructively wet the CNTs. However, some metals like magnesium and copper do not form carbides on the temperature range required for their processing. In this case, wetting can be achieved by modifying the surface of the CNTs on a non-destructive way. In this work we show that conformally coating the CNTs with a ceramic layer that react with the metallic or glass matrix dramatically improves the wetting of the nanotubes by those melted matrices, making feasible the production of bulk composite by simple infiltration. Yarns and sheets of nanotubes were infiltrated with melted magnesium and borosilicate glass producing monolithic composites. SEM analyses of the fracture surface shows the wetting and well dispersion of the nanotubes in the matrices. Microscopy and Raman spectroscopy show that the CNT are not degraded during the manufacturing of the composite.[1] Laurent Ch., Peigney A., Encyclopedia of Nanoscience and Nanotechnology. H. S. Nalwa, Am. Sci. Pub. 2004. 1: 635-653.[2] Xiang C., Pan Y., Guo J., Ceram. Int., 33 (2007) 1293–1297.[3] Jung de Andrade M. et al., Scripta Materialia, 61 (2009) 988-991.[4] Bansal N.P. et al., Journal of the American Ceramic Society, 89 (2006) 388-390.[5] Bakshi S. R. et al., International Materials Reviews, 55 (2010) 41-64.[6] Chawla K.K., Composite materials: science and engineering. 2nd edition, 1988, Springer, New York.
9:00 PM - AA10.16
A Self-Entangled Mechanism for Continuous Spinning of Carbon Nanotube Yarns.
Chao Zhu 1 , Chun Cheng 1 , Yu Heng He 1 , Lin Wang 1 , Tai Lun Wong 1 , Kwok Kwong Fung 1 , Ning Wang 1
1 Physics, Hong Kong University of Science and Technology, Hong Kong Hong Kong
Show AbstractAlthough high-quality carbon nanotube (CNT) arrays can be fabricated by various chemical vapor deposition methods and their growth mechanisms have been systematically studied, it is not yet well understood why most of CNT arrays are not spinnable. The mechanisms for continuously spinning CNTs were simply interpreted as the super-aligned CNT bundle structures held together by van der Waals interactions [1, 2]. It was also believed that the structures or connections at the top and bottom ends of the CNT arrays should play a critical role during pulling out CNTs continuously. Here, we report an in-situ observation of the spinning process of CNT yarns in an electron microscope and an investigation of the formation of a new kind of special junctions at the ends of each CNT bundle. Using a reactive ion etching system, we etched away the top and bottom layers of the CNT arrays (spinnable and unspinnable arrays). By etching, we confirmed that the structures at top or bottom surfaces of the CNT arrays were irrelevant to the continuous spinning process. While for the unspinnable CNT arrays, even though special surface treatments made the CNTs join end to end, no continuous yarn could be drawn from the highly aligned CNT arrays. The in-situ study of CNT spinning process was performed using a nano-manipulator equipped in a scanning electron microscope. Based on the observation, we demonstrated that due to the self-entangled effect during pulling a CNT bundle, an entangled structure formed when the pulling process approached the bottom or top ends of the CNT arrays. The branched and crossing over bundles of the as-grown CNT arrays played a dominant role in the formation of the entangled structures, and these features occurred along the bundles and showed no difference at the top, middle and bottom regions. These entangled structures were responsible for maintaining the continuity of spinning process and making CNT yarns wider and wider during pulling. [1] X.B. Zhang, K.L. Jiang, C. Teng, P. Liu, L. Zhang, J. Kong, et al. Spinning and processing continuous yarns from 4-inch wafer scale super-aligned carbon nanotube arrays. Adv Mater 2006;18(12):1505-10.[2] M. Zhang, S.L. Fang, A.A Zakhidov, S.B. Lee, A.E. Aliev, C.D. Williams, et al. Strong, transparent, multifunctional, carbon nanotube sheets. Science 2005;309(5738):1215-9.
9:00 PM - AA10.17
Radial Deformation of Single-Walled Carbon Nanotubes under the Covering of Single-Layer Graphene Oxide Sheets.
Meng Zheng 1 , Fernando Cordisco 2 , Xiaoming Chen 1 , Lianfeng Zou 1 , Howard Wang 1 , Pablo Zavattieri 2 , Changhong Ke 1
1 Department of Mechanical Engineering, State University of New York at Binghamton, Binghamton, New York, United States, 2 Department of Civil Engineering, Purdue University, West Lafayette, Indiana, United States
Show AbstractRecent advances in one and two dimensional carbon-based nanostructures enable the development of hybrid multi-functional material systems and devices. In this talk, we present a combined experimental-computational study of the radial deformation of single-walled carbon nanotubes (SWCNTs) covered by single-layer graphene oxide (GO) sheets. The radial deformations of GO-sheet-covered SWCNTs are experimentally characterized by atomic force microscopy and theoretically interpreted using finite element method (FEM) based on 3D continuum mechanics modeling enhanced with interaction potentials to model van der Waals interactions. Our computational model enables us to carry out a systematic and parametric analysis on the most relevant geometrical and materials aspects of this system. Our results show that the coverage of the GO sheet can induce substantial nanotube deformation in their transverse direction, which may have significant impact on nanotubes’ electrical properties. The corrugation conformation of the GO sheet on top of the nanotube will be also discussed. Our results suggest that covering of nano-membranes on nanotubes is promising as a new and simple engineering approach for design and manufacturing of carbon nanostructure-based hybrid multifunctional devices.
9:00 PM - AA10.18
Patterned Carbon Nanotube Array-Metal Foil Thermal Interface Materials.
John Taphouse 1 , Anuradha Bulusu 1 , Anurag Gupta 2 , David Altman 2 , Baratunde Cola 1 , Samuel Graham 1
1 Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 , Raytheon Integrated Defense Systems, Andover, Massachusetts, United States
Show AbstractDue to their outstanding thermal conductivity and high degree of lateral compliance arrays of vertically aligned carbon nanotubes (VACNTs) show promise as thermal interface materials (TIMs). However, for TIMs comprised of VACNTs the thermal contact resistance between the carbon nanotube (CNT) tips and opposing surfaces dominates the total resistance of the interface and has limited their performance. Using a one-dimensional reference bar method and a photoacoustic technique we examine patterning of VACNTs grown on both sides of Al foil as a means for reducing the contact resistance at the CNT tips. Specifically, the role of the patterned feature height, feature-feature spacing, wax infiltration, and various solder bonding materials on thermal performance is studied. TIMs with a composite thermal resistance of ~3 mm2K/W have been repeatedly produced.
9:00 PM - AA10.19
Graphene Electromechanical Actuation: Origins, Optimisation and Applications.
Geoffrey Rogers 1 , Jefferson Liu 1
1 Mechanical and Aerospace Engineering, Monash University, Clayton, Victoria, Australia
Show AbstractCarbon nanotubes and graphene have demonstrated exceptional promise as novel electromechanical actuation materials, capable of producing higher stresses and strains than mammalian muscle and high-modulus ferroelectric materials, respectively. In order to optimise the actuation performance of these materials for applications such as artificial muscles, an intimate knowledge of the mechanisms responsible for their actuation is essential. In a recent article (J. Am. Chem. Soc. 2011, DOI: 10.1021/ja201887r), we conducted an ab initio investigation into the electrochemical actuation of monolayer graphene. By including very thick vacuum layers aside each graphene sheet, we predicted the true quantum-mechanical actuation of such a material, in the absence of unrealistic background jellium self-energy and graphene-interlayer electrostatic contributions. We also investigated the actuation response of charged graphene upon submersion in a simulated ionic liquid (IL) electrolyte, whereby an electrostatic double-layer (DL) forms aside the graphene. It was found that the presence of a DL can give rise to covalent strains in excess of 1% for pristine monolayer graphene; significantly greater than the ~0.2% strains induced via the quantum-mechanical effect alone. With an understanding of the precise origin of these high strains, we have sought to optimise the actuation performance of graphene, including both the independent and collective optimisation of the quantum-mechanical and electrostatic DL responses. As a result, we have developed graphene actuation materials that are capable of producing covalent strains far in excess of one percent, without compromising the very high stress generation capacities that are an inherent characteristic of these materials. These optimised materials represent a significant step towards the realisation of practical high performance artificial muscles.
9:00 PM - AA10.20
Mechanical Properties of MWCNT/TPE Nanocomposites and the Cellulation Model.
Shigeki Inukai 1 , Ken-ichi Niihara 1 , Hiroyuki Ueki 1 , Toru Noguchi 1 , Eisuke Yamada 2 , Shinji Inagaki 2 , Kenji Takeuchi 3 , Morinobu Endo 4
1 Research Center for Exotic Nano Carbon Project, Shinshu University, Nagano-shi Japan, 2 Department of Applied Chemistry, Aichi Institute of Technology, Toyota-shi Japan, 3 Institute of Carbon Science and Technology, Shinshu University, Nagano-shi Japan, 4 Faculty of Engineering, Shinshu University, Nagano-shi Japan
Show AbstractWe prepared poly(styrene-b-butadiene-b-styrene) (SBS) matrix composites in which multi-walled carbon nanotubes (MWCNTs) were homogeneously dispersed, and their morphologies, their thermal properties and their mechanical properties were investigated. The incorporation of MWCNTs into the SBS matrices improved their thermal and mechanical properties with appropriate flexibility. The MWCNT/SBS composites did not flow above 100 degree C, and showed surprising improvements in terms of their creep properties. The results indicated the possibility of broadening their use in high temperature applications, and of significantly improving permanent strain, which are currently the main demerits of TPE. These drastic improvements in the various properties of MWCNT/SBS composites were assumed to have been caused by the formation of a three-dimensional structure at the interfacial phase of the SBS matrix along the MWCNTs, which we designated as a ''cell structure''
9:00 PM - AA10.21
Strain Mapping in Graphene Nanocomposites.
Lei Gong 1 , Robert Young 1 , Ian Kinloch 1 , Konstantin Novoselov 2
1 School of Materials, University of Manchester, Manchester United Kingdom, 2 School of Physics & Astronomy, University of Manchester, Manchester United Kingdom
Show AbstractModel composite specimens have been prepared consisting of a graphene flakes sandwiched between two thin layers of polymer on the surface of a poly(methyl methacrylate) beam. It has been found that well-defined Raman spectra can be obtained from the graphene flakes that enables the number of graphene layers within each flake to be determined. It has also been found that stress-induced Raman band shifts enable the strain distribution in the flakes to be mapped with a high degree of precision. It has been demonstrated that the distribution of strain across large graphene monolayers is relatively uniform at levels of applied strain up to 0.6% but that it becomes highly non-uniform above this strain. The change in the strain distributions has been shown to be due to a fragmentation process due to the development of cracks, most likely in the polymer coating layers, with the graphene remaining intact. The interfacial shear stress in the fragments is found to be only about 0.25 MPa which is an order of magnitude lower than the interfacial shear stress before fragmentation. The strain distributions in the case of bilayer and many-layer flakes are similar and it has been found that there is strain continuity across the layers in individual flakes with regions of different numbers of graphene layers. The relatively poor level of adhesion between the graphene and polymer layers has important implications for the use of graphene in nanocomposites and methods of strengthening the graphene-polymer interface are discussed.
9:00 PM - AA10.22
The Effective Young's Modulus of Single-, Double- and Multi-Walled Carbon Nanotubes.
Tamer Wafy 1 , Robert Young 1 , Ian Kinloch 1
1 School of Materials, University of Manchester, Manchester United Kingdom
Show AbstractCarbon nanotubes are thought to have high levels of stiffness and strength; Young’s modulus values of >1 TPa have been reported for single-walled nanotubes. There is therefore considerable interest in the exploitation of carbon nanotubes as reinforcement for polymer-based composites. In terms of cost, availability and ease of dispersion, MWNTs are the most attractive candidates to reinforce polymer composites. An issue that arises, however, is the extent to which stress can be transferred between the multiple shells in the MWNT structure. It is known that shear can take place easily between the individual graphene layers in graphite as the in-plane shear modulus of graphite is relatively low. This leads to ultra-low friction being measured for interlayer sliding in MWNTs. This easy shear also has the potential of causing a significant reduction in the effective Young’s modulus of the reinforcement by MWNTs. Raman spectroscopy can be used to follow the level of stress transfer between a reinforcing phase and the matrix in a composite. This was demonstrated originally for polymer fibres, carbon fibres and more recently for single-walled carbon nanotubes (SWNTs). These high modulus reinforcements have well-defined Raman spectra and the rate of shift of the Raman bands per unit strain scales with the Young’s modulus of the reinforcement. A systematic study has been undertaken of stress transfer epoxy composites reinforced with SWNTs, DWNTs and MWNTs. The levels of band shift found during the deformation of epoxy composite containing SWNTs are found to be consistent with a teraPascal level of Young’s modulus and bands shifts for MWNTs have been found to be significantly smaller, implying a lower Young’s modulus, of the order of 200 GPa. DWNTs being the simplest form of MWNTs can be employed as a model material for fundamental investigations of MWNTs. In this present study the shift of the Raman bands of DWNTs and MWNTs during deformation has been followed and the findings have been employed to model the reinforcement by all types of nanotubes in composites.
9:00 PM - AA10.23
Substrate Dependence of Tribological Properties of Graphene at Micro/Nanoscales.
Changgu Lee 1 , Qunyang Li 2 , Jinsik Choi 3 , Baeho Park Park 3 , Kwangseop Kim 4 , Hakju Lee 4 , Robert Carpick 2 , James Hone 5
1 Mechanical Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 2 Mechanical Engineering and Applied Mechanics, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 3 Physics, Konkuk University, Seoul Korea (the Republic of), 4 Nano Mechanics, Korea Institute of Machinary and Materials, Daejeon Korea (the Republic of), 5 Mechanical Engineering, Columbia University, New York, New York, United States
Show AbstractGraphene, as its bulk material graphite, has low surface energy, thus is expected to have good tribological properties. Using friction force microscope and microtribometer, we have measured friction and friction coefficient of graphene made by mechanical exfoliation and chemical vapor deposition methods at nano/microscales. We present results from three different experiments. 1) Mechanically-exfoliated graphene on SiO2/Si substrate showed thickness dependence at nanoscale. However, when exfoliated on mica and boron nitride, it did not show the dependence. This result shows that friction of graphene is independent of the thickness when deposited on atomically flat substrate because of strong interaction with the substrate. 2) Some mechanically-exfoliated monolayer graphene samples on SiO2/Si substrate exhibited anisotropic friction and had frictional domains. The friction anisotropy had 180 degree periodicity and was reduced with increasing load. We suggest that this is due to microscopic wrinkles formed due to strain through interaction with the substrate and present some evidences. 3) Graphene grown by a chemical vapor deposition method on nickel foil showed different friction coefficients depending on the substrate, when measured at microscale. Graphene transferred onto SiO2/Si substrate had higher friction coefficient and lower wear-resistance than as-grown graphene on nickel substrate. Since the as-grown graphene on nickel is strongly bonded to the substrate, graphene is not worn out or deformed easily, which leads to the better tribological properties. These results suggest that graphene, when strongly adhered to the substrate, can become an excellent dry lubricant and anti-adhesion layer for micro/nano machines and devices.
9:00 PM - AA10.24
Metal Adsorption on Low-Dimensional Porous Graphene for Hydrogen Storage Applications.
Chananate Uthaisar 1 , Veronica Barone 1 2
1 Science of Advanced Materials Ph.D. program, Central Michgian University, Mount Pleasant, Michigan, United States, 2 Department of Physics, Central Michigan University, Mount Pleasant, Michigan, United States
Show AbstractWe have studied the electronic structure of porous graphene sheets by using density functional theory. This structure is composed of a hydrocarbon superhoneycomb network with insertions of pores in specific sites. Porous graphene is a semiconductor with a large band gap (3.49 eV obtained at the HSE/6-31G** level). By reducing the dimensionality of the system, we find that porous graphene ribbons still present a large band gap and a high relative stability. State-of-the-art functionals were used to determine the binding energy of Li, Na, K, Mg and Ca on low-dimensional porous graphene. The interaction of Li and low-dimensional porous graphene presents a higher binding energy than other metal-doped. We also study the effect of metallic decoration on the electronic structure of porous graphene and their influence in the hydrogen intake capacity. We find that Li-doped porous graphene will be able to absorb a single H2 molecule per Li with a binding energy of 0.33 eV (LSDA) and 0.23 eV (PBE). Up to four H2 molecules per Li can be adsorbed with binding energies of 0.24 eV/H2 and 0.11 eV/H2 for LSDA and PBE functional, respectively.
9:00 PM - AA10.25
Catalytic Activity of Doped Carbon Nanotube Array Electrodes in Potassium Ferri/Ferrocyanide Electrolyte.
Pablo Salazar 1 , Baratunde Cola 1 , Satish Kumar 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractToday’s world energy demand pushes us to discover and develop efficient, cost-effective, durable and environmentally safe sustainable energy converters. Catalytic materials with high exchange current densities are one of the major limitations in energy conversion. In addition to the high accessible surface area of carbon nanotubes (CNT) array electrodes, their catalytic activity toward oxidation and reduction reactions have found applications in several electrochemical devices (e.g., batteries, fuel cells and thermogalvanic cells). Also, CNTs present a good adaptability to be functionalized and/or doped. For example, nitrogen doped CNT has been shown to have a greater electrocatalytic activity than a pristine CNT toward oxygen reduction reactions. Here, we investigated nitrogen (N) and boron (P) doping of CNT electrodes using experiments and quantum chemical simulations to: a) improve the catalytic activity of CNT electrodes with ferri/ferrocyanide as redox couple, and b) control the charge transfer coefficient at the anode and cathode in order to reduce the activation overpotentials. Redox couples with high exchange current densities and reaction entropies, such as potassium ferri/ferrocyanide, are suitable for applications such as thermogalvanic cells. Under a thermal gradient, the voltage and current generated in a thermogalvanic cell are proportional to the reaction entropy and charge transfer rate constant of the redox couple, respectively.Through a plasma-enhanced chemical vapor deposition (PECVD) process we are able to control the doping concentration in CNTs to levels of about 5% with respect to carbon content. Cyclic voltammetry experiments revealed enhanced exchange current densities on N-doped CNT electrodes, as compared to platinum and pristine CNTs. P-doped CNTs, on the other hand, showed poor catalytic activity with the redox couple. Ab-initio simulations showed an electron density accumulation around the nitrogen atom and a depletion of electrons in the neighbor carbon atoms as compared to the pure CNT. This local gradient of chemical potential creates a site in the electrode that is potentially more reactive towards reduction of the electrolyte.
9:00 PM - AA10.26
Electrically Conductive CNT/PTFE Composite Film for Corrosion Resistant Coating on Bipolar Plate of Fuel Cell.
S. Ishikawa 1 , T. Seimiya 1 , T. Nakashima 1 , H. Kuribayashi 1 , T. Hisano 1 , D. Fukushiro 1 , K. Yoshida 1 , Y. Shishido 1 , R. Kuwabara 1 , Yoshiyuki Show 1
1 , Tokai University, Hiratsuka Japan
Show AbstractCarbon nanotube (CNT) is chemically stable and electrically conductive material. One of the applications of the CNT is filler into insulating materials for decreasing its electrical resistance. In this study, composite film was formed from the carbon nanotube (CNT) and the polytetrafluoroethylene (PTFE). This composite film is electrically conductive (- 20S/cm) and highly corrosion resistance. Therefore, it is suitable material for corrosion resistant coating on bipolar plate of polymer exchange membrane fuel cells.CNT dispersion was made from multi-wall type CNT. Cellulose derivatives were added into water to disperse the CNT. Water based commercial PTFE dispersion was used in this study. The dispersion fluids of the CNT and the fluorocarbon resin were mixed and stirred by applying the ultrasonic wave. The CNT/PTFE dispersion was applied to glass substrate or stainless steel bipolar plate at the thickness 50micro m. The coated samples were at 350 oC for 10min.Pure PTFE showed the low conductivity below measuring limit. The composite material of 5% CNT showed high conductivity of 1 S/cm. The conductivity increased up to 20 S/cm with an increase in the CNT concentration in the film. This result indicates that the CNTs form the electrical network in the material and modify the PTFE into electrically conductive material.The fuel cell using the bare stainless steel bipolar plates showed the output power of 2.0W. The coating of composite film to the bipolar plates increased the output power up to 2.7W. Impedance analyzer measurement for these fuel cells indicated that the composite film coating decreased the contact resistance between the bipolar plate and the MEA, because the composite film prevents the bipolar plate surface from corroding. In this presentation, polarization curve measurement for the bipolar plate coated with CNT/PTFE composite material will be also discussed.
9:00 PM - AA10.27
Large Area Synthesis of Carbon Nanosheet (CNS) Films for Energy Storage Applications.
Daire Cott 1 , Maarten Verheijen 2 , Philippe Vereecken 1 3
1 , IMEC, Leuven Belgium, 2 Department.of Chemistry, Katholieke Universiteit Leuven, Leuven Belgium, 3 Center for Surface Chemistry and Catalysis, Katholieke Universiteit Leuven, Leuven Belgium
Show AbstractNanostructures graphitic carbon films having high surface area, resistance to oxidation and high electrical conductivity are interesting candidates for a host of future electronic and energy storage applications. Such films can be freestanding vertical to the plane and are individually made up of thin graphite sheets with a finite number (2– 20) of carbon layers. At the very limit of this is graphene a single hexagonal sp2 bonded carbon sheet with phenomenal electronic properties. CNS films possess properties close to multi layered graphene or small graphite crystals commonly referred to now as nanocrystalline graphite (NC). We demonstrate the controlled growth of CNS films over large area 200mm substrates. This study identifies differences in CNS morphology such as no. of layers per sheet, length and graphitic structure when switching between carbon precursors CH4 and C2H2 respectively. The influence of the under-layer from which CNS layers are grown is also presented as CNS growth is compared on Titanium Nitride (TiN) and directly on Si. Raman spectroscopy is used to characterize the evolution of CNS nucleation and growth with time in conjunction with XPS and TEM revealing the nano-sized graphitic nature of these films and the intimate contact to the substrate. An important advantage of these films for energy storage applications such as electrochemical supercapacitors is that they possess high surface area with a relatively low cost of manufacturing and unlike other carbon nanomaterials their synthesis does not require a metal catalyst. The high percentage of narrow graphite edge sites exposed allow for faster electrochemical reaction rates that could target applications such as chemical sensors, and passivation layers in Si photochemical cells. Electrochemical Cyclic voltammogram (CV) measurements reveal these layers to form a robust electrical contact to the underlying substrate with excellent stability towards oxidation whilst having a large electrochemical surface area.
9:00 PM - AA10.28
Carbon Nanotube Films as the Conductive Layer for Transparent Capacitors.
Sophie Sorel 1 , Sukanta De 1 , Jonathan Coleman 1
1 Physics, Trinity College Dublin, Dublin Ireland
Show AbstractAdvances in electronics may in the near future enable the creation of perfectly transparent flat panel displays. It is now of general interest to produce different kinds of transparent devices such as capacitors, inductors, transistors etc. in order to create complex transparent circuits.
To this end, we have prepared capacitors using films from aqueous dispersions of carbon nanotubes as the conductive layer. Carbon nanotubes were chosen for their excellent electronic properties paired with a high surface area compared to graphene and a low surface roughness compared to silver nanowires. Polycarbonate was used as the dielectric layer and we investigated the use of imbedded layers of insulating 2D-nanomaterials in order to further remove any risk of shorts in the device.
A series of identical capacitors were obtained, showing reproducibility, with a transmittance of T = 80%, a shunt resistance Rshunt = 115Ω (equal to the sheet resistance, RS, of the carbon nanotube films) and a capacitance C = 2*10-8 F leading to a time constant τ = 2.3*10-6 s. In order to show that the relation, RS=2*(t*σDC,B)-1 , holds for our device, a selection of capacitors were prepared with carbon nanotube films with thicknesses varying from 10 nm to 150 nm as the conductive layer of the device. A selection of capacitors were then produced with a range of different dielectric thicknesses to show that the capacitance depends on the dielectric thickness as C=εA/t.
9:00 PM - AA10.29
Aqueous Synthesis and Elastic Modulus Measurement of Graphene Oxide and Graphene.
Ken-Hsuan Liao 1 , Andre Mkhoyan 1 , Christopher Macosko 1
1 Chemical Engineering & Materials Science, University of Minnesota TC, Minneapolis, Minnesota, United States
Show AbstractGraphene has recently attracted enormous scientific interest due to its exceptional mechanical properties, surface area and electrical properties. Graphene oxide provides routes to large quantities of graphene, is economically produced, and is easily processed. We report a new, simple, hydrazine-free, high-yield method for producing single-layer graphene sheets. Graphene sheets were formed from graphite oxide by reduction with simple deionized water at 95C under atmospheric pressure. Over 65% of the sheets are single graphene layers; the average sheet diameter is 300 nm. We speculate that dehydration of GO is the main mechanism for oxygen reduction and transformation of C-C bonds from sp3 to sp2. The reduction appears to occur in large uniform interconnected oxygen-free patches so that despite the presence of residual oxygen the sp2 carbon bonds formed on the sheets are sufficient to provide electronic properties comparable to reduced graphene sheets obtained using other methods. The graphene produced from the reduction of graphene oxide was reported to have remaining dislocations and an elastic modulus ~220 GPa. We have applied atomic force microscopy (AFM) to measure the elastic modulus of graphene oxide with various carbon to oxygen ratios. Mechanical properties of single graphene sheets have potential to help us to understand the “structure morphology” of our graphene, and then help us to develop an optimized synthesis condition which favors forming more sp2 instead of sp3 structures even at the same oxygen contents. A high elastic modulus of chemically reduced graphene is also valuable for the application of graphene/polymer nanocomposites.
9:00 PM - AA10.3
The Effect of Multi-Wall Carbon Nanotubes on Mechanical and Torsion Fatigue Properties of Carbon Fabric-Epoxy Composites.
Yu-Hsuan Yang 1 , Yi-Luen Li 1 , Ming-Yuan Shen 1 2 , Ming-Chuen Yip 1
1 Power Mechanical Engineering, National Tsing Hua University, Hsinchu Taiwan, 2 , Plastic Industry Development Center, Taichung Taiwan
Show AbstractRecently, it has been observed that surface modification of carbon nanotubes (CNTs) influences on CNTs distribution among epoxy resin and affects the mechanical properties and electrical conductivities of CNTs. In this study, multi-walled carbon nanotubes (MWCNTs) were spread evenly among epoxy resin by using high-efficiency ultrasonication, and MWCNTs were infused into EPO-622 epoxy resin adopting sonic cavitation and high-speed mechanical stirring. Finally, the residual air bubbles were removed using vacuum technique. Flexural, interlaminar shear strength (ILSS), torsion and fatigue tests were performed on MWCNTs-filled (0.5wt%, 1.0wt% and 1.5wt% by epoxy resin weight) epoxy resin composites and MWCNTs-unfilled composites to identify the effect of adding MWCNTs on the mechanical properties of carbon fabric-epoxy resin composite. Woven carbon fiber and epoxy resin were adopted to fabricate composite using hot press molding. The highest improvement in static mechanical properties and dynamic torsion fatigue life was obtained when amount of MWCNTs of MWCNTs-containing composite reached to 1.5wt%. Flexural, interlaminar shear stress, torsion and torsion fatigue tests were performed to evaluate the effectiveness of MWCNTs addition on the mechanical properties and fatigue life of the carbon fabric-epoxy resin composite. The flexural strength and modulus of the MWCNTs-containing composite improved by 8.97% and 11.45% respectively, compared to that of the composite without MWCNTs. Moreover, the 1.5wt% MWCNTs-containing carbon fabric-epoxy composite showed 10.74% enhancement on the interlaminar shear stress compared to that of composite without MWCNTs. Based on the experimental result, a linear damage model has been fitted with ordinary least squares (OLS) method for unfilled and MWCNTs-filled carbon fabric-epoxy composite. Additionally, the torsion fatigue property was also investigated in this study. This experiments were preformed by Instron’s 1322 servohydraulic testing system, the twisting angle ratio R is chosen -0.1, and the maximum twisting angle were chosen 42, 45, 48 and 50 degrees. The fatigue life can be described as linear relation in the semi-log plot. The fatigue life were improved significantly when the CNT content increase.
9:00 PM - AA10.30
Self-Mediated Hydrogen Migration in Pure Carbon Materials.
Badri Narayanan 1 , Cristian Ciobanu 2 , Yufeng Zhao 3
1 Department of Metallurgical and Materials Engineering, Colorado School of Mines, Golden , Colorado, United States, 2 Division of Engineering, Colorado School of Mines, Golden, Colorado, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractRecent developments in hydrogen storage in nanoscale carbon materials through the so-called spillover technique shows that chemisorbed H- atoms in carbon migrate relatively easily, with kinetics much faster than has been theoretically expected. Atomic H migration in carbon runs against the common understanding of C-H chemistry, in which the CH bonds are virtually inert. Here we propose a novel mechanism for hydrogen transport in porous carbon nanotube (CNT) materials in which the migration of H atoms is facilitated by the porous material itself, i.e. self-mediated hydrogen diffusion. This mechanism consists in the hopping of H atoms from one CNT to another, a process enabled by the significant lowering of the energy barrier associated with the hopping of the H atom between CNTs. The hopping barrier depends critically on the proximity of the H atom to a carbon atom on another CNT; this dependence was analyzed via electronic structure calculations for various inter-tube separations. Furthermore, we have found that the decrease of the barrier for the hopping process holds not only for configurations of perpendicular CNTs but also for the hopping of H between CNTs that are parallel to one another. Our results provide an explanation for the experimentally observed rapid migration of H through porous materials, and suggest that the kinetics of hydrogen in these materials can be relatively easily controlled by modifying the spacing between CNTs (e.g., by applying small strains).
9:00 PM - AA10.31
Influence of Graphene Surface Chemistry on the Performance of Graphene/Conducting Polymer Electrochemical Supercapacitors.
Linfei Lai 1 2 , Zexiang Shen 1 , Jianyi Lin 2
1 , Nanyang Technological University, Singapore Singapore, 2 , Institute of Chemical and Engineering Sciences, A*STAR,1 Pesek Road, Jurong Island, , Singapore Singapore
Show AbstractPorous structural carbon materials have long been used as carrier to prepare carbon/polymers/meta oxides composite for supercapacitor electrodes. However, until now there's no systematical investigation of graphene surface effect on the high-performance graphene electrode, although surface characters of carbon is found to be crucial for graphene/CP electrochemical performances improvement.A series of functionalized graphene derived from graphite oxide (GO) are prepared and doped with conducting polymer (CP) to systematically investigate the combined effect of surface functionalization and conducting polymer hybridization on supercapacitor performance. The electronic structure and surface chemistry properties is studied by XAS, XPS, FT-IR, etc. The functional groups attached onto carbon can act as electron donor and/or electron acceptor groups, which not only provide pseudocapacitance, but also provide active sites for monomer adsorption as well. Surface functionalities of carbon have diverse effect on carbon electronic properties which are also important for carbon based supercapacitors, especially when carbon nanostructures are acting as pseudocapacitive charge collector or micro-electrode for Faradic reactions charge transfer. Incorporation of heteroatoms into graphene network could verify graphene electronic transfer efficiency and improve graphene surface wettability. It is found that the capacitance value improvement magnitude after hybridization with CPs could change significantly from one graphene type to another. For example, the specific capacitance (SC) of nitrogen doped graphene was improved by 3.55 times and reach 393.67 F/g after hybridize with 10 % polypyrrole. However, reduced graphene only show 2.1 times improvement of SC values, which only maintain 165.25 F/g after hybridize with 10% polypyrrole. Our work demonstrates that introduction of heteroatoms doping to graphitic material before growth of CP is essential to prepare graphitic-CP composites with high cycling stability and specific capacitance for supercapacitor application. It is believed that the surface functionalities induced capacitance improvement effect is also applicable to other graphitic material/CP composite preparation for supercapacitors and other electrochemical devices.
9:00 PM - AA10.34
Chemically Modified Graphene/Polyaniline Nanofiber Composites via In Situ Polymerization.
Ho Jin Bae 1 , Sang Won Lee 1 , Wan Soo Huh 1 , Kwang Hoon Lee 1 , Yoo Kyoung Shim 1
1 chemical engineering, soongsil university, Seoul Korea (the Republic of)
Show AbstractGraphene has the chemical structure consisting of two-dimensional layer of sp2-bonded carbon atoms, and have attracted considerable interest over the last ten years due to the extraordinary electrical, thermal, and mechanical properties arising from its unique structure. Polyaniline(PANI), typically synthesized by oxidative polymerization of aniline monomer using peroxydisulfate oxidant, has attracted much attention in the past decades owing to its unique properties, such as facile synthesis, high environmental stability, and simple acid/base doping/dedoping chemistry.The chemically modified graphene/PANI nanofiber composites was prepared via in-situ polymerization using graphene oxide (GO) or reduced graphene oxide (R-GO) as filler. GO was obtained using modified Hummer’s method and polymerization of aniline monomer was carried out in the presence of GO under acid conditions. For R-GO/PANI nanofiber composites, after the polymerization was completed, the GO/PANI nanofiber composites were chemically reduced to graphene/PANI nanofiber composites. We investigated the effect of GO and R-GO as filler on thermal and electrochemical properties of the composites.The surface morphology and structure of polyaniline fibers (PANI-F), GO and R-GO were characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), thermo gravimetric analysis-differential scanning calorimetry (TGA-DSC) and Fourier transform infrared spectroscopy (FT-IR). The Surface morphology of composites show randomly aggregated, crumbled graphene flakes and PANI nanofiber covered on it. Also, SEM and TEM images show that the composites of GO/PANI nanofiber or R-GO/PANI nanofiber are similar, it indicates that the reduction process do not have a significant effect on the morphology of the composites. TGA was performed on GO, R-GO, PANI-F and the composites to compare the effects of thermal stability and was carried out under a nitrogen atmosphere. The resulting curves were showed that the composites with R-GO show better thermal stability compared with the PANI-F.The successful growth of PANI-F along the surface of graphene sheets was verified by FT-IR spectra and X-ray photoelectron spectroscopy (XPS) analysis. Capacitive and redox characteristics of GO, RGO, PANI-F, GO-PANI, and R(GO-PANI) were studied using cyclic voltamometry and it infers further insight into the interactions between GO and PANI. Most of the composites show high electrical conductivities, high specific capacitance and good cycling stability.
9:00 PM - AA10.35
Study of Functionalized-Graphene/Polystyrene Composites via in-situ Emulsion Polymerization.
Yookyoung Shim 1 , Sang won Lee 1 , Wan soo Huh 1 , Kwang hoon Lee 1 , Ho jin Bae 1
1 chemical engineering, soong-sil university, Seoul Korea (the Republic of)
Show AbstractGraphene consists of flat carbon nanosheets, as a single layer of carbon atoms arranged in a two dimensional honeycomb lattice and it has attracted great interest because of its unique physical, chemical, electrical and mechanical properties. For developing high performance graphene-based nanocomposites, dispersal of graphene nanosheets in polymer hosts and precise interface control of morphology are challenging issue due to the strong interlayer cohesive energy and surface inertia.For the surface modification of the graphene nanosheets with polymers, many effective methods have been tried to improve the solubility in common solvents and dispersibility in polymer matrix. In this study, various functional moieties (e.g., hydroxyl, carboxyl, amino, bromine) were studied to anchor covalently on graphene to prepare single-layer functionalized graphene from graphene oxide. The resulting functionalized graphene nanosheets are electrically conductive, readily dispersible in solvents and easily processable. It can allow to obtain promising candidates for further modification and applications such as nanohybrids, and polymer composites.The graphene–polystyrene nanocomposites were prepared via in situ emulsion polymerization of styrene monomer and functionalized graphene oxide. At first, the pristine graphite was oxidized using a strong oxidant acid to prepare GO, and followed by dispersing into water, where a homogeneous dispersion of GO in water was obtained through ultrasonification method. Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), X-ray photoelectron spectroscopy (XPS) and sedimentation experiments have been used to characterize the prepared composites. It has been identified that polystyrene chains have been successfully grafted onto GO sheets during polymerization. The TGA and XPS results showed that the content of grafted polystyrene was about 10–30%, which indicates a homogeneous dispersion of GO sheets in the styrene matrix, as demonstrated by SEM. Furthermore, a significant improvement of tensile strength and elongation at break of polystyrene has been identified.The present work provides a general methodology to obtain individually dispersed graphene nanosheets through various functionalizations and properties, and implies the way for the synthesis and applications of functionalized graphene materials.
9:00 PM - AA10.37
Synthesis of Metal-Free N-Doped Nanocarbon Materials for Oxygen Reduction Activity.
Shintaro Akiyama 1 , Chiaki Terashima 1 , Nagahiro Saito 1 2 3 , Osamu Takai 1 2 3
1 , Nagoya University, Nagoya-city Japan, 2 , EcoTopia Science Institute, Nagoya Univ, Nagoya-city Japan, 3 , JST/CREST, Nagoya-city Japan
Show AbstractFuel cell offers one of the green energy sources. Many researchers have attained their efforts in developing alternative materials to Pt catalyst. Some carbon-based non-Pt catalysts were recently reported, however, the electrocatalytic nature of these carbon materials has remained obscure. Matter and Ozkan have proposed that the origins of the active sites for oxygen reduction reaction (ORR) were a higher edge plane exposure of graphite and a higher content of pyridine-like nitrogen in addition to the nanostructured carbon (Catal. Lett., 109, 115, 2006). Our groups have so far synthesized a spherical graphite material, which is called carbon nanoball (CNB), by a thermal decomposition method. In this study, nitrogen-doped CNBs were at first prepared and then followed by milling of an ultra-high pressure aqueous jet flow into the formation of more nanostructured carbons and the edge plane exposure of graphite inside the CNBs. The obtained nanocarbon materials were physically characterized using XPS, XRD, SEM and TEM, and electrochemically evaluated through linear sweep voltammetry (LSV). Nitrogen-doped CNBs were synthesized by a thermal CVD method. Carbon and nitrogen sources were used as (1) the combination of pure hydrocarbon and nitrogen gases, and (2) the evaporation of hydrocarbon solvent with C-N bonds. Milling was performed by a wet-type jet mill (STARBURST, Sugino Machine Ltd.). The activity for the ORR was evaluated through LSV by using the prepared carbons as the working electrodes in a three electrode system. The presence of nitrogen in the carbons was confirmed by XPS N 1s peaks. The content of nitrogen in the carbon materials fabricated from the source (2) was relatively higher than that from the source (1). Furthermore, a nitrogen-doped CNB from the source (2) contained the pyridine-like nitrogen species. ORR activity and milling experiments are now in progress to indicate the enhanced catalytic activities.
9:00 PM - AA10.38
Thermoelectric and Resistivity Measurements of CNT-MgB2 Composite.
Kofi Adu 1 2 , Gamini Sumanesekera 3 4 , Ruwantha Jayasingha 3
1 Physics, The Pennsylvania State University, Altoona College, Altoona, Pennsylvania, United States, 2 Materials Research Institute, The Pennsulvania State University, University Park, Pennsylvania, United States, 3 Physics & Astronomy, University of Louisville, Louisville, Kentucky, United States, 4 Conn Center for Renewable Energy Research, University of Louisville, Louisville, Kentucky, United States
Show AbstractCarbon nanotubes (CNT) and magnesium diboride (MgB2) are two fascinating materials; with CNT exhibiting unique quantum electrical properties due to its 1-D structure and MgB2 being a superconducting with transition temperature (Tc) at ~40 K. We report preliminary results of temperature dependent (300K to 4K) thermoelectric power (TEP) and resistivity of single-wall CNT-MgB2 composite of varying composition. We observed that the normalized resistance (R-R300)/R300 shows very little dependence on the sample composition; however, the absolute resistance increases with increasing CNT concentration. At high CNT composition, the TEP mimic the characteristic TEP of CNT. However at low CNT composition, we see an upturn at about 30K.
9:00 PM - AA10.39
Ultrafast Nano-Oscillators Based on Carbon Nanoscrolls.
Zhao Zhang 1 , Teng Li 1
1 Department of Mechanical Engineering, University of Maryland, College Park, Maryland, United States
Show AbstractSignificant research progress on graphene in past several years has enabled the exploration of carbon nanoscrolls (CNSs), a one-dimensional carbon nanomaterial that is distinct from carbon nanotubes (CNTs). A CNS is formed by rolling up a monolayer graphene into a spiral multilayer nanostructure, whose core size is highly tunable by relative sliding between adjacent layers. In other words, a CNS is topologically open, fundamentally distinct from a tubular CNT, which is topologically closed (e.g., whose core size can only be changed slightly by stretching the carbon-carbon (C-C) bonds). The open and highly tunable structure of CNSs, combining with the exceptional mechanical and electronic properties inherited from the basal graphene, has inspired an array of novel nano-device applications, such as hydrogen storage medium, water and ion channels, radially breathing nano-oscillators and translational nano-actuators. In this paper, we demonstrate ultrafast CNS-based axial nano-oscillators that operate at frequencies from 10s GHz to more than 100 GHz, using molecular dynamic (MD) simulations. Initiated by a single-walled carbon nanotube (CNT), a monolayer graphene can continuously scroll into a CNS with the CNT housed inside. The CNT inside the CNS can oscillate along axial direction at a natural frequency of 10s gigahertz (GHz). The unique topological structure of the CNS-based nano-oscillator offers a viable pathway to fabricating ultrafast axial nano-oscillators, addressing a significant challenge that still remains for the previously proposed MWCNT-based axial nano-oscillator.We further propose an effective strategy to reduce the dissipation of the CNS-based nano-oscillator by covalently bridging the carbon layers in the CNS. Our results show that the interlayer bridging bonds in the CNS can effectively suppress the self-oscillation of the CNS, leading to a significant improvement in the sustainability of the CNS-based nano-oscillators. We further demonstrate that, such a CNS-based nano-oscillator can be excited and driven by an external AC electric field, and oscillate at more than 100 GHz, which exemplifies the promising potential to leverage CNS-based nano-oscillators for energy transduction, harnessing and storage (e.g., from electric to mechanical) at nano-scale.
9:00 PM - AA10.4
Helical Nanowires and Nanotubes: Synthesis and Applications in Mechanical and Electronic Devices.
Prabhakar Bandaru 1 , Apparao Rao 2
1 , UC, San Diego, la Jolla, California, United States, 2 , Clemson University, Clemson, South Carolina, United States
Show AbstractThe synthesis of helical/coiled morphologies of nanowires andnanotubes, through Chemical Vapor Deposition techniques, will bereported and their suitability assessed for a wide variety ofapplications, e.g., nanoscale mechanical springs and electricalinductors, etc. Coil formation is scientifically interesting in that helicesabound in nature, e.g., DNA, proteins etc. and a connection is beingmade at the nanoscale between inorganic and organic structures. Forapplications, it would be desirable to have control over the coilmorphology and geometry- which has not been achieved, possibly due toan incomplete understanding of their growth mechanisms. In the talk, Ifirst briefly review the models in vogue and point out theirshortcomings. Second, I introduce a thermodynamic model, based onexclusion volume principles, common in chemical and biologicalsystems, that could potentially explain coiling. Then, our ownexperimental results conforming to the above model, on the role ofnon-wetting catalyst particles on influencing the coil pitch innanowires/nanotubes, will be outlined. Finally, the utility of suchstructures will be probed.
9:00 PM - AA10.40
Deformation Instability of Carbon Nanoscrolls.
Zhao Zhang 1 , Teng Li 1
1 Department of Mechanical Engineering, University of Maryland, College Park, Maryland, United States
Show AbstractA carbon nanoscroll (CNS) is a spiral multilayer structure formed by rolling up a single graphene sheet. It has tunable core size resulting from the relative sliding between adjacent layers and thus is topologically open. The topologically open structure of CNSs also dictates unique deformation behaviors of CNSs distinct from those of MWCNTs. We report the studies of the mechanical response of CNSs under various deformation modes. Particular focus is placed on the deformation instability of CNSs, which is shown to be different from that of MWCNTs. The unique deformation behaviors of CNSs, combining with the exceptional mechanical and electronic properties inherited from the basal graphene, can potentially enable unconventional applications in NEMS and nanomaterials.
9:00 PM - AA10.41
Radical Polymer-Wrapped Single-Walled Carbon Nanotubes for a Transparent Charge-Storage Material.
Wonsung Choi 1 , Kenichi Oyaizu 1 , Hiroyuki Nishide 1 2 , Kurt E. Geckeler 2
1 Department of Applied Chemistry, Waseda University, Tokyo Japan, 2 Department of Nanobio Materials and Electronics, Gwangju Institute of Science and Technology, Gwangju Korea (the Republic of)
Show AbstractThe modification of carbon nanotubes (CNT) has been investigated to obtain various functionalities such as solubility, optical and electrical properties. Polymer-wrapping is attractive candidate to provide tunable functionalities to CNTs. Electrical properties of SWNTs have been modulated using polymers bearing electron-withdrawing, -donating, and dielectric pendant groups instead of functionalization requiring severe conditions. Radical polymers are a series of functional polymers which densely bear organic robust radical groups, which has successfully demonstrated high charge density and rapid switching between the charging and discharging state corresponding to oxidation/reduction of the radical groups. These outstanding properties were attributed to aliphatic main chain allowing facile accommodation of counter ion and radical pendant groups offering rapid electron self-exchange. In this report, single-walled carbon nanotubes (SWNTs) were wrapped with radical polymers represented by poly(2,2,6,6-tetramethylpiperidine-1-oxy-4-methacrylate) (PTMA) at a molecular level, which formed conductive network at a low loading fraction (4 wt%) in the polymer. The conductivity of the network of SWNTs was controlled by surrounding environmental change between charging and discharging state of the polymer. Well-dispersibility in common organic solvent such as CH3Cl3 allowed fabrication of the radical polymer/SWNT composite electrode by wet-process. The electrode exhibited extremely high charging-discharging rate (full discharging within 6s) of the polymer, which also kept a high transparency (> 80%) at micrometer-scale film thickness.
9:00 PM - AA10.42
The Minimal Nanowire: Mechanical Properties of Carbyne.
Arun Nair 1 2 , Steve Cranford 1 2 , Markus Buehler 1 2
1 Department of Civil and Environmental Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Center for Material Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThe superior properties of fullerenes, nanotubes and graphene, have motivated significant research efforts in recent years to the remaining theoretical forms of carbon allotropes. The existence of stable forms of single chains of carbon atoms, carbyne, has remained elusive and a subject of intense debate. Recent reports of successful synthesis of long chains of conjugated carbyne chains provided a protocol for the production of carbyne. This carbon structure has caused significant interest due to the possibility of electron transport through individual chains, motivated by the prospect of using carbyne as components in atomic-scale circuits. Carbyne represents the ultimate limit of synthetic nanoscale structures, forming the absolute minimal nanowire consisting of only a one-dimensional chain of single atoms. In order to use carbyne chains for several nanotechnology applications, the mechanical characterization of carbyne chain is necessary. Atomic scale testing using first principle methods such as density functional theory may not be computationally feasible to reach simulation times of several nanoseconds. In this talk, we will present the mechanical properties of carbyne chains by carrying out molecular dynamics simulations with the first principles based ReaxFF force field for carbon-carbon interactions. This allows reaching time-scales for relatively slow mechanical testing of carbyne chains. By conducting uniaxial tension tests on carbyne chains of varying lengths, we find that the shorter chains (16 Å and lower) attain higher maximum stresses and exhibits significant plastic deformation. From the stress-strain plots, we find that the modulus of carbyne is one third that of graphene and the maximum stress of the shortest carbyne chain of 5 Å length is one order of magnitude lower than that of graphene. The analysis of the oscillation frequency of carbyne chains is carried out to calculate the bending stiffness. We perform a discrete Fourier transform to extract the fundamental mode of vibration in the carbyne chains from the displacement time-history. The highest frequency is expressed by the shortest carbyne chains (5 Å) is "f " = 6 THz, which is larger than even the ultrahigh frequencies expressed in vibrating carbon nanotubes. This represents a crucial milestone to enable new advances in experimental design and analysis and future theoretical development. We expect that our study will stimulate many future high-impact applications through experimental investigation (through the design of experiments to test the predictions put forth in our study). The mechanical properties of carbyne chains are promising for nanotechnology applications and provide further motivation to investigate the possibility of using this material as reinforcing agents in nanocomposites or in nanoelectronics applications.
9:00 PM - AA10.43
The Intrinsic Capacitance of Functionalized Graphene Sheets.
Michael Pope 1 , Christian Punckt 1 , Ilhan Aksay 1
1 Chemical and Biological Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractElectrochemical double-layer capacitors (EDLCs) store charge by accumulation of ions on the solution side of the electrode-electrolyte interface in response to an applied voltage. While the power density and cycle-life of EDLCs are greater than current Li-ion batteries, their relatively low energy density limits their use. Thus research efforts are focused on developing new electrode materials and exploiting new mechanisms to enhance the charge storage capabilities of such devices. Electrodes based on functionalized graphene sheets (FGSs) have already demonstrated specific capacitances exceeding conventional activated carbons while also possessing superior charge-discharge rates. Despite wide interest in FGS-based EDLCs, the intrinsic capacitance of FGSs has not been determined. Thus, the capacitance and energy density limits of EDLCs based on FGSs are currently unknown. In this talk, we will present a general method for characterizing the intrinsic capacitance of FGSs in the absence of porosity-related artifacts and uncertainties. By assembling densely tiled monolayers of electrically insulating or conductive FGSs onto electrode substrates (gold and highly oriented pyrolytic graphite), we demonstrate our ability to isolate their intrinsic electrochemical response and show that intrinsic capacitances higher than 8 µF/cm2 are achievable. We use this system to compare the intrinsic capacitance of FGSs produced using various reduction and annealing treatments.
9:00 PM - AA10.44
Tribological Properties of Graphene and Boron-Nitride Layers: A Fully Atomistic Molecular Dynamics Study.
R. dos Santos 1 , Leonardo Machado 2 , Sergio Legoas 3 , Douglas Galvao 2
1 Physics Department, IGCE, State University of Sao Paulo, UNESP, Rio Claro Brazil, 2 Applied Physics, State University of Campinas, Campinas, Sao Paulo, Brazil, 3 Physics, Federal University of Roraima, Boa Vista Brazil
Show AbstractGraphene [1] has been one of the hottest topics in materials science in the the last years. Recently [2], the frictional characteristics of atomically thin sheets were experimentally investigated using atomic force microscopes (AFM). A new mechanism to explain the enhanced friction for these materials based on elastic compliance has been proposed [2]. Here, we have investigated the tribological properties of graphene and boron-nitride (single and multi-layers) membranes using fully atomistic molecular dynamics simulations. These simulations were carried out using classical force fields as implemented in the Large-scale Atomic/Molecular Massively Parallel Simulator (LAMMPS) code [3]. The used structural models contain typically hundreds of thousands of atoms. In order to mimic the experimental conditions, an artificial AFM tip is moved over the membranes and the tribological characteristics determined in terms of forces and energies. Our results are in good agreement with the available experimental data. They show that the tribological properties can be explained in terms of out-of-plane geometrical distortions and elastic waves propagation. They validate the general features of the model proposed by Lee et al. [2].[1] K. Noveselov et al., Science v306, 666 (2004).[2] C. Lee et al., Science v328, 76 (2010).[3]] S. Plimpton, J. Comput. Phys. v117, 1 (1995).
9:00 PM - AA10.45
From Soft to Superhard: Pressure-Induced Structural Transformations of Graphite at Ambient Temperature.
Yuejian Wang 1 2
1 Physics, Oakland University, Rochester, Michigan, United States, 2 Geology and Geophysics, Yale University, New Haven, Delaware, United States
Show AbstractThe knowledge of phase relations is essential to the design and manufacture of materials with novel properties and/or improved performance characteristics. For graphite, an important material to basic and applied science, there still lacks a consistent understanding of its phase transitions under compression at room temperature. Our high-pressure experiments close this gap. They show that hexagonal graphite (H-graphite) starts to transform to a new phase at ~19 GPa, which can be indexed as a monoclinic structure (M-carbon). The capacity to damage the pressure-delivering diamond anvils shows that the high-pressure phase has a mechanical strength similar to that of diamond. Upon decompression, the high-pressure phase transforms first to rhombohedral graphite (R-graphite), before reverting back to the initial hexagonal structure. The recovered samples are nanocrystalline with a grain size between 100-200 nm, vastly different from the large, well-aligned and layered structure of the starting hexagonal graphite crystals.
9:00 PM - AA10.46
Graphenated Carbon Nanotubes for Energy Storage Applications.
Charles Parker 1 , Akshay Raut 1 , Billyde Brown 1 , Brian Stoner 2 , Jeffrey Glass 1
1 Electrical and Computer Engineering, Duke University, Durham, North Carolina, United States, 2 , Research Triangle Institute, Durham, North Carolina, United States
Show AbstractGraphene and carbon nanotubes (CNTs) are interesting materials, both scientifically and technologically, due to their exceptional properties and potential use in applications ranging from high frequency electronics to energy storage devices. A novel hybrid structure will be presented consisting of graphene foliates grown along the length of aligned multi-walled CNTs. The graphene foliate density varies as a function of deposition conditions and a model is proposed for their nucleation and growth. The hybrid structures were studied using scanning and transmission electron microscopy and Raman spectroscopy. Electrochemical impedance spectroscopy indicated that the weight specific capacitance for the graphenated CNTs was 5.4x that of similar CNTs without the graphene foliates. Pulsed charge injection measurements demonstrated a 7.3x increase in capacitance per unit area. These data suggest that this unique structure integrates the high surface charge density of the graphene edges with the high longitudinal conductivity of the CNTs and may significantly enhance charge storage and related applications.
9:00 PM - AA10.47
Annealing Effect on Crystallinity and Oscillation Property of Carbon Nanocoils.
Kaori Hirahara 1 2 , Kento Nakata 2 , Yoshikazu Nakayama 2
1 Frontier Research Base for Global Young Researchers, Osaka University, Suita Japan, 2 Department of Mechanical Engineering, Osaka University, Suita Japan
Show AbstractCarbon nanocoils (CNCs) have unique mechanical and electromagnetic properties originated in the coiled features, so that attractive applications are expected such as nanometer-sized spring components installed in microelectromechanical system, electromagnetic wave absorber, etc. For utilizing the mechanical-spring characteristics, in particular, it is crucial to examine correlation between fine structures and oscillation properties of individual CNCs. It has been reported that annealed CNCs showed better oscillation property [1]. It is probably because the crystallinity of the CNCs was improved. In the present study, annealing effect on crystallinity and oscillation property of individual CNCs was evaluated by using transmission- and scanning-electron microscopes (TEM, SEM). CNCs were synthesized by chemical vapor deposition method with Fe-In-Sn catalyst [2]. Diameters and lengths of the CNCs were 200-500 nm and 5-20 μm, respectively. Annealing of CNCs were carried out in vacuum at 1000 ~ 2600 °C [3]. CNCs were then supported onto the edge of Pt-coated Si substrates for TEM and SEM experiments. In 90 kV-TEM, electron diffraction and images of graphitic layers in CNCs were recorded. In SEM, an electrode was placed close to a CNC protruded from the substrate, and alternating electric field was applied between the electrode and the CNC. Resonant oscillation were monitored by SEM imaging with changing the frequency of electric field, and the Q-value were estimated from Q-curve obtained by measuring the amplitude of the CNC. According to electron diffraction data, interlayer distance of graphitic layers in CNCs decreased as annealing temperature increased above 1000 °C, and the values were almost same as that for graphite (0.335 nm) at above 2000 °C. In addition, both electron diffraction and TEM images showed that wavy and defective walls in CNCs were significantly reconstructed above 1400 °C, and almost all layers had straight features like as in ideal graphite were observed at 2600 °C. On the other hand, Q-value was improved by ca. 10 % due to annealing at 1000 °C. When annealing temperature increased to 2600 °C, however, we found that the Q-value decreased by ca. 30 %. These experimental data may suggest the oscillation property of CNCs is affected by competing structural factors related to lose of the oscillatory energy: level of interlayer bonding in wavy regions, sliding friction caused between straight region of graphitic layers, etc. In addition, electroresistive heating of CNCs was carried out. The result was consistent to the above experiments, after considering that CNCs showed inhomogeneous structural changes due to parabolic temperature distribution during heating.References[1] S. Sato, Master thesis in Osaka Pref. Univ.[2] N. Okazaki, et al., J Phys Chem. B. 109(37), 17366 (2005).[3] Annealing experiment was supported by Dr. T. Nagasaka in Taiyo Nippon Sanso Corp.
9:00 PM - AA10.48
Structural Modulation of Isolated Carbon Nanotubes during the Superplastic Deformation Process.
Kaori Hirahara 1 2 , Keita Inose 2 1 , Yoshikazu Nakayama 2
1 Frontier Research Base for Young Scientist, Osaka University, Suita Japan, 2 Department of Mechanical Engineering, Osaka University, Suita Japan
Show AbstractFor the utilization of carbon nanotubes (CNTs) as the wiring components in miniature electric devices, it is essential to establish technologies to operate and process them by cutting, plumbing and bending, etc., to give the CNTs the desirable feature required for installation in devices. In particular, superplastic deformation has been reported *1; a CNT can elongated >200 % by applying tensile stress and Joule heating. Since the current density was enough smaller than that to cause sublimation of carbon atoms, the deformation has been theoretically explained by migration of some pairs of topological defects consisting of 5- and 7-membered rings (5-7 defects) to relax the stress by switching neighboring carbon bonds in order *2. In such a process, significant amount of reconstruction of the atomic arrangement may affect the electronic properties. Atomic arrangement of CNTs is defined by a pair of integers (n, m) called the chiral indices, which decides the electric conductivity. In this study, we experimentally studied the modulations of chiral indices during the superplastic deformation by nanobeam electron diffraction (NBED) *3. Isolated CNTs were deformed in a 90kV-TEM (JEM-2500SE) with a manipulator-equipped specimen holder. A tungsten tip was attached onto the mobile stage of the holder. An straight CNT, which is protruded from the edge of the fixed stage, was chosen and bridged to the tungsten tip by operating the mobile stage. The CNT was preheated to eliminate existing defects in the tube by inducing rather higher current than the following elongation experiment. The CNT was then pulled, and current was simultaneously applied, providing the CNT with the activation energy for undergoing plastic deformation. The circumferential current density was 1µm/nm. During the deformation, NBED pattern of the CNT were taken from 10-20 nm area. By analyzing these patterns, chiral indices in each step of the deformation were determined. The experimental result revealed the continuous decreases of chiral indices in the CNTs. The minimum modulations of the indices detected were (0, 1) and (1, 0), corresponding to the migration of a pair of the 5-7 defects arisen in the CNT, and to the decreases of diameters by 0.08 nm. The experimental data suggested the alternative propagation of the 5-7 defects along both Burger’s vectors (0, 1) and (1, 0), due to the in-plane stress originated in existing defects in the tube wall. In addition, some CNTs partially changed the chiralities at 20-40 nm area of the middle portion. This is probably attributed to the Joule heating of the bridged CNTs; Joule heating gives parabolic temperature distribution, so that the 5-7 defect arisen at the middle portion with higher temperature and gradually looses the energy as migrating to the edge. References 1. J. Y. Huang et al., Nature 439, 281 (2006).2. B. I. Yakobson, App. Phys. Lett. 72, 918 (1998).3. K. Hirahara et al., Appl. Phys. Lett. 97 051905 (2010).
9:00 PM - AA10.49
Fabrication and Galvanic Corrosion Behavior of Graphite and Carbon Nanotube (CNT) Coatings on Metals.
Zhengwei Liu 1 , Andrew Loyd 1 , Jessica Hemond 1 , Rodney Martens 1
1 , TE Connectivity, Middletown, Pennsylvania, United States
Show AbstractCarbon has been widely used as a contact material and coatings to provide solid state lubrication effect, specifically for sliding contacts [1]. Graphite, for its well ordered lamellar structure and good conductivity as lubricate, has been used as an additive [1] since graphite’s conductivity is still lower than metals. This creates a co-existence of graphite and metal on the contact surface. Graphite is known to cause significant galvanic corrosion in many systems for its very positive corrosion potential, ~0.2V [2]. Carbon nanotube (CNT) has many unique mechanical and electrical properties that may lead to applications as contact finish coatings on metals to provide less friction while maintaining acceptable electrical contact resistance. Meantime, CNT showed significantly higher stability in aggressive conditions such as high temperature and low pressure when compared to liquid lubricants. Some studies have indicated CNT may cause galvanic corrosion of metals, like Ni, Zn and Mg, in composite forms. Questions like the corrosion potential and whether CNT behaves similar as graphite in terms of causing galvanic corrosion remain unanswered. In this communication, a simple fabrication method using spay technique to achieve a relatively uniform CNT and graphite coating on metals, like Al, Cu and Ag, is presented. Copper and aluminum are specifically selected for study as copper is the most commonly used electrical conductor, and there is significant interest in replacing copper with aluminum for some applications for cost and weight savings. Additionally, graphite is banned as a lubricant in many aerospace applications due to galvanic corrosion of aluminum. The morphology and effects on the properties like friction and conductivity of the coatings will be shown. More importantly, we will present a comparison of galvanic corrosion behavior between CNT and graphite coatings on metals to address the corrosion questions of CNT. Electrochemical methods, including choronopotentiometry (zero current to indicate change in corrosion potential, potentiodynamic method and chronoamperometry (corrosion rate under anodic potentials) will be employed. The morphology change and localized corrosion due to galvanic corrosion will also be investigated by electron microscope techniques. This work will provide some guidance to design coatings of carbon materials and selection of metals to avoid excessive degradation due to galvanic corrosion. 1.Shobert, E. “Carbon, Graphite, and Contacts”, Proceedings of the Twentieth Annual Holm Seminar on Electrical Contacts, Chicago, IL, 19742.Noel, S., Alamarguy, F., Houze, F., Benedetto, A., Viel, P., Palacin, S., Izard, N., Chenevier, P., “Nanocomposite thin films for surface protection in electrical contact applications,” Proceedings of the Fifty Third IEEE Holm Conference on Electrical Contacts, Pittsburgh, PA, 20073.M. Tavakkolizadeh and H. Saadatmanesh, Journal of Composites for Construction, 5, 200 (2001).
9:00 PM - AA10.50
Simultaneous Mechanical Properties and Electrical Conductivity Enhancement of Epoxy Nanocomposites at High Filler Loadings.
Iti Srivastava 1 , Srinagesh Potluri 1
1 Material Science, Zyvex Technology, Columbus, Ohio, United States
Show AbstractCarbon nanomaterials especially graphene (GP) and Carbon Nanotubes (CNTs) are promising nanofillers for improving mechanical and electrical properties of polymers at weight fractions as low as 0.1wt. %. For instance, incorporating carbon nanomaterials in thermoset polymers like epoxy, leads to more than 50% and 104 enhancements in fracture toughness and electrical conductivity respectively. However, at loadings higher than 0.5 wt% the polymer nanocomposites have not shown impressive increase in mechanical properties. The lack of property enhancement at high nanofiller loadings is attributed to the poor dispersion of carbon nanofillers in epoxy matrix. The close proximity of the nano fillers enhances mutual-interactions via Van der Waals forces, which in turn drives the restacking of graphene sheets and bundling of CNTs.In order to address the dispersion challenges Zyvex developed poly (aryleneethynylenes) (PAE) oligomer chemistry platform, trade named as KenteraTM. This work will describe the use of Kentera for dispersing both GP and CNTs in epoxy matrix. Kentera dispersion agent permitted the direct incorporation of 0.4 wt% nanofillers in the epoxy matrix without recourse to organic solvents. Kentera functionalized CNT epoxy composites showed +9% improvements in flexural modulus. On the other hand the epoxy composites made from unfunctionalized CNTs showed a 4% decrease in flexural modulus over neat epoxy, due to agglomeration.Generally nanofiller dispersions using polymer coatings, reported in the literature, show a decrease in electrical conductivity of the PNCs due to insulating polymer enveloping the nanofillers. While we observed similar decrease in electrical conductivity with Kentera functionalized CNTs, in contrast, the PAE functionalized GP showed 2 fold improvements. The work demonstrates enhancement in both electrical and mechanical properties of epoxy nanocomposites at high CNT and GP loadings. Our work is of great interest in the design, use and application of high-performance polymer nanocomposites for aerospace and structural applications.
9:00 PM - AA10.51
Mechanical Integrity of Metal-Dielectric Interfaces with Buried Graphene Layers.
Peter O'Brien 1 , Brandon Sweeney 1 , Jian Liu 2 , Ji Ung Lee 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 College of Nanoscale Science and Engineering, State University of New York at Albany, Albany, New York, United States
Show AbstractSeveral emerging applications of graphene dictate its use at a buried interface, yet practical issues associated with such integration have not received widespread attention. Interlayer adhesion is a key metric in determining the suitability of a material for device manufacturing, as has been shown for copper interconnect wiring. Here, we report, for the first time, the adhesion behavior of metal-dielectric interfaces with a single- or few-layer graphene or graphene oxide film. Graphene oxide films were formed by spin coating from solution to obtain continuous coverage. Hydrazine vapor treatment and vacuum annealing reduce the deposited film, as verified by shifts in the core level C 1s sub-bands revealed by X-ray photoelectron spectroscopy, yielding few-layer graphene comprised of 10-μm-size flakes. Large area single layer graphene was obtained by chemical vapor deposition on Cu foils, and subsequent transfer to a silica substrate. Interfacial toughness was determined in 4-pt flexure, and the fracture path was verified by spectroscopic analysis of the facture surfaces. We find that graphene films at a buried interface exhibit < 5 J/m2 fracture toughness for interfaces comprised of Ni, Ti, or Cu overlayers on a silica substrate, falling short of the requirement for device yield and reliability. Fracture surface analysis shows that in the case of graphene oxide, failure occurs within the few-layer film itself, while in the case of graphene, the graphene/silica interface is the delamination path. Based on these results, we evaluate and discuss chemical functionalization strategies for improving the mechanical integrity of metal/graphene/dielectric structures.
9:00 PM - AA10.52
Continuum Model of Cylindrical Graphene Sealed Microchambers under Pressure.
Alex Kitt 1 , Ji Won Suk 4 , Sebastian Remi 1 , Samir Ahmed 2 , Richard Piner 4 , Ken Liechti 5 4 , Rod Ruoff 4 , Anna Swan 2 1 3 , Bennett Goldberg 1 2 3
1 Physics, Boston University, Boston, Massachusetts, United States, 4 Mechanical Engineering, University of Texas, Austin, Texas, United States, 2 Electrical and Computer Engineering, Boston University, Boston, Massachusetts, United States, 5 Aerospace Engineering, University of Texas, Austin, Texas, United States, 3 Photonics Center, Boston University, Boston, Massachusetts, United States
Show AbstractGraphene suspended over and sealing a microchamber creates an impervious single-atom thick membrane that readily deflects under the application of pressure. Traditional thin plate models are accurate only when the deflection is much smaller than the plate thickness, a condition violated for pressure differentials across the graphene as low as 1e-5 Pa for micron sized chambers. Thus, an accurate model for the large deflection of atomically thin plates is necessary for a detailed understanding of the pressure response of graphene covered microchambers. Here we propose a new approximation of the physical shape of the deformed graphene membrane. We compare directly to competing theories as well as experiments on graphene covered microchambers where the shape of the graphene sheet under pressure is measured with an optical profilometer while the strain profiles are measured using Raman spectroscopy. Energy minimization and the principle of virtual work are used to determine the horizontal deflection profile for a given vertical deflection profile. The direct comparison of our proposed continuum approximation with the commonly used approximation [1] shows that our new deflection model is more intuitive, has lower free energy, has a simpler functional form, and compares more favorably to finite element analysis. The different models are also compared to direct measurements of the total deflection and strain fields of deflected graphene sheets. [1] Steven P. Timoshenko and S. Woinowsky-Krieger, Theory Of Plates and Shells, 3rd ed. (McGraw-Hill Book Company, inc, 1959).
9:00 PM - AA10.54
Probing the Electrochemical Properties of Electrostatic Spray Deposited Graphene Nanosheets on Three-Dimensional Carbon Microstructures.
Varun Penmatsa 1 , Taekwon Kim 1 , Majid Beidaghi 1 , Chunlei Wang 1
1 Mechanical and Materials Engg Dept., Florida International University, Miami, Florida, United States
Show AbstractElectrochemical sensing has been proven as a simple analytical method with excellent reproducibility, good detection sensitivity and ease of miniaturization. Carbon materials have been attractive for wide range of analytical and industrial electrochemistry due to their inherent characteristics such as low cost, good electrocatalytic activity, wide potential window, easy surface modification and very stable material. Of particular interest are pyrolysed photoresist carbon microstructures (PPC) formed by high temperature pyrolysis of patterned organic photoresist that exhibits glassy carbon (GC) like properties. However, physicochemical properties of the PPC structures are strongly dependent on the organic precursor and the processing techniques that are used. Coating of different nanostructures such as carbon nanofibers have reported to increase the effective surface area as-well as the charge transfer. Graphene nano sheets have received rapidly growing interest (especially in electrochemistry) due to their outstanding electronic, thermal, physical and chemical properties.In the present work, graphene nanosheets coated 3-dimensional (3D) carbon microstructures were used as electrodes. The 3D carbon microstructures with increased surface area were fabricated by C-MEMS technique in which high aspect ratio patterned photoresist microstructures are pyrolyzed to carbon under inert atmosphere. Electrostatic spray deposition (ESD) technique has been used to spray graphene sheets. With this technique, an organic solvent containing graphene nanosheets is pumped through a nozzle and a high voltage is applied between the nozzle and substrate. The droplets coming out the nozzle disperse into a spray, and this spray is deposited upon the substrate forming a coating. The surface morphologies of the electrode structures were evaluated at different ESD conditions and their electrochemical properties were characterized. Graphene coated carbon microstructures showed excellent electrochemistry compared to bare carbon microstructures. Detailed synthetic methods and electrochemical performance will be presented at the meeting. The proposed electrode system is promising for high sensitive electrochemical detection of different analytes.
9:00 PM - AA10.55
Effect of Dry Oxidation on the Performance of Carbon Nanotube Arrays Electrochemical Capacitors.
Adrianus Aria 1 , Morteza Gharib 1
1 Aeronautics, California Institute of Technology, Pasadena, California, United States
Show AbstractIn recent years, the performance of electrochemical double-layer capacitors (EDLC) has been increased significantly since the introduction of carbon nanotube (CNT) arrays as their electrodes that replace the standard activated carbon electrodes. Such advancement was possibly achieved because of the inherent physical and electrical properties of the CNT arrays: they have a very large surface area and a very high electrical conductivity. Although a lot of works have been done to increase the performance of CNT arrays – based EDLC in term of power and energy density using various electrolytes, the effect of surface chemistry to such performance is yet to be investigated. Here we present an investigation of electrochemical properties of CNT arrays with various concentrations of oxygenated surface functional groups in both aqueous and non-aqueous electrolytes.In this study, oxygenated surface functional groups were introduced to the CNT arrays by UV/ozone treatment. UV/ozone treatment is a well-known dry oxidation process that can be easily done at room temperature and atmospheric pressure. Our finding shows an augmentation of nearly twenty times in term of specific capacitance when the oxygen/carbon atomic ratio, which measures the oxidation level, of the CNT arrays is increased from 4% to 12% in aqueous electrolytes. Similar behavior was also observed in the non-aqueous electrolytes where the specific capacitance of the dry oxidized CNT arrays was measured more than 50% higher than that of the pristine ones. However, this effect is reversed once the oxygen/carbon atomic ratio of the CNT arrays goes higher than 18%. At such high oxidation level, the damage to the graphitic structure becomes more pronounced such that the capacitive behavior of the CNT arrays is overshadowed by their resistive behavior.We have shown that the degree oxidation of CNT arrays influences their electrochemical properties. This implies that the performance of CNT arrays – based EDLC may be adjusted by varying the degree of oxidation of the CNT arrays. These findings are likely important for further development of CNT arrays – based energy storage.
9:00 PM - AA10.56
Direct Electrochemistry and Electrocatalysis of Bamboo Shaped Carbon Nanotube Based Nanocaomposite.
Zanzan Zhu 1 , Jianlong Wang 1 , Ahsan Munir 1 , Susan Zhou 1
1 Department of Chemical Engineering, Worcester Polytechnic Institute, Worcester, Massachusetts, United States
Show AbstractCarbon nanotubes (CNTs), as an important class of promising nanomaterials, have attracted a great deal of attention both in fundamental research and practical application. In recent years, intensive research efforts have been conducted to explore their application as support material to improve the efficiency of direct ethanol/methanol fuel cells. Although many approaches have been developed to functionalize carbon nanotubes to achieve a high metal loading on CNT surface, most of them require strict reaction conditions together with highly complicated procedure. Doping of some foreign atoms was recently demonstrated to be a simpler and more effective way to control the structural and electronic properties of nanotubes. Herein, we report successful synthesis of bamboo shaped carbon nanotubes (BCNTs) through chemical vapor deposition (CVD) using an acetonitrile nitrogenous gas, and then deposited Pt nanoparticles onto the BCNTs surface by aqueous phase reduction. The electrocatalytic activity of Pt-BCNTs for ethanol oxidation was investigated in this work. Besides fuel cells, researcher found that BCNTs also can be used to directly immobilize horseradish peroxidase (HRP), one of the most commonly studied enzymes, in the presence of chitosan (Chi). Doping N atom introduces defective sites and active sites on the surface of carbon nanotubes, thereby facilitating the direct electron transfer between enzyme and electrode. And the use of Chi would offer a quick and effective method to disperse BCNTs. In our work, a hybrid organic-inorganic nanocomposite film of BCNTs/Chi was constructed to immobilize HRP. Direct electrochemical behavior of HRP towards hydrogen peroxide has been studied in detail.
9:00 PM - AA10.57
Mechanical Behavior of Conformally Coated Carbon Nanotube Forests.
Parisa Pour Shahid Saeed Abadi 1 , Baratunde Cola 1 , Samuel Graham 1
1 George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractCarbon nanotube (CNT) forests provide a framework to create core-shell nanocomposites through the conformal coating of the nanotube surfaces. Such coatings may provide surface functionalization, protection for the CNTs, or a template for a more complex structure. While the mechanical properties of uncoated CNT forests have been studied by a number of researchers, less attention has been paid to the mechanical response and deformation of conformally coated CNT forests.In this work, we produced CNT forests by low pressure chemical vapor deposition (CVD) on Si substrates. The CNT forests were then conformally coated with metal oxides (Al2O3, Al2O3/ZnO) using atomic layer deposition. In addition conformal Ni coatings were deposited by RF magnetron sputtering which was able to penetrate the entire length of the CNT forests. The mechanical response was measured using micro-indentation testing. Post deformation analysis included optical and scanning electron microscopy to investigate the permanent deformations within the forest. Changes in the stiffness of the material during indentation were related to the changes in the deformation mechanisms. Comparisons were made to CNT forests with no coating. Overall, the addition of the coatings were found to increase the stiffness of the CNT forests and depended on both the coating material and thickness. In addition, higher buckling loads were found due to the increased critical buckling load of the coated CNTs within the forest. The impact of such coatings for applications such as thermal and electrical interface materials will be discussed.
9:00 PM - AA10.58
Twists, Saddles, Warps, Curls and Dimples: Morphology of Freestanding and Suspended Graphene Nanoribbons.
Moneesh Upmanyu 1 , Hailong Wang 1
1 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractMorphology mediates the interplay between the transport characteristics and the structure of atomically thin graphene nanoribbons since the relaxation of edge stresses entails prohibitively large in-plane strains. In the case of nanoribbons that we study here, the relief occurs via the relatively easier out-of-plane displacements, similar in principle to the wavy edges that decorate flowers, leaves and ripped plastic sheets. Past studies have identified several equilibrium morphologies, in particular for GNRs, yet a unified understanding of their morphological stability remains elusive. Here, we use a combination of atomic-scale simulations and a composite framework based on elastic plate theory to chart out the morphology of freestanding and suspended nanoribbons with respect to intrinsic (elasticity, edge stress) and engineered (geometry, applied strain) parameters. The competition between twist, saddle-shapes and edge ripples sets the morphology of freestanding ribbons and is controlled primarily by the ribbon width. Suspended nanoribbons exhibit two broad classes of rippled shapes, bending- and twist-like, for compressive and tensile edge stress. Our results highlight the utility of the core-edge framework in developing a unified understanding of the interplay between geometry and mechanics that sets the morphology of GNRs.
9:00 PM - AA10.59
Capacitive Properties of Carbon Nano-Onions Synthesized by Laser Resonant Excitation of Ethylene Molecules in Open Air.
Yang Gao 1 , Yunshen Zhou 1 , Min Qian 1 , Wei Xiong 1 , Jongbok Park 1 , P. Goodman 1 , J. Redepenning 1 , Yongfeng Lu 1
1 , University of Nebraska, Lincoln, Nebraska, United States
Show AbstractElectrical double-layer capacitors (EDLCs), or supercapacitors, have attracted worldwide attention due to the benefits of pulse power supply, long cycle life, and environmental friendliness. Carbon materials, such as activated carbon, carbon nanotubes, and graphene, have been extensively studied as EDLC electrodes due to large surface area, high conductivity, and chemical inertness. However, very few investigations have been conducted to study the capacitive properties of carbon nano-onions (CNOs) which consist of multiple concentric graphitic shells. In this paper, the capacitive properties of CNOs synthesized by laser resonant excitation of ethylene molecules in open air have been studied. By increasing the incident laser power, the specific surface area of CNOs increased, which contributed to the increase in capacitance of CNO-based EDLCs. In order to achieve higher capacitance of CNO electrodes, after a simple chemical activation of the as-grown CNOs, a capacitance of 110 F/g was obtained in 2 M KNO3 solution. The laser-based strategy developed in this study realized a scalable approach for high surface area CNOs. The electrochemical experiments indicated that the specific capacitance of CNOs was greatly enhanced after chemical activation.
9:00 PM - AA10.6
Scalable, Rapid and Solventless Preparation of Metal-Graphene Nanohybrids.
Yi Lin 1 , David Baggett 2 , John Connell 3
1 , National Institute of Aerospace, Hampton, Virginia, United States, 2 Langley Aerospace Research Summer Scholar (LARSS), NASA Langley Research Center, Hampton, Virginia, United States, 3 Advanced Materials and Processing Branch, NASA Langley Research Center, Hampton, Virginia, United States
Show AbstractMetal-graphene nanohybrids such as metal or metal oxide nanoparticle-decorated graphene are attracting much recent attention because of their strong application potential in areas such as energy storage and catalysis. Most available methods to prepare such nanohybrids often involve solvents and reducing reagents and thus are of limited scalability. Here we present a facile method to prepare metal-graphene nanohybrids with the absence of solvents and reducing agents by simply heating the solid mixture of graphene and organic metal salts in an inert atmosphere. The reaction can be completed in just a few hours with conventional oven heating and as fast as a few seconds with microwave heating. Importantly, the method has no scalability limit and is readily applicable to a wide selection of metal or metal oxides for their decoration on graphene sheets, making it a powerful tool in nanohybrid materials discovery by enabling efficient structure/property relationship elucidation.
9:00 PM - AA10.60
High Electrical Conductivity or Large N-Type Thermopower by Doping Organic/Inorganic Nanomaterials on Carbon Nanotubes.
Yeontack Ryu 1 , Choongho Yu 1
1 Mechanical Engineering, Texas A&M university, College Station, Texas, United States
Show AbstractElectrical properties of carbon nanotube films were modulated by incorporating organic or inorganic nanomaterials on the nanotubes and controlling their carrier concentrations. The modulation shifts the Fermi energy of the nanotubes, yielding a high electrical conductivity or an n-type thermopower, which is different from those of pristine nanotubes. When tetrafluoro-tetracyanoquinodimethane (F4-TCNQ) was decorated on double-wall carbon nanotubes, the film showed a high electrical conductivity of 5.9×10^5 S/m due to an increase of hole concentrations. The n-type conversion of p-type single-wall carbon nanotubes was also achieved with a large thermopower of -58 μV/K by incorporating polyethyleneimine (PEI). PEI contains an amine group that often donates electrons to other materials in contact. Additionally, we found that reduction potentials and work functions of decorating nanoparticles are key factors to control the electrical properties of nanotube hybrid materials. This study shows that these characteristics provide good opportunities for developing high-performance thermoelectric and photovoltaic energy conversion materials.
9:00 PM - AA10.61
Direct Laser Writing of Micro-Supercapacitors on Hydrated Graphite Oxide Films.
Wei Gao 1 , Neelam Singh 1 , Li Song 1 , Zheng Liu 1 , Leela Reddy 1 , Lijie Ci 1 , Robert Vajtai 1 , Qing Zhang 2 , Bingqing Wei 2 , Pulickel Ajayan 1
1 , Rice University, Houston, Texas, United States, 2 , University of Delware, Newark, Delaware, United States
Show AbstractHere we describe a scalable way to directly write reduced graphite oxide (RGO) on graphite oxide (GO) films to assemble arrays of RGO-GO-RGO based supercapacitor devices by laser reduction and patterning. The fabrication and utility of the approach is greatly facilitated by the discovery that GO prepared by the wet oxidation of graphite powder is a solid electrolyte, allowing the use of the as patterned devices without (or with) any external electrolytes. The substantial amount of entrapped water in the layered GO structure makes it a strongly anisotropic ionic conductor but an electrical insulator, allowing its use as a viable electrolyte and electrode separator. The hydrated GO exhibits similar proton transport characteristics as the well-known solid electrolyte membrane, Nafion. The micro-supercapacitor devices fabricated here constitute a new type of all carbon monolithic supercapacitors showing good cyclic stability and energy storage capacity comparable to existing thin film supercapacitors.
9:00 PM - AA10.62
Strong Multi-Walled CNT Fibers Improved by Post Spin Processes.
Yoshitaka Minami 1 , Morihiro Okada 2 , Hidenori Mimura 2 , Yoku Inoue 1
1 Department of Electrical and Electronic Engineering, Shizuoka University, Hamamatsu Japan, 2 Research Institute of Electronics, Shizuoka University, Hamamatsu Japan
Show AbstractCarbon nanotube (CNT) has been expected to be used for strong structural materials as light weight and high strength materials, such as CNT nanocomposites. Recently, dry spinning from a multi walled CNT (MWCNT) array has been attracting much attention as a good technique to fabricate spun CNT fibers. It has been reported that tensile strength of CNT fibers can be improved up to 1-2 GPa. However, that is still quite weaker than strength of individual CNT reported. In this work, we investigated the way to increase interaction forces between MWCNTs to improve the mechanical properties of spun MWCNT fibers.From a vertically aligned MWCNT array, MWCNTs were first drawn into a web, which is a two dimensional MWCNTs network, and then the web is twisted to form a fiber; this process is called dry spinning. MWCNT diameter was about 30 nm and web width was 5 mm. The resulting fiber diameters were ranging from 20 μm to 40 μm. To measure stress-strain characteristics, MWCNT fibers were mounted on test pieces with gauge length of 1 cm, and for a measurement 3 to 5 specimens were tested to average the data. Typical tensile strength of a MWCNT as-spun fiber was 300 MPa. In the as-spun fibers, there are many empty spaces and voids. To reduce such spaces and to enhance van der Waals interactions between MWCNTs, we studied some post-spin processes Multi-ply twisting process for an as-spun fiber improved tensile strength and Young's modulus about 3 times. This result suggests that just single twisting of a CNT web can not pack CNTs tight enough to interact each other. Therefore post spin processes for as-spun fibers are important to improve mechanical properties. We also tried other processes and results are discussed.
9:00 PM - AA10.63
Facile Functionalization of Graphene Oxide for Soluble Graphene Oxide in Organic Solvents and Its Application as Reinforcing Filler for Polymer Composites.
Kyung Tae Kim 1 , Sang Hwa Shim 1 , Won Ho Jo 1
1 , Seoul National University, Seoul Korea (the Republic of)
Show AbstractGraphene, a new material with two-dimensional nanostructure consisting of a single layer of sp2 network of carbon atoms, has demonstrated unique properties, such as low resistivity, high chemical stability, and excellent mechanical strength. However, it is difficult to homogeneously disperse graphene or graphene oxide (GO) in organic solvents and/or polymers. Since GOs dispersable in organic solvents have the potential to realize graphene-polymer composite and large-scale organic devices such as photovoltaic cells and field-effective transistor, the development of organic soluble graphenes is strongly required.To date, the dispersion of GO in organic solvents and polymers has been accomplished mostly by covalent functionalization of GO sheets with several solvophilic groups: GO was modified by amidation reaction between the carboxyl acid group of GO and alkylamine to afford GO with alkyl chain, by esterification between carboxylic acid group of GO and poly (vinyl alcohol), and by nucleophilic ring-opening reaction between epoxy group of GO and amine group of an amine-terminated organic molecules. However, these functionalization methods are neither efficient nor produce highly soluble and long-term stable GO in various organic solvents.In this report, we demonstrate a new and facile functionalization method of GO via bimolecular nucleophilic substitution reaction with alkyl bromide in a one step reaction, which is very fast, and simple compared to other functionalization methods. Hydrophilic groups, such as carboxyl acid, hydroxyl, epoxy in GO, are easily substituted by alkyl groups in one step reaction. 99% of C-OH (hydroxyl) and 53% of C-O (epoxy) are converted to C-O-R (ether), and 43% of O=C-OH (carboxylic acid) is also converted to O=C-OR (ester). Functionalized graphenes (f-GOs) are homogeneously dispersed as individual graphene sheets in various organic solvents. Three kinds of alkyl group (hexyl, octyl and dodecyl) were introduced onto the GO surface. The polymer/graphene composites are prepared by solution blending of polymer and f-GO, and the composite films are fabricated by solution casting. It reveals that f-GOs are homogeneously dispersed in polymer matrix, and as a result the physical properties of the composites are remarkably improved as compared with a simple mixture of polymer/GOs. The proposed functionalization method can be used for many applications such as graphene–based organic and polymer composites, biosensor, and organic electronics, because it is expected that any desirable compounds with alkyl-bromide moiety can be covalently bonded onto the GO surface.
9:00 PM - AA10.64
Electrical Double Layer Adsorption Performance of Aligned Carbon Nanotube Electrodes in Aqueous NaCl Electrolytes.
Ryan Enright 1 2 , Robert Mitchell 1 , Cunjing Lv 1 , Heena Mutha 1 , Carl Thompson 1 , Evelyn Wang 1
1 Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Stokes Institute, University of Limerick, Limerick, Limerick, Ireland
Show AbstractUncertainty about future energy and water supplies suggests a pressing need to develop efficient technologies for water desalination. Capacitive deionization (CDI), a method that captures ions in the electrical double layer (EDL) of an electrochemical capacitor, is a promising technology that can potentially fulfill those requirements. Similar to supercapacitors, ideal CDI electrodes should have a large electrolyte-accessible specific surface area available for ion adsorption with rapid charging/discharging characteristics. Unlike supercapacitors, CDI electrodes are required to operate in aqueous electrolytes with low ionic concentrations in a non-linear charging regime. To explore this practically and theoretically important regime, we prepared aligned carbon nanotube (aCNT) electrodes that posses a well-defined and uniform pore structure that is more readily analyzed in comparison to the random and multi-scale pore structure of typical carbon electrodes. However, the application of aCNT electrodes to CDI is complicated by the fact that typical catalysts, such as iron, used in the growth of CNTs are easily corroded in aqueous salt solutions. Corrosion of the catalysts compromises the mechanical and electrical connection between the CNTs and the current collector. In order to overcome this limitation, thermally-grown aCNT (CNT outer diameter, d = 10 nm; CNT inner diameter, d = 7 nm; solid fraction, φ ≈ 0.1; heights , h1 = 25 µm and h2 = 50 µm) was diffusion bonded to a gold-coated titanium current collector and the catalyst-supporting substrate was removed thus ensuring a robust electrical and mechanical connection to the aCNTs. The fabricated electrodes were characterized using cyclic voltammetry (CV) and potentiostatic charging/discharging in NaCl solutions (Co 20 – 90 mM) with a platinum counter-electrode and an Ag/AgCl reference electrode. Evaluation of the CV curves for the transferred aCNT arrays demonstrated the elimination of a redox peak at 0.5 V vs. Ag/AgCl present in the as-grown aCNT arrays and fast charging characteristics over an investigated scan range of 25 – 500 mV/s. Examination of potentiostatic charging/discharging behavior below 0.7 V vs Ag/AgCl showed charge conservation, but an increasing deviation from ideal charging/discharging behavior with decreasing concentration. We have demonstrated a fabrication technique allowing for the application of fast-charging, high-capacity aCNT electrodes to water desalination. Further development is currently underway to extend the transfer method low-cost materials. Furthermore, a model geometry is now available for comparison to recent theoretical developments in the modeling of the low ionic concentration charging regime.
9:00 PM - AA10.65
Graphaene Composite Materials for Supercapacitor Electrodes.
Bin Chen 1 3 , John Lake 1 2 , Zuki Tanaka 1 3
1 Advanced Studies Laboratories, NASA Ames Research Center, Moffett Field, California, United States, 3 Electrical Engineering, Univ of California, Santa Cruz, California, United States, 2 , Columbia Univ, New York, New York, United States
Show AbstractElectrochemical capacitors, or supercapacitors, have gained much interest as alternatives to the traditional energy storage devices because of their high power capability and long lifetime. While the power density of supercapacitors surpasses that of many batteries, most commercially available batteries have a significantly higher specific energy density. Energy storage within a supercapacitor occurs either by ion adsorption (electrical double layer capacitance) or by faradic, redox reactions (pseudocapacitance). This study presents a materials development of composite electrode materials of graphene oxide (GO) to metal oxide nanostructures. We focuse on two nanocomposite electrodes combining nanostructures of Co3O4 and MnO2 with GO. We will demonstrate the superior properties of graphene materials with high electrical conductivity, high specific surface area and excellent mechanical properties. The presence of metal oxides like Co3O4 and MnO2 has allowed for increased energy density, while GO increases charge storageand transport in the electrical double layer at the electrode/electrolyte interface. In our supercapacitor device, TiO2 and MnO2 nanowires were combined with GO to increase energy density while still remaining stable after extensive cycling. Both conductivity and volume change measurements were taken for these composite electrodes to validate the energy storage capacity upon graphene addition. Using Transmission Electron Microscopy, ionic charge distributions were monitored, revealing a significant decrease in the induced volume changes within the electrode material from ionic migration, thus leading to greater device stability. With the addition of GO layers to TiO2 and MnO2 nanowire arrays, we have observed marked increases in electrode conductivity, greatly surpassing that for metal oxides, on the order of 1 S/cm. Additionally, with the addition of GO, we have seen enhanced cycling stability as the mechanical integrity of the metal oxides’ phase change during redox reactions is enhanced by the presence of the GO layers. These results are important not only to the advancement of supercapacitors, but also many other energy storage applications, such as in LiFePO4 (LFP) battery electrode materials. With the enhanced properties that GO nanocomposites offer electrode materials, supercapacitors and other next generation energy storage devices approach becoming a practical alternative to traditional devices.
9:00 PM - AA10.66
Room-Temperature Compression-Induced Diamondization of Few-Layer Graphene.
Ana Paula Barboza 1 , Marcos Henrique Guimaraes 1 , Daniel Massote 1 , Leonardo Campos 1 , Newton Barbosa Neto 1 , Luiz Gustavo Cancado 1 , Rodrigo Lacerda 1 , Helio Chacham 1 , Mario Mazzoni 1 , Bernardo Neves 1
1 Physics, UFMG, Belo Horizonte, Minas Gerais, Brazil
Show AbstractSince the discovery of isolated two-dimensional (2D) structures of carbon - graphene flakes and ribbons - with their exquisite physical properties, the quest for other genuine 2D materials has been fueled up. Natural candidates are those originated from layered bulk materials. Here, we present experimental and theoretical evidences of compression-induced surface diamondization of few-layer graphene, which originates a new 2D material without a layered bulk counterpart. When two, or more, graphene layers are compressed in the presence of water, ab initio calculations predict that hydroxyl groups stabilize the sp3 hybridization of the two topmost carbon layers, creating a single layer of hydroxylated hexagonal diamond, or diamondol, which is a ferromagnetic insulator. We also performed Electric Force Microscopy (EFM) measurements on single- and multi-layer graphene to investigate this transition. We observe a compression-induced charging inhibition of bilayer and multi-layer graphene. This phenomenon is reversible, and absent in single-layer graphene. Its magnitude is reduced by the partial evaporation of the water layer, providing experimental evidence for the predicted diamondization[1].[1] Barboza A. P. M et al, Advanced Materials 2011DOI: 10.1002/adma.201101061
9:00 PM - AA10.67
A Rubber Nanocomposite Using Multi-Walled Carbon Nanotubes Able to Efficiently Prevent Water Leaks Worldwide.
Kenichi Niihara 1 , Yuichi Asano 1 , Toru Noguchi 1 , Hiroyuki Ueki 1 , Kenji Takeuchi 2 , Morinobu Endo 3
1 Research Center for Exotic NanoCarbon, Shinshu University, Nagano, Nagano, Japan, 2 Institute of Carbon Science and Technology, Shinshu University, Nagano, Nagano, Japan, 3 Faculty of Engineering, Shinshu University, Nagano, Nagano, Japan
Show AbstractWhen transporting tap water or other liquids, the pumps, pipes, joints and valves are essential. Most of them are built with rubber sealants. These rubber sealants could significantly alter the usability, energy costs, and durability of the valves. Among several methods of sterilizing the bacteria present in the water, chlorine containing chemicals has been frequently used in order to obtain drinkable quality water following the world health organization guidelines. Unfortunately, chlorine is also a very reactive oxidizing halogen and could react instantly with most of organic materials. Therefore, rubber sealants could be chemically attacked by minute amounts of chlorine-containing compounds in the water, thus deteriorating their sealing ability which in turn results to a water leakage. In addition, chlorine degraded products including monomers, which are detached from the rubber sealants, could severely contaminate water. In such an environment, it is important that the rubber sealant placed on valves and joints must be durable and resistant to chlorine compounds. Carbon nanotubes (CNTs) could act as ideal reinforcing fillers in polymer matrices. Produced composite materials with CNTs would behave as efficient water sealants that could not be easily attacked by chlorine. In this study, we used surface modified multiwalled carbon nanotubes (MWCNTs) that were uniformly dispersed in ethylene propylene rubber (EPDM). The surface modification applied to our MWCNTs also allowed their homogeneous dispersion in the rubber matrix. We have carried out surface modification of the MWCNTs via air oxidation to improve both their dispersibility in the rubber and the binding strength between the filler and the rubber matrix. Mechanical properties of the produced rubber nanocomposites with CNTs and comparison rubber nanocomposites using carbon black were investigated before and after the chlorine-resistance test. In this study, we have demonstrated that the surface-modified MWCNT incorporated rubber composite exhibits outstanding chemical resistance to chlorine and maintains excellent mechanical and thermal properties. Our developed MWCNT-rubber nanocomposites will be very promising in the fabrication of high performance sealants to be used in pipes transporting tap water and hot water (or steam), without experiencing water leakage and chlorine contamination.
9:00 PM - AA10.68
Functionalized Graphene via Exfoliation in the Presence of Intercalated Ionic Liquids for Their Use in Ultracapacitors.
Muge Acik 1 , Daniel Dreyer 2 , Christopher Bielawski 2 , Yves Chabal 1
1 Materials Science and Engineering, The University of Texas at Dallas, Richardson, Texas, United States, 2 Department of Chemistry and Biochemistry, The University of Texas at Austin, Austin, Texas, United States
Show AbstractEnergy capture and storage for later use is significant to compensate for the loss of sunlight or the insufficient blow of wind. Ultracapacitors are one kind of electrochemical storage devices that can store electrical charges. Solid activated charcoal is a commonly used carbon-based electrode material in nanoporous ultracapacitors. However, the large size of electrolyte ions limits the ionic diffusion through these small pores and hence the total charge storage. Therefore, alternative carbon-based materials are sought to improve their storage capacity by increasing the electrode surface area. For a higher power capability, longer life, a wider thermal operating range, lighter and more flexible packaging of an ultracapacitor, it is necessary to understand the electrolyte-carbon interactions and to find a replacement for the electrode/electrolyte system. Functionalized graphene, with a high surface area of ~2630 m2/g, is capable to handle a great number of positive or negative ions in the electrolyte and therefore a promising candidate for ultra high levels of stored charge. Since the redox decomposition of the electrolyte occurs at the carbon electrode, the cell potential is limited. Therefore, ionic liquids (IL) are promising electrolyte systems with their high non volatility, non-flammability, thermal stability and good solvating ability. It is known that the porous functionalized graphene/IL interface properties have a higher impact on the electrode electrical response, which requires understanding the interface chemistry. This study mainly focuses on the intercalation studies of various ionic liquids such as N-methyl-N,N,N-tris(2-hydroxyethyl)ammonium iodide, N-methyl-N,N,N-tris(2-hydroxyethyl)ammoniummethyl sulfate, 1-butyl-3-methylimidazoliumemethylsulfate and N-octyl-N-methylpiperidinium methylsulfate. We study powder X-Ray diffraction analysis to characterize the interlayer distance of the functionalized graphene. For instance, its d-spacing increases by about 4-12 Å after intercalating as-synthesized graphite oxide (GO, ~9Å) with N-methyl-N,N,N-tris(2-hydroxyethyl)- ammonium methylsulfate (IL) at room temperature. In addition, in-situ infrared absorption spectroscopy (IRAS) measurements are performed to explore the intercalation chemistry of the functionalized graphene in the presence of ILs. Thus, we perform thermal reduction studies in vacuum coupled with IRAS measurements to characterize the chemical interactions during thermal exfoliation of reduced GO. After annealing GO intercalated with this IL, the loss of C-N and C-O containing species is identified at ~1000-1500 cm-1 and 800-1200 cm-1 with contribution from C-OH groups at 3000-3700 cm-1. We further examine the formation of sheet-to-sheet linking or bonding motifs with X-ray photoelectron spectroscopy.*Research fully supported by the U.S. Department of Energy, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering under Award ER46657/DE-SC001951.
9:00 PM - AA10.69
Structure and Surface Chemistry Modification of CNT Turfs.
Anqi Qiu 1 , David Field 1 , Simon Fowler 2 , Jun Jiao 2 , David Bahr 1
1 Mechanical and Materials Engineering, Washington State University, Pullman, Washington, United States, 2 Physics , Portland State University, Portland, Oregon, United States
Show AbstractCarbon nanotubes have been grown into nominally vertically aligned forests, also known as turfs. In this study, changing of the turf structure and morphology has been achieved through compression and surface chemistry modification, and the result on the mechanical, electrical properties and adhesion behavior of the resulting structures has been determined. CNT turfs were compressed vertically using a micro indenter, resulting in denser and more tortuous turfs where density is up to 5 times higher than the as grown turfs and buckling can be perceived on the outside as well as inside of a turf where tortuosity translated into curvature of the tubes is increased as well. Compression alters the density primarily in the growth direction, with little expansion or contraction laterally. However, immersion and subsequent evaporation of acetone results in a turf with a density of tubes approximately 7 times higher than the as-grown condition, during evaporation the turf densifies both in the growth direction but also densifies laterally. Nanoindentation tests have shown that the modulus of the structure in contact can be up to an order of magnitude higher in compressed turfs (on the order of several hundred MPa) than the as-grown samples (which exhibit a modulus of approximately 50 MPa). We expect this is due to the increase in packing and subsequent decrease in freedom to stretch out and avoid tube bending and deformation in a more confined volume. ECR (Electrical Contact Resistance) tests during indentation will be compared to the structure to relate the electron conductance of a turf as a function of relative CNT density. Electrical contact properties will indicate electron conduct mechanism of a CNT turf, and the comparison between the compressed samples which are densified primarily in one direction will be compared to the samples densified by liquid evaporation, which densify in multiple directions. Additionally, adhesion on the surface of a CNT turf has been altered through e-beam interaction. CNT turfs irradiated with a 5kV-30kV electron beam for 1 hour have a modified surface chemistry due to beam oxidation and carbon deposition. The extent of amorphous carbon structure on the outside of the CNT walls was determined using TEM. The presence of this amorphous layer decreases by about half the mechanical stiffness and electrical conductivity as well as the adhesive properties to the diamond tip during indentation. Through compression, densification, and e-beam modification, the structure and chemistry of CNT turfs can be changed and mechanical and electrical properties tuned to meet applications for dry conductive adhesives.
9:00 PM - AA10.7
Pd Nanoparticles Deposited on Poly(Lactic Acid) Grafted Carbon Nanotubes: Synthesis, Characterization and Application in Heck C-C Coupling Reaction.
Remi Oki 1 , Guguraj Neelgund 1
1 Chemistry, PVAMU, Prairie View, Texas, United States
Show AbstractThe synthesis of a novel f-CNTs-Pd nanocatalyst by covalent grafting of poly(lactic acid) (PLA) onto carbon nanotubes (CNTs) and subsequent deposition of Pd nano-particles is presented. Prior to grafting of PLA, CNTs were oxidized with a mixture of HNO3/H2SO4 and successively activated with thionyl chloride. The PLA grafted CNTs (f-CNTs) were then used as platform for in-situ deposition of Pd nanoparticles, with a platinum loading of 40%. The formation of f-CNTs-Pd nanocatalyst was analyzed by UV-vis, FTIR and Raman spectroscopy, powder XRD, energy dispersive spectroscopy and thermogravimetric analysis. The XRD results indicate efficient immobilization of fcc structured Pd nanoparticles on f-CNTs. The morphologies of the nanocatalyst were characterized using scanning and transmission electron microscopes. The f-CNTs stabilized Pd nanoparticles are found to be more effective in the promotion of Heck cross-coupling reaction between aryl halides and n-butyl acrylate. The f-CNTs-Pd nanocatalyst was regenerated for three cycles of reaction without any significant loss in its activity.
9:00 PM - AA10.70
Stabilization and Carbonization of Gel-Spun Carbon Nanotube/Polyacrylonitrile Composite Fibers.
Yaodong Liu 1 , Han Gi Chae 1 , Satish Kumar 1
1 Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractCarbon fibers are important reinforcement materials for high performance composites. Addition of carbon nanotubes (CNTs) in polyacrylonitrile (PAN) fibers has been observed to significantly improve the mechanical properties of the resulting carbon fibers. This study tries to comprehensively understand the chemical, mechanical and structural changes during the stabilization and carbonization of gel-spun CNT/PAN composite fibers, as well as the kinetics of individual stabilization reaction. The oxidative stabilization process (batch process) of CNT/PAN composite fibers has been optimized. The relationship between the stabilization and carbonization conditions of CNT/PAN composite fiber and the tensile properties of the resulting carbon fibers were investigated. It was found that 1) among the different types of CNTs, CNTs containing more walls had lower reinforcement efficiency than CNTs containing fewer walls; 2) the cross-linking has the highest activation energy, followed by cyclization and oxidation; 3) the optimal batch stabilization processing parameters, including time, tension and temperature, are interdependent. This study is being used to process next generation of high strength and high modulus carbon fibers.
9:00 PM - AA10.71
High Sensitivity Fast Response Bolometers from Horizontally Aligned Single-Walled Carbon Nanotubes.
Trevor Simmons 1 2 , Gustavo Vera-Reveles 1 , Mariela Bravo-Sanchez 1 , Miguel Vidal 1 , Hugo Navarro-Contreras 1 , Francisco Gonzalez 1
1 Coordinación para la Innovación y Aplicación de la Ciencia y la Tecnología, Universidad Autonoma de San Luis Potosi, San Luis Potosi, San Luis Potosi, Mexico, 2 Chemistry, RPI, Troy, New York, United States
Show AbstractIn this work films of horizontally aligned single-walled carbon nanotubes were thermally and electrically characterized in order to determine the bolometric performance. An average thermal time constant of τ = 420 μs along with a temperature coefficient of resistance of TCR = -2.94% K-1 were obtained. The maximum voltage responsivity and detectivity obtained were Rv =230 V/W and D*=1.22×108 cm Hz1/2/W respectively. These values are higher than the maximum voltage responsivity (150 V/W) and maximum temperature coefficient of resistance (1.0 % K-1) previously reported for carbon nanotube films at room temperature. The maximum detectivity was obtained at a frequency of operation of 1.25 kHz.
9:00 PM - AA10.72
All-Carbon Photovoltaic Systems: A Computational Study.
Marco Bernardi 1 , Jeffrey Grossman 1
1 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractAll-carbon based photovoltaic systems are candidates for high stability and high conversion efficiency solar cells. The inherent chemical stability, large absorption coefficient and large carriers mobility of carbon nanomaterials suggest great potential for all-carbon solar cell devices. However, very few examples of such devices have been reported so far, with recent examples of AM1.5 conversion efficiencies of less than 1%.[1,2] We employ computational methods to study the optoelectronic properties of interfaces between different carbon nanomaterials. This approach is particularly suitable due to the large number of possible combinations of such materials, including graphene nanoribbons, graphene oxide, carbon nanotubes, graphene fragments, and graphene sheets alloyed with boron-nitride, and due to the different length and time-scales involved in the key physical processes determining the device efficiency. We present results for the band offsets and optical excitations in such interfaces, using both density functional theory (DFT) as well as “beyond-DFT” methods.The role of defects and chemical functionalization in tuning the interface energy gap and band alignment will be discussed, and preliminary results will be shown for modeling charge and exciton transport at the meso-scale in carbon nanomaterials solar cells. Our results provide insight for the opportunities and challenges in tuning interface exciton separation in all-carbon devices, and ultimately to link microscopic parameters to device performance such as open-circuit voltage and short-circuit current. This information assists the experimental design of carbon-based optoelectronic and solar cell devices. (1) D.J. Bindl, M.-Y. Wu, F.C. Prehn, M.S. Arnold, Nano Lett. 2011, 11, 455-460.(2) V.C. Tung, J.-H. Huang, I. Tevis, F. Kim, J. Kim, C.-W. Chu, S. Stupp, J. Huang, J. Am. Chem. Soc. 2011, 133, 4940-4947.
9:00 PM - AA10.73
Transparent Solar Cell Using p-Type CNT/n-Type ZnO Heterojunctions.
Minji Park 1 , Young Wook Chang 2 , Min-soo Son 1 , Bong Keun Kang 1 , Kyung-Hwa Yoo 1 2
1 Physics, Yonsei University, Seoul Korea (the Republic of), 2 Nanomedical Graduate Program, Yonsei University, Seoul Korea (the Republic of)
Show AbstractWe herein report on heterojunctions that consist of p-type CNTs and n-type ZnO films. The CNT/ZnO heterojunctions exhibited rectifying behavior in the dark, indicating the formation of a p-n junction. Under illumination, we observed increased photocurrents and photovoltaic effects. In particular, the heterojunctions with transparent Al-doped ZnO electrodes, which were fabricated on a quartz substrate by transferring a high density CNT film on to ZnO annealed in air, showed an optical transmission over 80% in the visible region and photovoltaic effects with an open-circuit voltage of 0.6 V and a power conversion efficiency of greater than 0.2% under a simulated AM 1.5G condition. Our results demonstrate that transparent solar cells can be achieved by using CNT/ZnO heterojunctions.
9:00 PM - AA10.74
High-Efficiency of Graphene-Polymer Gel Electrolyte for Dye-Sensitized Solar Cells.
Mi-Hee Jung 1 , Man-Gu Kang 1
1 Advanced Solar Technology Research Department, Electronics and Telecommunications Research Institute (ETRI), Daejeon Korea (the Republic of)
Show AbstractDye-sensitized solar cells (DSSCs) are a low production cost and high-efficiency alternative to conventional inorganic photovoltaic solar cell. The reported highest efficiency DSSCs composed of dyes sensitized nanocrystalline TiO2 films and volatile liquid state electrolyte.[1] However, the liquid electrolytes presented in such system have the series issues of leakage, evaporation, contamination of the solvents that hinder the progress of actual application. Replacing the liquid electrolyte by a solid-state media seems to be a solution to these problems. Several attempts have been made to find suitable materials, among which are room-temperature molten salts, inorganic p-type semiconductors, organic hole-transporting materials, polymer-gel electrolytes, and solid polymer redox electrolytes. Unfortunately, solid-state photoelectrochemical cells have low conversion efficiencies compared to the liquid versions, because of the high recombination rate at the TiO2/solid-state-electrolyte interface and the low conductivity of the solid-state electrolyte itself. Here, we present that 1-butyl-2,3-dimethylimidazolium iodide acts as both a reducing agent and a stabilizer to prepare soluble graphene nanosheets from graphene oxide and prepared a graphene electrolyte containing propylene carbonate, 1-butyl-2,3-dimethylimidazolium iodide and which, when applied as electrolyte in DSSCs, gave a maximum efficiency of 6.25%. The presence of graphene facilitate electron transfer from counter electrode to I3-and more created the I-ions
9:00 PM - AA10.75
TiO2 Nanotubes/CNTs/Graphene Heterojunction Electrodes for Solar Energy-Driven Applications.
Zohreh Razavi Hesabi 1 , Nageh Allam 2 , Hossein Sojoudi 3 , Klaus Dahmen 1 , Hamid Garmestani 1 , Mostafa Elsayed 4
1 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta,, Georgia, United States, 2 Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 School of Mechanical Engineering, Georgia Institute of Technologyof Technology, Atlanat, Georgia, United States, 4 School of Chemistry and Biochemistry, Georgia Institute of Technology, Atlanat, Georgia, United States
Show AbstractHighly ordered anodically grown TiO2 nanotubes (NTs) were integrated with one-dimensional carbon nanotubes (CNTs) and two-dimensional graphene nanomaterials. CNTs/TiO2 NTs junction was prepared by growing CNTs directly on the TiO2 nanotube surface via chemical vapor deposition at 650 and 680 °C in a mixture of H2/He atmosphere. In this process, different amounts of ferrocene (a metal catalyst) were dissolved in Xylene to act as the feed solution. Graphene/TiO2 hybrid junction has been fabricated by transferring the CVD-fabricated graphene on top of TiO2 nanotubes at room temperature. SEM characterization showed that the optimum coverage of TiO2 nanotubes by CNTs was obtained at ferrocene/Xylenee ratio of 0.01 mg/mL after 40 min deposition. While on the other hand, SEM characterization of graphene/TiO2 nanotubes showed a proper coverage of nanotubes by transparent graphene layer. The synthesized hybrid nanostructures were used as photoanodes to split water photoelectrochemically and compared to the widely-used photoanodes; pure TiO2 nanotubes. The effect of CNTs as well as graphene on efficiency of hybrid nanostructures and possible enhancement mechanisms were discussed in detail.
9:00 PM - AA10.77
Nanomechanical Evaluation of Individual Graphene Sheet with Induced Defects.
Congwei Wang 1 , Asa Barber 1
1 School of Engineering and Materials Science, Queen Mary University of London, London United Kingdom
Show AbstractGraphene has attracted tremendous interest due to its remarkable electrical, mechanical and other physical and chemical properties. The intrinsic mechanical properties of graphene have been probed using nanoindentation [1-3] and been supported by computational simulation [4] and Griffith theory [1]. These experiments proved that graphene is the strongest material known with an elastic modulus of 1.0 TPa and 130 GPa breaking strength. Although defects have been observed in graphene by a number of different methods [5, 6], the role of these defects on nanomechanical behaviour in 2 dimensional graphene has not been fully understood. Indeed, previous work has suggested that nanomaterials may become defect intolerant [7], although work on carbon nanotubes has indicated defect dependent strength in carbon nanostructures [8]. Here we present a direct measurement of the mechanical properties of a range of pristine and defect induced individual graphene sheets in order to assess the role of these defects in graphene nanomechanics. References[1] Lee, C., Wei, X., Kysar, J. W. & Hone, Science 321, 385–388 (2008).[2] Frank, I. W.; Tanenbaum, D. M.; van der Zande, A. M.; McEuen, P. L. , Vac. Sci. Technol., B 256 2558 2561(2007)[3] Poot, M.; van der Zant, H. S., Appl. Phys. Lett. 92 6 (2008)[4] F. Liu, P. M. Ming, J. Li, Phys. Rev. B 76, 064120 (2007). [5] J. C. Meyer et al., Nano Lett. 8, 3582 (2008).[6] Huang, P. Y, Muller, D. A, Nature, 469, 389– 392(2011)[7] H. J. Gao, B. H. Ji, I. L. Jager, E. Arzt, P. Fratzl, Proc. Natl. Acad. Sci. U.S.A. 100, 5597 (2003).[8] A.H. Barber, R. Andrews, L.S. Schadler and H.D. Wagner, Appl. Phys. Lett., 87, 203106(2005)
9:00 PM - AA10.8
Effect of Pretreatments on Carbon Nanotube Production Yields on Ni-Based Superalloy.
Jin-Ju Kim 1 , Goo-Hwan Jeong 1
1 Dept of Advanced Materials Science & Engineering, Kangwon National University, Chuncheon Korea (the Republic of)
Show AbstractIn some application fields of carbon nanotubes (CNT), such as device interconnection, heat dissipation and electron emission devices, high conductivity at the interface of CNT and substrates is a crucial factor since the interface would become a main barrier for electron or heat flow. A chemical vapor deposition method has been commonly used to grow CNT with oxidative buffer layer, which is found to be helpful for growth, due to prevent alloying between catalytic metals and substrates. However, their thickness should be minimized from the viewpoint of directional conductivity from CNT to substrates which are used as charge collectors in some applications. Thus, a direct growth of CNT on metal substrates is conceived as very important subject because we can expand their application to above mentioned areas. Here, we present the direct growth of CNT on Ni-based superalloys, such as Inconel, Hastelloy, and Invar, which contain catalytic elements and thus can grow CNT repeatedly without extra deposition of buffer and catalytic layers. We comparatively investigated the effect of substrate pretreatments of air annealing, plasma treatment and their combination. As for pretreatments, thermal furnace and dc Ar plasma were employed to induce morphological change of the growth substrate surface. The roughness of the substrates was found to be considerably changed by mainly plasma power, working pressure, and applied bias voltages. Finally, it is found that significant enhancement on CNT growth yield, which is caused by the combination of oxidative annealing and plasma process at the surface pretreatment stage.
9:00 PM - AA10.9
Fabrication of CVD-Graphene Based Anodes in Li Ion Batteries and Their Electrochemical Properties.
Byeong-Joo Lee 1 , Yoon-Soo Park 1 , Sung-Man Lee 1 , Goo-Hwan Jeong 1
1 Dept of Advanced Materials Science & Engineering, Kangwon National University, Chuncheon Korea (the Republic of)
Show AbstractGraphene is a thinnest nanomaterial of carbon atoms and tightly packed into a two dimensional honeycomb structure. It has been attracting much attention due to its intriguing physical, electrical, and chemical properties and many applications have been suggested including various flexible devices. In special, a graphene has been tried to use as anodic materials in energy conversion or storage fields since they have planar morphology and large surface areas. To date, the fabrication of the graphene-based anode in Li ion batteries has been generally started from dispersed and reduced graphenes from the graphite oxide sheets. Then, they are mixed with organic binder to form paste so that one can coat them on metallic electrodes. However, the fabrication process is quite cumbersome and time consuming for dispersion, reduction, and rinsing. Here, we present the synthesis of graphene using a chemical vapor deposition and transfer onto Cu foils in order to fabricate the Li ion battery cells, which incorporate the graphene-covered anodes. In addition, to investigate the effect of graphene morphology on electrochemical properties, we performed plasma ion bombardment using air and Ar ambient in order to produce structural defects on the graphenes. It was expected from the Raman spectra that a significant change in the graphene structures was occurred after the plasma treatments. Coin cell batteries were separately fabricated using both the as-grown and the plasma-treated graphenes and their electrochemical properties were comparatively investigated. The prospect as a quick and simple route to fabricate highly efficient flexible Li ion batteries will be discussed in the meeting.
Symposium Organizers
Don Futaba AIST
Annick Loiseau Laboratoire d'Etude des Microstructures (LEM)
Yoke Khin Yap Michigan Technological University
Ming Zheng National Institute of Standards and Technology
AA11: Electrical Properties II
Session Chairs
Yoke Khin Yap
Chongwu Zhou
Wednesday AM, November 30, 2011
Ballroom B (Hynes)
9:00 AM - **AA11.1
High Mobility Epitaxial Graphene for Carbon-Based Nanoelectronics.
Claire Berger 1 2 , Ming Ruan 2 , Yike Hu 2 , James Palmer 2 , John Hankinson 2 , Zelei Guo 2 , Edward Conrad 2 , Walt de Heer 2
1 Institut Neel, CNRS, Grenoble France, 2 School of Physics, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractEpitaxial graphene on silicon carbide has demonstrated a great potential for carbon-based electronic [1]. The material provides a scalable platform for high performance electronics. Multiple interconnected devices can be patterned that show high electronic mobility, large conductivity and conductance modulation by an electrostatic gate. The material consists into one to several dozen graphene layers. The films are grown on the entire surface of hexagonal 4H-SiC substrates by thermal decomposition of the SiC crystal using the Confinement Controlled Sublimation method developed at Georgia Tech [2]. The films grown on the 4H-SiC (0001)face (Si-terminated) are thin graphite films, of typical electronic mobility of 1,500 cm2/Vs, i.e. comparable to that of silicon. Single layer epitaxial graphene grown on the 4H-SiC (000-1)face (C-terminated), presents high mobility up to 15,000 cm2/Vs at room temperature, and the characteristic quantum Hall effect of isolated graphene. Multilayer films consist of a new structure, that is a non-graphitic commensurate rotated stacking of the graphene layers. Transport and spectroscopy measurements demonstrate an effective decoupling of the adjacent graphene layers. In particular, angular resolved photoemission spectroscopy show no significant deviations from the linear band structure of a single layer graphene, indicating that the graphene sheets on the C-face films behave nearly identically to electronically isolated graphene sheets.Large electronic switching ratios require a band gap but graphene is a gapless semimetal. Transport gaps have been demonstrated in chemical functionalized graphene and in narrow ribbons. But patterning techniques severely degrade graphene. Transport data on narrow graphene ribbons directly grown on silicon carbide substrate step edges at high temperature indicate reduced edge scattering and ballistic transport [3]. This opens the way to EG device architectures that rely on wave properties of the electrons, beyond diffusive electronics.[1] C. Berger et al, J. Phys. Chem. B 108, 2004,19912 (2004).[2] W. A. de Heer et al. arXiv:1103.3552 (2011).[3] M. Sprinkle, et al., Nature Nanotechnology 5, 727, (2010).
9:30 AM - **AA11.2
Exploring Novel Electronics in Graphene-Based Heterostructures.
Cory Dean 2 3 1 , Andrea Young 1 , Inanc Meric 2 , Lei Wang 3 , Jim Hone 3 , Ken Shepard 2 , Philip Kim 1
2 Electrical Engineering, Columbia University, New York, New York, United States, 3 Mechanical Engineering, Columbia University, New York, New York, United States, 1 Physics, Columbia University, New York, New York, United States
Show AbstractA continuing challenge in the study of graphene remains fabrication of ultra-high mobility devices so that the intrinsic characteristics of graphene can be fully explored. In my talk I will discuss our recent breakthrough advancement in fabricating very-high quality graphene devices on boron nitride, realized by precision transfer of mechanically exfoliated graphene and single crystal h-BN flakes. Owing to its large bandgap, chemical inertness, hexagonal lattice structure (with only 2% lattice mismatch to graphene), planar (i.e. atomically flat) surface structure and good dielectric properties, we demonstrate that single crystal h-BN is an ideal supporting substrate in the effort towards realizing improved graphene FET devices. Recent progress in graphene performance realized by fabricating multi-layer graphene/h-BN heterostructures will be discussed. Additionally I will present some new device structures and applications we are pursuing with this promising new technology
10:00 AM - AA11.3
Optimization of Wafer-Scale Epitaxial Graphene Growth on SiC for High Frequency Applications.
Christos Dimitrakopoulos 1 , Timothy McArdle 1 , Alfred Grill 1 , Dirk Pfeiffer 1 , Yu-Ming Lin 1 , Damon Farmer 1 , Yanqing Wu 1 , Wenjuan Zhu 1 , Phaedon Avouris 1
1 , IBM TJ Watson Research Center, Yorktown Heights , New York, United States
Show AbstractThe remarkable electronic properties of graphene, especially its high intrinsic mobility, make it attractive for high-speed electronic applications. When SiC is annealed at high temperatures, its top layers undergo thermal decomposition and the C atoms remaining on the surface form epitaxial graphene layers (subtractive epitaxy). Growth of graphene on the Si-face of hexagonal SiC wafers exhibits manageable growth kinetics allowing good control over the number of graphene layers. This, and the fact that the azimuthal orientation of epi-graphene on the Si-face is determined by the crystal structure of the substrate, provides a pathway to uniform coverage and structural coherence of graphene at wafer-scale. However, the Hall mobility of graphene grown on the Si-face of SiC is, in general, lower than in flakes, and thus it is important to optimize the graphene growth process for better electrical performance. Here, we show results pointing towards this goal. By combining surface preparation steps under Si-containing gas flow with a higher temperature graphenization step in Ar and optimizing the process parameters for graphene growth on 4H(0001) SiC with 0.00° miscut, we have measured average Hall mobilities of 3000 cm2 V-1 s-1 at carrier density n=2x1012 cm-2 from large Hall bar devices (160 μm x 200 μm) soon after fabrication,1 and up to 4932 cm2 V-1 s-1 at n=4x1011 cm-2 after several days of exposure in ambient atmosphere. We will explain this large improvement in mobility taking into account the static gating effect imposed by the attachment of negative ions (e.g. –OH) on the thin photoresist residue remaining on graphene after device fabrication, in combination with the known2 increase in mobility as carrier density decreases. Furthermore, the effect of Hall bar device size on mobility will be described and explained, together with data about the wafer-scale uniformity of the structural and electrical properties of graphene in devices produced using standard semiconductor fabrication techniques. The epi-graphene on SiC that we produced with the above described methods was used recently in the fabrication of graphene integrated circuits3 and high performance RF transistors,4 which will also be discussed. 1. Dimitrakopoulos et al. Appl. Phys. Lett. 98, 222105 (2011). 2. Dimitrakopoulos et al. J. Vac. Sci. Technol. B 28, 985 (2010). 3. Lin et al. Science 332, 1294 (2011) 4. Lin et al. Science 327, 662 (2010).Acknowledgements: This work is supported by DARPA under Contract FA8650-08-C-7838 through the CERA program. We thank Dr. C.-Y. Sung for the administration of the CERA project at IBM. Distribution Statements: Approved for Public Release, Distribution Unlimited. The views, opinions, and/or findings contained in this document are those of the author and should not be interpreted as representing the official views or policies, either expressed or implied, of the Defense Advanced Research Projects Agency or the Department of Defense.
10:15 AM - AA11.4
Effect of Edge Disorders on the Scaling Behaviors of Graphene Nanostructures.
Guangyu Xu 1 , Carlos Torres 1 , Jingwei Bai 2 , Jianshi Tang 1 , Xiangfeng Duan 3 , Yu Huang 2 , Yuegang Zhang 4 , Kang Wang 1
1 Electrical Engineering, UCLA, Los Angeles, California, United States, 2 Material Science and Engineering, UCLA, Los Angeles, California, United States, 3 Chemistry and Biochemistry, UCLA, Los Angeles, California, United States, 4 Molecular Foundry, Lawrence Berkeley National Lab, Berkeley, California, United States
Show AbstractGraphene is an extraordinary material with great potential. Graphene with a nanometer-sized width, graphene nanoribbon (GNR), features a transport gap that benefits switching on/off the devices. However, as-made GNRs usually have unavoidable edge disorders due to the imperfection of fabrication processes. The effect of these edge disorders on graphene transport is both of fundamental interest and practical concern for device implementations.To explore the edge effect on the transport of graphene nanostructures, we studied the length-dependence of the resistance (resistance scaling) in both single-layer and bi-layer GNR and graphene sheet (with micron-sized width) [Ref. 1]. By measuring the resistance scaling behaviors at both low and high carrier densities, we show that the transport of single-layer GNR lies in a strong localization regime, which can be attributed to the effect of edge disorders. Moreover, we show that this edge effect in single-layer GNR can be reduced in three manners: increasing the width (to form single-layer graphene sheet), decreasing the carrier densities or adding an extra layer (to form bi-layer GNR). Our results reveal the critical role of edge effect on graphene transport and thus the resistance scaling rules, which may provide insight to realizing scalable graphene electronics.Similar to that in silicon technology, linewidth roughness (LWR) is one type of edge disorders that is critical to evaluate the scalability of GNR devices along the width-direction. We studied the LWR in GNRs fabricated by a nanowire-mask based method [Ref. 2]. Unlike a lithography-based process which normally leads to a constant LWR of the linewidth, the LWR amplitude in GNR decreases with the width (W); this fact can relate to the etching undercut due to the circular cross-section of the nanowire-mask. We suggested that the large variation in GNR devices can relate to LWR, while other possible reasons may co-exist (e.g. other atomic-scale edge disorders). The role of LWR in GNRs could attract further interest in the development of advanced GNR-patterning methods.1. G. Xu et. al. Nano Lett. 11, 1082-1086 (2011)2. G. Xu et. al. Appl. Phys. Lett. 98, 243118 (2011)
10:30 AM - AA11.5
Radio Frequency and Linearity Performance of Transistors Using High-Purity Semiconducting Carbon Nanotubes.
Chuan Wang 1 , Yuchi Che 1 , Alexander Badmaev 1 , Chongwu Zhou 1
1 Electrical Engineering, Univ Southern California, Los Angeles, California, United States
Show AbstractWe report the radio frequency (RF) and linearity performance of transistors using high-purity semiconducting carbon nanotubes. High-density, uniform semiconducting nanotube networks are deposited at wafer scale using our APTES-assisted nanotube deposition technique, and RF transistors with channel lengths down to 120 nm are fabricated. We report on transistors exhibiting a cutoff frequency (ft) of 20 GHz and with maximum oscillation frequency (fmax) of 10 GHz. Besides the cutoff frequency, the other important figure of merit for the RF transistors is the device linearity. For the first time, we report carbon nanotube RF transistor linearity metrics up to 1 GHz. Without the use of active probes to provide the high impedance termination, the measurement bandwidth is therefore not limited, and the linearity measurements can be conducted at the frequencies where the transistors are intended to be operating. We conclude that semiconducting nanotube-based transistors are potentially promising building blocks for highly linear RF electronics and circuit applications.
10:45 AM - AA11.6
Inkjet-Printed Single-Walled Carbon Nanotube Electrode for Stretchable Electronics.
Taehoon Kim 1 2 , Hyunsoo Song 1 2 , Jaemyon Lee 1 2 , Yongtaek Hong 1 2
1 Electrical Engineering and Computer Science, Seoul National University, Seoul Korea (the Republic of), 2 Inter-University Semiconductor Research Center (ISRC), Seoul National University, Seoul Korea (the Republic of)
Show AbstractRecently, new technologies have been widely studied in stretchable electronics. The key issue in stretchable electronics is both high stretchability and high conductivity. For obtaining two characteristics, various materials are investigated, such as wavy Ag metal line, CNT-polymer composites and graphene films. Even though the materials have good properties, some problems still exist such as crack failure in high strain range or the complex manufacturing system. Therefore, the investigation of method and materials, which can provide large scale and excellent properties in stretchability and conductivity, is needed for stretchable electronics. Single-walled carbon nanotubes (SWCNTs) have good conductivity, high aspect ratio and mechanical properties, which means that the SWCNTs are promising materials for stretchable electronics. And the printed electronics are widely used in the various applications such as displays, sensors and flexible devices because of low process cost, large scale-ability, and high processing speed. However, compared to the other solution-based processes, the inkjet printing process is less reported for the stretchable electronics because of SWCNTs agglomeration which causes nozzle clogging. Also low electrical properties, compared to one SWCNT, are obtained because SWCNTs in thin films has the random network.In this study, we fabricated inkjet-printed SWCNTs thin film electrodes on PDMS substrates and performed stretchability test. First, we synthesized water-base SWCNTs solution by using tip sonication with aqueous surfactant for sidewall functionalization. For fabricating SWCNTs films, the SWCNTs solution was printed on PDMS substrates. The shape of the electrode was controlled to be a dog born form by continuous printing through several nozzles. When we fabricated ink-jet printed SWCNTs films on PDMS substrates, it shows very low electrical properties. For improving the electrical properties of ink-jet printed SWCNTs films, water washing and HNO3 treatment are performed. Especially, the HNO3 treatment provides elimination of surfactant, which was used for aqueous dispersion, and p-type doping. The 5-time-printed SWCNTs thin films have initial value of about 15 kΩ and it highly increased up to 340 kΩ when the thin films is stretched for strains up to 50%. When the thin films were treated by water washing or HNO3, initial values were reduced to 2 kΩ or 1.2 kΩ respectively. Stretching/releasing cycle tests for strains up to 50% were performed. While the electrical properties of water washing treated SWCNTs thin films increased during cycle test, the electrical properties of HNO3 treated thin films showed no obvious change. This work was supported by the Korea Science and Engineering Foundation(KOSEF) grant funded by the Korea government(MEST) grant No. I-NS07-09(0417-20090086)
AA12: Non-Carbon Nanostructures
Session Chairs
Jerome Lagoute
Annick Loiseau
Wednesday PM, November 30, 2011
Ballroom B (Hynes)
11:30 AM - **AA12.1
Boron Nitride Nanotubes: Patterned Growth, Functionalization, and Device Applications.
Chee Huei Lee 1 , Jiesheng Wang 1 , Yoke Khin Yap 1
1 Physics, Michigan Technological University, Houghton, Michigan, United States
Show AbstractBoron nitride nanotubes (BNNTs) are structurally similar to carbon nanotubes (CNTs), but exhibit completely different physical and chemical properties. BNNTs are thus complementing CNTs for various applications in science and engineering. However, synthesis of high-quality BNNTs at relatively simple approach was challenging [1]. In view of this, we have establish a novel growth vapor trapping (GVT) approach to produce high-quality BNNTs on Si substrates by using conventional tube furnaces [2]. Using our GVT approach and effective catalysts, we have demonstrated the first success in growing long and dense BNNTs in well-defined patterns. These BNNTs have an optical band gap of ~6eV, identical to that of hexagonal BN (h-BN) single crystals and are completely insulating [3]. We discovered that as-grown BNNT films exhibit superhydrophobicity, in contrast to h-BN films [4]. In addition, the distinguished mechanical and electromechanical properties of these BNNTs have been characterized by our in-situ AFM-TEM probe [5].Due to the wide band gap nature, BNNTs need to be modified physically or chemically in order to be useful in nanoelectronic devices, biomedical and sensing applications. First, we show that BNNTs can be well-dissolved and dispersed in both organic solvents [6] and water after functionalized with polymeric molecules. Furthermore, these dispersed BNNTs can be shortened to desired lengths from 10 microns to 500 nm. Second, BNNTs can be functionalized with carbon, as well as zero- and one- dimensional materials. Finally, the as-grown and functionalized BNNTs can be printed on substrates to form monolayer of horizontally-aligned BNNT arrays with controllable density. Details of these success and electronic properties of these functionalized BNNTs will be reviewed in the meeting. Y.K.Yap acknowledges supports from National Science Foundation CAREER award (Award 0447555) and the U.S. Department of Energy, the Office of Basic Energy Sciences (Grant DE-FG02-06ER46294).[1]. Wang et al, Nano Letters 5, 2528 (2005); in Encyclopedia of Nanoscience and Nanotechnology Volume 12 (2011) pp 97-107; Nanoscale 2, 2028 (2010); in Chapter 2 of B-C-N Nanotubes and Related Nanostructures (Springer, 2009) pp 23-44; Xie et al, J. Phys. Chem. C 114, 16236 (2010).[2]. Lee et al, Nanotechnology 19, 455605 (2008).[3]. Lee et al, Chem. Mater. 22, 1782 (2010).[4]. Lee et al, Langmuir (letter) 25, 4853 (2009).[5]. Ghassemi et al, Nanotechnology 22 145602 (2011); J. Appl. Phys. 108, 024314 (2010); JOM 62, 69 (2010). [6]. Velayudham et al, ACS Appl. Mater. Interfaces 2, 104 (2010).
12:00 PM - AA12.2
Titania Nanotubes-Based Medical Implants for Growth Improvement of Biological Cells.
Tolou Shokuhfar 1 , Craig Friedrich 1 , Chang Choi 1 , Jen-Young Chang 1
1 , Michigan Technological University, Houghton, Michigan, United States
Show AbstractIn this study a self-assembled arrays of titanium dioxide nanotube was used to investigate the adhesion, spreading and substrate interaction of osteoblast cells. Focused ion beam (FIB), scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), and optical microscopy observation of osteoblast were used to investigate the cell proliferation, morphology and adhesion at the nanotube interfaces. Chemical analysis from the osteoblasts, osteoblast-nanotube interface, and milled areas of cell attached to nanotubes, revealed that the lipid bilayer of the cells has been grown inside the nanotubes, resulting in complete coverage and clogging of the nanotubes. The results indicated that osteoblasts spreading, adhesion and substrate interaction is higher in surfaces covered by nanotubes compared to bare surfaces of commonly used surgical pure Ti and Ti6Al4V alloys.
12:15 PM - AA12.3
Controlled Synthesis and Physical Properties of Quasi-Two-Dimensional Nanostructures.
Hailin Peng 1
1 College of Chemistry and Molecular Engineering, Peking University, Beijing China
Show AbstractQuasi-two-dimensional (Quasi-2D) crystals can be viewed as few-layer atomic planes isolated individual crystal planes from a large variety of layered inorganic materials such as graphite, hexagonal boron nitride, transition metal oxides, transition metal dichalcogenides, III-VI group compounds, and V-VI group compounds. The unique properties of quasi-2D crystals can have a large impact on fundamental research as well as applications in electronics, spintronics, photonics, and energy sciences. Here recent studies on the controlled synthesis of quasi-2D nanostructures such as graphene and topological insulator V-VI nanoribbons and nanoplates, as well as their physical properties will be discussed.First, we proposed a van der Waals epitaxy method to grow bilayer Bernal graphene using chemical vapor deposition (CVD) on copper foil. The thickness and stacking order of bilayer graphene were confirmed by AFM, TEM, and mirco-Raman spectroscopy. The bandgap of our CVD bilayer Bernal graphene was precisely tuned by a perpendicular electric field.Second, we demonstrated a nondestructive, homogenous, and patternable photochemical chlorination of graphene through the covalent attachment of chlorine radicals to the basal carbon atoms of graphene. After photochlorination, the formation of C-Cl bonds was verified. The resistance was found to increase over 4 orders of magnitude and a band gap appeared, confirmed by electrical measurements.Finally, high-quality quasi-2D nanostructures of topological insulator (Bi2Se3 and Bi2Te3) have been synthesized by vapor-phase growth. Quasi-2D nanostructures of topological insulators such as thin films, nanoplates, and nanoribbons are expected to significantly enhance topological surface conduction, and facilitate the surface manipulation by external means. Ultrathin nanoplates of topological insulator with uniform thickness down to a single layer have been grown on various substrates, including pristine graphene. Pronounced Aharonov-Bohm interference effects were observed in topological insulator nanoribbons, providing direct transport evidence of the robust, conducting surface states. Transport measurements of a single nanoplate device, with a high-k dielectric top gate, show a significant decrease in carrier concentration and large tuning of chemical potential with electrical gating. Our studies suggest that quasi-2D nanostructures of topological insulators hold great promise for future spin and quantum-based electronic applications.References[1] K. Yan†, H.L. Peng†, Z.F. Liu*, et al. Nano Lett. 2011, 11(3), 1106.[2] B. Li†, L. Zhou†, D. Wu†, H.L. Peng†, Z.F. Liu*, et al. ACS Nano 2011, in press (nn201731t).[3] H.L. Peng†, K.J. Lai†, Y. Cui* et al. Nature Materials 2010, 9, 225.[4] W.H. Dang†, H.L. Peng†, Z.F. Liu*, et al. Nano Lett. 2010, 10 (8), 2870.[5] D.S. Kong, H.L. Peng*, Z.F. Liu, Y Cui*, et al. Nano Lett. 2010, 10 (6), 2245.
12:30 PM - AA12.4
Mono-/Few-Layered BN and Cx-BN Nanosheets: New Synthesis through ``Chemical-Blowing'', Electrical Properties and Applications in Polymeric Composites.
Xuebin Wang 1 2 , Chunyi Zhi 1 , Dmitri Golberg 1 , Yoshio Bando 1 2
1 International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Science(NIMS), Tsukuba, Ibaraki ,Japan Japan, 2 Faculty of Science and Engineering, Waseda University, Tokyo Japan
Show AbstractGraphene and its sister system - monolayered BN (so-called “white graphene”), are two representatives of 2D inorganic crystals which have recently become notorious in many fields. Theoretically, merging “black” (i.e. Carbon) and “white” (i.e. BN) graphenes within the ternary B-C-N phase should result in many intriguing properties, e.g. a tunable bandgap. However, the exploration of fundamental and practical aspects of BN and B-C-N atomic sheets has been stagnated due to the lack of efficient synthetic routes. Here, we report on a new approach, “chemical blowing”, which relies on making large bubbles with atomically-thin BN or Cx-BN (phase-separated C-BN) walls. The process utilizes a multistage heating of ammonia borane, in which a massive H2 gas release during pyrolysis blows numerous B-N-H/B-N-C-H polymer bubbles (ethanol may be added to provide C for a B-N-C-H polymer), somewhat resembling blowing up balloons. High-yield (25 wt.%) mono- and few- layered BN or Cx-BN sheets with large lateral dimensions (100 μm) have been obtained after the following designed high-temperature treatment and crushing/collapse of the bubbles. The achieved yield and lateral dimensions of nanosheets are large enough for assembling nanoelectronic devices and fabricating nanosheet-containing composites, which are also demonstrated here. We determine that Cx-BN sheets become semiconductors with a controlled resistivity varying in a large range, from 100 to 0.1 Ωm, and the elastic modulus and yield strength increases by 8 % and 20 % for a polycarbonate/BN composite with a 1 wt.% BN nanosheet loading fraction. This substrate-free method is simpler than the normal CVD method, and its products generally possess larger lateral dimensions than those produced under solution exfoliation. The developed technique opens up a wide horizon for the analogous growth of other 2D inorganic nanosheets and full realization of their nanotechnology potentials.
12:45 PM - AA12.5
Synthesis of One to Two Layer Hexagonal Boron Nitride Using Chemical Vapor Deposition.
Ki Kang Kim 1 , Yumeng Shi 1 , Allen Long Hsu 1 , Xiaoting Jia 1 , Mario Hofmann 1 , Soo Min Kim 1 , Sung Mi Jung 1 , Mildred S. Dresselhaus 1 , Tomás Palacios 1 , Jing Kong 1
1 EECS, MIT, Cambridge, Massachusetts, United States
Show AbstractHexagonal boron nitride (hBN) is very attractive for a variety of applications, particularly, as deep ultraviolet emitter, transparent membrane, dielectric layer/substrate, or protective coatings. In this work, we carried out detailed investigation of hBN synthesis on Cu substrate using chemical vapor deposition (CVD) with two heating zone under low pressure (LP). Previously few layer hBN were synthesized via CVD under atmospheric pressure (AP) on metallic substrates. In contrast, one or two layer hBN are synthesized under LPCVD. Ammonia borane is used as a BN precursor, which is easier accessible and more stable in the atmosphere than borazine. These mono- or bi-layer hBN films are characterized by atomic force microscopy, transmission electron microscopy and electron energy loss spectroscopy analyses. Our results suggest that the growth here occurs via surface-mediation, which is similar to graphene growth on Cu under low pressure. These atomically thin layers are particularly attractive for atomic membranes or dielectric layer/substrates for graphene devices.
AA13: Mechanical Properties I
Session Chairs
Craig Friedrich
Don Futaba
Wednesday PM, November 30, 2011
Ballroom B (Hynes)
2:30 PM - **AA13.1
Advances in SWNT Forests: Growth, Characterization, Applications, and a Pilot Plant.
Kenji Hata 1 , Ming Xu 1
1 Nanotube Research Center, National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba Japan
Show AbstractVertically aligned single-walled carbon nanotubes (SWNT) forests represent an important field in nanotube research. Water-assisted CVD now known as the “super-growth”[1] technique stands as one representative method to grow SWNT forests in a short time.Here, I will present the current status of our and others researches carried out to understand and to control SWNT forest growth, to realize economical and industrial scale mass productions, and to develop new applications. We have found that any combination of growth enhancers and carbon sources following a basic rule can provide highly efficient growth [2]. This feature has provided an interesting opportunity to tailor the nanotube structure [3] and dispersibility in solutions. A chemical map would be presented that ties growth parameters, such as growth yield, purity, and growth lifetime, to the chemical reaction paths of the carbon source and growth enhancers. In addition, I would describe the launch and impact of the first pilot plant of SWNT forest (spec. 100g/hour ) that can continuously grow SWNT forests on 50 cm square substrates fed to a furnace [ref patent] by a belt-conveyer.The SWNTs within the forests possess exceptional properties of high purity, high surface area, long length, and alignment. These properties has opened up new opportunities for CNTs, exemplified by CNT black body absorbers [4], light mechanical beams [5], stretchable conductors [6], high power and density super-capacitors [7], biofuel cells [8],temperature invariant viscoelastic CNT materials [9], and strain sensors [10] for human motion detection.With the launch of the pilot plant of SWNT forest, some of these applications are expected to be realized in real commercial products soon. My perspectives regarding industrialization of SWNTs forests would be presented. References: [1] Science 2004, 306, 1362, [2] Nano Lett. 2008, 8, 4288., Advanced Materials, 4811 (2009), Nano Letters, 9 (2), 769-773 (2009), [3]JACS. 131, 15992 (2009), [4]PNAS, 106 (15), 6044-6047 (2009), [5] Physical Review Letters, 102, 175505 (2009), [6] Science, 321, 1468(2008), Nature Materials, 8 (6), 494-499 (2009), [7] Advanced Materials 22, E235-E241 (2010), JACS 132, 18017-18019 (2010),[8] JACS 133, 5129–5134 (2011), [9] Science, 330 (6009), 1364-1368 (2010, [10] Nature Nanotechnology DOI: 10.1038/NNANO.2011.36
3:00 PM - AA13.2
Mechanical Properties and Creep Behavior of Carbon Fiber Nano-Composites.
Yi Luen Li 1 , Ming-Yuan Shen Shen 1 , Wei-Jen Chen 1 , Chin-Lung Chiang 2 , Ming-Chuen Yip 1
1 Department of Power Mechanical Engineering, National Tsing-Hua University, Hsin-Chu Taiwan, 2 Department of Safety, Health and Environmental Engineering, Hung-Kuang University, Taichung, Taiwan, R.O.C., Taiwan
Show AbstractThe surface modification of carbon nanotubes (CNTs) has been recently observed to influence the distribution of CNTs in epoxy resin and the mechanical properties and electrical conductivities of these CNTs. Accordingly, the treatment of CNTs to with organic acids to oxidize them generates functional groups on the surface of CNTs. This investigation studies the consequent enhancement of the mechanical properties and electrical conductivities of CNTs. The influence of adding various proportions of CNTs to the epoxy resin on the mechanical properties and electrical conductivities of the composites thus formed is investigated, and the strength of the material is tested at different temperatures. The test results also indicate that mechanical strength and electrical conductivity increase with the amount of CNTs added to the composites. Different coefficients of expansion of the matrix, fiber and CNTs, are such that overexpansion of the matrix at high temperature results in cracking in it. An SEM image of the fracture surface reveals debonding and the pulling out of longitudinal fibers because of poor interfacial bonding between fiber and matrix, which reduce overall strength. Moreover, the creep behaviors of carbon fiber (CF) /epoxy resin thermosetting composites and CNTs/CF/ epoxy resin composites were tested and analyzed at different stresses, orientations of fiber, temperatures and humidities. The creep exhibits only two stages- primary creep and steady-state creep. The effects of creep stress, creep time, and humidity on the creep of composites that contain various proportion of CNTs were investigated at various temperatures. Additionally, increasing the number of cycles in cyclic creep tests at room temperature resulted in a decrease in creep strain even at a high temperature of 55 degrees celsius. Possible room temperature creep mechanisms have been proposed and discussed. With increasing number of creep tests, the creep strain decreased due to strain hardening which occurred during creep. Creep strain is believed to increase with applied stress, creep time, humidity, temperature and degree of the angle θ between the orientation of fiber and the direction of the applied stress.Furthermore, the decrease of creep strain of CF/epoxy resin composites performed aging pretreatment in a constant temperature and humidity chamber for different long-term days prior to creep testing was also investigated.Finally, the test results of creep strain of CF/epoxy resin composites and CNTs/CF/epoxy resin composites tested under various conditions can be smoothly fitted by the fitting curves of Findley power law.
3:15 PM - AA13.3
Multifunctional Nanoprepregs Based on Aligned Carbon Nanotube Sheets.
Xin Wang 1 , Wei Liu 2 , Qian Jiang 1 , Mohammad Harb 1 , Qingwen Li 3 , Yuntian Zhu 1
1 , North Carolina State University, Raleigh, North Carolina, United States, 2 , Donghua University, Shanghai China, 3 , Suzhou Institute of Nano-Tech and Nano-Bionics, Shanghai China
Show AbstractThe unique properties of carbon nanotubes (CNTs), such as high strength and stiffness, extremely large specific surface area, low density and high electrical and thermal conductivities, open up opportunities for a wide range of applications. This has led to widespread research on the synthesis of multiscale CNT-based polymeric composites transferring the properties from nanoscale to macroscale. Currently known conventional methods for producing CNT composites rely on dispersing short nanotubes in polymer matrices or infiltrating CNT “buckypaper” with resins. Unlike conventional methods, in this work, super-aligned long CNTs were directly drawn from the vertically aligned CNT arrays and assembled into high volume fraction (60 vol%) composites. These critical structural features allowed the CNT composites to reach tensile strengths over 1.8 GPa and electrical conductivity over 700 S/cm. These values are superior to those of the CNT composites fabricated by conventional approaches. Our observation suggests that the strengthening and conducting mechanism is derived from the synergistic effect of high degree of CNT alignment, long CNT length, high volume fraction and uniform distribution of CNTs in the polymer matrix produced by spray-winding.
3:30 PM - AA13.4
Mechanical Properties of Millimeter-Long Unidirectional MWCNT-Polymer Composites.
Yoku Inoue 1 , Hidenori Mimura 2 , Yoshinobu Shimamura 3
1 Department of Electrical and Electronic Engineering, Shizuoka University, Hamamatsu Japan, 2 Research Institute of Electronics, Shizuoka University, Hamamatsu Japan, 3 Department of Mechanical Engineering, Shizuoka Univeristy, Hamamatsu Japan
Show AbstractCarbon nanotubes (CNTs) have high specific elastic modulus and tensile strength. The potential as reinforcement materials for polymers has, however, not been realized so far. Recently, we established a novel growth method of vertically aligned multi-walled carbon nanotube (MWCNT) arrays. MWCNT length is 1~2 mm with a high growth rate over 100 μm/min [1]. Furthermore, every array is highly spinnable and high strength spun fibers and unidirectional sheets are easily fabricated. In this study, such two types of carbon nanotube preforms, unidirectional sheet and spun fibers, were used to achieve high efficiency of reinforcement.The MWCNT preforms were fabricated from highly spinnable arrays grown by chloride mediated chemical vapor deposition. Grown MWCNTs are quite straight and highly aligned, called super aligned arrays. Such MWCNTs are highly bundled on a substrate, resulting in high spinnability, which MWCNT webs are well drawn out. The dimensions of MWCNTs used were 40 nm in diameter and 1~2 mm in length. To fabricate the unidirectional MWCNT sheets, MWCNT webs were drawn from the arrays and wound on a mandrel [2]. Unidirectional sheet-polymer composites were fabricated by a hand lay-up method. Since the epoxy polymer was well impregnated into the MWCNT sheet, high alignment was kept in the composite. MWCNT volume fraction, measured by thermogravimetric analysis, were 14 %. Young's modulus and tensile strength were increased from 2 GPa and 60 MPa for pure epoxy up to 28 GPa and 190 MPa for the composite, respectively. On the other hand, spun fibers were fabricated by twisting the MWCNT webs. The fiber composites were formed by a pultrusion process. MWCNT volume fraction was 7-8 %. Tensile strength of 60 MPa for epoxy was increased to 195 MPa. The experimental results showed that using carbon nanotube preforms, where millimeter-long MWCNTs are highly aligned in one direction, is effective for achieving high mechanical properties.[1] Y. Inoue et at., Appl. Phys. Lett. 92, 213113 (2008), [2] Y. Inoue et al., Carbon 49, 2347 (2011).
3:45 PM - AA13.5
Biscrolling Nanotube Composite Yarns and Sheets.
Marcio Dias Lima 1 , Xavier Lepro 1 , Chihye Lewis 1 , Shaoli Fang 1 , Raquel Ovalle-Robles 1 , Javier Carretero-Gonzalez 1 , Ray Baughman 1 , Elizabeth Castillo-Martinez 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractPowders of particles or nanofibers are well known additives for introducing new and improved functionality into yarns, and the importance of this area motivates new advances [1-3]. Exploited methods use additive dispersion in a fiber matrix or incorporation on fiber surfaces, but problems remain: (1) powder loading levels are typically low, (2) nanoparticle and nanofiber functionality can degrade when processing decreases accessible surface area, and (3) powders on yarn surfaces can lack durability. Powders are rarely spinnable into yarns without changing basic structure. Strong, weavable multifunctional yarns could be seamlessly integrated into intelligent clothing, structural textiles, and woven electrodes that are flexible, durable, and hierarchically engineered in porosity by yarn weaving, thereby providing advantages over conventional multifunctional film and bulk composites. Our goal was to spin porous multifunctional yarns in which a low concentration of strong host enables weavability, flexibility, and durability, while a high guest concentration adds functions like energy storage, harvesting, and conversion. Generically applicable methods were demonstrated for producing continuous yarns comprising up to 95 wt. % of otherwise unspinnable particulate or nanofibers powders that remain highly functional [4]. These methods utilize the strength and electronic connectivity of sometimes minute amounts of carbon nanotube sheets that are helically scrolled in the yarns. Scrolled 50 nm thick nanotube sheets or sheet stacks can confine nanopowders, micropowders, or nanofibers in the corridors of often irregular spiral sacks, whose observed complex topologies are related to twist-dependent extension of Archimedean or Fermat-related spirals or spiral pairs into helices. This technology is used to make yarns of superconductors, Li-ion battery materials, graphene ribbons, catalytic nanofibers for fuel cells, and TiO2 for photocatalysis. The mechanical properties of these yarns enables applications for wearable electronic textiles and for strong woven electrodes of batteries and fuel cells. Using patterned deposition for bilayer stacks, TiO2 guest can be located in the sheath of a biscrolled yarn, thereby optimizing light absorption for such applications as self-cleaning textiles and Graetzel solar cells. Also, it was demonstrated the use of CNT sheets as removable templates for making spinnable sheets of inorganic nanotubes what extends biscrolling to new hosts and provides a route to other types of nanotube yarns.[1]D. Li ,Y. Xia, Adv. Mater. 16, 1151 (2004).[2]H. Ye, H. Lam, N. Titchenal, Y. Gogotsi, F. Ko., Appl. Phys. Lett. 85, 1775 (2004).[3]M. J. Uddin et al., J. Photochem. Photobiol. A :Chem. 199, 64 (2008).[4]M.D. Lima et al., Science, 331, 6013 pp. 51-55 (2011).
AA14: Energy and Electrochemistry I
Session Chairs
Wednesday PM, November 30, 2011
Ballroom B (Hynes)
4:30 PM - **AA14.1
Carbon Nanotube-Based Novel Electrocatalyst for Fuel Cell.
Naotoshi Nakashima 1 2 3 , Tsuyohiko Fujigaya 1 2
1 Department of Applied Chemistry, Kyushu University, Fukuoka Japan, 2 WPI-I2CNER, Kyushu University, Fukuoka Japan, 3 , JST-CREST, Tokyo Japan
Show AbstractIn polymer electrolyte fuel cells, proton-exchange membrane fuel cells (PEMFCs) have been recognized as a promising energy source system due to their high energy conversion efficiency, high power density, and low pollutant emission.[1-3] However, the crucial drawbacks of the PEMFCs, such as temperature limitation for operation and low durability of metal catalysts under acidic conditions, are offending their commercialization. Recently, increasing attention has focused on anion-exchange membrane fuel cells (AEMFCs)[3] because of their potential higher durability and reactivity of the metal catalysts in alkaline media. Here, we describe a new electrocatalyst for AEMFC composed of carbon nanotubes (CNTs), KOH-doped polybenzimidazole (PBI) and platinum nanoparticles (Pt), in which CNTs are wrapped by KOH-doped PBI in nanometer thickness and Pt are efficiently loaded on the wrapping layer.[4] In the electrocatalyst, it is revealed that CNTs and KOH-doped PBI layer functions as electron- and hydroxide-conductive path, respectively, and large exposed surface of Pt are allows an effective access of the fuel gas. Quantitative formation of a well-defined interfacial structure formed by these components leads an excellent mass transfer in the catalyst interface and realizes a high fuel cell performance. Membrane electrode assembly fabricated with the electrocatalyst shows a high power density of 256 mW cm-2. At the meeting, we also report the design and synthesis of nitrogen-containing calcined polybenzimidazole (PBI)/CNT hybrids that act as an oxygen reduction catalyst.[5] N-containing core/shell CNTs were prepared by wrapping MWNTs with PyPBI, followed by Co-coordination, then calcination. The formation of a N-containing graphitic structure in the shell resulted in a high ORR activity. We report that the metal coordination functionality and higher nitrogen content in the wrapping polymer, which are required to form a nitrogen atom-containing shell, are the keys to the high oxygen reduction reaction activity. Because a wide range of nitrogen -containing polymers is applicable for this approach, optimization of the precursor structure is expected to lead to a higher oxygen reduction reaction activity.[1] P. Costamagna, S. Srinivasan, J. Power Sources 2001, 102, 242.[2] B. C. H. Steele, A. Heinzel, Nature 2001, 414, 345.[3] M. A. Hickner, Mater. Today 2010, 13, 34.[4] K. Matsumoto, T. Fujigaya, H. Yanagi, N. Nakashima, Adv. Functional Mater., 2011, 21, 1089.[5] T. Fujigaya, T. Uchinoumi, K. Design and K. Kaneko, N. Nakashima, Chem.Comm. 2011, 47, 6843.
5:00 PM - AA14.2
Carbon Nanotube-Based Hybrid Structures for Electronics and Energy.
Seunghyun Baik 1
1 Department of Energy Science and School of Mechanical Engineering, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractThe utilization of excellent electrical and thermal properties of carbon nanotubes in the composites is affected by boundary conditions of nanotubes. Here we introduce carbon nanotube-based hybrid structures to address this issue. A small amount of nanotubes functionalized with Ag nanoparticles could realize highly conductive silver/epoxy pastes [1], metallic inks [2] and stretchable conductive films [3] by decreasing electrical interfacial contact resistance. The one-dimensional, flexible and conductive nanotubes constructed effective electrical networks among micron-sized Ag flakes, and the contact interface was improved by the Ag nanoparticles self-assembled on the sidewall of nanotubes. The strategy of hybrid structures could be extended to exploit thermal properties of carbon nanotubes. Thermal quenching phenomenon could be controlled by changing thermal boundary conditions of carbon nanotube films [4]. [1] Y. Oh et al, J. Mater. Chem., 20, 3579 (2010) [2] R. Ma et al, J. Mater. Chem., 21, 7070 (2011) [3] K. Chun et al, Nature Nanotechnology, 5, 853(2010) [4] S. Hong et al., Energy Environ. Sci., 2011, 4 (6), 2045 (2011)
5:15 PM - AA14.3
Graphene Based Materials for Ultracapacitors.
Shanthi Murali 1 , Yanwu Zhu 1 , Meryl Stoller 1 , K. Ganesh 1 , Weiwei Cai 1 , Paulo Ferreira 1 , Adam Pirkle 2 , Robert Wallace 2 , Katie Cychosz 3 , Matthias Thommes 3 , Dong Su 4 , Eric Stach 4 , Rodney Ruoff 1
1 Department of Mechanical Engineering and Materials Science and Engineering Program, The University of Texas at Austin, Austin, Texas, United States, 2 Department of Materials Science and Engineering, The University of Texas at Dallas, Dallas, Texas, United States, 3 , Quantachrome Instruments, Boynton Beach, Florida, United States, 4 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States
Show AbstractGraphene-based materials, because they can have high surface area, good electrical conductivity, and relatively low density, are being studied as an electrode material in electrochemical double layer capacitors (EDLCs, also called supercapacitors or ultracapacitors). Our group has previously reported on chemically reduced graphene oxide (‘CREGO’),1 graphene oxide reduced in propylene carbonate at 150oC,2 and microwave exfoliated graphite oxide (‘MEGO’)3 as electrode materials for EDLC with both aqueous and organic electrolytes. Recently, we have synthesized a porous carbon material, activated MEGO (‘a-MEGO’), by chemical activation of exfoliated graphite oxide.4 This highly carbon pure material has a BET surface area of up to 3100 square meters per gram from N2 adsorption isotherm measurements. a-MEGO consists of sp2-bonded carbon and has a continuous three-dimensional network of highly curved, atom thick walls that form primarily 0.6 to 5 nm width pores. Two-electrode EDLC cells constructed with a-MEGO as the electrode material yielded gravimetric capacitance values as high as 166 F/g at a current density of 5.7 A/g in BMIM BF4/AN electrolyte, 150 F/g at a current density of 0.8 A/g in TEA BF4/AN electrolyte, and 200 F/g at a current density of 0.7 A/g in EMIM TFSI electrolyte. Some details of this study will be presented here. We appreciate funding support from NSF, DOE, and Institute for Advanced Technology.(1) Stoller, M. D.; Park, S. J.; Zhu, Y. W.; An, J. H.; Ruoff, R. S. Nano Letters 2008, 8, 3498-3502.(2) Zhu, Y.; Stoller, M. D.; Cai, W.; Velamakanni, A.; Piner, R. D.; Chen, D.; Ruoff, R. S. Acs Nano 2010, 4, 1227-1233.(3) Zhu, Y.; Murali, S.; Stoller, M. D.; Velamakanni, A.; Piner, R. D.; Ruoff, R. S. Carbon 2010, 48, 2118-2122.(4) Zhu, Y; Murali, S, Stoller, M.D; Ganesh, K.J.; Cai, W.; Ferreira, P.J.; Pirkle, A; Wallace, R.M.; Cychosz, K.A; Thommes, M; Su, D; Stach, E.A.; Ruoff, R.S.; Science 2011, DOI: 10.1126/science.1200770, Published Online 12 May 2011.
5:30 PM - AA14.4
Liquid Phase Production of Graphene and Its Electrochemical Properties in Single-Wall Carbon Nanotube Composites.
Qian Cheng 1 2 , Jie Tang 1 2 , Han Zhang 1 , Norio Shinya 1 , Lu-Chang Qin 3
1 , National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 Doctoral Program in Materials Science and Engineering, University of Tsukuba, Tsukuba, Ibaraki, Japan, 3 Department of Physics and Astronomy, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States
Show AbstractLarge scale synthesis of graphene from graphite rod has been developed by electrolysis using lithium hexafluorophosphate (LiPF6) in propylene carbonate (PC) as an exfoliatic electrolyte. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) have been employed to characterize the structure of the graphene material consisting of monolayer graphene and few graphene sheets. We have also mixed this electrochemically exfoliated graphene material with single-wall carbon nanotubes (SWCNT) to produce graphene/SWCNT composites for use as electrodes of supercapacitors that can be charged to 4 V in ionic liquid. A high energy density of 156 Wh/kg has been achieved. The SWCNTs act as a conductive additive, spacer, and binder in the graphene/SWCNT supercapacitor electrodes that led to the outstanding performance. The three-dimensional structure as well their BET surface area characterization of the graphene/SWCNT composites will also be presented and discussed in relation to their high energy density exhibited in assembled supercapacitors.
5:45 PM - AA14.5
Co3O4 Nanocrystals on Graphene as a Synergistic Catalyst for Oxygen Reduction and Evolution Reactions.
Yongye Liang 1 , Yanguang Li 1 , Hailiang Wang 1 , Hongjie Dai 1
1 Chemistry, Stanford University, Stanford, California, United States
Show AbstractCatalysts for oxygen reduction and evolution reactions are at the heart of key renewable energy technologies including fuel cells and water splitting. Despite tremendous efforts, developing oxygen electrode catalysts with high activity at low costs remains a grand challenge. Here, we report a hybrid material of Co3O4 nanocrystals grown on reduced graphene oxide (GO) as a high-performance bi-functional catalyst for oxygen reduction reaction (ORR) and oxygen evolution reaction (OER). While Co3O4 or graphene oxide alone has little catalytic activity, their hybrid exhibits an unexpected, surprisingly high ORR activity that is further enhanced by nitrogen-doping of graphene. The Co3O4/N-doped graphene hybrid exhibits similar catalytic activity but superior stability to Pt in alkaline solutions. The same hybrid is also highly active for OER, making it a high performance non-precious metal based bifunctional catalyst for both ORR and OER. The unusual catalytic activity arises from synergetic chemical coupling effects between Co3O4 and graphene.
AA15: Poster Session: Theory and Electrical Properties
Session Chairs
Annick Loiseau
Yoke Khin Yap
Thursday AM, December 01, 2011
Exhibition Hall C (Hynes)
9:00 PM - AA15.1
Conductivity Enhancement of Aerosol-Jet Printed Electronics by Using Silver Nanoparticle Ink with Carbon Nanotubes.
Da Zhao 1 2 , Tao Liu 1 2 , Ben Wang 1 2 , Yen-Ming Chen 3
1 Industrial and Manufacturing Engineering, Florida A & M University-Florida State University College of Engineering, Florida State University, Tallahassee, Florida, United States, 2 , High-Performance Materials Institute, Florida State University, Tallahassee, Florida, United States, 3 Institute of Industrial Management, National Central University, Jhongli City Taiwan
Show AbstractThe innovative aerosol-jet printing technology enables depositing fine electronic materials (below 10µm) onto low-temperature, non-planar substrates without masks. This could be a promising technology to meet the requirements of present and future microelectronic systems. Silver nanoparticle (NP) ink is widely used for printed electronics; however, its electrical conductivity is low compared to bulk materials. In order to improve the electrical conductivity of printed tracks for the aerosol printing technique, we developed a novel carbon nanotube (CNT)/silver NP ink by mechanically stirring and sonicating. We designed our experiments following a factorial design method. We used a Maskless Mesoscale Material Deposition (M3D) aerosol jet printing system to deposit various patterns of inks with different concentrations of CNTs. The dimensions, i.e., width and height, of the printed lines were determined by atomic force microscopy (AFM) and the electrical resistivity was evaluated using the four-point method. Using scanning electron microscopy (SEM) to characterize the morphology of printed patterns, we observed that the CNTs bridged the defects in some printed silver lines, thereby lowering the electrical resistivity by 38%. However, no further improvements were observed when using a higher CNT concentration in the silver NP ink samples. We hypothesize that the CNTs bridged some defects thus decreasing the resistivity of printed silver lines when CNT concentration was below the percolation level. However, due to the aggregation of CNTs when the concentration was above a certain threshold, the resistivity of printed silver lines stopped decreasing and even increased due to the Schottky barrier effect.
9:00 PM - AA15.100
W-Doped SnO2/MWCNT Composite by Sol Gel Process for NO2 Gas Sensor.
Vibha Srivastava 1 , Kiran Jain 1
1 Electronic Materials Division, National Physical Laboratory, New Delhi, New Delhi, India
Show AbstractComposite materials made of semiconductor metal oxides (MO) and carbon nanotubes (CNTs) have been given much attention in recent years for their various applications such as photocatalysis, anode material for lithium ion batteries and gas sensor.MO/CNTs composites have been prepared by several techniques. The special geometries and properties of the composite materials facilitate their great potential applications as high-performance gas sensors. Nano-composite of SnO2/MWCNT and W-doped SnO2/MWCNT films were prepared by sol gel process. Films were characterized by X-ray diffraction, scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The addition of multi-walled carbon nanotubes (MWCNT) during synthesis resulted in a highly porous nano-structure. Sensing properties of the prepared composites have been tested for NO2 gas. A comparative study of gas sensing results reveals that the W-doped SnO2/MWCNT sensors exhibit higher response and fast recovery in detecting NO2 gas at room temperature than the undoped SnO2/MWCNT sensor.
9:00 PM - AA15.101
Classifying Finite Topological Defects in Graphene.
Eric Cockayne 1
1 Ceramics Division, NIST, Gaithersburg, Maryland, United States
Show AbstractThe structure of finite-area topological defects in graphene is described in terms of both the direct honeycomb lattice and its dual triangular lattice. Such defects are equivalent to cutting out a patch of graphene and replacing it with a different patch with the same number of dangling bonds. An important subset of these defects, bound by a closed loop of alternating 5- and 7-membered carbon rings, explains most finite-area topological defects that have been experimentally observed. This approach is used to determine the structure of previously unidentified defects seen in scanning tunneling microscopy images of graphene grown via thermal desorption of Si from SiC.
9:00 PM - AA15.102
A Nonzero Gap Two-Dimensional Carbon Allotrope from Porous Graphene.
Gustavo Brunetto 1 , Bruno Santos 1 , Pedro Autreto 1 , Leonardo Machado 1 , R. dos Santos 2 , Douglas Galvao 1
1 Applied Physics, State University of Campinas, Campinas, Sao Paulo, Brazil, 2 Physics Department, IGCE, State University of Sao Paulo, UNESP, Rio Claro Brazil
Show AbstractIn the last decades many new carbon-based materials have been discovered. Examples of these materials are fullerenes, carbon nanotubes and graphene. Graphene has been one of the hottest topics in materials science in the last years. Graphene is a two dimensional array of hexagonal units of sp2 bonded carbon atoms with very unusual and interesting electronic and mechanical properties. Because of its special electronic properties, graphene is considered one of the most promising materials for future electronics. However, in its pristine state graphene is a gapless semiconductor, which poses some limitations to its use in some transistor electronics. Many approaches have been tried to create, in a controlled way, a gap in graphene. Among these approaches we can mention oxidation and chemical functionalizations. Hydrogenated graphene-like structures have been recently synthesized, as the so-called porous graphene [1]. In this work we show, based on ab initio quantum molecular dynamics calculations, that porous graphene dehydrogenation can lead to a spontaneous formation of a nonzero gap two-dimensional carbon allotrope, called biphenylene carbon (BC). This structure presents the ideal properties to electronic applications. Besides exhibiting an intrinsic nonzero gap, BC also presents well delocalized frontier orbitals, suggestive of a structure with high electronic mobility. Possible synthetic routes to obtain BC are addressed.[1] Y. F. Li, Z. Zhou, P. W. Shen, and Z. F. Chen, Chem. Commun. v46, 3672 (2010).
9:00 PM - AA15.103
Self-Assembly of Graphene Nanoribbons with Unsaturated Edges.
Andrew Pang 1 , Viacheslav Sorkin 1 , Yong-Wei Zhang 1
1 Engineering Mechanics, A-STAR (Institute of High Performance Computing), Singapore, Singapore, Singapore
Show AbstractIn this work, we studied the self-assembly mechanisms of Graphene Nanoribbon(GNR) with unsaturated edges and we demonstrated the ability of GNR to self-assemble into novel rigid morphologies. We proposed that a combination of 3 mechanisms dictates this self-assembly evolution of GNR with unsaturated edges. The first mechanism is that of the van der Waals-strain energy balance between sections of a GNR which results in the folding and scrolling of the ribbon. The second mechanism is that of a carbon-carbon bond initiation along the unsaturated edges of the GNR and the resultant zipping effect it has on the ribbon. The third mechanism is that of the termination of the zipping effect of a GNR edge. Hence, given an initial configuration of a GNR with unsaturated edges, a combination of these 3 mechanisms will be able to describe the self-assembly evolution of a GNR to its stable rigid morphology. Using the Adaptive Intermolecular Reactive Empirical Bond-Order (AIREBO) potential, simulations were performed in LAMMPS on an initially planar, one graphene-unit wide, GNR with unsaturated edges. The self-assembly and morphology of the GNR observed during the simulations co-related well with the proposed GNR self-assembly mechanisms. Furthermore, the simulation showed the ability of a narrow GNR to be the building blocks for novel rigid morphologies such as graphene sheets with loops, tapered graphene nano-rings and graphene nanoscrolls with an embedded nanotube. Such novel structures have potential use in nano-scale devices such as drug delivery applications. These novel structures can potentially be formed as a by-product during nanotube growth by arc-evaporation.
9:00 PM - AA15.104
Structural and Electronic Affinity of Pyrene Alkylamide Derivatives for Carbon Nanotubes.
Chantel Nicolas 1 , Xiao-Qian Wang 2
1 Chemistry, Clark Atlanta University, Atlanta, Georgia, United States, 2 Physics, Clark Atlanta University, Atlanta, Georgia, United States
Show AbstractWhile it has been shown that large aromatic molecules can preferentially suspend metallic single-walled carbon nanotubes (SWNTs), the investigation of the effect of functional groups on selection mechanism is lacking. Three pyrene alkylamide derivatives have been shown experimentally to separate SWNTs according to electronic structure and diameter. Using molecular mechanics and first-principles density functional calculations, we investigate the interactions between these pyrene derivatives and SWNTs. Our calculations reveal the charge-transfer behavior behavior exhibited by these derivatives. This approach provides a way to effectively investigate the spontaneous self-assembly of molecules onto the sidewalls of SWNTs and graphene.
9:00 PM - AA15.11
Gas Sensing Using CVD Grown Individual Graphene Sheets and 3D Graphene Networks.
Fazel Yavari 1 , Nikhil Koratkar 1
1 , Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractWe have investigated the gas sensing capabilities of individual CVD-grown graphene sheets deposited on silicon oxide substrates. The sheets were lithographically contacted to electrically address the sample. Gases such as NO2 and NH3 can be detected in mixtures with air at room temperature and atmospheric pressure by simply monitoring real-time changes to the electrical conductivity of the sheet. The CVD-graphene sensor has the advantage of ultra-high sensitivity and shows the ability to detect trace amounts of gaseous molecules at the parts-per-billion level. In addition we have also studied the gas sensing capabilities of a 3D macro graphene network also grown by CVD which is far easier to contact and use and requires no lithographic patterning. The 3D network enables detection of a range of gas species at the parts-per-million level. Further we show that Joule heating can be used on the graphene devices to expel chemisorbed gas molecules leading to fully reversible operation.
9:00 PM - AA15.12
Electron Localization in Metal-Decorated Graphene.
Wei Li 1 , Yuheng He 2 , Lin Wang 2 , Guo Hui Ding 3 , Zhaoqing Zhang 2 , Rolf Lortz 1 2 , Ping Sheng 1 2 , Ning Wang 1 2
1 William Mong Institute of Nano Science and Technology, Hong Kong University of Science and Technology, Hong Kong Hong Kong, 2 Physics, Hong Kong University of Science and Technology, Hong Kong, 0, Hong Kong, 3 Physics, Shanghai Jiao Tong University, Shang Hai China
Show Abstract By decorating single-layer graphene with disordered noble metal (Ag, Au and Pt) clusters, we investigated experimentally the influence of strong random scatterings on graphene transport and electron localization phenomena. As evidenced by micro Raman scattering, there is a strong interaction between the metal clusters and graphene. We found that such a strong interaction was the consequence of plasma-assisted decoration of the graphene by the metal clusters. A large negative magnetoresistance (MR) effect (up to 80 % at 12 T) was observed and fitted using different models. The structure, size and area density of metal clusters were characterized by scanning tunneling microscopy and transmission electron microscopy. The samples with a high concentration of scattering centers behaved as insulators at low temperatures and showed strong localization effects. Their temperature-dependent conductance was in accordance with the two-dimensional variable-range hopping mechanism. The localization lengths and density of states were estimated and discussed.
9:00 PM - AA15.14
Electronic Hybridization in a Carbon Nanotube Rope.
Carola Meyer 1 , Karin Goss 1 , Sebastian Smerat 2 , Martin Leijnse 3 , Maarten Wegewijs 1 4 , Niculina Peica 5 , Janina Maultzsch 5 , Christian Thomsen 5 , Claus Schneider 1
1 Peter Grünberg Institut, Research Centre Juelich, Juelich Germany, 2 Physics Department, Arnold Sommerfeld Center for Theoretical Physics, Ludwig-Maximilians-Universität München, München Germany, 3 Niels Bohr Institute & Nano-Science Center, University of Copenhagen, Copenhagen Denmark, 4 Institute for Theory of Statistical Physics, RWTH Aachen, Aachen Germany, 5 Institut für Festkörperphysik, Technische Universität Berlin, Berlin Germany
Show AbstractCarbon nanotubes (CNTs) are ideal one-dimensional conductors and show exceptional transport properties as for instance ballistic transport. Their specific band structure is reflected in the shell-filling of quantum dots (QDs) formed on the tubes. These QDs are usually described using models developed for QDs in III/V semiconductor heterostructures. In contrast to these structures, in which the QD is buried about 100 nm below the surface, however, CNTs can strongly interact with their environment. These interactions lead on the one hand to changes of the mechanical properties, influencing the vibrational spectrum, e. g., due to vanderWaals forces [1]. On the other hand, as we will show, they also change electronic transport properties of the CNTs.Here, we show that the molecular hybridization of CNTs in a rope – a very specific environmental interaction effect – is clearly reflected in the quantum transport behavior. The device is characterized by tip-enhanced Raman spectroscopy. Thus, we can identify different tubes of the rope and assign chiralities [2]. The CNT strands of the rope act as parallel QDs, where the hybridization of electronic states shows up as anticrossings in the stability diagram of the transport measurements. Differential gating is used to tune the hybridization, which can be suppressed in a magnetic field due to spin effects. The results are interpreted on the basis of a general model accounting for capacitive as well as tunnel coupling between the parallel dots [3].[1] C. Spudat, M. Müller, L. Houben, J. Maultzsch, K. Goss, C. Thomsen, C. M. Schneider, C. Meyer; Nano Lett. 10, 4470 (2010)[2] K. Goss, N. Peica, C. Thomsen, J. Maultzsch, C. M. Schneider, C. Meyer; arXiv:1105.4427v1 [cond-mat.mes-hall] (2011)[3] K. Goss, S. Smerat, M. Leijnse, M. R. Wegewijs, C. M. Schneider, C. Meyer; Phys. Rev. B 83, 201403(R) (2011)
9:00 PM - AA15.15
Field Emission Device Made from Multiwalled Carbon Nanotube Sheets.
Hai Van 1 2 , Mei Zhang 1 2 , Ben Wang 1 2 , Chuck Zhang 1 2
1 High-Performance Materials Institute, Florida State University, Tallahassee, Florida, United States, 2 Industrial and Manufacturing Engineering, FAMU-FSU College of Engineering, Tallahassee, Florida, United States
Show AbstractCarbon nanotubes are the most promising material for field emission applications. Different approaches have been proposed and practiced for improving the performance of field emission. It is clear that the current density and turn-on field are related with the uniformity of emitters’ length, orientation, and distribution. For achieving high current density and low turn-on field, we built up field emission devices based on multiwalled carbon nanotube (MWNT) sheets. The MWNT sheet was drawn directly from MWNT forest synthesized by catalytic chemical vapor deposition. The as-drawn sheet is an aerogel and the MWNTs in the sheet are aligned in the drawing direction. A few sheets were stacked together and liquid densified into a freestanding film with the thickness of a few hundred nanometers. The field emission device was made by attaching the film to two metal electrodes and then using a laser beam to remove part of the film away and form the gap between the cathode and anode. The cathode of the device is the cutting edge of the MWNT film and the emitters, individual and bundled nanotubes, are arranged towards the anode. The effects of device structures on field emission performance were investigated. Higher than 15 A/cm2 current density and lower than 1.5 V/μm turn-on field are achieved. It is believed that such performance is because of the low screen effect and the orientation and uniform distribution of the emitters. An array of the field emission modules was made on a substrate to demonstrate the intergradation and the flexibility of the field emission device. The detail results and discussions will be presented.
9:00 PM - AA15.16
Carbon Nanotube/Diamond Hybrid Nanomaterials for Field Emission Applications.
Sara Vieira 1 , Paul May 2 , William Milne 3
1 , INESC MN, Lisboa Portugal, 2 School of Chemistry, University of Bristol, Bristol United Kingdom, 3 Engineering, University of Cambridge, Cambridge United Kingdom
Show AbstractCarbon nanotubes (CNTs) are ideal candidates for field emission sources due to their intrinsic properties [1]. Coating the tips of CNTs with a protective layer can prevent and/or delay degradation and depending on the material enhance the emitting capabilities of the device. Diamond is an ideal candidate as it is ultra-hard (50-150 GPa), and has good chemical stability [2]. In addition, polycrystalline CVD diamond is a good electron emitter [3], since when it is negatively biased in vacuum the electrons are readily ejected from its surface. However, in order to form CVD diamond in a hot filament chemical vapour (HFCVD) diamond system it is usually necessary to use harsh reducing environments and very high temperatures (filament temperatures around 2500 degC), which can also cause etching of graphitic CNTs. Here we present a method to successfully deposit diamond on top of multiwalled carbon nanotubes (MWNTs). First we have sputtered SiO2/Ni metal catalyst onto Si p+ doped substrates and placed the chips inside a plasma-enhanced CVD system. A gas mixture of NH3:200sccm/C2H2:55sccm and a reaction time of 15 min resulted in vertically aligned MWNTs perpendicular to the substrate. In order to prevent the destruction of nanotubes in the harsh reducing environment before HFCVD deposition, the top surface of the CNTs were seeded using 5nm detonation nanodiamond, which were deposited onto the sample as a slurry in methanol using an electrospray [4]. The pre-treated MWNTs were then placed inside the HFCVD system with a gas mixture of 1 vol.% CH4 diluted in H2 at a total working pressure of 20 torr, which are typical CVD diamond conditions. The reaction time was 30 min and the tantalum filament temperature was set at ~2300 degC. SEM images showed hybrid CNT/diamond emitters. Preliminary field emission characterisation indicated that undoped MWNTs were still slightly better emitters, presumably due to the higher aspect ratio. We are currently adjusting the experimental setup to improve the field emission properties of the hybrid emitters. [1] W.I. Milne, K.B.K. Teo, G.A.J. Amaratunga, P. Legagneux, L. Gangloff, J.-P. Schnell, V. Semet, V.T. Binh, O. Gröning, J. of Mat. Chem. 14, 1-12 (2004). [2] P.W. May, Phil. Trans. Royal Soc. London A 358, 473-495 (2000).[3] A. Wisitsora-at, W.P. Kang, J.L. Davidson, D.V. Kerns, T. Fisher, J. of Vac. Sc. Tech. B 21, 614-617 (2003).[4] O.J.L. Fox, J.O.P. Holloway, G.M. Fuge, P.W. May, M.N.R. Ashfold, Mater. Res. Soc. Symp. Proc. 1203, J17-27 (2010).
9:00 PM - AA15.17
Thin-Film Transistors Based on Single-Walled Carbon Nanotubes Embedded in Polythiophene with Improved Ambient Stability.
Sara Vieira 1 , Gen-Wen Heish 2 , Sefa Dag 3 , Husnu Emrah Unalan 4 , Gehan A. J. Amaratunga 2 , William I. Milne 2
1 , INESC MN, Lisboa Portugal, 2 Engineering, University of Cambridge, Cambridge United Kingdom, 3 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey
Show AbstractPolythiophenes are promising materials for stretchable electronics as they are relatively easy to make and result in facile large area coverage using low temperature processing. These materials are lightweight offering design flexibility and lower fabrication costs compared to other available semiconductors. However, these TFTs lose their electrical characteristics after a few days of storage in air contact. For instance, poly(3,3-dialkyl-quarterthiophene) (PQT-12) TFTs with an initial mobility of 9.5x10−3 cm2/Vs lost total carrier mobility after one month storage (exposed to air, moisture, and light). Efforts to improve their ambient stability have resulted in the incorporation of more stable solution processable semiconductors such as zinc oxide nanowires into the active system resulting in more stable TFTs [1]. We have considered other solution processable semiconductors such as singlewalled carbon nanotubes (SWNTs) embedded in PQT-12 and monitored the ambient stability through time dependence of electrical characteristics over a period of 18 months. The PQT-12/SWNTs-based TFT showed an initial hole mobility in the linear regime of 1.2x10−3 cm2/Vs. After 8 months storage in ambient conditions the mobility decreased to 1.03x10-4 cm2/Vs and after 14months to 3.11x10-5 cm2/Vs. Although there is an evident decrease in mobility with time the 1wt.% SWNTs embedded in PQT-12 delayed the degradation of the polymer-based TFT considerably. In order to help us understand the observed improvement in ambient stability of the PQT-12/SWNTs-based TFTs Density Functional Theory calculations were performed. The interaction energy between SWNT and PQT-12 polymer and the charge transfer mechanism have been calculated. We found that pi-pi interactions between SWNT and PQT-12 strongly enhance the pi electron delocalization, leading to a change of band gap and effective masses in PQT-12. [1] S.M.C. Vieira, G.-W. Hsieh, H.E. Unalan, S. Dag, G.A.J. Amaratunga, and W.I. Milne. Appl. Phys. Lett. 98, 102106 (2011).
9:00 PM - AA15.18
Characterization of Hexagonal Boron Nitride Layers with Electric Force Microscopy.
Camilla Oliveira 1 , Matheus Matos 1 , Mário Mazzoni 1 , Hélio Chacham 1 , Bernardo Neves 1
1 , UFMG, Belo Horizonte, Minas Gerais, Brazil
Show AbstractHexagonal boron nitride (h-BN) is a two-dimensional compound from III-V family, with the atoms of boron and nitrogen arranged in a honeycomb lattice, similar to graphene. Unlike graphene though, h-BN is an insulator material, with a gap larger than 5 eV [1]. Here, we use Electric Force Microscopy (EFM) to study the electrical response of mono and few-layers of h-BN to an electric field applied by the EFM tip. EFM is a dual-pass technique: in the first pass, sample topography is acquired and, during the second pass, the probe is retracted from the surface by a vertical shift and scanning is repeated while a DC bias is applied to the tip. During such DC polarization process, the cantilever oscillation frequency shifts by a value Δω due to variations of the tip-sample capacitance, which is proportional to the dielectric constant of the material. Our results show an anomalous behavior in the dielectric response for h-BN for different bias orientation: for a positive bias applied to the tip, h-BN layers respond with a larger dielectric constant than the dielectric constant of the silicon dioxide substrate; while for a negative bias, the h-BN dielectric constant is smaller than the dielectric constant of the substrate. From ab initio calculations, we show this behavior is due to a water layer between the silicon oxide substrate and h-BN layers. This was experimentally confirmed by annealing the samples and also via in situ temperature-dependent EFM analysis. [1]Li Song, Lijie Ci, Hao Lu, Pavel B. Sorokin, Chuanhong Jin, JieNi, Alexander G. Kvashnin, Dmitry G. Kvashnin, Jun Lou, Boris I. Yakobson, andPulickel M. Ajayan, Nano Lett.10, 3209–3215 (2010)
9:00 PM - AA15.19
In-Plane and Tunneling Pressure Sensors Based on Graphene/Hexagonal Boron Nitride Heterostructures.
Zhendong Guo 1 , Yang Xu 1 2 , Huabin Chen 1 , Bin Yu 1 3
1 , Zhejiang University, Hangzhou China, 2 , University of Illinois, Urbana, Illinois, United States, 3 , State University of New York, Albany , New York, United States
Show AbstractAn in-plane pressure sensor (IPPS) consisting of monolayer graphene sandwiched by hexagonal boron nitride (h-BN) and a tunneling pressure sensor (TPS) consisting of multilayer h-BN sandwiched by multilayer graphene are demonstrated. The in-plane pressure sensor (IPPS) utilizes in-plane transport and the tunneling pressure sensor (TPS) is based on interlayer tunneling. The electrical response as a function of external pressure is modeled by density functional theory. The output current varies by more than 3 orders of magnitudes as pressure increases from 0 to 5 nN/nm2. The operating current of the IPPS is negatively correlated to the pressure, whereas the operating current of the TPS exhibits positive correlation to the pressure. The transport property of the IPPS is insensitive to the number of wrapping h-BN layers, indicating that precise control of h-BN layer number is not necessary. The results pave a simple yet viable avenue towards the realization of atomic scale pressure sensors.
9:00 PM - AA15.2
Statistical Analysis of Variability in Transistors That Use Aligned Arrays of Single Walled Carbon Nanotubes.
Xinning Ho 1 3 , Ahmad Islam 1 , Frank Du 1 , Sung Hun Jin 1 , Muhammad Alam 2 , John Rogers 1
1 , University of Illinois , Urbana Champaign, Illinois, United States, 3 , SIMTech, Singapore Singapore, 2 , Purdue University, West Lafayette, Indiana, United States
Show AbstractHorizontally aligned arrays of single walled carbon nanotubes (SWNTs) provide ideal configurations for integrating SWNTs into transistors and large scale integrated circuits. In this approach, every transistor incorporates thousands of SWNTs each of which operates in an electrically independent manner. Device-to-device reproducibility in properties can be achieved due to statistical averaging effects, even with SWNTs that are heterogeneous in their electrical properties. This talk describes detailed studies of the underlying statistics, designed to identify the sources of residual variability in device properties. Using measurements from field-effect transistors consisting of a single SWNT (single-SWNT FETs), current-voltage characteristics of transistors consisting of aligned arrays of SWNTs (array-SWNT FETs) were simulated and compared to those of measured array-SWNT FETs. Our analysis suggests that the variations in on current and maximum transconductance in array-SWNT FETs do not decrease as rapidly with number of SWNTs as expected based on a purely statistical analysis. We attribute these observations to spatial variations in densities and diameter distributions of SWNTs across the wafer. These results provide key insights into the causes of variations in array-SWNT FETs and provide directions to eliminate these variations in future.
9:00 PM - AA15.20
Metal-Graphene Contact with a Cu Interfacial Layer to Enhance Spin-Injection Efficiency into Graphene.
Chi Zhang 1 2 , Ying Wang 2 , Leihua Huang 2 , Yihong Wu 2
1 NUS Graduate School for Integrative Sciences and Engineering, National University of Singapore, Singapore Singapore, 2 Electrical and Computer Engineering, National University of Singapore, Singapore Singapore
Show AbstractIn order to exploit the full potential of graphene for spintronics applications, it is desired to find a highly spin efficient yet reasonably transparent contact for spin injection into graphene. Transparent metal-graphene contacts tend to have a low spin-injection efficiency, possibly due to the conductance-mismatch at the interface[1][2]. In order to overcome this problem, a thin layer of oxide is often used to form a tunnel barrier between metal and graphene[3][4][5]. Although the oxide tunnel barrier is found to be effective in improving the spin injection efficiency, it also significantly increases the contact resistance[6]. The highly resistive contact may significantly limit high-frequency applications of graphene spintronics. In this context, we have been studying Co/Cu/graphene contact with the notion that Co/Cu is the most well understood spintronic interface and the bonding between Cu and graphene is weak[7]. The weak bonding may function as an effective barrier with moderate barrier height. Metal-graphene contacts with pure Co electrodes and Co with a thin Cu interfacial layer have been fabricated by sputtering and evaporation and their electrical transport properties are studied in both a UHV chamber at room temperature and in ambient in a variable temperature cryostat. A non-linear I-V characteristic is found for the evaporated Co/Cu-graphene contact which is an indication of the existence of a potential barrier caused by the doping effect of Cu on graphene. This is also reflected by the fact that the contact resistance increases with decreasing temperature. By assuming that the low-temperature transport is caused by inelastic tunneling of carriers through the Cu/graphene interface, the energy height of the tunnel barrier is estimated to be 103 meV. In contrast to evaporation, metal deposition by sputtering is shown to decrease the potential barrier. This is presumably caused by the enhancement of local density of states due to sputtering[8]. On the other hand, pure Co-graphene contacts exhibit a lower contact resistance due to its chemisorption interface where Co-graphite bonding is formed and the graphene’s electronic structure is disturbed through strong hybridization. Preliminary magnetoresistance measurement shows a small enhancement of spin-injection efficiency in Co/Cu/graphene contact. [1] E. I. Rashba, Phys. Rev. B 62, R16267 (2000); [2] A. Fert et al., Phys. Rev. B 64, 184420 (2001); [3] N. Tombros et al., Nature 448, 571 (2007); [4] C. Jozsa et al., Phys. Rev. Lett. 100, 236603 (2008); [5] W. H. Wang et al., Phys. Rev. B 77, 020402(R) (2008); [6] W. Han et al., Physi. Rev. Lett. 105, 167202 (2010); [7] G. Giovannetti et al., Phys. Rev. Lett. 101, 026803 (2008); [8] A. Dimiev et al., Science 331, 1168 (2011).
9:00 PM - AA15.23
Interfacial Electronic Structures between Multilayer Graphene and Organic Semiconducting Materials for High Performance Electronics.
Seong Jun Kang 1 , Yeonjin Yi 2 , Han-Ki Kim 1
1 Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin-si Korea (the Republic of), 2 Department of Physics, Yonsei University, Seoul Korea (the Republic of)
Show AbstractThe interfacial electronic structures of fullerene (C60) and pentacene deposited on multilayer graphene (MLG) films were measured using in-situ ultraviolet spectroscopy and X-ray spectroscopy. The energy level alignments at the interface of C60/MLG and pentacene/MLG were estimated by the shifts in the highest occupied molecular orbital (HOMO) and the vacuum level during step-by-step deposition of C60 and pentacene on the MLG. The shift of the HOMO level indicates that there is a small band bending at the interface of organic/MLG. The vacuum level, heights of the electron and hole injection barrier at the interfaces of organic/MLG will be presented with the complete interfacial energy level diagram.
9:00 PM - AA15.24
First-Principles Study of Structural Stability, Magnetism, and Hyperfine Coupling in Hydrogen Clusters Adsorbed on Graphene.
Ahmad Ranjbardizaj 1 , Mohammad Saeed Bahramy 1 , Mohammad Khazaei 1 , Hiroshi Mizuseki 1 , Yoshiyuki Kawazoe 1
1 , Institute for Materials Research, Tohoku University, Sendai 980-8577 Japan
Show AbstractUsing first-principles electronic-structure calculations, we studied the structural and magnetic properties of various hydrogen clusters, including hydrogen monomer, dimers, trimers, tetramers, and hexamers adsorbed on a graphene surface. The magnetic behaviors of such defective systems were shown to strongly depend on the geometrical configuration of hydrogen atoms. The stability of the structures was demonstrated to be dependent on two important factors: the distance between hydrogen atoms and the strength of exchange couplings between the defect-induced magnetic moments. For the magnetic structures, the electron spins populate the quasilocalized pz-type states on specific carbon atoms. The presence of such quasilocalized pz-type states was shown to yield relatively strong hyperfine couplings at particular carbon sites in the neighborhood of hydrogen atoms.
9:00 PM - AA15.25
Carbon Nanotube Based Pressure-Type Micro Flow Sensors.
Donggeun Lee 1 , Bonghyun Park 2 , Seung-Beck Lee 1 2 3
1 Electronics and Computer Engineering, Hanyang University, Seoul Korea (the Republic of), 2 Nanoscale Semiconductor Engineering, Hanyang University, Seoul Korea (the Republic of), 3 Institute of Nano Science and Technology, Hanyang University, Seoul Korea (the Republic of)
Show AbstractAdvances in semiconductor fabrication technology has enabled vast improvements in micro fluidics technology, or “lab-on-a-chip”, and has found numerous applications in μTAS, Cell sorting and culturing systems, and micro chemical synthesis.[1] To enhance the micro fluidic control accuracy in the lab-on-a-chip system, precise sensing of the flow rate within the micro fluidic channel is required and will also enhance the reliability of the entire system.In this report, we have demonstrated a pressure-type micro flow sensor, with carbon nanotube based piezoresistive films. The sensor consists of a common piezoresistive pressure sensor with an orifice in the sensor diaphragm, which forms a flow restriction.[2] It uses the piezoresistive properties of density controlled carbon nanotube (CNT) thin-films [3]. The diaphragm comprises of a poly(dimethylsiloxane) elastomer with a 300 μm orifice and a ring shaped single-wall CNT thin-film electrode around it. We used 20 mg of arc-discharge synthesized single-walled CNT and dispersed it in 198 ml of de-ionized water and 2 ml of sodium dodecylbenzene sulfonate surfactant. Selective vacuum filtration was used to formed the CNT electrode pattern [4]. Then the CNT electrode was transferred to the poly(dimethylsiloxane) (PDMS) membrane surface, which forms the upper layer of the flow sensor. When the fluid flows through the orifice, differential pressure is induced to the diaphragm. And the diaphragm will convex along the flow direction which will stretch the ring shaped CNT electrodes depending on the pressure difference and result in a change in resistance. We will report on the pressure sensing characteristics depending on fluid flow rate, viscosity, and diaphragm dimensions.References1.Victor Lien and Frank Vollmer, Lab Chip, 7, 1352, (2007)2.M. Richter and B. Hillerich, MEMS '99. 12th IEEE International Conference, 118, (1999)3.Hareem Maune and Marc Bockrath, Appl. Phys. Lett. 89, 173131 (2006)4.C.H. Lim, D. H. Min, and S.-B. Lee, Appl. Phys. Lett. 91, 243117 (2007)
9:00 PM - AA15.26
Multi-Layer Graphene-Based Carbon Interconnect.
Tianhua Yu 1 , Edwin Kim 1 , Nikhil Jain 1 , Bin Yu 1
1 , College of Nanoscale Science and Engineering, SUNY Albany, Albany, New York, United States
Show AbstractPerformance of future integrated chips would be increasingly limited by metal (Cu)-based interconnect system. According to the ITRS Roadmap, wire width and current density in Cu interconnects will reach 22 nm and 5.8×10^6 A/cm^2 in 2020, respectively. The electrical resistivity of Cu increases with scaled critical dimensions, resulting in signal speed degradation and operation-induced failure. Low-dimensional (1D & 2D) carbon nanostructures have been intensively explored for potential interconnect applications due to their superior electrical, thermal and mechanical properties. For interconnect applications, monolayer graphene is predicted to outperform Cu at ultra-scaled line width. In this research work, we investigate key metrics including electrical conduction, scalability, and reliability in several envisioned platform material systems, including bilayer graphene and 3D stacked multilayer graphene. In addition, we have demonstrated that both chemical and electrical stress-induced doping of complementary nature are possible in graphene interconnect system.
9:00 PM - AA15.27
A Non-Invasive Voltage Profiling Technique for Evaluating the Electrical Uniformity of Carbon Nanotube Thin-Films.
Eunsuk Choi 1 , Chaehyun Lim 2 , Minho Jeong 1 , Ahsung Kim 1 , Jinoh Kim 1 , Seung-Beck Lee 1 2
1 Department of Electronics Engineering, Hanyang university, Seoul Korea (the Republic of), 2 Department of Nanoscale Semiconductor Engineering, Hanyang University, Seoul Korea (the Republic of)
Show AbstractRecently many groups have developed transparent and flexible devices based on carbon nanotube thin-films(CNTFs). The devices with lower density of CNTFs show good optical transmittance but normally show poor uniformity. The poor uniformity has limited their application to macroscopic transparent and flexible electronics. Since the electrical uniformity of CNTF is the most important factor for the operational uniformity of the device, it becomes necessary to measure the electrical distribution of CNTFs. The conventional methods however, the 4-point probe measurement and AFM, are not suitable for measuring the electrical uniformity of macroscopic CNTF due to the limitation of mapping dimension and the sample damage it may cause by direct probe-contact scanning.Here we report on a non-invasive probing technique that measures the electrical uniformity of large-scale conducting thin-films by voltage profiling. We used evaporated Au on polymer substrate as the flexible probe tip for the non-invasive contact and built an instrument for scanning and measuring large-scale conducting thin-films at um-interval. We also developed the analysis model for evaluating the electrical uniformity of conducting thin-films using their voltage profiles. Using our system and analysis model, we obtained the sheet resistance at the specific position of the conducting thin-film and also the distribution of sheet resistances in all sample regions. Repeated measurements performed on a commercial ITO touch panel film showed that the system had high reliability. Our system was able to measure the sheet resistance distribution of large-scale conducting thin-film at under μm-intervals. Using the developed system, we measured the sheet resistance distribution of CNTF with various densities and treatments. We evaluated the effect of CNT density, centrifugation, various treatments on CNTFs. It was found that the centrifugation was effective for increasing the conductivity and electrical uniformity of CNTF while having the same optical transmittance. And also we observed the trade-off relationship between the transmittance and the electrical uniformity of CNTF. The much lower density of CNTF for high optical transparency resulted in reduced electrical uniformity. This showed that it was necessary to appropriately control the optical transparency and electrical uniformity for device application. The CNTF with thermal treatment showed the higher averaging sheet resistance than ITO film. However the electrical uniformity was similar to ITO. The non-invasive voltage profiling technique will provide a method for evaluating the effect of various treatments performed on the electrical uniformity of CNTFs which may advance their commercial application.
9:00 PM - AA15.28
CMOS Compatible Growth of Carbon Nanotubes and Their Application in Field-Effect Transistors.
Takashi Uchino 1 , Greg Ayre 2 , David Smith 2 , John Hutchison 3 , Kees de Groot 4 , Peter Ashburn 4
1 Department of Electronics and Intelligent Systems, Tohoku Institute of Technology, Sendai Japan, 2 School of Physics and Astronomy, University of Southampton, Southampton United Kingdom, 3 Department of Materials, University of Oxford, Oxford United Kingdom, 4 School of Electronics and Computer Science, University of Southampton, Southampton United Kingdom
Show AbstractThe metal-catalyst-free growth of carbon nanotubes (CNTs) using chemical vapor deposition and the application in field-effect transistors (FETs) is demonstrated. The CNT growth process used a 3-nm-thick Ge layer on SiO2 that was subsequently annealed to produce Ge nanoparticles. Raman measurements show the presence of radial breathing mode (RBM) peaks and the absence of the disorder induced D-band, indicating single walled CNTs (SWNTs) with a low defect density. The synthesized CNTs are used to fabricate CNTFETs and the best device has a state-of-the-art on/off current ratio of 3×108 and a steep sub-threshold slope of 110 mV/decade.CNT growth traditionally involves the use of metal nanoparticles as a catalyst. However, the integration of CNT growth into a CMOS process is very problematic due to the metal contamination. Several different metal-catalyst-free growth methods of CNTs have been reported, including our earlier work on CNT growth from Ge nanoparticles [1-3]. However to date, no device results have been reported for CNTFETs produced by any of the metal-catalyst-free CNT growth methods, mainly because it has not been possible to grow CNTs on a suitable insulator without metal catalyst.This work presents a metal-catalyst-free CNT growth method that uses nanoparticles produced by annealing a thin Ge film on a SiO2 layer. AFM measurements show that the peak particle count occurs at a particle height of 1.4 ± 0.8 nm and a mean particle density of 450 ± 20 particles/µm2. The area density of CNTs was analyzed using several SEM images from different parts of the wafer and evaluated as 3.0 CNTs/µm2. Raman measurements show that RBM peaks are present and the disorder induced D-band is absent in our samples, indicating SWNTs with a low defect density. The diameter distribution of SWNTs is estimated from the wave number of the RBM peaks, indicating that SWNTs have diameters in the range 1.3 - 2.0 nm. The synthesized CNTs were applied to fabricate back gate CNTFETs with Pd source/drain contacts. Electrical measurements have been made on more than 150 functional back gate CNTFETs. I-V measurements showed that 77% of the devices had a high Ion/Ioff ratio of more than 105. The best devices show a state-of-the-art Ion/Ioff ratio of 3×108 and a steep sub-threshold slope of 110 mV/dec.References[1] T. Uchino, K. N. Bourdakos, C. H. de Groot, P. Ashburn, M. E. Kiziroglou, G. D. Dilliway, and D. C. Smith, Appl. Phys. Lett, 86, 233110 (2005). [2] T. Uchino, G. Ayre, D. C. Smith, J. L. Hutchison, C. H. de Groot, and P. Ashburn, J. Electrochem. Soc, 156, K144 (2009). [3] T. Uchino, J. L. Hutchison, G. Ayre, D. C. Smith, C. H. de Groot, and P. Ashburn, Jpn. J. Appl. Phys, 50, 04DN02 (2011).
9:00 PM - AA15.29
On the Contact Resistance between Carbon Nanotube-Metal Interfaces.
Dhaval Kulkarni 1 , Songkil Kim 2 , Andrei Fedorov 2 , Vladimir Tsukruk 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractMulti-walled carbon nanotubes have been proposed to be one of the promising candidates for future interconnects owing to their ability to support high current densities along with excellent thermal and mechanical properties. However, their application as interconnects is limited by their large contact resistance (few GΩs) with the metal underneath. Electron beam induced deposition (EBID) of amorphous carbon at the MWNT-metal interface and its subsequent graphitization offers a solution for establishing a mechanically robust and electrically improved contact between the inner shells of the MWNT and the metal interface. Here, we employ atomic force microscopy, conductive force microscopy, and confocal Raman microscopy to understand the effect of the EBID carbon microstructure and geometry on the electrical characteristics of the interface. The ratio of the disorder (D) band to graphitic (G) band along with their peak positions in the Raman spectra of EBID-carbon deposit revealed that graphitization of the amorphous carbon was achieved by thermally annealing at ~300 oC. Conductive force microscopy measurements showed an increase in the conductivity of amorphous carbon by over five orders of magnitude on graphitization. Our results clearly demonstrate that the EBID assisted graphitized carbon deposit is a promising approach to achieve MWNT-metal interfaces with significantly (few orders of magnitude) lower electrical resistance.
9:00 PM - AA15.3
Evolution of Iron Nanoparticles on Silicon Substrate with Different Electrical Conductivity during Carbon Nanotube Growth.
Sookhyun Hwang 1 , Hyonkwang Choi 1 , Minhyon Jeon 1
1 Department of Nanosystems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam, Korea (the Republic of)
Show AbstractWe investigated the thermal evolution in the chemical and physical of the iron film on silicon substrates controlled by varying the electrical conductivities of the substrates for the carbon nanotube growth. P-type silicon (100) substrates with electrical conductivities of 1.7×10-3 /Ωcm (substrate U) and 2.8×102 /Ωcm (substrate H) were prepared. Iron films on the substrates were annealed under NH3/Ar atmosphere by gradual heating to 900°C via thermal chemical vapor deposition to form catalytic nanoparticles. Carbon nanotube on each substrate was synthesized for 5 s at 900°C supplying C2H2 gas to evaluate the initial growth of nanotube. The obtained nanoparticles and carbon nanotubes were analyzed for chemical composition and oxidation state by X-ray photoelectron spectroscopy and for morphology by field emission-scanning electron microscopy and Cs-corrected energy filtered transmission electron microscopy. Iron deposited on native oxide may diffuse downward into native oxide during annealing and was oxidized by thermal chemical vapor deposition. As increasing annealing temperature up to 900°C, the oxidized iron on the substrate H was more reduced to metallic iron than on the substrate U, resulting in the formation of larger size and low density iron particles due to the agglomeration on the substrate. The heat transfer process in substrate H was more effective in changing the oxidation states of the materials for reduction from the substrate to Fe film or particles. In addition, at the initial stage of nanotubes nucleation, graphite layers formed on the surface of faceted iron particle, whereas no graphite layers exist on the surface of iron particles rounded plane at the same process time. By showing that the nucleation of iron nanoparticles for nanotube growth was strongly influenced by the electrical conductivities of the silicon substrate, our results support the view that this is a key parameter for understanding carbon nanotube growth mechanism. In addition, it was found that microstructural features of particles influence act on the formation of graphite layer.
9:00 PM - AA15.30
Healing Mechanisms during the Growth of Carbon Nanotubes.
Hakim Amara 1 , Mamadou Diarra 1 , Christophe Bichara 2 , Francois Ducastelle 1
1 , ONERA-CNRS, Chatillon France, 2 , CINaM-CNRS, Marseille France
Show AbstractDespite considerable progress in synthesis processes, the detailed microscopic mechanisms involved in the growth of carbon nanostructures are still lacking. In situ experimental atomic scale investigations are very difficult, whereas computer simulations allow such investigations. Nevertheless, whether the employed method is empirical or semiempirical, all final configurations are plagued by a high concentration of atomic-scale defects. These include, but are not limited to, heptagon-pentagon topological defects, adatoms, and atomic vacancies.In the present work, we investigate the healing processes of defective carbon nanotubes at atomic scale. We have developed a tight binding (TB) model for nickel and carbon that uses Monte Carlo simulations in the grand canonical ensemble to study the formation of carbon structures (graphene and nanotubes) from a metallic substrate [1]. In particular, we haverecently discussed the key role played by metallic atoms in the reconstruction of a defected graphene sheet by annealing defects[2].We use our TB model to study the evolution at finite temperatures of defected nanotubes. Different lengths and diameters of nanotubes have been investigated at various temperatures ranging from 500 to 3000 K. We have also investigated the role played by the metal catalyst and a vapor of carbon atoms in the healing process. The building up of the tube chirality is analyzed anddiscussed through electron diffraction patterns. The approach proposed here could help identify individual healing mechanisms during growth that produces perfect tube structures and those favoring a definite chirality [3].[1] H. Amara et al., Phys. Rev. Lett., 100, 056105, (2008).[2] S. Karoui et al., ACS Nano, 4, -10-, 6114-20 (2010).[3] M. Diarra et al., (in preparation)
9:00 PM - AA15.31
Pulsed Thermionic Electron Emission from CNT Fiber Filament.
Yuya Inagaki 1 , Morihiro Okada 2 , Hidenori Mimura 2 , Yoku Inoue 1
1 Department of Electrical and Electronic Enginerering, Shizuoka University, Hamamatu Japan, 2 Research Institute of Electronics, Shizuoka University, Hamamatsu Japan
Show AbstractRecently, we established a growth method of super-aligned multi-walled carbon nanotube (MWCNT) arrays using iron chloride [1]. By this method, millimeter-long MWCNT arrays are grown in short time. One more good point is that the arrays are highly spinnable. A CNT web, which is a two dimensional network of CNTs, can easily be spun into a fiber from the array. Mechanical and electrical properties of the spun fibers have been recently researched by several groups. However thermal properties of such a spun CNT fiber is not well understood.In this work, MWCNT fibers were spun from the spinnable arrays, and thermal response was investigated by measuring pulse-modulated thermionic electron emission. CNT fibers were spun from 2 mm-high MWCNT arrays. Diameter and length of the fabricated CNT fiber were 150 μm and 40 cm, respectively. Then it was set between two electrodes with a separation of 1 cm in a vacuum chamber. By applying DC current on the fiber, temperature was raised to 1700K at input power of 10 W. Thermionic emission was obtained at acceleration voltage of 700V or more to an anode. Pulsed thermionic emission was obtained by applying pulsed current on the fiber. The pulsed driving power was generated by a transistor and a function generator. Pulsed thermionic emission was observed up to 40 Hz. At higher frequency region, emission was not pulsed but was modulated up to 100 kHz. On the other hand, for comparison purpose pulsed operation response of a tungsten filament was measured, and highest frequency of pulsed operation was several Hz. This means that thermal response of CNT fibers is much higher than tungsten one. To study further, heating-up and cooling-down time were measured for both filaments. As for a CNT fiber, heating-up time and cooling-down times were about 20 msec and 20 msec, respectively. On the other hand, those for a tungsten filament were 350 msec and 150 msec, respectively. This large difference results from high radiation efficiency of a CNT filament. These results suggest that the CNT fiber filament can replace a conventional tungsten filament used in an electron beam source with a simple pulse drive circuit.[1]Y. Inoue et al., Appl. Phys Lett. 92, 213113 (2008)
9:00 PM - AA15.32
Electrical Properties of Spun MWCNT Fibers.
Masaki Enomoto 1 , Adrian Ghemes 2 , Morihiro Okada 2 , Hidenori Mimura 2 , Yoku Inoue 1
1 Department of Electronic Enginerering, Shizuoka University, Hamamatu Japan, 2 Research Institute of Electronics, Shizuoka University, Hamamatu Japan
Show AbstractCarbon nanotubes (CNTs) have good mechanical and electrical properties. Recently, CNT-spinning works have been reported. CNT fibers and sheets are spun from highly drawable multi-walled CNT (MWCNT) arrays. In such large scale MWCNT structures, mechanical and electrical properties are dominantly governed by the most outer wall of MWCNT. Therefore, CNT structures using thinner MWCNTs results in high fiber properties. In this study, We investigated electrical properties of MWCNT fibers. To study the relation between MWCNT structures and properties of MWCNT fibers, diameter and length of MWCNTs were changed. The MWCNT arrays were grown by a chloride mediated-chemical vapor deposition method. By this method, highly spinnable arrays are grown. The MWCNT array height was changed from 0.5 mm to 2 mm, and MWCNT diameter was changed from 30nm to 50nm. The array height was controlled by growth time, and MWCNT diameter was controlled by growth conditions, such as gas flow rate. CNT fibers were fabricated by twisting CNT webs drawn out from the arrays. The as-spun fibers have small density of ~ 0.3 g/cc and they have many voids inside the fibers. This means that there are few current channels for volume, because only the outermost wall acts as a current flow pass. To decrease electrical resistivity of the fiber, as-spun fibers were additionally twisted. By introducing the post-spin twisting process, the fibers were further packed. Density of the fiber increased up to 1.0 g/cc. With increasing density of the fiber, electrical resistivity decreased from 0.011 Ωcm to 0.0012 Ωcm. This is caused not only by reduction of the cross sectional area, but also by increase of MWCNT contact area which increases current flow passes. Electron transport mechanism in MWCNT fibers are discussed relating to the fiber structures.
9:00 PM - AA15.34
Effect of Pulsed Laser Deposition Conditions on the Morphology and Electrical Properties of Doped Nanostructured Carbons.
Imalka Jayawardena 1 , James Fryar 1 , Simon Henley 1 , Ravi Silva 1 , Gareth Fuge 2 , Ben Truscott 2 , Mike Ashfold 2
1 Advanced Technology Institute, University of Surrey, Guildford, Surrey, United Kingdom, 2 School of Chemistry, University of Bristol, Cantock's Close, Bristol, United Kingdom
Show AbstractThe pulsed laser ablation of a mixed target of carbon and nickel using an KrF (248 nm) and ArF (193 nm) is used to form alloyed nanostructures of morphologies. While a variation in the morphology from diamond like carbon to cluster assembled carbon for the KrF laser deposited films is observed, the ArF system indicates the deposition of diamond like carbons films under similar background pressures. Although the nanostructures deposited using the KrF laser indicates a 200 - 300% increase in the Ni content compared to the original target for all pressures. Furthermore, the electrical properties of the KrF laser deposited samples indicate an exponential decay in electrical properties by ~5-6 orders of magnitude as the background pressure is increased while the samples prepared using the ArF system indicates a more abrupt drop once Ar is introduced. These differences in morphology and electrical properties are attributed to the differences in the ablation process under different wavelengths.
9:00 PM - AA15.4
Probing Electric Characteristics and Sorting out Metallic from Semiconducting Carbon Nanotubes.
Ana Paula Barboza 1 , Ana Paula Pereira 1 , Hélio Chacham 1 , Bernardo Neves 1
1 Physics, UFMG, Belo Horizonte, Minas Gerais, Brazil
Show AbstractDifferences of the electric response of metallic and semiconducting single-walled carbon nanotubes (SWCNTs) to a DC electric field applied by the tip of a scanning probe microscope are reported. We show that conventional Electric Force Microscopy (EFM) images can be used to directly distinguish metallic from semiconducting SWCNTs on a process free sample. Not only the magnitudes of their response are different, but, more important, the EFM line profile across a nanotube – its electric signature – is qualitatively distinct for metallic or semiconducting SWCNTs. The influence of nanotube length and diameter on their response to the applied field is also analyzed and shows remarkable differences. Theoretical modeling explains and fits the experimental data, giving support to this very simple, yet extremely accurate and all-encompassing methodology [1].[1] Barboza A. P. M, Gomes A. P., Chacham H. and Neves B. R. A., Carbon 48, 3287-3292 (2010).
9:00 PM - AA15.40
First-Principles Approach to Ballistic Phonon Thermal Conductivity in Graphene Nano-Ribbon.
Hiroki Tomita 1 , Jun Nakamura 1
1 Dpt. of Engineering Sciences, The Univ. of Electro-Commun.(UEC-Tokyo), Tokyo Japan
Show Abstract In this study, we have explored the ballistic phonon thermal conductance for hydrogenated and dehydrogenated Graphene Nano-Ribbons (GNRs). The phonon dispersion relations are derived from the first-principles calculations within the density functional perturbation theory. The ballistic thermal current of GNRs is evaluated from the Landauer heat flux [1,2]. We have found that the phonon thermal conductance per unit width for GNR (1) is larger than that for graphene and (2) increases with decreasing ribbon width (N). This is because the phonon dispersion curves for the two in-plane acoustic modes (LA and TA) remain unchanged with decreasing N, so that these modes become dominant for the phonon thermal conductivity at a low temperature. We have also investigated the normalized thermal conductance for the GNR and the single-walled carbon nanotube (SWNT) which has a circumferential length corresponding to the width of GNR. It has been indicated that GNR have better thermal conductance compared with SWNTs at an extremely-low temperature, since the optical phonon mode localized at the edge emerges in GNR at a low-frequency region. The contribution of such edge modes to the conductivity becomes smaller as N decreases (N=4-12). The phonon modes associated with the C-H bond have been found at the high-frequency region [3], and thus the contribution of these modes to the thermal transport is negligible small at the room temperature. However, we notice that the hydrogenation of edges causes a negative effect on the thermal conductivity: the phonon dispersions of the out-of-plane acoustic modes (ZA and twist [4,5]) become narrower.[1] K.Saito, J.Nakamura, and A.Natori, Phys. Rev. B 76, 115409 (2007)[2] E.Watanabe, S.Yamaguchi, J.Nakamura, and A.Natori, Phys. Rev. B 80, 085404 (2009)[3] R.Gillen et al., Phys. Rev. B 80, 155418 (2009)[4] R.Saito et al., Physical Properties of Carbon Nanotubes (Imperial College Press, 1998)[5] M.Yamada et al., Phys. Rev. B 77, 054302 (2008)
9:00 PM - AA15.41
Metal-Carbon Nanotube Contacts Mediated by Topological Defects: A First-Principles Study.
Yong-Hoon Kim 1
1 Graduate School of EEWS, KAIST, Daejeon Korea (the Republic of)
Show AbstractDespite the great potential of carbon nanotubes (CNTs) for next generation devices, realizing truly scaled-down CNT transistors still remains as a paramount challenge [1]. This situation is largely due to our lack of fundamental understanding and accordingly atomic-scale control of metal-CNT contacts. Applying a first-principles computational approach [2] to a set of novel metal-CNT junction models, we here show that topological defects can provide intrinsically low–resistance metal-CNT contacts as well as a venue for the control of CNT device characteristics. We first demonstrate that the presence of structural defects that preserves the sp2 carbon network results in a single CNT-body-dominated scaling of resistance with length in stark contrast to conventional contact models whose length-scaling behavior changes from a Schottky-barrier-dominated short-length scaling to the CNT-body-dominated long-length scaling. Next, we show that the variation in the defect arrangement can induce significant differences in the conductance magnitude and even device type. We thus resolve the controversy on the nature of optimal metal-CNT bonding for device applications and predict that controlled placement of topological defects will be a viable route to realize reliable high-performance defect-engineered CNT devices.[1] A.D. Franklin & Z. Chen, Nature Nanotech. 5, 858 (2010).[2] Y.-H. Kim, S. S. Jang, Y. H. Jang and W. A. Goddard III, Phys. Rev. Lett. 94, 156801 (2005); Y.-H. Kim and Y. M. Byun, J. Korean Phys. Soc. 55, 299 (2009).
9:00 PM - AA15.43
1/f Noise in Length Sorted Single-Walled Carbon Nanotubes at Their Critical Percolation Conditions.
Daneesh Simien 1 , Clayton Simien 2 , Kristen Felice 1
1 Mechanical and Areospace Engineering, West Virginia University, Morgantown, West Virginia, United States, 2 Physics, West Virginia University, Morgantown, West Virginia, United States
Show AbstractThe electrical noise characteristics of thin film random networks of single walled carbon nanotubes with lengths of 820nm, 210nm and 130nm, were evaluated in addition to mixed length and pure semiconducting single-walled carbon nanotube networks. This study represents one of the first experimental studies in which highly characterized length sorted single walled nanotubes networks have been investigated to isolate their contributions to 1/f noise. In this work we evaluate the voltage noise power verses frequency, in the low frequency range, for each of our type sorted samples and demonstrate the effect of nanotube type, length, dimensionality and critical percolation conditions in 1/f noise generating mechanisms. 1/f noise in two-dimensional (2-D) thin films of random network, homogeneous length sorted SWNTs at their percolation threshold in contrast to three dimensional (3-D) thin films of mixed length SWNT and purely semi-conducting SWNT thin films were investigated. We find that at their respective critical percolation thresholds, xc, length sorted SWNT networks exhibit reduced noise characteristics compared to their mixed length and semi-conducting nanotube counterparts.
9:00 PM - AA15.44
Patterning Vertically Oriented Graphene Sheets for Nanodevice Applications.
Kehan Yu 1 , Pengxiang Wang 1 , Lu Ganhua 1 , Ke-Hung Chen 1 , Zheng Bo 1 , Junhong Chen 1
1 Mechanical Engineering, University of Wisconsin – Milwaukee, Milwaukee, Wisconsin, United States
Show AbstractGraphene has attracted growing interest in the past few years. Growing vertically oriented graphene sheets with a designed pattern is practically attractive for device applications based on graphene. We have recently demonstrated an atmospheric glow discharge method to grow vertically oriented graphene sheets or carbon nanowalls. These two-dimensional “graphitic” platelets typically oriented vertically on a substrate were reported to have a few stacked layers (graphitic) with typical lateral dimensions of several micrometers. Here we report a patterned synthesis of vertical graphene nanosheets using plasma-enhanced chemical vapor deposition (PECVD). Both experimental and modeling results suggest that the electric field distribution above the substrate material plays a key role in the graphene coverage. Vertical graphene patterns can thus be designed through artificially designing the surface electric field distribution. A field-effect transistor (FET) sensor device has been demonstrated for detection of low-concentration gases using vertically patterned graphene sheets bridging a metal electrode gap.
9:00 PM - AA15.46
Electronic Changes of Graphene Induced through Silicon(100)Substrate Modification.
Yang Xu 1 2 , Kevin T. He 2 , Scott W. Schmucker 2 , Zhendong Guo 1 , Justin C. Koepke 2 , Joshua D. Wood 2 , Joseph W. Lyding 2 , Narayana R. Aluru 3
1 Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, Zhejiang, China, 2 Department of Electrical and Computer Engineering, University of Illinois, Urbana, Illinois, United States, 3 Department of Mechanical Science and Engineering, University of Illinois, Urbana, Illinois, United States
Show AbstractScanning tunneling microscopy and spectroscopy (STM/STS) measurements as well as ab initio calculations for graphene monolayers on clean and hydrogen(H)-passivated silicon (100) (Si(100)/H) surfaces are performed. In order to experimentally study the same graphene piece on both substrates, a method to depassivate hydrogen from under graphene monolayers on the Si(100)/H surface is developed. Our work represents the first demonstration of successful and reproducible depassivation of hydrogen from beneath monolayer graphene flakes on Si(100)/H by electron-stimulated desorption. Ab initio simulations combined with STS taken before and after hydrogen desorption demonstrate that graphene interacts differently with the clean and H-passivated Si(100) surfaces. The Si(100)/H surface does not perturb the electronic properties of graphene, whereas the interaction between the clean Si(100) surface and graphene changes the electronic states of graphene significantly. This effect results from the covalent bonding between C and surface Si atoms, modifying the π-orbital network of the graphene layer. The local density of states is calculated, showing that the bonded C and Si surface states are highly disturbed near the Fermi energy.
9:00 PM - AA15.47
Atomistic Study of the Morphology of Graphene on a SiC Substrate.
S. Seto 1 , K. Shintani 1
1 Department of Mechanical Engineering and Intelligent Systems, University of Electro-Communications, Chofu, Tokyo, Japan
Show AbstractGraphene has drawn the attention of many researchers because of its extraordinary electronic and mechanical properties. Graphene is expected to become an electronic material replacing Si in future. Although mechanical exfoliation technique was employed for fabricating graphene, such methods of mechanical peeling cannot be applied to commercial uses. The methods of making graphene on substrates, e.g., thermal decomposition of an uppermost Si layer of a SiC substrate, single-atomic-layer lithography using Si/SiO2 wafer, etc., will be prospective ways to fabricate graphene. In the present simulation study, the morphology of graphene made on a 6H-SiC substrate is investigated using the molecular-dynamics method. The substrate is assumed to be either Si-faced or C-faced. The sizes of the substrate and graphene are varied retaining the two-dimensional similarity in the plane of graphene. The orientation of graphene relative to an uppermost atomic layer of a SiC substrate is rotationally changed; the angle of rotation is measured from the initial configuration where the honeycomb lattice of graphene matches a 6H-Si face in geometrical symmetry. How the morphology of graphene varies with the increase of the angle of rotation is tracked. The wave length of the out-of-plane deformation of graphene will change with the increase of the angle of rotation. The surface roughness and potential energy of graphene are also obtained as a function of the angle of rotation, whereas the height of graphene measured from the uppermost surface of the substrate is obtained as a function of the size of graphene. The results will be useful for searching the condition that graphene of high quality may be obtained.
9:00 PM - AA15.49
Microwave Transport and Noise in Graphene Nanodevices.
Andreas Betz 1 , Emiliano Pallecchi 1 , Christian Benz 2 , Romain Danneau 2 , Gwendal Feve 1 , Jean-Marc Berroir 1 , Bernard Placais 1
1 Laboratoire Pierre Aigrain, Ecole Normale Superieure, Paris France, 2 , Karlsruhe Institute of Technology, Karlsruhe Germany
Show AbstractSince the discovery of carbon nanotubes and more recently of graphene, much scientific and industrial effort has been made to create high performance microwave devices for logical and amplifying purposes based on these two novel materials.
Microwave single carbon nanotube transistors (CNTFETs), which are the ultimate nanotransistors, have recently been demonstrated [1] and are shot noise limited due to finite contact resistance and hot electron effects [2]. These effects can be minimized in metal oxide graphene field effect transistors (MOGFETs). We present here the dynamical and noise properties of graphene transistors in the microwave frequency range. Our MOGFETs were fabricated by depositing exfoliated graphene on silicon-oxide and sapphire substrates. For the latter, we achieve transit frequencies in excess of 80 GHz at room temperature [3]. The shot noise, measured at 4K, is strongly sub-Poissonian with a Fano factor F ≤0.3 at low to moderate drain source bias, whereas at high bias we observe a leveling off of the shot noise, indicating a saturation of the electronic temperature at a thermal energy ~0.1-0.2eV. We interpret this saturation as an effect of the electron-phonon interaction.
Besides noise characteristics and GHz performance, the diffusion mechanism in MOGFETs is a widely discussed topic. We contributed a new facet to this discussion by studying dynamical transport of a microwave graphene capacitor. From the complex admittance in a 10 GHz frequency range we extract the diffusion constant D and its energy dependence in the broad 0-0.2eV energy range. We found D to be energy independent, which may be explained by a Dirac mass disorder model [4].
Reference:
[1] J. Chaste et al., Nano Lett. 8, 525 (2008)
[2] J. Chaste et al., Appl.Phys.Lett. 96, 192103 (2010)
[3] [3] E. Pallecchi et al., arXiv:1106.5529v1 (2011)
[4] E. Pallecchi et al, Phys. Rev. B 83, 125408 (2011)
9:00 PM - AA15.5
Effect of Ambient Gases on Carbon Nanofiber Resistance.
Nobuhiko Kanzaki 1 , Patrick Wilhite 1 , Shusaku Maeda 2 , Tsutomu Saito 2 , Cary Yang 1
1 Center for Nanostructures, Santa Clara University, Santa Clara, California, United States, 2 , Hitachi High-Technologies Corporation, Hitachinaka, Ibaraki, Japan
Show AbstractCarbon nanofibers (CNFs) are promising materials for applications such as on-chip interconnects, chemical sensors, and thermal interface materials because of their exceptional thermal and electrical properties, as well as low-temperature growth [1,2]. Understanding the electrical properties such as resistivity and contact resistance is essential for assessing the potential of CNFs for these applications. In this study, we examine the effect of ambient gases on the resistance of CNF in a four-point probe configuration (4PP). The test device is prepared by drop-casting a single CNF between a pair of patterned gold (Au) electrodes on a SiO2 substrate. Tungsten is then deposited onto the CNF-Au contact using electron-beam-induced deposition to reduce the contact resistance between the CNF and Au electrodes. We apply progressively increasing constant currents to each test device and perform low-voltage I-V measurement at room temperature. In ambient, the resistance at room temperature shows little change with increasing stress current. However, in vacuum, the resistance at room temperature decreases with increasing stress current [3]. The lower resistance of CNFs in vacuum is attributed to desorption of gas molecules responsible for carrier trapping. The 4PP configuration allows us to discern between effects inherent in the CNF and changes in contact resistance. In additon, comparing the resistance in this configuration between heated und unheated states also provides some insight into how much resistance variance is due to ambient gas adsorption/desorption, and how much is due to carrier trapping/detrapping by defects inside the CNF. To investigate the influence of gas molecules in air on CNF resistance, we perform a series of current stressing experiments on the same device under vacuum and intermittent exposure to air. The resistance decreases after successive stress current cycles, but increases upon exposure to air. Further, this resistance increase is higher when the exposure time is longer. Thus, our study suggests that adsorption/desorption of gas molecules in air is the dominant mechanism for the observed differences in electrical characteristics in both ambient and vacuum. [1]T. Yamada, T. Saito, D. Fabris, and C. Y. Yang, IEEE Elec. Dev. Lett. 30, 469 (2009).[2]B. A. Cruden, A. M. Cassell, Q. Ye, and M. Meyyappan, J. Appl. Phys. 94, 4070 (2003).[3]Shusaku Maeda, Patrick Wilhite, Nobuhiko Kanzaki, Toshishige Yamada, and C. Y. Yang, AIP Advances 1, 022102 (2011).
9:00 PM - AA15.50
Silicon Nitride Gate Dielectrics for Graphene Devices.
Wenjuan Zhu 1 , Deborah Neumayer 1 , Vasili Perebeinos 1 , Yu Zhu 1 , Keith Jenkins 1 , Christos Dimitrakopoulos 1 , Ageeth Bol 1 , Phaedon Avouris 1
1 , IBM T. J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractWe found that silicon nitride can provide excellent coverage of graphene in field-effect transistors while preserving its good carrier mobilities, without the need of a seed layer [1]. Moreover, the silicon nitride film has the advantage of higher dielectric constant and higher surface polar optical phonon energy (i.e. less remote phonon scattering in the graphene channel) compared to silicon oxide. The breakdown strength of silicon nitride is high as well. The effect of a perpendicular electric field on the band-structure of different numbers of graphene layers used as channels of the transistors was also studied and the induced band-gap or band-overlap was obtained accounting for the effects of the variation of the surface potential near the Dirac/neutrality point [1]. RF devices of graphene with silicon nitride gate dielectrics were successfully fabricated.[1] W. Zhu, et.al. Nano Lett. 10, p. 3572 (2010)
9:00 PM - AA15.51
Graphene Adsorbed on Corundum Surface: Clean Interface and Band Gap Opening.
Bing Huang 1 , Su-Huai Wei 1
1 , National Renewable Energy Lab, Golden, Colorado, United States
Show AbstractSearching an ideal gate dielectric is of great importance for graphene transistors. By using advanced first-principles calculations, our report on the graphene/Al2O3 hybrid system demonstrate that Al2O3 is an ideal choice for graphene gate. Clean interface exists between graphene and Al-terminated (or hydroxylated) Al2O3 and the valence band offsets for these systems are large enough to create injection barrier. Remarkably, a band gap of 180 meV can be induced ingraphene layer adsorbed on Al-terminated surface with an effiective mass of Dirac fermion ~ 0.008 me, which could realize the ON/OFF switch in graphene transistors without additional band gap engineering. These novel properties strongly demonstrate that Al2O3 is an ideal high-k gatematerial for graphene transistors. Moreover, the band gaps of graphene/Al2O3 system could be tuned by an external electric field for practice applications.
9:00 PM - AA15.52
CVD-Graphene Complementary Logic on Ultra-Thin Multi-Layer Hexagonal Boron Nitride.
Edwin Kim 1 , Nikhil Jain 1 , Yang Xu 2 , Yan Han 2 , Bin Yu 1
1 College of Nanoscale Science and Engineering, SUNY Albany, Albany, New York, United States, 2 Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou China
Show AbstractGraphene, a two-dimensional carbon allotrope, has raised great interests as a material candidate for future electronics due to its superb carrier transport and unique physics. The demand for future-generation large-scale carbon-based electronics motivates (1) assembly of large-area graphene and (2) selection of ideal substrate material that best preserves the transport property of graphene. In this work, CVD-assembled large-area graphene on ultra-thin multilayer hexagonal boron nitride (hBN) is employed to demonstrate graphene field-effect transistor (GFET) and basic building block of digital circuit - complementary logic inverter. Key device metrics such as transconductance and carrier mobility are characterized and compared with reference to graphene on SiO2. The doping in the CVD-grown graphene, probed via electrical measurements, is implemented through surface chemistry modification. The full response of the graphene logic inverter is demonstrated in localized P/N doping region.
9:00 PM - AA15.54
Transition-Metal-Decorated Graphene for High Capacity Hydrogen Storage.
Viet-Duc Le 1 , Yong-Hyun Kim 1
1 Graduate School of Nanoscience and Technology, KAIST, Daejeon Korea (the Republic of)
Show AbstractHydrogen is a promising on-board fuel for automotive vehicles with zero carbon emission, replacing the current non-sustainable carbon-rich gasoline. Therefore, searching for ideal hydrogen storage system is an important step toward realization of green economy. Current physisorption based approaches are heavily taking advantage of high-surface-area materials such as carbon nanotubes, graphene derivatives, and metal organic frameworks. Because of weak dihydrogen interaction with those materials, however, such physisorption based technologies require less-practical cryogenic operation temperatures as low as 77 K. On contrast, the non-classical Kubas interaction between transitional metal (TM) and dihydrogen could provide a compromise between physisorption and chemisorption. From results of first-principles density-functional theory calculations, we report a way to rationally design graphene-based dihydrogen sorbents with high storage capacity and ambient operation; (1) For high volumetric density, two sides of graphene sheet should be utilized for hydrogen adsorption. (2) For ambient operation, the enhanced dihydrogen interaction should be achieved by introducing di-TM complexes in graphene. The dz2 orbitals contribute to the σ metal-metal bond, but not significantly to the dihydrogen Kubas interaction. The di-TM complexes are further strengthened by multiple π and δ metal-metal bonds. These intriguing metal-metal multiple bonds make the complexes very robust without suffering from metal clustering, hydration, and oxidation reactions. Moreover, the protrusion of transition metals exposes the dxz and dyz orbitals that are available for the enhanced adsorption of multiple dihydrogen. From our calculation, we found that a di-TM center can hold up to 6H2 (3H2 per TM) with an average adsorption energies about 0.2 eV. When it is packed in the TM2C6 configuration, the theoretical volumetric H2 storage capacity is as high as 101 g/L. Our computer-aided rational material design will shed light on search for on-board hydrogen storage materials.
9:00 PM - AA15.55
High-Performance, Flexible Graphene Field Effect Transistors with Ion Gel Gate Dielectrics.
Beom Joon Kim 1 , Seoung-Ki Lee 2 , Jong-Hyun Ahn 2 , Jeong Ho Cho 1
1 Department of Organic Materials and Fiber Engineering, Soongsil University, Seoul Korea (the Republic of), 2 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractA high performance low-voltage graphene field-effect transistor (FET) array was fabricated on a flexible polymer substrate using solution-processable, high-capacitance ion gel gate dielectrics. The high capacitance of the ion gel, which originated from the formation of an electric double layer under the application of a gate voltage, yielded a high on-current and low voltage operation below 3 V. The graphene FETs fabricated on the plastic substrates showed a hole and electron mobility of 203 ± 57 and 91 ± 50 cm2/Vs, respectively, at a drain bias of -1 V. Moreover, ion gel-gated graphene FETs on the plastic substrates exhibited remarkably good mechanical flexibility. This method represents a significant step in the application of graphene to flexible and stretchable electronics.
9:00 PM - AA15.56
First-Principles Study on Reversible Atomic Oxidation of Single Layer Graphene.
Eui-Sup Lee 1 , Yong-Hyun Kim 1
1 Graduate School of Nanoscience and Technology, KAIST, Daejeon Korea (the Republic of)
Show AbstractSingle layer graphene (SLG) has much potential for electronic device applications relying on its remarkable electron conductivity, mobility, flexibility, and durability. However, some say its electronic structure is fragile, because when foreign atoms and molecules are coordinated with the SLG surface it is significantly altered from the original one. For example, oxidation, hydrogenation, and fluorination of SLG have been extensively investigated. Very recently it has been reported that a SLG can be reversibly and/or irreversibly oxidized on top of CuOx substrate when an external bias voltage is applied [1]. To understand the reversibility and/or irreversibility of the oxidation of SLG, a microscopic picture is demanded. Here, we report two binding sites of atomic oxygen on SLG, namely, the on-top and the bridge sites to account for the reversibility and irreversibility, respectively, from first-principles density functional theory (DFT) calculations using Vienna Ab-Initio Simulation Package (VASP).It has been well known that atomic oxygen can bind to the C-C bridge site of SLG in an epoxy form. To see other possible binding sites, we calculated the binding energies for various configurations of atomic oxygen as its coverage increases. When the oxygen coverage is small, individual atomic oxygen can be bound at either bridge or on-top sites. The on-top oxygen is unstable because it is on a saddle point of the potential energy surface. The bridge site is the only stable binding site for single atomic oxygen on SLG. When the oxygen coverage is increased to 1/2 monolayer, two bridged oxygens favor clustering with an energy gain of 0.5 eV, compared to the case of being separated. Also we identified a metastable, four-membered ring configuration of two atomic oxygens on top of two C-C atoms, of which energy is 0.7 eV higher than that of two bridged oxygens. Therefore, we believe that the reversible oxidation of SLG would be associated with the metastable on-top configuration, while the irreversible oxidation would be originated from the clustered bridged oxygens. The irreversible oxygen is very stable so that it opens a band gap of SLG. On the other hand, the reversible oxygen is metastable with containing unpassivated, reactive electrons so that it would be electrically conductive. This well agrees with the experimental observation [1].[1] S. Kwon, H. Seo, H. Lee, E.-S. Lee, Y.-H. Kim, K.-J. Jeon, and J. Y. Park, submitted (2011).
9:00 PM - AA15.57
Simple Preparation of Boron-Substituted and Reduced Graphene Oxide by Thermal Annealing and Its Electrical Properties.
Heesuk Kim 1 , Woojin Jeon 1 , Da-Young Yeom 1 , Sang-Soo Lee 1 , Bong June Sung 2
1 , Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 Department of Chemistry, Sogang University, Seoul Korea (the Republic of)
Show AbstractWe demonstrated a simple chemical method to obtain bulk quantities of boron doped and reduced graphene oxide through thermal annealing of graphene oxide with boron oxide. The thermal treatment successfully reduced the graphene oxide and substituted the carbon with boron at the same time. X-ray photoelectron spectroscopy (XPS) data show that the amount of boron increases as the annealing temperature increases, implying the improvement of boron-doping level with higher annealing temperature. Also, the shifts of C(1s) peak and G band from Raman analysis after boron doping indicate that this reaction is substitutional doping instead of interstitial doping. The electrical properties of boron doped and reduced graphene oxide were characterized by four-point probe and I-V measurement. They show that the electrical conductivity of boron doped and reduced graphene oxide is 4400 S/m while that of thermally reduced graphene oxide without boron doping is 500 S/m, indicating that boron doping in addition to thermal reduction improves the electrical conductivity.
9:00 PM - AA15.59
Low-Voltage Graphene Transistors Based on Self-Assembled Monolayer Nanodielectrics.
Cecilia Mattevi 1 , Florian Colleaux 2 , HoKwon Kim 1 , Manish Chhowalla 3 , Thomas Anthopoulos 2
1 Materials, Imperial College, London United Kingdom, 2 Department of Physics and Centre for Plastic Electronics Blackett Laboratory, Imperial College, London United Kingdom, 3 Materials Science and Engineering , Rutgers University, Piscataway, New Jersey, United States
Show AbstractThe catalytic growth of graphene on copper [1] [namely, chemical vapour deposition (CVD)] provides high quality material over large areas that can be transferred via a solution processable method onto virtually any substrates and easily integrated into devices. Due to its unique electronic properties, graphene have received substantial attention for nanoelectronics as well as for large area electronics applications. However to enable its integration into the current and future technology, it is necessary to develop devices that provide minimal power dissipation.Here we demonstrate low operating voltage transferred CVD graphene transistors based on different solution processable self-assembled monolayers (SAM) [2]. Specifically, we demonstrate operating voltage as low as |1.5| V using two SAMs with different molecular dipole moment and hydrophobicity/hydrophilicity. The transistors display hysteresis-free operation, low leakage currents and high carrier mobility. The doping effect is weak and varies with the SAM/graphene interfacial properties, opening the possibility to tailor the electrical properties via surface chemical engineering. Furthermore, the devices show exceptional bias stability for stressing times over more than 12 hours at Vg = 1 V.[1] X. Li, W. Cai, J. An, S. Kim, J. Nah, D. Yang, R. Piner, A. Velamakanni, I. Jung, E. Tutuc, S. K. Banerjee, L. Colombo, and R. S. Ruoff, Science 324, 1312 (2009). [2] C. Mattevi, F. Colléaux, H. Kim, M. Chhowalla, and T. D. Anthopoulos “Low-voltage graphene transistors based on solution processable self-assembled monolayer nanodielectrics“ submitted 2011
9:00 PM - AA15.6
Oxidation Effect on Adsorption of Platinum Cluster on Graphene Nanoflakes: A Density Functional Theory Study.
Arunabhiram Chutia 1 , Ikutaro Hamada 2 , Michio Tokuyama 1 2
1 Institute of Fluid Science, Tohoku University, Sendai, Miyagi, Japan, 2 WPI-AIMR, Tohoku University, Sendai, Miyagi, Japan
Show AbstractCarbon supported platinum catalysts are used in different kinds of reaction such as hydrogenation, hydrogenolysis, isomerization etc. These materials also find their applications in proton exchange membrane fuel cell (PEMFC) and direct methanol fuel cell (DMFC) systems. Thus continuous increase of carbonaceous materials as catalysts support has encouraged chemist to investigate details, which determine the properties and performance of the final catalysts. There are several works devoted to the investigation of platinum dispersion as a function of surface heterogeneity, the porosity of structures and content of oxygen containing surface groups. Coloma et al (Langmuir, 1994, 10, 750), for example, reported the importance of oxygen surface group in the dispersion of metallic catalysts. Ehrburger et al (J. Catal. 1976, 43, 61) investigated the dispersion of Pt catalysts on graphitized carbon black subjected to varying burn-off in air revealing different degrees of platinum dispersion. These observations have been explained in terms of increasing potential energy barrier effect of oxygen surface groups of support on platinum dispersion in Pt/carbon catalysts. However deeper insights into the nature of interaction between support and metals are required, which can be provided by theoretical investigations. In the present study a detailed investigation on the properties of Pt adsorption on amorphous graphene related carbon materials is carried out. For deeper understanding of the influence of surface chemistry of amorphous graphene related carbon materials, graphene-nanoflake models are employed and the adsorption properties of Pt catalysts are investigated using density-functional theory calculations.
9:00 PM - AA15.60
Electronic Properties and Energetics of Fully Optimized Single-Walled Carbon Nanotubes: A First-Principles Systematic Study.
Koichiro Kato 1 , Takashi Koretsune 1 , Susumu Saito 1
1 Department of Physics, Tokyo Institute of Technology, Meguro-ku, Tokyo, Japan
Show AbstractBy fully utilizing the helical symmetry of carbon nanotubes (CNTs) as well as their translational symmetry, we perform the systematic first-principles study of the geometries, the electronic properties and the energetics for chiral as well as armchair and zigzag CNTs. Consequently, the presence of the geometrical family pattern has been revealed for the first time. The bond lengths and the bond angles of CNTs show smooth variations from armchair to zigzag CNTs through chiral CNTs in each family. It is confirmed that the geometrical optimization plays a very important role in predicting electronic structures of chiral CNTs as well as achiral CNTs. The fundamental gap corrections associated with the geometrical optimizations should be sizable even in one nm diameter CNTs. Importantly, it is also found that “near-armchair” CNTs including (6,5) and (7,5) CNTs are energetically more stable than other CNTs as in the case of small-diameter near-armchair CNTs [1]. This result corresponds well with the high abundance of near-armchair CNTs experimentally reported so far.The density functional theory (DFT) computational code which utilizes the helical symmetry and is extensively used in the present work has been developed in our group for a decade [2]. Because the computational effort can be drastically reduced by using the helical symmetry of CNTs, the systematic study of not only the electronic properties but also the geometrical properties of CNTs including the experimentally abundant CNTs was finally achieved in this work. It should be of high importance to predict these fundamental properties theoretically by using the state-of-the-art first-principles method. For the further progress of the electronic applications of CNTs as well as for the establishment of more efficient method of chirality separation, the systematic results would be of great help. This work was supported by the Global Center of Excellence Program by MEXT, Japan through the "Nanoscience and Quantum Physics" Project of the Tokyo Institute of Technology, and also by a Grand-in-Aid for Scientific Research on Priority Area of the Mext, Japan, Carbon Nanotube Nano-Electronics. K.K. also acknowledges JSPS Research Fellowship for Young Scientists.[1] K. Kato and S. Saito: Physica. E 71 (2010) 483.[2] K. Kato, T. Koretsune, J. –I. Iwata, K. Yabana and S. Saito: to be published
9:00 PM - AA15.61
One-Dimensional Electronic Transport in Nanotube Random Network Transistors at Room Temperature.
Hidekazu Shimotani 1 , Satoshi Tsuda 1 , Hongtao Yuan 2 3 , Yohei Yomogida 1 , Rieko Moriya 4 , Taishi Takenobu 5 6 , Kazuhiro Yanagi 4 , Yoshihiro Iwasa 2 3
1 Department of Physics, Tohoku University, Sendai, Miyagi, Japan, 2 Quantum-Phase Electronics Center, The University of Tokyo, Tokyo Japan, 3 CREST, JST, Kawaguchi, Saitama, Japan, 4 Department of Physics, Tokyo Metropolitan University, Tokyo Japan, 5 Department of Applied Physics, Waseda University, Tokyo Japan, 6 PRESTO, JST, Kawaguchi, Saitama, Japan
Show AbstractRecent success in isolating single-walled carbon nanotubes (SWNTs) of narrow chirality distributions enabled making pure metallic (m-) and semiconducting (s-) SWNT films. Such films are expected to reflect clearly the nature of individual SWNTs in their transport properties. One of the most remarkable characteristics of SWNTs is their one dimensional subband structure and resulting stepwise change of the conductance by subband filling. Therefore, it is important from both scientific and practical viewpoint to investigate one dimensional characteristics of electronic transport in m- and s-SWNT films by controlling their Fermi level.Chemical doping or field-effect transistor is unsuitable for the purpose because of the lack of precise and reversible Fermi level controllability, and the narrow controllable Fermi level range, respectively. The problems are solved by our electric double layer transistor (EDLT) technique, where the gate voltage is applied through an electrolyte. We have realized superconducting transition in SrTiO3 [1] and ZrNCl [2] with EDLT. Therefore, this work was done to investigate conduction properties of s- and m-SWNT films with EDLT.The conductance, optical absorption spectra, and temperature dependence of the resistance of s- and m-SWNT films were measured at various gate voltages. The conductance of the s-SWNT film showed stepwise change against the gate voltage. The absorbance spectra indicate the steps correspond to reaching of the Fermi level to a vHs. The Fermi level shift across a vHs was also observed in the m-SWNT films. The conductance of the m-SWNT film sharply increased at the point and its temperature dependence changed from that of variable range hopping to a metallic behavior. These results demonstrate that the conduction properties strongly depend on the Fermi level, reflecting one dimensionality of SWNTs.[1] K. Ueno et al., Nat. Mater. 7, 855 (2008).[2] J. T. Ye et al., Nat. Mater. 9, 125 (2010).
9:00 PM - AA15.62
Fabrication of Large-Area Field Effect Transistors Based on Graphene Sandwiched with Hexagonal Boron Nitride Thin Films.
Kang Hyuck Lee 1 , Hyeonjin Shin 3 , Jinyeong Lee 2 , In-yeal Lee 2 , Gil-Ho Kim 2 , Jae-Young Choi 3 , Sang-Woo Kim 1 2
1 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 3 , Samsung Advanced Institute of Technology(SAIT), Yongin Korea (the Republic of), 2 SKKU Advanced Institute of Nanotechnology(SAINT), Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractHexagonal boron nitride (h-BN) is a layered material similar to graphite. It is a promising dielectric substrate for high quality graphene electronics. Monolayer h-BN, so called “white graphene”, has been isolated from bulk BN and could be useful as a complementary two-dimensional dielectric substrate.Here, we demonstrate the fabrication of large-area field effect transistors (FET) with graphene sandwiched between two h-BN films by the chemical vapor deposition (CVD) method. In the device structure, each h-BN film as a atomically smooth, and used as bottom h-BN layer inserted on the substrate and top h-BN layer act as a dielectric encapsulation layer to prevent extrinsic charge trap such as absorbed gas molecule in ambient atmosphere, impurities and polymer residue. High resolution transmission microscopy (TEM) was performed on graphene and h-BN that confirm highly-ordered crystalline structures of bottom and top layer. The electronic transport properties configurations were investigated at room temperature and liquid-helium temperature.
9:00 PM - AA15.63
Inkjet-Printed Transparent Electrodes of Reduced Graphene Oxide/Poly(Vinyl Alcohol) Composite for Organic Thin Film Transistors.
Boseok Kang 1 , Soojin Lim 1 , Wi Hyoung Lee 1 , Haena Kim 1 , Kilwon Cho 1
1 Chemical Engineering, Postech, Pohang Korea (the Republic of)
Show AbstractThe characteristics of organic field-effect transistors (OFETs) prepared with inkjet-patterned reduced graphene oxide (RGO)/poly(vinylalcohol) (PVA) composite electrodes were studied. PVA was blended with graphite oxide to enhance exfoliation of the graphite sheets and to provide for stable inkjet printing. Multistep reduction based on a combination of chemical and thermal reduction was conducted to increase the conductivity. Use of the inkjet-patterned RGO/PVA electrodes increased field-effect mobility of the bottom-contact pentacene FETs to 0.14 cm2/Vs, which was significantly enhanced relative to that of FETs with Au electrodes. Moreover, we successfully prepared all-carbon-based flexible transparent OFETs using inkjet-patterned RGO/PVA electrodes on plastic substrates.
9:00 PM - AA15.64
Engineering Side-Gate Controlled Potential Barrier in Bilayer Graphene.
Ching-Tzu Chen 1 , Tony Low 1 , Hsin-Ying Chiu 1 2 , Wenjuan Zhu 1
1 , IBM TJ Watson Research Center, Yorktown Heights, New York, United States, 2 Department of Physics and Astronomy, The University of Kansas, Lawrence, Kansas, United States
Show AbstractControlled carrier depletion and charge confinement is essential for building graphene quantum dots and nano-constrictions. The prevailing methods rely heavily on carving out unwanted regions using reactive ion etching, which causes undesirable defect states. Instead, we explore gate-controlled potential barrier engineering for carrier depletion in bilayer graphene via electric-field-induced band-gap opening and band bending. In this talk, we will present transport characteristics of the graphene devices with a combined side-gate/top-gate configuration and compare the experimental data to simulation results. We first verify the effectiveness of band bending by the side gates. Then we confirm the effect of gap opening by the top gate. Finally, using simultaneous top-gate and side-gate biasing, we demonstrate that a large modulation of resistance can be achieved in the gapped graphene channel, indicative of modulations in carrier depletion at the edges through barrier creation by the side gates. We will comment on the application of this device concept to designing quantum point contacts in graphene.
9:00 PM - AA15.66
Optically Modulated Conduction in Chromophore-Functionalized Carbon-Nanotube-Network Field-Effect Transistors.
Yuanchun Zhao 1 , Changshui Huang 2 , Padma Gopalan 2 , Mark Eriksson 1
1 Department of Physics, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractSingle-walled carbon nanotubes (SWNTs) are highly sensitive to local electrostatic environments. Their electrical properties can be modulated not only by molecular adsorption, but also by conformational changes in the adsorbed molecules. Therefore, SWNT field-effect transistors (FETs) are of great interest for a number of sensor applications and optoelectronic devices. We demonstrate a controllable opto-modulation of the conductance of chromophore-functionalized SWNT-network FETs. The functionalized devices show a reproducible shift in the threshold voltage (Vth) resulting from chromophore photoisomerization. We use azobenzene-based chromophores, Disperse Red 1 (DR1) and its derivatives, as the sidewall functionalities of SWNTs, which are coupled with a pyrenebutyric group for realizing the noncovalent attachment. Under visible light illumination, these molecules isomerize from the ground trans state to the excited cis state, leading to a significant change in their dipole moments. This dipole moment change modifies the local electrostatic environment and acts as an additional gate voltage, causing the shift in Vth. By designing different derivatives of DR1, both the dipole moment change and the absorption wavelength can be modified, offering the ability to tune the optoelectric characteristics of the functionalized nanotube network FETs. Our results provide a deeper understanding on the photogating mechanisms of the nanotube-chromophore hybrid devices, and they reveal the possibility to modulate optoelectronic properties of nanotube-hybrid devices by designing chromophores with required photosensitive features.
9:00 PM - AA15.68
Ultrafast NH3 Sensing with Positively-Gated Reduced Graphene Oxide Field-Effect Transistors at Room Temperature.
Ganhua Lu 1 , Kehan Yu 1 , Leonidas Ocola 2 , Junhong Chen 1
1 Mechanical Engineering, University of Wisconsin-Milwaukee, Milwaukee, Wisconsin, United States, 2 Center for Nanoscale Materials, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractGraphene is a promising candidate for chemical detection due to its unique and outstanding properties. A crucial step toward practical deployment of graphene-based sensors is to improve the sensor dynamic characteristics (i.e., response/recovery time). Here we report the ultrafast room-temperature NH3 sensing of reduced graphene oxide (R-GO) under positive gate potential on a field-effect transistor (FET) platform. Positively-gated R-GO FETs with n-type conductance (electrons as majority charge carriers) exhibited instantaneous response and fast recovery for NH3 sensing, far superior to their performance in p-mode operation (holes as majority charge carriers) at zero/negative gate potential. The gate-dependent NH3 sensing of R-GO could be attributed to not only the ambipolar transport of R-GO, but also the gate-induced effects such as change in the work function of graphene and the Coulomb interaction between NH3 and the FET. Our findings have important implications for fast, repeatable, and room-temperature gas detection using graphene/R-GO sensors.
9:00 PM - AA15.69
Synthesis of Large Area Doped Graphene Films for Transparent Conducting Electrodes.
Justin Bult 1 , Brian Larsen 1 , Thomas Gennett 1 , Jeffrey Blackburn 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractGraphene thin films represent an ideal nanostructured thin film transparent conducting (TC) electrodes. Using chemical vapor deposition (CVD) to produce large area graphene is a facile way of constructing these transparent electrodes for applications from flexible touch displays to photovoltaic front contacts. One obstacle in using graphene for TCs, however, is that in order to achieve optimal performance the thin-film must be doped to lower the sheet resistance. These dopants are typically adsorbed onto the graphene surface and can be unstable with elevated temperature and prolonged time, necessitating a more robust strategy for achieving the required performance. Here we present our results on substitutionally doped graphene films and compare them to undoped films for the application of front contacts in photovoltaic cells such as CIGS and OPV. Samples are prepared using standard CVD techniques while using nitrogen (to produce n-type doping) or boron (to produce p-type doping) containing carbon precursors to produce the substitutional dopant. We characterize the state and effect of the dopants via near edge X-ray absorption fine structure (NEXAFS), temperature dependent Hall-effect measurements, and mapping Raman spectroscopy. Finally we measure the performance of these films via transmittance and sheet resistance quantification.
9:00 PM - AA15.7
The Use of Single-Walled Carbon Nanotubes Decorated with Silver Nanoparticles to Decrease Silver Concentration in Metallic Ink.
Seunghyun Baik 1 3 4 , Rujun Ma 1 , Daewoo Suh 1 , Joonghyuk Kim 2 , Jaewoo Chung 2
1 SKKU Advanced Institute of Nanotechnology (SAINT), Sungkyunkwan University, Suwon Korea (the Republic of), 3 School of Mechanical Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 4 Department of Energy Science, Sungkyunkwan University, Suwon Korea (the Republic of), 2 Samsung Advanced Institute of Technology(SAIT), Samsung Electronics, Yongin Korea (the Republic of)
Show AbstractThe industrial application of metallic inks was impeded by the necessity of high concentration of costly nanoparticles to achieve high conductivity. Here we significantly decreased the concentration of silver (Ag) nanoparticles to 3 wt. % by a small addition of single-walled carbon nanotubes (SWNTs) decorated with Ag nanoparticles (0.003 wt. %) while maintaining the high conductivity [1]. The nanotubes with large aspect ratios constructed effective electrical pathways between Ag nanoparticles, with an average size of 30 nm, and the contact interface was improved by the attached Ag nanoparticles, with an average size of 3 nm, to the sidewall of nanotubes [1]. The stability of nanoparticles dispersed by ethyl cellulose in N-methyl pyrrolidone (NMP) was good for more than 1 month, and conductive patterns could be successfully printed using an inkjet device. [1] Rujun Ma, Daewoo Suh, Joonghyuk Kim, Jaewoo Chung and Seunghyun Baik, A drastic reduction in silver concentration of metallic ink by use of single-walled carbon nanotubes decorated with silver nanoparticles, Journal of Materials Chemistry, 21, 7070-7073, May, 2011
9:00 PM - AA15.70
Sheet and Contact Resistance of Single Functionalized Graphene Sheets.
Wolfgang Mertin 1 , Liang Yan 1 , Christian Punckt 2 , Ilhan Aksay 2 , Gerd Bacher 1
1 Werkstoffe der Elektrotechnik & CeNIDE, Universität Duisburg-Essen, Duisburg Germany, 2 Department of Chemical and Biological Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractFunctionalized graphene sheets (FGSs) [1] are promising candidates for the development of electrochemical sensors, batteries and supercapacitors. The large density of functional groups and lattice defects compared to pristine graphene is expected to strongly influence their electrical properties and to affect the performance of FGS-based devices. The intrinsic resistance of the FGSs as well as the resistance between sheets and the electrodes are limiting factors for these kinds of applications. In order to separate the influence of contact resistance and intrinsic sheet resistance and to extract the impact of topographic features on the local conductivity, individual FGSs have to be electrically analysed with high spatial resolution.In this work, the topography and the electric potential distribution of contacted FGSs were simultaneously measured by Kelvin probe force microscopy (KPFM) with a spatial resolution in the order of 10 nm and a potential resolution of few millivolts. [2] FGSs were produced via thermal reduction of graphite oxide (GO) and deposited on 300 nm SiO2 grown on Si. The sheets were electrically contacted with lithographically defined titanium/gold electrodes. The measurements were performed under ambient conditions. First, the device was operated in a constant current mode and the voltage drop across the FGS was measured by KPFM giving direct access to the intrinsic conductivity of the FGS. We obtain a value of about 3.7×105 S/m, which corresponds to a sheet resistance of 2.7 kΩ/sq. This conductivity is significantly larger than other reported values for reduced GO. As similar values are only obtained for highly defective acid-treated carbon nanosheets, we suggest that the defectiveness of thermally reduced FGSs may contribute to their surprisingly large conductivity. The high spatial resolution of our technique allows a visualization of local variations in the two-dimensional potential distribution across the single sheet device. We identify an increase of the conductivity related to a partial stacking of multiple layers of graphene, while wrinkles in the graphene sheets apparently have no significant impact on the local conductivity.In order to evaluate the contact resistance, potential measurements in the electrode area were also made. Within our resolution, no voltage drop at the contacts can be seen. Considering the measurement accuracy, we extract an upper limit of the specific contact resistance of 6.3×10-7 Ωcm2 assuming that due to the good conductivity of the FGSs, the transfer length is equal to the contact length, i.e., the whole contact area of ~ 0.46 µm2 contributes to the contact resistance. We attribute this low value of the contact resistance to be related to both, the usage of a Ti adhesion layer and the defects of the FGS. [1]H. C. Schniepp et al., J. Phys. Chem. B 2006, 110, 8535 [2]S. Vinaji et al., Nanotechnology 2009, 20, 385702
9:00 PM - AA15.72
Lithographically Fabricated Large-Area Semiconducting Nanoperforated Graphene Using Block Copolymer Templates.
Myungwoong Kim 1 , Nathaniel Safron 1 , Eungnak Han 1 , Michael Arnold 1 , Padma Gopalan 1
1 Department of Materials Science and Engineering, University of Wisconsin, Madison, Madison, Wisconsin, United States
Show AbstractCompared to current materials, graphene conducts electrical charges 100-1000x times faster than silicon and 20,000-100,000x faster than state-of-the-art flexible semiconducting materials. Unaltered, intrinsic graphene is not semiconducting hence preventing the modulation or “switching” of its electrical conductance. To address this problem, it has been shown that quantum confinement effects can be used to open up a band gap, Eg, in graphene. It has been demonstrated that the Eg of graphene nanoribbons, patterned using electron-beam lithography in sub-20 nm dimension, roughly varies inversely with the width of the nanoribbons(w). However, 20 nm is on the threshold of what can easily be achieving using electon beam lithography due to known electron scattering effects in common electron-beam resists. Second, electron-beam lithography is a serial technique, which limits its throughput and applicability to the large-area patterning of graphene. In this work, we demonstrate an approach for nanopatterning graphene using block copolymer (BCP) lithography that addresses both the resolution and scalability challenges. BCP lithography can be facilely scaled to batch-process multiple, large-area substrates in parallel while simultaneously achieving the high-fidelity patterning of exceptionally small features < 10 nm. Previously, we showed that cylinder forming P(S-b-MMA) could be used to fabricate anti-dot lattice graphene structures, which we call as nanoperforated graphene. However, this approach suffered from the following disadvantages: 1) unintentional doping of graphene during etching of the SiO2 by fluorine gas, and 2) difficulty in the removal of the residual templates. Here, to solve these challenges we have developed a “Tri-layer” process. This process involves a barrier organic layer sandwiched between the oxide and the graphene layer, which: 1) protects graphene during etching of SiO2 by fluorine gas and from HF during lift-off of the SiO2 from the patterned graphene, and 2) facilitates removal of the templates as the oxide layer acts as a hard mask to minimize O2 plasma induced crosslinking of the barrier layer. A w of 14 to 7.5 nm was achieved through this process. The process allows for isolation and spectroscopic characterization of the nanoperforated graphene. The resulting large-area (> 1 cm2) nanoperforated graphene exhibits semiconducting behavior with a high ON/OFF ratio of up to 50 at room temperature with significantly less p-doping compared to the previous approach. Defect analysis by Raman characterization (ratio of intensity of D to G band) reveals increasingly disordered edges with decreasing w. Furthermore, the mobility decreases with increasing edge disorder, which highlights the importance of understanding and controlling the edge structure.
9:00 PM - AA15.73
Electrical Properties of Individual Amorphous Carbon Nanospheres.
Kevin Briston 1 , Jean Michel Martin 2 , Beverley Inkson 1
1 Materials Science and Engineering, University of Sheffield, Sheffield United Kingdom, 2 LTDS, Ecole Centrale de Lyon, Ecully France
Show AbstractNanosized particles of carbon can have very disparate electrical and mechanical properties, depending on their degree of crystallinity. Here the electrical properties of individual amorphous carbon nanospheres have been tested in-situ in TEM, enabling in-situ analysis of electrically-induced structural evolution. Amorphous carbon nanospheres were fabricated by chemical vapour deposition, and had diameters ranging from a few tens of nm to ~100nm. The carbon nanospheres were distributed on a gold wire electrode mounted into a NanoLAB TEM triboprobe. Individual nanospheres were sequentially contacted and electrically probed using a moveable gold tip. At low voltages <0.5V, it was found that the nanospheres tested had linear I-V curves with circuit resistances measured from ~50kΩ to ~200kΩ. At voltages above ~1V, structural changes began to occur. It was observed that material disappeared from around the edges of the spheres, leaving a mottled appearance. Graphitization of the nanospheres also occurred. These structural changes, accompanied by a dramatic reduction in the resistance of the nanospheres, are discussed.
9:00 PM - AA15.75
Fabrication and Electrochemical Characteristics of Electrospun LiFePO4/Carbon+Graphene Composite Nanofibers for Lithium-Ion Batteries.
Ozan Toprakci 1 , Shu Zhang 1 , Guanjie Ju 1 , Ying Li 1 , Xiangwu Zhang 1
1 Department of Textile Engineering, Chemistry and Science, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractLiFePO4/carbon+graphene composite nanofibers were synthesized by using a combination of electrospinning and sol–gel techniques. Polyacrylonitrile (PAN) was used as an electrospinning media and the carbon source. Graphene flakes were used to increase the conductivity of the composite. LiFePO4 precursor materials, PAN and graphene flakes were dissolved in N,N–dimethylformamide separately and they were mixed before electrospinning. Electrospun LiFePO4 precursor/PAN+graphene composites were heat-treated to obtain LiFePO4/C+graphene composite nanofibers. The structure of LiFePO4/C+graphene composite nanofibers was determined by X-ray diffraction (XRD) analysis. The surface morphology and microstructure of the obtained LiFePO4/C+graphene composite nanofibers were characterized using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Electrochemical performance of LiFePO4/C+graphene composite nanofibers was evaluated in coin-type cells. Graphene flakes were found to be well-dispersed in the carbonaceous matrix and increased the electrochemical performance of the composite nanofibers. As a result, cells using LiFePO4/C+graphene composite nanofibers have good performance, in terms of large capacity, extended cycle life, and good rate capability.
9:00 PM - AA15.76
Improved Performance of Top-Gated Graphene-on-Diamond Devices.
Jie Yu 1 , Anirudha Sumant 2 , Guanxiong Liu 1 , Alexander Balandin 1
1 Department of Electrical Engineering and Materials Science and Engineering Program, University of California Riverside, Riverside, California, United States, 2 Center for Nanoscale Materials, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractSince the discovery of graphene and realization of its exceptional electronic properties in suspended form, there have been many efforts in fabricating FET-type devices based on single and bilayer graphene on SiO2 substrate. However, performance of these devices is found to be inferior to the expected intrinsic properties of graphene. It has been observed that apart from carrier mobility in graphene, which is sensitive to trapped charges, and surface impurities at the graphene-oxide interface, breakdown current density in graphene depends sensitively on the heat dissipation property of the underlying supporting substrate. Although graphene has extremely high intrinsic thermal conductivity, it is reported that in graphene devices, more than 70% of the heat dissipates through the 300 nm SiO2 on silicon directly below the active graphene channel while the remainder is carried to the graphene that extends beyond the device and metallic contacts. Such a distribution of heat in to the substrate cause undesirable effects on the overall performance of the device. We show for the first time that by the use of thin CVD-grown ultrananocrystalline diamond thin films on silicon in graphene-on-diamond configuration, the heat dissipation can be improved substantially leading to the higher breakdown current density of more than 50% as compared to conventional graphene-on-oxide substrates. We also describe the fabrication of the top-gate graphene-on- diamond devices and discuss their performance. The obtained devices had the carrier mobility ~ 2354 cm2V-1S-1 for holes and ~1293 cm2V-1S-1 for electrons. The obtained results are promising for developing high-performance graphene-on-diamond devices and interconnects for future electronics. Use of the Center for Nanoscale Materials was supported by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. The work in Balandin group at UCR was supported, in part, by DARPA – SRC Center on Functional Engineered Nano Architectonics (FENA).
9:00 PM - AA15.77
Interface Engineered Carbon Nanotube Based High Efficiency Electrodes for Field Electron Emission and Energy Storage Devices.
Indranil Lahiri 1 , Wonbong Choi 1
1 Mechanical and Materials Engineering, Florida International University, Miami, Florida, United States
Show AbstractCarbon nanotubes (CNT) are well-known for their exceptional electronic, mechanical, thermal and electrical properties, owing to their unique structure. CNTs are proposed for improved performance of a number of future devices – from sensors to solar cells and from biomedical to electronic devices. However, desired seamless integration, reliable performance and extended device lifetime of all these CNT-based devices necessitates greatly enhanced structural stability. One of the most critical issues in structural stability is the interfacial bonding between the CNTs and the substrate.In an effort to address this vital issue, we present here an interface engineered CNT based bulk structure on copper substrate. CNT-substrate boding is enforced with proper selection of an interfacial layer, on various substrates. Such CNT-based structures are applied in cold field emission devices as cathode and in Li-ion batteries as anode. Structural and field emission characterization proposes that bulk CNTs synthesized on a Cu-substrate, Ti interfacial layer and Ni catalyst, perform best. This field emitter offers lowest turn-on field, highest emission current and best emission stability. Interface engineering, proposed in this study, is expected to enhance bonding between CNTs and the substrate, contributing towards better structural stability and device life. To better explore the interfacial bonding, a novel nano-scratch technique is developed and the bonding energy is quantified. It is observed that CNTs grown on Cu substrate shows better adhesion than those grown on Si substrate, keeping all other growth parameters same.To further extend the possible application regime, this structure is used as an anode structure in Li-ion batteries. This newly developed anode structure has offered very high specific capacity (almost 2.5 times as that of graphite), excellent rate capability, nil capacity degradation in long-cycle operation and introduced a higher level of safety by avoiding organic binders. Moreover, an ultra-thin atomic layer deposited alumina coating on the CNTs is found to enhance the specific capacity of the anodes. Enhanced properties of this electrode are well correlated to their structures, as observed in SEM, HRTEM and Raman spectroscopy studies. Detailed DSC, electrochemical impedance spectroscopy and x-ray photoelectron spectroscopy studies reveal inherent mechanism of Li+ ion intercalation into this CNT based structure. This newly developed CNT-based electrode, strongly bonded to Cu current collectors, is anticipated to enhance performance of future electronic and electrochemical devices.
9:00 PM - AA15.78
Hysteresis and Transient Characteristics of Atomically Thin MoS2 Field Effect Transistors.
Dattatray Late 1 , Bin Liu 1 , Jiajun Luo 3 , Yikai Huang 1 , Mrinmoy De 1 , Matthew Grayson 3 , Chintamani Nagesa Ramachandra Rao 4 , Vinayak Dravid 1 2
1 Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 3 Department of Electrical Engineering and Computer Science, Northwestern University, Evanston, Illinois, United States, 4 International Centre for Materials Science and CSIR Centre of Excellence in Chemistry, Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore India, 2 International Institute of Nanotechnology, Northwestern University, Evanston, Illinois, United States
Show AbstractRecently, atomically thin MoS2 has emerged as a possible new competitor to graphene in the field of nanoelectronics due to enhanced device performance. However, the high sensitivity of atomically thin MoS2 devices to external fields like distribution of dopants and electromagnetic field can cause device instabilities such as conductance hysteresis, which is also observed in devices based on other low dimensional materials like graphene. (Wang et al, ACS Nano 2010, 4, 7221) The understanding of the underlying mechanism is critical to improving the device stability and performance. We have fabricated atomically thin MoS2 field effect transistors on highly doped Si substrate with 500-nm-thick SiO2 to investigate the characteristics of time-dependent drain current. The active element MoS2 was prepared using micromechanical cleavage technique and electrical contacts were made using electron beam lithography. It is observed that MoS2 transistors exhibit two different types of hysteresis: at room temperature drain current with forward gate sweep was larger than that with backward gate sweep; and, at low temperature the trend was reversed. The possible mechanism can be charge transfer dominating at room temperature or slow response of the capacitive gating which is significant at low temperature. Further study is under way to confirm the proposed mechanism. Meanwhile, we show that the sensitivity of MoS2 to white light significantly affects the MoS2 device performance. All the findings not only improved the understanding of the electrical response of atomically thin MoS2 to its environment but also indicated new opportunities for chemical sensors and photodetectors.
9:00 PM - AA15.79
Modulation of Electronic Properties of Boron Nitride Layers and Carbon Peapods under Pressure.
Matheus Matos 1 , Marcos Guimaraes 1 , Camilla Oliveira 1 , Ana Paula Barboza 1 , Hélio Chacham 1 , Bernardo Neves 1 , Mario Mazzoni 1
1 Department of Physics, Universidade Federal de Minas Gerais, Belo Horizonte - MG, Minas Gerais, Brazil
Show AbstractThe interplay between structural and electronic properties may pave the way for the practical implementation of the potential applications usually ascribed to nanomaterials. Experimental techniques such as atomic and electric force microscopies (AFM, EFM), for instance, have been extensively employed in this context. On the theoretical side, first-principles calculations are able to predict metal-semiconductor transitions induced by deformations and/or new rehybridizations. Our work has to do with this idea: We employ a Density Functional Theory (DFT) formalism to investigate the structural and electronic properties of boron nitride (BN) bilayer and carbon nanopeapods under pressure. We show that it is possible to induce an insulator-metal transition in BN when the layers are submitted to a force applied, for instance, by a AFM tip. The transition is characterized by the formation of line defects formed by boron and nitrogen atoms with a sp3 hybridization. We also show that carbon nanotubes filled with fullerenes may undergo a metal-semiconductor transition under the same conditions. In both cases, we discuss the question of reversibility and we show how the mechanism may lead to the formation of novel materials. All calculations are performed with the SIESTA program, within the pseudopotential approximation.
9:00 PM - AA15.8
Energy Band Gap Modification of Graphene Deposited on a Multilayer Hexagonal Boron Nitride Substrate.
Celal Yelgel 1 , Gyaneshwar Srivastava 1
1 School of Physics, University of Exeter, Exeter United Kingdom
Show AbstractSuccessful synthesis has recently been reported of a monolayer hexagonal boron nitride (h-BN) [1,2] and of graphene layers on h-BN substrates [3]. Theoretical investigations of disorder-limited electrical conductivity of monolayer and bilayer graphene on h-BN have also been recently presented [4]. We present a theoretical investigation of the electronic structure of graphene on multilayer hexagonal boron nitride (h-BN) by performing calculations based on the density functional theory and the plane wave pseudopotential method. Total energy calculations suggest that the energetically most stable configuration for monolayer graphene on the monolayer h-BN substrate is with one carbon atom to lie on top of a boron atom and a neighbouring carbon atom to lie at the centre of the BN hexagon. The binding energy is found to be in the range of 40 meV per C atom. Even though the interaction between graphene and h-BN is weak, the electronic charge density is modified and an electric dipole is developed across the graphene sheet. For graphene on a single layer h-BN the magnitude of the dipole moment is 0.019 Debye, and the magnitude of the resulting electrostatic potential across the graphene sheet is 137 meV. The resulting electric field causes the degenerate π/π* electronic states at the Dirac point to split, with a tiny band gap of 57 meV. Slightly away from the Dirac point, the dispersion relation becomes linear, with electron speed similar to that for isolated monolayer graphene. The dispersion relation becomes reasonably quadratic for graphene on 4-layer h-BN, with a relative effective mass of 0.0047. Further results will be presented for the graphene/BN superlattice and for a graphene nanoribbon deposited on a h-BN ribbon.[1] W. Q. Han et al, Applied Physics Letters 93, 223103 (2008).[2] N. Alem et al, Physical Review B 80, 155425 (2009).[3] C. R. Dean et al, Nature Nanotechnology. 5, 722 (2010)[4] S. Das Sarma and E. H. Hwang, Physical Review B 83, 121405 (2011).
9:00 PM - AA15.80
Comparison of Oxidized Graphene Nanoribbons for Improved Li-Ion Batteries.
Aleks Antic 1 , Bradley Fahlman 2 , Veronica Barone 3
1 Science of Advanced Materials, Central Michigan University, Mt. Pleasant, Michigan, United States, 2 Chemistry, Central Michigan University, Mt. Pleasant, Michigan, United States, 3 Physics, Central Michigan University, Mt. Pleasant, Michigan, United States
Show AbstractWe present the synthesis and characterization of oxidized graphene nanoribbons of three sizes for use as anode materials in lithium-ion batteries. Oxidized graphene nanoribbons, oGNRs, are formed when the corresponding multi-walled carbon nanotubes are treated by chemical oxidation with acidic potassium permanganate. TGA, Raman, and FT-IR confirm extensive oxidation in the form of carbonyl and hydroxyl groups. FE-TEM images confirm a change in structure associated with the oxidation. Each material was fabricated into an anode and tested electrochemically. The anode morphology, before and after chronopotentiometry, was investigated with a scanning electron microscope. SEM suggests a robust structural stability of the material in the fabricated anodes during electrochemical testing. Our study confirmed that the oxidative process successfully led to oxidized graphene nanoribbons, and indicated an electrochemical trend of improved first cycle capacity as the smaller structures were used. First cycle specific capacity was highest for 10-20 nm MWCNT and oGNR anodes with the oGNR nearly doubling the MWCNT performance. Intermediate cycles for MWCNT showed significant fade stabilized by the seventh cycle, while oGNR continued to lose some capacity through all 15 cycles.
9:00 PM - AA15.82
Size Dependent Mobility of Epitaxial Graphene on C-Face SiC.
Shin Mou 1 , John Boeckl 1 , David Tomich 1 , Lawrence Grazulis 2 1 , John Hoelscher 3 1 , Weijie Lu 1 , William Mitchel 1
1 , Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States, 2 , University of Dayton Research Institute, Dayton, Ohio, United States, 3 , Wright State University, Dayton, Ohio, United States
Show AbstractThe formation of epitaxial graphene on SiC (000-1) surface (C-face) is studied using Hall measurement, atomic force microscopy (AFM), and Raman spectroscopy. Epitaxial graphene films are grown on 1×1 cm2 samples diced from polished (000-1) semi-insulating SiC wafers without hydrogen etching. Argon atmospheric pressure sublimation process is used over a range of growth temperatures and times. At about 1500 degree C, graphene starts to form and it covers the entire SiC substrate with growth temperature above 1600 degree C. We conduct Hall measurements on two different kinds of test structures. The bulk measurement, which is often done right after the growth, uses four contacts in the four corners of the 1×1 cm2 samples in a van der Pauw configuration. We also microfabricate Greek cross shape van der Pauw structures with different sizes (width from 2 μm to 250 μm) on C-face graphene samples for Hall measurements. It turns out that the mobility is a function of the size of the Greek cross and the value of mobility increases statistically as the size decreases. Compared to the graphene on SiC (0001) surface (Si-face), we do not see such dependence for Si-face graphene. The root cause of it is still under investigation.
9:00 PM - AA15.84
Modulating the Performance of Carbon Nanotube Field-Effect Transistors via Rose Bengal Molecular Doping.
Jun Huang 1 , Aniket Datar 1 , Sivasubramanian Somu 1 , Ahmed Busnaina 1
1 Center for High-rate Nanomanufacturing, Northeastern University, Boston, Massachusetts, United States
Show AbstractA simple, reliable, and large scale ambient environment doping method for carbon nanotubes is a highly desirable approach for modulating the performance of nanotube based electronics. One of the major challenges is doping carbon nanotubes to simultaneously offer a large shift in threshold voltage and an improved subthreshold swing. In this study, we report on modulating the performance of carbon nanotube field-effect transistors (CNTFETs) by rationally selecting doping molecules. We demonstrated that Rose Bengal sodium salt (RB-Na) molecular doping can effectively shift the threshold voltage of CNTFETs up to ~ 6 V, decrease the subthreshold swing down to 130 mV/dec, increase the effective field-effect mobility to 5 cm2V-1s-1. It is also shown that CNTFETs doped with Rose Bengal lactone (RBL) show a smaller variation in threshold voltage shift (~ 2V) and subthreshold swing than those doped by Rose Bengal sodium salt, which is attributed to the difference in their molecular structures. The observed right-shift of threshold voltage is attributed to the positive charge doping of the nanotubes conduction channel from Rose Bengal molecules. The resultant lowering of the subthreshold swing is due to the reduced Schottky barrier at the CNT/metal/molecule interface. This room temperature chemical doping approach provides an efficient, simple, and cost-effective method to fabricate highly reliable and high-performance nanotube transistors for future nanotube based electronics.
9:00 PM - AA15.85
Structural, Magnetic, and Transport Properties of Substitutionally Doped Graphene Nanoribbons.
Eduardo Cruz-Silva 1 2 , Zachary Barnett 3 , Bobby Sumpter 2 , Vincent Meunier 1 2
1 Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Department of Physics and Astronomy, University of Tennessee, Knoxville, Tennessee, United States
Show AbstractWe present a study of the electronic properties of narrow zigzag and armchair nanoribbons substitutionally doped with a single boron, nitrogen, or phosphorus atom. Using density-functional calculations, we analyze the formation energy, electronic band structure, magnetic, and quantum conductance properties of these nanoribbons with doping sites ranging from the edge to the center of the ribbon. Boron-doped zigzag nanoribbons exhibit spin-dependent donorlike states when the dopant is on the ribbon edge, and acceptor states as the dopant is moved toward the ribbon center. Nitrogen-doped zigzag nanoribbons show the opposite effect, while phosphorus-doped nanoribbons exhibit both donor-like and acceptor-like states. The band structure and local density of states indicate that dips in conductance occur from either the presence of a localized state or the opening of mini band gaps around a particular energy value. The variations in conductance arising from different doping profiles could be useful for tailoring the properties of graphene-based nanoelectronic devices.
9:00 PM - AA15.87
Compact, Microfabricated Electron Gun Based on CNT Field Emission.
Joan Hoffmann 1 , Stergios Papadakis 1 , Andrew Monica 1 , Robert Osiander 1
1 MERC, JHU/APL, Laurel, Maryland, United States
Show AbstractWe have demonstrated a carbon nanotube (CNT) field-emission electron gun that generates a beam current density over 1 A/cm2. In its current form, the electron gun produces a sheet beam approximately 6 mm wide and 5-10 μm thick for use in a resonator cavity. The beam shape and size can be adapted easily for other applications, including ionization sources for mass spectrometry or ion propulsion systems.The complete electron gun consists of the CNT emitter array grown on a silicon substrate and two microfabricated grids for extraction and acceleration of the electrons. The CNT emitter array is grown on a doped silicon die patterned with an iron catalyst layer. Multi-walled CNTs are grown by conventional CVD in a tube furnace using an acetylene seed gas and an inert argon carrier gas at a temperature of 730 °C. The extraction and acceleration grids are fabricated from silicon-on-insulator (SOI) wafers using photolithography and deep reactive ion etching (DRIE). The SOI device layer is 10 μm thick, and the handle wafer thickness is 500 μm thick. After fabrication, each die is sputter-coated with 17 nm of iridium. The extraction and acceleration grid die have the same geometry. The grids themselves are bars, perpendicular to the CNT emitter array that have a width of 10 μm and a period of 25 μm; each grid has a transparency of 60%. These components are assembled using a flip-chip aligner/bonder. The SOI pieces are assembled device-layer-down on the CNT array die, so that the extraction grid is 100 μm above the CNT emitter array, and the acceleration grid is about 600 μm above the extraction grid. In preliminary testing, the electron gun emitted 0.4 mA at approximately 800 V. The extraction voltage can be reduced significantly by reducing the gap between the CNTs and the extraction grid.
9:00 PM - AA15.88
Reversible Temperature Regulation of Electrical and Thermal Conductivity via Liquid-Solid Phase Transition.
Jianjian Wang 1 , Ruiting Zheng 2 , Jinwei Gao 3 , Gang Chen 1
1 , MIT, Cambridge, Massachusetts, United States, 2 , Beijing Normal University, Beijing China, 3 , South China Normal University, Guangzhou China
Show AbstractReversible temperature tuning of electrical and thermal conductivities of materials is of interest for many applications such as seasonal regulation of building temperature, thermal storage, sensors, etc. Although the electrical conductivity can be tuned via temperature using the metal-insulator transition, temperature regulation of thermal conductivity is much more difficult as thermal properties vary little through solid-state phase transitions. In this presentation, we introduce a general strategy to achieve significant reversible temperature control of both electrical and thermal conductivities via liquid-solid phase transition in suspensions formed by highly conductive nanoparticles dispersed in a crystal-forming liquid. The internal stress generated during the phase transition from a liquid suspension to a solid crystalline composite regulates the thermal and electrical contact resistances of a percolated nanoparticle network, leading to large contrasts in the electrical and/or thermal conductivities. Using a graphite-hexadecane suspension, a two orders-of-magnitude change in the electrical conductivity and a three-times change in the thermal conductivity around 18C are achieved. The generality of the approach is also demonstrated in other materials such as graphite/water and carbon nanotubes/hexadecane suspensions.
9:00 PM - AA15.9
Controlling the Electrical Behavior of Semiconducting Carbon Nanotubes via Tube Contact.
Ana Paula Barboza 1 , Sabrina Carara 1 , Ronaldo Batista 2 , Helio Chacham 1 , Bernardo Neves 1
1 Physics, UFMG, Belo Horizonte Brazil, 2 Physics, UFOP, Ouro Preto Brazil
Show AbstractThe electromechanical behavior of single-walled carbon nanotubes (SWNTs) in contact with different materials is investigated using scanning probe microscopy (SPM). For bare Si and metal covered SPM tips, semiconducting nanotubes present their regular behavior when in physical contact with the tip, followed by a semiconductor-metal transition at high tip compressions. In contrast, upon contact with a diamond-covered SPM tip, the same nanotubes present a metallic-type electric response. Metallic SWNTs, on the other side, are insensitive to the tip nature and always present their characteristic behavior. The anomalous diamond/nanotube behavior is consistent with ab initio calculations, which indicate the formation of a broken gap heterojunction between a semiconducting SWNT and a hydrogenated diamond surface, with free carriers of opposite signs on each side of the junction. This effect may open up a new strategy to control the electric response along a semiconducting nanotube via appropriate design of contacts.
9:00 PM - AA15.91
Electronic and Crystallographic Structures of Carbon Nanowalls.
Hiroki Kondo 1 , Hyung Jun Cho 1 , Takayuki Kanda 1 , Masaru Hori 1 , Mineo Hiramatsu 2
1 Department of Electrical Engineering and Computer Science, Nagoya University, Nagoya, Aichi, Japan, 2 Department of Electrical and Electronic Engineering, Meijo University, Nagoya, Aichi, Japan
Show AbstractCarbon nanowalls (CNWs), which are self-organized graphene nanostructures consisting of stacks of planar graphene sheets standing almost vertically on the substrate. These sheets form a self-supported network of wall structures with thicknesses ranging from a few nanometers to a few tens of nanometers and with high aspect ratios. Because of their unique structure and excellent electrical properties originating from the features of graphene sheets, CNWs are considered one of the most promising carbon materials for nanoscale electronic devices. In the case of the CNWs, stacks of graphene structures have large amount of structural fluctuations, such as edges, bending and branching structures. And, they also have incorporated impurity atoms, such as F. Such the structural fluctuations will be important factors to induce changes of electronic structures. In this study, electronic structures of CNWs were investigated based on their electrical properties and results of synchrotron X-ray experiments. The CNWs were grown on SiO2/Si substrates by plasma-enhanced chemical vapor deposition employing C2F6/H2 or CH4/H2 mixture gas plasmas. According to synchrotron X-ray photoelectron spectroscopy, several percentages of fluorine F atoms exist in the CNWs grown using C2F6/H2. Furthermore, temperature dependence of conductivity of those CNWs showed thermally-activating manner. Soft-X-ray emission spectra also indicate that the CNWs including F atoms have semiconducting behaviors and energy bandgap of about one hundreds of meV. On other hand, the CNWs grown using CH4/H2 also have semiconducting features in their temperature dependence of electrical conductivities. However, their energy bandgap is only several meV. These results mean that, although not only incorporated F atoms but also structural fluctuations can induce the semiconducting electronic structures of the CNWs, incorporated F atoms are more influential. These results will open the way to establish new engineering technique of electronic structure design of nanographene materials.
9:00 PM - AA15.92
Estimation of Contact Resistance of Open-End CNTs by AFM Current Mapping Using Conductive Tip.
Kazuyoshi Oohara 1 , Masafumi Inaba 1 , Takumi Ochiai 1 , Atsushi Hiraiwa 1 , Hiroshi Kawarada 1
1 , Waseda University, Tokyo Japan
Show AbstractCarbon nanotube (CNT) is one of promising materials for future LSI interconnects because of its unique properties such as high current density exceeding 109 A/cm2, high thermal conductivity and ballistic transport. For electronic devices application, estimation and decreasing contact resistance is necessary for interconnect. Generally, contact resistance of the open-end CNT and metal is thought to be lower than that of the side wall of CNT and metal. According to ab initio calculation of electronic structure by Gao et al [1], contact resistance of the open-end CNT and metal is reported to be 1.5×10-11Ωcm2, which is several times lower than that of the sidewall of CNT and metal. We have so far measured open-end CNT’s electric properties by Kelvin measurement in vertically aligned CNT mat [2, 3]. However the resistance fluctuates because of imperfect contact between CNT and upper electrode connection. In this study, we statistically estimated contact resistance of the open-end CNT using current mapping of atomic force microscope (AFM).
Open-end CNTs were formed from vertically grown CNT forest by chemical mechanical polishing (CMP). Firstly, bottom electrodes and catalyst layer were deposited by magnetron sputtering system. As the bottom electrodes, Ti 10nm and Ta 500nm were deposited, and as the Catalyst layer, bottom Al 5nm, Fe 0.5nm, top Al 1.0nm were deposited. Then CNTs were synthesized by remote plasma CVD. CH4 flowed 5 sccm, and H2 flowed 45 sccm, growth temperature was 650°C, input microwave power was 60W corresponding to 15W/cm3 in power density, growth pressure was 20 Torr. Then, CNTs were held by spin on glass and flattened with CMP to open-end CNT and align CNT length to 1~3µm. Contact resistance were estimated by current mapping of AFM.
It was clear that resistance was proportional to the CNT length. In the resistance line as a function of CNT length, contact resistance estimated from the intercept value of the extrapolated line. Contact resistance was estimated to be 13kΩ which corresponds to 3×10-9Ωcm2 in normalized contact resistance when contact area of CNT and AFM probe was estimated 20nm2. The measured contact resistance is higher than the theoretical value [1] about two orders, however this value is sufficiently low enough as a contact resistance of modern nano device wiring used in LSI interconnect.
This research was partially supported by advanced low carbon technology research and development program.
[1]F. Gao et al, Appl.Phys.Lett.96, 102108(2010)
[2] T.Iwasaki, H.Kawarada et al. Nano lett. 8, 886 (2008)
[3] D.Yokoyama, H.Kawarada et al, Appl.Phys.Lett.91, 263101(2007)
9:00 PM - AA15.93
Monte Carlo Simulations of High Carrier Velocity Acceleration in Graphene Filed Effect Transistors by Local Channel Width Modulation.
Wan Mohd Aizuddin Wan Mohamad 1 , Naoki Harada 2 , Yuji Awano 1
1 Electronics and Electrical Department, Keio University, Yokohama, Kanagawa, Japan, 2 Green Nanoelectronics Collaborative Research Center, AIST, Tsukuba Japan
Show AbstractPossessing far superior properties than conventional semiconductor materials, graphene is undeniably a very promising candidate to be used in fabricating future high speed & high frequency FETs. In order to improve high speed & high frequency performance, a high carrier velocity by high electric field in the channel must be very important, in particular, on the source side of the channel. To increase the velocity overshoot effect in this region, we proposed a FET structure with local channel width modulation (a Modulated Channel Width FET, MCW-FET) [1]. In this structure, the channel has notches on the source side under the gate to sharply increase the electric field. The electrons then accelerate more quickly than in the conventional structure. Accordingly, having a very thin 2D channel, it is rather easy to fabricate a Graphene FET with the MCW structure. Here, we simulate electrical properties of MCW-GFETs for the first time by using Monte Carlo particle method. The model has a structure of bi-layer graphene channel with a doping profile of n+-n(100nm)-n+ structure [2]. We consider two scattering mechanisms, which are isotropic elastic scattering and inelastic phonon emission. From the comparison between the simulated velocity profiles in both GFETs with and without channel width modulation, it has been found that the local mean velocity in the MCW-GFET (notch length= 50 nm, width ratio= 0.1) at the source side in the channel can be increased twice higher than that of the conventional GFET. It leads to a shorter transit time (reduces by 45%) of carrier in the channel of MCW-GFETs. These findings open a new dimension for the fabrication of graphene transistors with various designs to achieve a higher performance device.(Acknowledgment: The authors would like to thank Dr. N. Yokoyama, Leader Collaborative Research Team, GNC, AIST for continuous encouragements and Drs. K. Tsukagoshi of NIMS and S. Sato of GNC, AIST for helpful suggestions and discussions. This research is granted by JSPS through the FIRST Program initiated by CSTP.)References: [1] Y. Awano, M. Kosugi, S. Kuroda, T. Mimura, M. Abe, Proceedings of IEEE/Cornell (1989), [2] N. Harada, Y. Awano, S. Sato and N. Yokoyama, J. Appl. Phys. 109, 104509 (2011).
9:00 PM - AA15.94
Fully-Printed Carbon Nanotube Thin Film Transistor Circuits for Organic Light Emitting Diode.
Yue Fu 1 , Po-chiang Chen 1 , Chuan Wang 1 , Jialu Zhang 1 , Chongwu Zhou 1
1 Electrical Engineering, Univ. of Southern California, Los Angeles, California, United States
Show AbstractThe advantage of printed electronics and semiconducting single walled carbon nanotubes (SWCNTs) are combined for the first time for display electronics. Conductive silver ink and 98% semiconductive SWCNT solution are used to print thin film transistors (TFTs) with high mobility, high on/off ratio and high current carrying capacity. Combined with printed solid electrolyte (PEI with LiClO4) as the gating material, these top gated devices are excellent current switches for organic light emitting diode (OLED). OLED driving circuit composed of two top gated fully printed transistors is fabricated and the successful control over OLED is demonstrated. In addition, we demonstrated a CNT TFT inverter and undertook frequency study of single top gated fully printed transistors, which showed our transistor to be a viable technology for display backplane applications.
9:00 PM - AA15.95
Multi-Million Fully Atomistic Molecular Dynamics Simulations of Yarn Formation from Carbon Nanotube Forests.
Leonardo Machado 1 , Sergio Legoas 2 , Douglas Galvao 1
1 Applied Physics, State University of Campinas, Campinas, Sao Paulo, Brazil, 2 , Federal University of Roraima, Boa Vista Brazil
Show AbstractAn intense experimental effort is currently being made in order to improve the techniques for the assembly of new carbon nanotube-based materials. Among these we can mention the yarns [1] and sheets [2] fabricated from carbon nanotube forests. Despite the large amount of experimental results involving the formation of these structures, little is known about the detailed atomistic mechanisms that govern these processes. In this work we present preliminaryresults from multi-million fully atomistic molecular dynamics (MD) simulations for the yarn formation fromstructural models of carbon nanotube forests. The simulations were carried out using the well-knownNAMD code [3]. The considered models consisted of vertical arrays of bundles of single and multi-walled carbon nanotubes (CNTs) deposited on silicon oxide substrates, interconnected by other CNTs of shorter lengths and/orsmaller diameters. The models were built with structural information inferred from scanning electron microscopy data [1,2]. In the simulations, a constant force was applied to the upper part of the CNT forest and then we analyzedthe system time evolution. We observed the formation of yarns composed by nanotube bundles and connectors. Our results show that the connectors play a crucial role as a force mediator in the yarn formation. From the obtained results was possible to estimate the critical values of forces and forest morphology that allow the yarn formation. These results provide valuable information that can be used to optimize the experimental conditions leading to the realization of well-formed yarns and sheets from carbonnanotube forests.[1] K. Jiang, Q. Li and S. Fan, Nature v.419, 801 (2002).[2] M. Zhang, S. Fang, A. A. Zakhidov, S. B. Lee, A. E. Aliev, C. D. Williams, K. R. Atkinson, R. H.Baughman, Science v.309, 1215 (2005).[3] NAMD, http://www.ks.uiuc.edu/Research/namd/.
9:00 PM - AA15.96
Scalable Self-Aligned Fabrication of High-Speed Graphene Transistors with Improved Device Design.
Alexander Badmaev 1 , Zhen Li 1 , Chongwu Zhou 1
1 , Univ Southern California, Los Angeles, California, United States
Show AbstractExceptional electronic properties of graphene make it highly promising material for high frequency electronics. The design and practical realization of graphene transistors still remain to be a significant challenge, in order to achieve full potential of graphene. In this work, we present novel highly scalable practical methods for fabrication of self-aligned graphene transistors. We utilized large area graphene synthesized by chemical vapor deposition methods to obtain transferred graphene films on full Si wafers. Furthermore, we developed self-aligned fabrication approach by standard lithographical methods, in order to achieve wafer scale fabrication of graphene transistors with high yield. The fabricated transistors with the gate lengths in the range of 110 to 170 nm showed excellent performance with the peak current density of 1.3 mA/µm and the peak transconductance of 0.5 mS/µm. The self-aligned transistors design provided significant reduction of parasitic effects, due to reduced fringe gate capacitance, access resistance and gate charging resistance. The improved device design allowed the extrinsic high frequency performance of graphene transistor to approach the intrinsic level. We achieved self-aligned graphene transistors with extrinsic cut-off frequency of 20 GHz and maximum oscillation frequency of 10 GHz for 130 nm gate length devices. These results show important steps toward practical implementation of graphene radio frequency devices and circuits.
9:00 PM - AA15.97
Emergence of Atypical Properties in Assembled Graphene Nanoribbons.
Liangbo Liang 1 , Eduardo Cruz-Silva 1 , Eduardo Costa Girao 1 2 , Antonio Gomes Souza Filho 2 , Vincent Meunier 1
1 , Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Department de Fisica, Universidade Federal do Cear, Fortaleza, CE, Brazil
Show AbstractRecently, cyclohydrogenation of aromatic compounds mediated by a metallic substrate has been proposed as a method to synthesize not only straight graphitic nanoribbons (GNRs) but also graphitic nanowiggles (GNWs) with wiggle-like junctions where armchair- or zigzag-edged nanoribbon sectors can be joined, providing four possible types of geometries: armchair-armchair (AA), armchair-zigzag (AZ), zigzag-armchair (ZA) and zigzag-zigzag (ZZ). A combination of first-principles density functional theory and model Hamiltonians tight-binding+U calculations has been employed to discover the existence of multiple magnetic states. For instance, besides the conventional paramagnetic (PM), ferromagnetic (FM) and anti-ferromagnetic (AFM) spin configurations possessed by straight GNRs, AZ-GNW structures have two other types of spin distributions similar to ATM state. Systematic investigation also reveals that the electronic band gap is very sensitive to the geometry and can be fine tuned from 0 eV to 1.7 eV by varying geometrical parameters. These unusually rich electronic and magnetic properties presented by GNWs allow us to manipulate the band gap and spin configuration, thereby opening new avenues for nanoelectronics and optoelectronics applications.
9:00 PM - AA15.98
A Comparative Study of the Electronic Transport in Tubes and Sheets Made of a Square Lattice.
Vicenta Sanchez 1 , Chumin Wang 2
1 Departamento de Fisica, Facultad de Ciencias, UNAM, Mexico D.F. Mexico, 2 Instituto de Investigaciones en Materiales, UNAM, Mexico D.F. Mexico
Show AbstractBased on the Kubo-Greenwood formula, the electrical conductivity of a square-lattice nanosheet is studied, while the square-lattice nanotube was made by connecting one of the boundaries of the sheet. The calculations were performed by using a single-band tight-binding Hamiltonian and by combining the convolution theorem with the real-space renormalization method [1]. The dc conductance shows quantized spectra, where nanotubes have steps with a double height in comparison with those of the nanosheet. In addition, nanotubes and nanosheets made of quasiperiodically-arranged square lattices are analyzed, as well as their ac conductivity spectra. The obtained high-frequency or optical conductivity shows respectively a Drude and an oscillating behavior, when the electric field is along a periodic or quasiperiodic direction. Finally, the theoretical results are compared with experimental data.[1] V. Sanchez and C. Wang, Phys. Rev. B 70, 144207 (2004).
9:00 PM - AA15.99
Thermochemically Converted Graphene/Polyimide (PI) Nanocomposites.
Ok-Kyung Park 1 2 , Sung Ho Lee 1 , Han-Ik Joh 1 , Seok-In Na 1 , Jun Kyung Kim 1 , Joong Hee Lee 2 3 , Bon-Cheol Ku 1
1 Institute of Advanced Composite Materials, Korea Institute of Science and Technology, Wanju-gun, Jeollabuk-do , Korea (the Republic of), 2 Department of BIN fusion Technology, Chonbuk National University, Jeonju, Jeollabuk-do , Korea (the Republic of), 3 Polymer and Nanoengineering, Chonbuk National University, Jeonju, Jeollabuk-do , Korea (the Republic of)
Show AbstractThe use of graphene as a nano-filler in polymer matrices has recently attracted considerable interests. However, pristine graphene sheets are not compatible with the organic polymer matrices. Therefore, the surface functionalization of graphene oxide (GO) is essential for the production of homogeneously dispersed polymer/graphene composite. The presence of functional groups on GO makes graphite oxide hydrophilic and readily swells in water. However, GO is electrically insulating, which limits its applications for electrically conducting composites. Both the dispersibility and electrical conductivity is an extremely important factor for developing electrically conductive polymer composites. Hence, we report a novel method of in-situ synthesis of thermochemically converted graphene for highly conductive polymer composites. The surface functionalization of GO was carried out with diazonium reagents such as 4-Iodoaniline (I-Ph-GO). Introduced iodo group acts as a catalyst for enhancing graphitization and leads to perfect honeycomb lattice of carbon atoms during the thermal treatment process at low temperature (below 300°C). Moreover, the functionalized CNTs with iodo group were well dispersed in polyimide matrix and lead to a perfect honeycomb lattice of carbon atoms during the thermal treatment process The functionalized GO added polyimide (PI) composite was prepared during imidization of PAA/ functionalized GO (I-Ph-GO). Consequentially, the reduced I-Ph-GO/PI (5.1×10-3 S/cm)composite showed more than 10 times higher electrical conductivity compared to reduced GO/PI (3.8×10-4 S/cm) composite. Furthermore, the R-I-Ph-GO/PI composite led to a ten orders of magnitude higher electrical conductivity compared to pure PI (< 10-13 S/cm).
Symposium Organizers
Don Futaba AIST
Annick Loiseau Laboratoire d'Etude des Microstructures (LEM)
Yoke Khin Yap Michigan Technological University
Ming Zheng National Institute of Standards and Technology
AA20: Poster Session: Biological, Chemical, and Optical Properties
Session Chairs
Thursday PM, December 01, 2011
Exhibition Hall C (Hynes)
1:00 AM - AA20:Bio-Chem-Op
AA20.16 Tranferred to AA5.89
Show Abstract1:00 AM - AA20:Bio-Chem-Op
AA20.19 Tranferred to AA5.90
Show Abstract1:00 AM - AA20:Bio-Chem-Op
AA20.26 TRANSFERRED TO AA5.91
Show AbstractAA16: Mechanical Properties II
Session Chairs
Craig Friedrich
Yoke Khin Yap
Thursday PM, December 01, 2011
Ballroom B (Hynes)
9:00 AM - **AA16.1
Highly Thermo-Conductive Insulating Composite Materials by Boron Nitride Nanofillers.
Chunyi Zhi 1 , Dmitri Golberg 1 , Bando Yoshio 1
1 World Premier International Center for Materials Nanoarchitectonics , National Institute for Materials Science,, Tsukuba, Ibaraki, Japan
Show AbstractBoron nitride (BN) materials, which possess very similar structures with carbon materials, are attracting more and more attentions due to their constant and wide band gap independent of morphology, superb mechanical properties and marked chemical inertness. Moreover, as an insulating material with very high thermal conductivity, BN phases were always thought to be very attractive for highly thermo-conductive insulating composite materials. However, it should be noted that for the layered BN materials, high thermal conductivity can only be obtained inside the (002) planes (up to hundreds to thousands of W/mK), while in other lattice planes, the thermal conductivity is not that impressive (several W/mK). Therefore, one of the important issues while using BN materials as thermo-conductive fillers is to maximize the positive effect of their (002) lattice planes, while to minimize the contribution of other planes. Fortunately, the layered structures of BN materials enable them to form nanotubular and nanospherical morphologies. This maximizes the exposure of (002) lattice planes and minimizes the effects of others on the thermal conductivity. We developed a special chemical vapor deposition method and realized grams level synthesis of boron nitride nanotubes and boron nitride nanospheres, which make it possible to initiate composite materials studies of boron nitride nanofillers. Subsequently, boron nitride nanotube's polymeric composites were fabricated with a couple of polymers as matrixes and their thermal, electrical and mechanical properties were evaluated. More than 20-fold thermal conductivity improvement in boron nitride nanotube-containing polymers was obtained, and such composites keep good electrical insulation. The coefficient of thermal expansion (CTE) of boron nitride nanotube loaded polymers was dramatically reduced due to interactions between polymer chains and the nanotubes. The composites possess decent overall-performance, as revealed by mechanical property and electrical insulation tests. Moreover, for the first time, we adopted boron nitride nanotubes and boron nitride nanospheres for fabrication of a highly thermo-conductive fluid. PDDA was found to intensively interact with BN nanofillers and effectively stabilize their suspensions at relatively high concentrations. Remarkable improvements of thermal conductivity of water were achieved: with 6 vol. % fraction of boron nitride nanotubes and boron nitride nanospheres, thermal conductivity improvement was ~2.6-fold and 1.6-fold, respectively. These data indicate that BN nanofillers could be much more effective species than traditional CuO, MgO and Al2O3 nanoparticles previously used as fillers.
9:30 AM - AA16.2
Novel Radiation Shielding Structural Materials for Aerospace Avionics and Crew.
Godfrey Sauti 1 , Cheol Park 1 4 , Jin Ho Kang 1 , Amelia McMullen 2 , Sharon Lowther 3 , Michael Smith 3 , Sheila Thibeault 3 , Catharine Fay 3 , Robert Bryant 3
1 , Nat Inst of Aerospace, Hampton, Virginia, United States, 4 Mechanical and Aerospace Engineering, University of Virginia, Charlottesville, Virginia, United States, 2 Chemistry, Rochester Institute of Technology, Rochester, New York, United States, 3 Advanced Materials & Processing Branch, NASA Langley Research Center, Hampton, Virginia, United States
Show AbstractIonizing radiation affects not only the crew in aerospace vehicles but can also cause terminal damage to avionics equipment during flight. Any aircraft, spacecrafts, missiles, or satellite systems that must leave the protective shield of the earth’s atmosphere and magnetosphere or fly at high altitudes are exposed to varying amounts of radiation. Therefore, materials for a wide variety of aerospace vehicle systems should possess characteristics for radiation shielding, thermal protection, and mechanical durability to survive for extended time periods. Emerging boron nitride nanotube (BNNT) materials should be investigated for their radiation shielding effectiveness to identify those applications that are multifunctional with regard to both structural and radiation protection functions. NASA Langley Research Center (LaRC), in collaboration with the Jefferson National Laboratories (JLab) and the National Institute of Aerospace (NIA), has had a breakthrough in the synthesis of highly crystalline, high aspect ratio, white BNNTs. These BNNTs are composed entirely of light atoms (boron and nitrogen) and potentially have superior mechanical, thermal, and radiation shielding properties. Boron possesses one of the largest neutron capture cross sections of all the light elements in the periodic table, with nitrogen also having a larger neutron cross section than carbon. Utilizing LaRC’s Neutron Exposure Laboratory with a 1-Curie americium/beryllium source, preliminary data indicates that the BNNTs are effective for neutron shielding. BNNT polymer composites (5% (w/w)) exhibited more than five times greater shielding effectiveness than high hydrogen containing low density polyethylene (LDPE) at the same thickness. Here, we present how to develop multifunctional BNNT composite materials to provide all the characteristics to protect the vehicles, instruments, and crew against the severe flight environments.
9:45 AM - AA16.3
Entropic Spectral Broadening in Carbon-Based Mechanical Resonators.
Arthur Barnard 1 , Paul McEuen 2
1 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 2 LASSP, Cornell University, Ithaca, New York, United States
Show Abstract Carbon-based mechanical resonators have emerged as electrically detectable ultra-low mass nanomechanical resonators with potential applications in both RF electronics and mass detection. The possibility of fabricating defect-free carbon nanotube and graphene resonators suggest that they are immune to the dominant mechanical loss mechanisms observed in larger resonator structures, but, these carbon-based resonators have consistently exhibited unexpectedly low, temperature dependent quality factors, irrespective of purity. We modeled the mechanical behavior of nano-scale resonators at finite temperatures, and thus provide an understanding of this temperature dependent spectral broadening. Our simulations and subsequent theory reveal that the thermal occupation of mechanical modes drives non-linear interactions and thus leads to substantial fluctuations in the resonant frequencies. These results imply a fundamental upper bound on the measured quality factor at finite temperatures and explain the frequently observed Q~100 at room temperature.
10:00 AM - AA16.4
Parametric Amplification in Single-Walled Carbon Nanotube Nanoelectromechanical Resonators.
Chung-Chiang Wu 1 , Zhaohui Zhong 1
1 , University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States
Show AbstractWith the progress in lithography and material synthesis, nanoelectromechanical (NEM) resonators have been widely used in mass spectrometry and a mass sensitivity below a single Delton (1 Da= 1 AMU) has been predicted theoretically. Single-walled carbon nanotube (SWNT) due to its low mass density and high Young’s modulus is considered as a promising candidate to achieve this ultimate goal. However, the minimum detected mass reported by a SWNT resonator is at attogram level and still much higher than the theoretical values. This gap is attributed to the low quality factor (Q) of SWNT resonators (usually several dozens at room temperature), an inherent drawback suffered by NEM resonators due to the thermalelastic dissipation. To this end, we employ the technique of parametric amplification by modulating the spring constant of nanotube resonator at twice the resonance frequency, and achieve 10 times Q enhancement. The highest Q obtained at room temperature is around ~700, which is 3-4 times better than previous Q record reported for doubly-clamped SWNT resonators. Furthermore, efficient parametric amplification is found to only occur in the catenary vibration regime. Our results open up the possibility to employ light-weight and high-Q carbon nanotube resonators in single molecule and atomic mass sensing.
10:15 AM - AA16.5
Graphene Drumhead Resonators.
Isaac Storch 1 , Robert Barton 2 , Vivek Adiga 2 , B. Ilic 3 , Arend van der Zande 4 , William Whitney 1 , Jeevak Parpia 1 , Harold Craighead 2 , Paul McEuen 1 5
1 Department of Physics, Cornell University, Ithaca, New York, United States, 2 School of Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 3 Cornell NanoScale Science and Technology Facility, Cornell University, Ithaca, New York, United States, 4 Mechanical Engineering Department, Columbia University, New York, New York, United States, 5 Kavli Institute at Cornell for Nanoscale Science, Cornell University, Ithaca, New York, United States
Show AbstractDue to its high tensile strength and atomic thickness, graphene is an ideal material for making extremely sensitive and tunable mechanical resonators in the MHz-GHz regime. Potential applications include mass sensing, highly tunable oscillators, and radio frequency signal processing. To date, graphene resonators have been made in a variety of geometries using both exfoliated and chemical vapor deposition (CVD) graphene. The basic properties of these devices are consistent with a tensioned membrane model, where the graphene acts as an atom-thick drumhead[1,2,3]. However, the higher order mechanical modes typically do not line up with those predicted by the tensioned membrane model, and the observed quality factors are often quite low[3]. Here, we present results on large arrays of fully clamped, circular or square drumhead resonators made using CVD graphene that exhibit much improved properties. In one approach, CVD graphene was deposited over holes in a silicon nitride membrane. The resonator was then actuated and detected optically[4]. The quality factors are significantly higher than in previous experiments, and the mode shapes and scaling of the frequencies with mode number and membrane size are well-described by the tensioned membrane model[4]. We also developed fabrication approaches for fully clamped graphene resonators integrated on Si substrates, including locally-gated devices suitable for direct electrical measurements at RF frequencies[5]. We find excellent room-temperature performance, comparable to the aforementioned fully clamped graphene resonators on silicon nitride. We are currently studying the temperature dependence over a broad range to identify, understand, and control the dominant sources of frequency tuning and loss in these resonators. These experiments will both increase our understanding of the fundamental physics of atomically thin membranes and allow us to improve the performance of these novel electromechanical devices.[1] J. S. Bunch et al., Science, 315, 490 (2007)[2] C. Chen et al., Nature Nanotechnology, 4 , 861-867 (2009)[3] A. M. van der Zande et al., Nano Letters, 2010, 10 (12), pp. 4869-4873[4] R. A. Barton et al., Nano Letters 2011, 11 (3), pp. 1232-1236 [5] Y. Xu et al., Applied Physics Letters, 97, 243111 (2010)
10:30 AM - AA16.6
Hydration-Responsive Buckling and Unbuckling in Nematic Graphene Oxide Gels.
Fei Guo 1 , Franklin Kim 2 , Tae Hee Han 2 , Vivek Shenoy 1 , Jiaxing Huang 2 , Robert Hurt 1
1 , Brown University, Providence, Rhode Island, United States, 2 , Northwestern University, Evanston, Illinois, United States
Show AbstractGraphene oxide is promising as a plate-like giant molecular building block for the assembly of new materials. In particular, its water dispersibility, liquid crystallinity, and ease of reduction to carbon offer advantages over other carbon precursors if its fundamental assembly rules can be identified. Here we show that graphene oxide (GO) can be systematically ordered using nematic liquid crystal surface anchoring, flow, and micro-confinement. GO exhibits homeotropic surface anchoring at the air-water and substrate-water interfaces, and exhibits flow alignment in shear and biaxial extension. GO sheets with 1-2 um lateral dimension form nematic liquid crystal phases at concentrations above about 0.4 wt-% above 1 wt-% exist as ordered gels. Surprisingly, we found that some of the nematic gel phases dry into graphene oxide solids that undergo a dramatic anisotropic swelling upon rehydration to recover their initial size and shape. This behavior is shown to be a unique hydration-responsive folding and unfolding transition. During drying, surface tension forces acting parallel to the percolating layer planes cause a buckling instability that stores elastic energy in accordion-fold structures in the dry solid. Subsequent water infiltration reduces interlayer frictional forces and triggers relaxation of the folds, release of stored elastic energy, and dramatic extension of the gel. This behavior can be explained by application of the Euler buckling theory to single-atom-thick rectangular “beams” of hydrated GO, using elastic energies calculated for layer curvatures extracted from SEM images. The ability to fold and unfold sets GO apart from other molecular building blocks, and opens the potential to fabricate a new class of GO-based smart, stimuli-response materials.
10:45 AM - AA16.7
Building Organized and Suspended Single-Walled Carbon Nanotube Microstructures on Patterned Flexible Polymer Substrates.
Bo Li 1 , Hyun Young Jung 1 , Young Lae Kim 2 , Yung Joon Jung 1
1 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States, 2 Electrical and Computer Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractOver the past decade, artificially engineered carbon nanotube architectures, designed for a range of micro- and macroscopic functional devices, have made remarkable progress. However, despite these progresses the fabrication of scalable and tightly controlled micro-scale flexible systems that harness three dimensional architectures of organized single-walled carbon nanotubes (SWCNTs), particularly suspended microstructures of SWCNTs, has remained largely elusive. Such methodologies would allow highly sensitive and actuating active elements to be constructed on a flexible matrix, enabling highly functional SWCNT flexible devices. Here we will present unique organized and suspended SWCNT micro architectures built on three dimensionally patterned polymer substrates by combining unprecedented control over fluidic assembly and wet contact printing transfer processes of SWCNTs. Centimeters long arrays of highly organized SWCNT microlines with various dimensions have been suspended on the surface of patterned PDMS microstructures in a very fast (less than one minute) and controlled manner. We will also present the fundamental SWCNT transfer mechanism involving the Cassie-Baxter wetting process of hydrophobic micropatterned surface enabling suspension of diverse SWCNT microstructures. Finally, an ultrasensitive chemical sensor and micro actuator fabricated with these unique organized and suspended SWCNT structures on flexible polymer substrates will be demonstrated.
AA17: Energy and Electrochemistry II
Session Chairs
Jonathan Coleman
Ming Zheng
Thursday PM, December 01, 2011
Ballroom B (Hynes)
11:30 AM - **AA17.1
New Concepts in Molecular and Energy Transport within Carbon Nanotubes: Thermopower Waves and Stochastically Resonnant Ion Channels.
Michael Strano 1 , Wonjoon Choi 1
1 Chem. Engr., Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractOur laboratory has been interested in how carbon nanotubes can be utilized to illustrate new concepts in molecular and energy transfer. In the first example, we predict and demonstrate the concept of thermopower waves for energy generation(1). Coupling an exothermic chemical reaction with a thermally conductive CNT creates a self-propagating reactive wave driven along its length. We realize such waves in MWNT and show that they produce concomitant electrical pulses of high specific power >7 kW/kg. Such waves of high power density may find uses as unique energy sources. In the second system, we fabricate and study SWNT ion channels for the first time(2) and show that the longest, highest aspect ratio, and smallest diameter synthetic nanopore examined to date, a 500 μm SWNT, demonstrates oscillations in electro-osmotic current at specific ranges of electric field, that are the signatures of coherence resonance, yielding self-generated rhythmic and frequency locked transport. The observed oscillations in the current occur due to a coupling between stochastic pore blocking and a diffusion limitation that develops at the pore mouth during proton transport.
12:00 PM - AA17.2
The Graphene Ring Nanoelectrode (GRiN): First Use of Graphene for Nanoelectrochemistry.
James Dickinson 1 , Colin Boxall 1 , Fabrice Andrieux 1
1 Engineering, Lancaster University, Lancaster United Kingdom
Show AbstractWe report on the fabrication and characterisation of the first Graphene Ring Nanoelectrodes (GRiNs) for electrochemical applications. Nanoelectrodes exhibit substantially different electrochemistries compared to macroscopic or even microelectrodes, the nm dimensions resulting in enhanced rates of reactant mass transport to, and electron transfer across, the electrode/electrolyte interface. Study of these phenomena provides molecular level insight into nanoelectrochemistry application areas such as nanomaterial fabrication, energy generation and storage systems and novel nanosensors. 2D materials offer the possibility of a new paradigm in nanoelectrode design, material thickness being exploited to produce electrodes with characteristic dimensions on the nm/sub-nm scale. Graphene is the most attractive material in this context, because of both its ease of fabrication and the high electrochemical activities of carbon based materials. Graphene was prepared from graphite oxide (GO) exfoliated using a modified Hummers’ method. GO was dip coated from suspension onto fibre optics, reduced by a two step hydrazine thermal process and confirmed as graphene by use of Raman spectroscopy. The behaviour of the so-formed GRiNs was studied using ferricyanide and ruthenium hexamine as probe redox systems, electrode thicknesses being assessed using established electrochemical methods in conjunction with LFM and UFM measurements. Ring thicknesses of 6-70 nm were obtained corresponding to ring inner to outer radius ratios in excess of 0.999, so allowing use of asymptotic analytical solutions derived for very thin ring microelectrodes in data analysis. The GRiNs are highly reliable (response invariant over >300 scans), the nanoring design allowing for efficient utilisation of electrochemically active edge sites and the associated nanoamp scale currents neatly obviating issues relating to the high resistivity of undoped graphene. As the GRiNs are built around a fibre optic that allows for the delivery of light to the region of measurement, they are capable of interrogating the electrochemistry of systems with complex photochemistries with all the advantages associated with nanoelectrodes (access to hitherto inaccessible media, low analyte consumption, detection of short-lived species). Photocurrents generated allow for simultaneous spectro and electrochemically derived selectivity and sensitivity for the target analyte. Preliminary results obtained for the Ru(bipy)32+/Fe3+ photoelectrochemical test system are presented.We further report the first use of graphene nanoelectrodes to measure electron transfer rate constants for the Fe(CN)64/3- and Ru(NH3)63/2+ couples, finding that these are an order of magnitude larger than those obtained using macroelectrodes. The GRiNs themselves exhibit specific capacitances >2 orders of magnitude greater than those reported for equivalent macro / microelectrodes, a result that has profound implications for supercapacitor design.
12:15 PM - AA17.4
Graphene Hybrid Nanomaterials for Electrochemical Energy Storage and Conversion: Rechargeable Lithium Batteries, Supercapacitors and Fuel Cells.
Hailiang Wang 1 , Yongye Liang 1 , Yanguang Li 1 , Hongjie Dai 1 , Yuan Yang 2 , Yi Cui 2
1 Chemistry, Stanford University, Stanford, California, United States, 2 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractWe utilize chemically derived graphene sheets as growth substrates for electrochemical functional materials such as transition metal oxides, hydroxides, chalcogenides, lithium transition metal phosphates, and sulfur. The resulted graphene hybrid nanomaterials show superior performance as electrode materials for rechargeable lithium batteries and supercapacitors and cathode catalysts for fuel cells and lithium air batteries, owing to intimate interactions between graphene and the active nanomaterials grown on them, which renders the nanomaterials electrically conducting, stabilize the nanomaterials during cycling, and generate synergistic effects in electrocatalysis. The graphene sheets also behave as a soft template in synthesis, leading to desired morphology and size of the nanocrystals grown on them.
12:30 PM - AA17.5
Platinum-Free and Corrosion-Resistant Graphene Oxide/Metal Counter Electrodes for Highly Efficient Dye-Sensitized Solar Cells.
Hyeon Suk Shin 1 , Dong Wook Lee 1 , Yimhyun Jo 1 , Jung-Woo Kim 1 , Jeongmin Lim 1 , Noejung Park 1 , Yongseok Jun 1 , Manish Chhowalla 2
1 , Ulsan National Institute of Science and Technology, Ulsan Korea (the Republic of), 2 , Rutgers University, Piscataway, New Jersey, United States
Show AbstractDye-sensitized solar cells (DSSCs) are highly efficient and potentially inexpensive alternatives to inorganic solar cells. However, the use of expensive platinum as the counter electrode and ruthenium dye as the absorption layer increases the overall cost of DSSCs, limiting their potential for widespread use. Platinum is used in DSSCs because the counter electrode in DSSCs is in direct contact with the highly corrosive in iodide/tri-iodide electrolyte. Other inexpensive and abundant metals are readily corroded by the electrolyte. Thus, replacement of the Pt counter electrode with an inexpensive but electro-chemically stable alternative is one key technological challenge that must be overcome to make DSSCs more economical. Here, we report DSSCs with graphene oxide (GO)/non-Pt metal nanoparticle hybrid film transparent counter electrodes that exhibit state-of-the-art efficiency and electrochemical stability, in complete absence of the Pt catalyst. DSSCs from hybrid GO/Au nanoparticle counter electrodes yielded cell efficiencies of 9.0 % as compared to around 8.4 % with Pt electrodes. Using the GO/Au nanoparticle system as a model to understand the fundamental mechanisms, we expanded the study to demonstrate DSSCs with hybrid films of GO and nanoparticles of relatively inexpensive metals, such as Cu and Ni, which showed efficiency values comparable to our reference Pt devices (~ 8.4 – 8.7 %). Intriguingly, GO/metal nanoparticle counter electrodes are electrochemically stable, allowing cells to operate for months without degradation. In absence of GO, the metal films degrade almost immediately. Thus, anchoring of nanoparticles on GO allows the use of metals such as Au, Cu, and Ni that previously could not be incorporated in DSSCs with iodide/tri-iodide electrolyte due to corrosion. A model based on first principles calculations for the enhanced stability of Au nanoparticles on GO due to defect passivation and the presence of carboxyl and hydroxyl functional groups is presented.
AA18: Biological and Chemical Properties I
Session Chairs
Michael Strano
Ming Zheng
Thursday PM, December 01, 2011
Ballroom B (Hynes)
2:30 PM - **AA18.1
Liquid Phase Exfoliation of Inorganic Layered Compounds.
Jonathan Coleman 1
1 School of Physics, Trinity College Dublin, Dublin Ireland
Show AbstractLayered materials represent a diverse and largely untapped source of 2-dimensional systems with exotic electronic properties and high specific surface areas that are crucially important for applications including sensing, catalysis and energy storage. While graphene is the most well-known layered material, transition metal dichalcogenides (TMDs), transition metal oxides (TMOs) and other 2-dimensional (2D) compounds such as BN, FeTe, Bi2Te3 and Bi2Se3 are also important. If they could be easily exfoliated in large quantities, such layered materials would become an important source of 2-dimensional crystals. Here we show that layered compounds such as MoS2, WS2, MoSe2, MoTe2, TaSe2, NbSe2, NiTe2, BN, MnO2 and Bi2Te3, can be efficiently dispersed and exfoliated in both in common solvents and in aqueous surfactant solutions. Electron microscopy shows these materials may be exfoliated down to individual layers. These dispersions can be deposited as individual flakes or formed into films. By blending with suspensions of other nano-materials or polymer solutions, we can prepare hybrid dispersions or composites which can be cast into films. Such materials demonstrate huge potential for a range of applications. For example, we show that WS2 and MoS2 effectively reinforce polymers, WS2/carbon nanotube hybrid films display promising thermoelectric properties while NbSe2 films are viable candidates for transparent conductor applications.
3:00 PM - **AA18.2
Binding Affinity and Structural Motifs of CNT-Recognition and Related DNA Sequences.
Anand Jagota 1 , Daniel Roxbury 1 , Zheng Ming 2 , Xiaomin Tu 2 , Jeetain Mittal 1
1 , Lehigh University, Bethlehem United States, 2 , NIST, Gaithersburg, Maryland, United States
Show AbstractThe ability to sort mixtures of carbon nanotubes (CNTs) based on chirality has recently been demonstrated using special short DNA sequences that recognize certain matching CNTs. We will describe a study of the relationship between recognition sequences and the strength of their binding to the recognized CNT. We have chosen the (6,5) CNT and its corresponding DNA recognition sequences for investigation in this study. Binding strength is quantified by studying the kinetics of DNA replacement by a surfactant, which is monitored by following shifts in the absorption spectrum. We find that recognition ability correlates strongly with binding strength so measured; addition or subtraction of just one base from the recognition sequence can enhance the kinetics of DNA displacement some twenty-fold. The surfactant displaces DNA in two-steps, a rapid first stage lasting less than a few seconds, followed by progressive removal lasting tens of minutes. Kinetics of the second stage are analyzed to extract activation energies. Fluorescence studies support the finding that the DNA sequence that recognizes the (6,5)-CNT forms a more stable hybrid than its close relatives. Using replica exchange molecular dynamics (REMD), we explore equilibrium structures formed by strands of 12-mer oligonucleotides, of varying sequence, simulated on a (6,5)-SWCNT. We find a number of conformations in which the DNA strand wraps around the SWCNT and ‘stitches’ to itself. Variability among equilibrium populations of DNA self-stitched structures was observed and shown to be directly influenced by DNA sequence and composition. Propensity to form random versus stitched configurations seems to be governed by competition between conformational entropy and hydrogen bonding between bases.
3:30 PM - AA18.3
DNA Origami Self-Assembly onto the Patterned Graphene-Based Materials.
Je Moon Yun 1 , Kyoung Nan Kim 2 , Marya Lieberman 2 , Sang Ouk Kim 1
1 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of), 2 Chemistry and Biochemistry, Univ. of Notre Dame, Notre Dame, Indiana, United States
Show AbstractWe report graphene-based materials as a promising substrate material for the spatial patterning of DNA origami. Owing to facile solution processing, photopatternibility, and ready chemical reduction and doping of graphene oxide, various patterned chemically modified graphene films are prepared and employed for selective DNA origami adsorption. At graphene oxide and nitrogen doped graphene oxide surfaces, DNA origami demonstrated highly selective adsorption mediated by cation chelating and direct electrostatic attraction. Our approach integrating top-down patterning of chemically modified graphene with bottom-up assembly of DNA origami holds great promise for sub-10-nm precision nanofabrication including nonplanar, flexible geometry nanopatterning.
3:45 PM - AA18.4
A Photoluminescent Single-Walled Carbon Nanotube "Chaperone Sensor” for Explosives and Pesticides.
Daniel Heller 1 2 , George Pratt 1 2 , Jingqing Zhang 2 , Nitish Nair 2 , Adam Hansborough 2 , Ardemis Boghossian 2 , Nigel Reuel 2 , Paul Barone 2 , Michael Strano 2
1 Koch Institute of Integrative Cancer Research, Massachusetts Institiute of Technology, Cambridge, Massachusetts, United States, 2 Department of Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractPhotoluminescent single walled carbon nanotubes (SWNT) transduce specific changes in peptide secondary structure, resulting in single-molecule detection of nitroaromatic compounds such as the explosive RDX and pesticides TFM and 2,4-dinitrophenol. Peptide-nanotube complexes report modulation of peptide conformation upon analyte binding via changes in SWNT photoluminescence wavelength. The fluorescence modulation is differentiable between analytes, resulting in compound identification via specific SWNT spectral fingerprint. A novel split-channel microscope constructed to image quantized spectral wavelength shifts in real-time, in response to nitroaromatic adsorption, results in single-molecule stochastic imaging of solvatochromic events. The indirect detection mechanism demonstrates that functionalization of the carbon nanotube surface can result in unique sites for molecular recognition, resolvable at the single molecule level.
AA19: Biological and Chemical Properties II
Session Chairs
Naotoshi Nakashima
Ming Zheng
Thursday PM, December 01, 2011
Ballroom B (Hynes)
4:30 PM - **AA19.1
Carbon Nanotube and Graphene: From Fluid Phases to Multifunctional Materials.
Matteo Pasquali 1 2 3
1 Chemical & Biomolecular Engineering, Rice University, Houston, Texas, United States, 2 Chemistry, Rice University, Houston, Texas, United States, 3 Smalley Institute for Nanoscale Science & Technology, Rice University, Houston, Texas, United States
Show AbstractNanoscale carbon—including Single-Walled Carbon Nanotubes (SWNTs), Carbon Nanotubes (CNTs) as well as graphene, i.e., graphite in its single layered form—has remarkable electrical, thermal, and mechanical properties, more so than previously known polymer molecules or colloidal particles. Realizing these properties in applications requires understanding and controlling the behavior of fluid phases of nano-carbon. Biological and environmental applications are likely to require dilute phases of nano-carbon; material processing, e.g., production of coatings and fibers, will require more concentrated phases. Yet, “nano-carbon fluid” is almost an oxymoron because dispersing or dissolving SWNTs and graphene into fluid phases is exceedingly difficult.In this lecture, I will discuss how SWNTs as well as graphene can and should be viewed as hybrids between polymer molecules and colloidal particles. Even at low concentrations (few parts per million), SWNTs form complex fluid phases with intriguing properties. In crowded environments (e.g., gels), SWNTs reptate like stiff polymers; surprisingly, the small bending flexibility of SWNTs strongly enhances their motion: The rotational diffusion constant is proportional to the filament-bending compliance and is independent of the network pore size. In superacids, SWNTs, CNTs, as well as graphene dissolve spontaneously, provided that their structure has low defect density. At low concentration, these fluids can be used for making transparent, conducting films and coatings. At sufficiently high concentrations, SCNTs and graphene both form liquid crystals that can be spun into well-aligned, macroscopic fibers that display low density and high electrical conductivity, tensile strength, and elastic modulus. The spinning behavior of CNTs reveals some surprises with respect to established knowledge on the spinning of rigid rods (such as in PPTA and PBO) and opens a truly scalable way to manufacture macroscopic CNT fibers with unique multifunctional features.
5:00 PM - **AA19.2
Evolution of DNA Sequences towards Recognition of Metallic Armchair Carbon Nanotube.
Xiaomin Tu 1 , Angela Hight Walker 2 , Constantine Khripin 1 , Ming Zheng 1
1 Polymers Division, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 2 Optical Technology Division, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractPurification of single chirality semiconducting carbon nanotubes from synthetic mixtures can be achieved by a number of approaches reported in the literature. However, there have been few reports on the purification of single-chirality metallic species. Here, we report the purification of two armchair nanotubes enabled by newly identified specific DNA sequences. The new sequences are derived by single-point scanning mutation and sequence motif variation from previous identified ones for semiconducting tubes. Optical absorption spectroscopy of the purified armchair tubes reveals well-resolved first and second order electronic transitions, accompanied by other interesting optical features neither predicted nor observed before. Resonance Raman spectroscopy shows that the in-plane C-C stretching mode (G band) has a Lorentzian instead of the common assumed asymmetric lineshape. Our work demonstrates the exquisite sensitivity of DNA to nanotube metallicity, and makes the long-anticipated pure armchair tubes available as seeds for mass amplification.
5:30 PM - AA19.3
Hierarchical Reorganization of Single-Wall Carbon Nanotubes after Dispersion Due to Exfoliation and Length Reduction.
Yiying Zhang 1 , Marilyn Minus 1
1 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractAchieving homogeneous dispersion of single-wall carbon nanotubes (SWNT) is exceedingly important for fabrication of composite fibers with excellent tensile strength and modulus properties. Dispersion processes often result in physical breakdown of the tube structure diminishing its mechanical integrity. Therefore preservation of the nanotube structure is of critical importance to producing high-performance composite fibers. Experiments using different dispersing methods (i.e. sonication, homogenization, and mechanical shearing) are carried out in various solvents and polymer solutions. Characterization of the nature of SWNT length breakdown during dispersion is conducted using microscopy and spectroscopy techniques. The effects of polymer solution concentration, dispersing method, and time are also investigated towards optimizing SWNT length retention during dispersion. Observations indicate that in sonicated dispersions left unperturbed, reaggregation of the nanotubes increase as a function of processing time. It was also observed that dispersions containing shorter tubes displayed both faster reaggregation time, and a higher degree of aggregation. SWNT bundle formation is due to the attraction of the tubes by van der Waals forces and their ability to pack in a crystalline trigonal (2D hexagonal) arrangement. The crystalline nature of these tube bundles moving from a pseudo-hexagonal (low order) to a trigonal (high order) crystalline symmetry becomes more pronounced with tube uniformity in terms of diameter and reduced curvature by reduction in length. During sonication, in addition to tube exfoliation the length is also reduced. This mechanism facilitates the reaggregation of the tubes into more ordered bundles at faster rates. X-ray analysis of these phenomena is also presented in this work. Moreover, the overall average nanotube length decreases with sonication time and reaches a plateau (~1.5 µm) after a certain time. It is assumed that at a fixed sonication power, the shearing forces generated around nanotubes diminishes with the decrease of CNT length and eventually reaches a critical value, where tube breakage no longer occurs. Additionally, the nanotube population falls into two groups: (i) long tubes (> 1 µm) and (ii) short tubes (< 1 µm). However, the longer tubes continue to break at a slower rate with prolonged sonication, while the shorter tubes maintain a constant average length despite processing time. The group of shorter tubes also consists of many small CNT fragments (<< 300 nm). This population of fragmented SWNT pieces may behave as defects in the composite fibers since their alignment is not easily controllable. Furthermore, length-diameter scatter plots for the SWNT bundles exhibit a linear relationship.
5:45 PM - AA19.4
A Scalable Method for the Reductive Dissolution, Purification, and Separation of Single-Walled Carbon Nanotubes and Related Materials.
Milo Shaffer 1 , Sian Fogden 1 , Chris Howard 2 , Emily Milner 2 , Richard Heenan 3 , Neal Skipper 2
1 Department of Chemistry, Imperial College London, London United Kingdom, 2 LCN, University College London, London United Kingdom, 3 ISIS, STFC Rutherford Appleton Laboratory, Didcot United Kingdom
Show AbstractAs synthesized, bulk single-walled carbon nanotube (SWNT) samples are typically highly agglomerated and heterogeneous. However, their most promising applications require the isolation of individualized, purified nanotubes, often with specific optoelectronic characteristics. A wide range of dispersion and separation techniques have been developed, but the use of sonication or ultracentrifugation imposes severe limits on scalability, and may introduce damage. Here, we demonstrate a new, intrinsically-scalable method for SWNT dispersion and separation, using reductive treatment in sodium metal-ammonia solutions, optionally followed by selective dissolution in a polar aprotic organic solvent. In situ small angle neutron scattering demonstrates the presence of dissolved, unbundled SWNTs in solution, at concentrations reaching at least 2 mg/ml; the ability to isolate individual nanotubes is confirmed by atomic force microscopy. Spectroscopy data suggest that the soluble fraction contains predominately metallic nanotubes; a potential new mechanism for nanotube separation is proposed. In addition, the G/D ratios observed during the dissolution sequence, as a function of metal:carbon ratio, demonstrate a new purification method for removing carbonaceous impurities from pristine SWNTs, which avoids traditional, damaging, competitive oxidation reactions. Recent extensions of this reductive approach to related materials will be discussed.
AA20: Poster Session: Biological, Chemical, and Optical Properties
Session Chairs
Friday AM, December 02, 2011
Exhibition Hall C (Hynes)
9:00 PM - AA20.1
Optical Characteristics of Novel Two-Dimensional Carbon Materials: A Possibility of Ultra-Transparent Materials.
Yushi Yokomizo 1 , Jun Nakamura 1
1 Department of Engineering Sciences, The University of Electro-Communications(UEC Tokyo), Tokyo Japan
Show Abstract Novel two-dimensional (2D) carbon materials are known to exhibit various anomalous properties. For example, graphene oxide (GO) becomes transparent and mechanically-flexible electronic materials. Therefore, GO is the most promising candidate for rare-metal-free transparent electrodes. It has been known that GOs have graphene-like structures to which various functional groups such as H and OH are added, while no well-ordered, crystalline structure has been obtained so far. In this study, we adopt graphane [1] and graphanol [2] as motifs in GO and evaluate their optical properties. In the former, π orbitals of graphene are fully hydrogenated, just regarded as a 2D poly methane, and in the latter, hydrogen atoms on one side of graphane are replaced by the hydroxyl groups, say, a 2D polymethanol. In both models, all the functional groups terminate π orbitals perfectly. We have evaluated the electronic states and optical properties of graphane and graphanol, using first-principles calculations in the framework of the density functional theory. On the basis of the perturbation theory, the optical transition matrix (OTM) and the dielectric function have been calculated. It has been shown that both graphane and graphanol are ultra transparent even in a deep UV region, while their direct gaps (3.5eV and 2.4eV, respectively) are smaller than thresholds of the imaginary part of the dielectric functions. Such transparency in a wide energy range is attributed to their electronic states near the valence band (VB) and conduction band (CB) edges: e.g., OTM between VB and CB edges at Γ is negligibly small. Hence, GOs are expected to be ultra transparent materials for wide range of wavelength up to UV, if functional groups can be perfectly attached like graphane or graphanol.
[1] J. Sofo, A. Chaudhari, and G. Barber, Phys.Rev.B 75,153401 (2007).
[2] J. Nakamura, N. Arimura, M. Hirayama, and A. Natori, Appl.Phys.Lett. 94, 223107 (2009) .
9:00 PM - AA20.10
Graphene as Transparent Conducting Electrodes in Organic Photovoltaic: Studies in Graphene Morphology, Hole Transporting Layers, and Counter Electrodes.
Hyesung Park 1 , Patrick Brown 2 , Vladimir Bulovic 1 , Jing Kong 1
1 Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Department of Physics, Massachusetts Institute of Technology, Cmabridge, Massachusetts, United States
Show AbstractIn this work, organic photovoltaics (OPV) with graphene electrodes are constructed where the effect of graphene morphology, hole transporting layers (HTL), and counter electrodes are presented. Surface immiscibility between graphene and the conventional poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) PEDOT:PSS HTL is discussed and an alternative transition metal oxide HTL (molybdenum oxide (MoO3))-based device is demonstrated. Graphene films considered here are synthesized via low-pressure chemical vapor deposition (LPCVD) using a copper catalyst and experimental issues concerning the transfer of synthesized graphene onto the substrates of OPV are discussed. The morphology of the graphene electrode is shown to play a crucial role in the successful integration of graphene films into the OPV devices. A short exposure of the rough graphene/MoO3 surface to oxygen plasma was found to improve the device performance up to 2-fold by smoothening the surface, which emphasizes the significance of graphene surface morphology. The effect of various cathodes on the device performance is also discussed. Aformentioned factors, i.e. suitable HTL, graphene surface morphology and residues, and the choice of well matching counter electrodes, will provide better understanding in utilizing graphene films as transparent conducting electrodes beyond solar cell application.
9:00 PM - AA20.11
Water-Solutilization of Single-Walled Carbon Nanotubes by Alkyl (C10-12) Polyglycoside Surfactant.
Shan Zheng 1 , Lian Gao 1 , Wenqing Zhang 1
1 State Key Laboratory of High Performance and Superfine Microstructure, Shanghai Institute of Ceramics, CAS, Shanghai, Shanghai, China
Show AbstractSingle-walled carbon nanotubes (SWNTs) are usually form bundles or ropes due to the strong van der Waals interaction between the nanotubes. The absence of side functional groups and other functionalities that can interact with the surrounding solvent sufficiently, results in the material with poor solubility. In this paper, SWNTs have been dispersed in aqueous solution using a novel biocompatible dispersant alkyl (C10-12) polyglycoside [APG (C10-12)], and the dispersion can exist stably for more than six months. The stability is dependent upon APG (C10-12) concentration and stable dispersions can be obtained when the concentration of APG (C10-12) surfactant is higher than 0.5 mg/ml. Ultraviolet-visible-near infrared (UV-vis-NIR) spectra and Raman spectra analysis clearly show that APG (C10-12) molecules functionalize the SWNT noncovalently, and thus the electronic structure of the SWNT has been kept intact. APG-mediated solubilization of nanotubes in water will take an important role in biomedical applications.
9:00 PM - AA20.12
Selective Separation of Single-Walled Carbon Nanotubes by Gel Chromatography.
Li Hongbo 1 , Li Qingwen 1
1 Chinese Academy of Science, Suzhou Institute of Nanotech and Nanobionics, Suzhou China
Show Abstract Single-walled carbon nanotubes (SWCNTs) exhibit excellent electrical, chemical and mechanical properties promising for a variety of applications including field-effect transistors, quantum wires, nanoscale sensors, conductive films and composite materials. The coexistence of metallic and semiconducting SWCNTs in as-grown samples remains an obstacle in the application of SWCNTs, especially in the high-performance nano-electronic devices. Thus, establishing a simple, efficient and economical method for SWCNT sorting based on post-synthetic strategy is of great significance. Gel column chromatography has been widely employed in the separation and purification of chemical or biological mixtures. Recent progress reveals that gel chromatography is also available for SWCNT separation if the gel shows specific interaction to dispersed SWCNTs. Herein, we demonstrate a simple elution-modulated strategy for the multilevel and high-purity separation of SWCNTs by sephacryl gel chromatography. By a two-step elution with single surfactant solutions at different concentrations, SWCNTs can be successively sorted into metallic and semi-conducting fractions with high purity and diameter distribution preference. Thorough studies on such separation process indicate that the composition and pore size of the gel may greatly affect its interaction with SWCNTs and therefore the separation selectivity and efficiency. Moreover, by modulating the elution process, with co-surfactant gradient elution, semiconducting SWCNTs can be further fractionized with single or few chiralities. Our results indicate that the structure-sensitive interaction of SWCNTs with the gel can be finely and selectively modulated by elution process. Compared with other reported methods, this separation process is simple, economical and easy to be scaled up.
9:00 PM - AA20.13
Large-Scale Graphene Field-Effect Device Arrays as Versatile Biosensors.
Bei Wang 1 , Kristi Liddell 2 , Brandon Koger 1 , Stacey Dean 2 , Christine Keating 2 , Jun Zhu 1
1 Physics, Penn State University, University Park, Pennsylvania, United States, 2 Chemistry, Penn State University, University Park, Pennsylvania, United States
Show AbstractNanoelectronics-based detection schemes offer promising sensitive and label-free alternatives to bioanalysis. The facile synthesis, easy assembly, high mobility and ambipolar behavior give graphene field effect devices potential advantage in the electrical detection of biomolecular targets compared to other nano-scale materials. Here we use the field effect characteristics of graphene transistors to detect specific binding of biomolecules. Large-scale single-layer graphene field-effect device (SLGFED) arrays are fabricated using high-quality graphene sheets grown by chemical vapor deposition, transfer and conventional optical lithography. A thin layer of SiO2 is deposited on the graphene sheet and functionalized with a linker molecule, (3-aminopropyl)trimethoxysilane (APTMS), on which biotin and subsequently avidin molecules are chemically bonded. Field effect characteristics of as-fabricated, APTMS functionalized and biotin and avidin immobilized devices are measured using solution-gating with Ag/AgCl reference electrodes. SLGFED arrays enable us to statistically investigate the field-effect response of graphene to the attachment of APTMS, biotin and avidin. Compared to as-fabricated devices, APTMS-functionalized devices show a clear negative shift of the Dirac point, likely due to the electron-doping effect of the NH2 group. In a significant fraction of the devices, the attachment of biotin to APTMS reduces this negative shift, presumably because of the elimination of the NH2 group through the binding of biotin to APTMS. Further exposure to avidin appears to reduce the mobility of the SLGFED. We perform control experiments where avidin is non-specifically bonded to APTMS and compare results with the case of biotin-avidin specific binding. With suitable linking chemistry, our SLGFEDs can be used to detect the presence and specific binding of other biomolecular targets such as DNA hybridization and antibody-antigen reactions, thus opening a new avenue for biosensing using nanoscale electronics.
9:00 PM - AA20.14
The Role of Alcohols for Graphene Oxide Reduction.
Cheng Gong 1 , Muge Acik 1 , Ramin Abolfath 2 , Yves Chabal 1 , Kyeongjae Cho 1 3
1 Department of Materials Science and Engineering, The University of Texas at Dallas, Richardson, Texas, United States, 2 School of Natural Science and Mathematics, The University of Texas at Dallas, Richardson, Texas, United States, 3 Department of Physics, The University of Texas at Dallas, Richardson, Texas, United States
Show AbstractThe interest in graphene oxide (GO) reduction stems from a strategy of graphene production via exfoliation. The interlayer distance of graphite oxide (~8-10 Å), involving out-of-plane oxygen functionalities, play a key role for trapping molecules such as water.[1] If other host molecules, such as alcohols, are present, the corresponding hydrogen bonding network will be reorganized among trapped water, alcohols and oxygen groups. This weakening effect provides a much easier exfoliation of single layer graphene oxide sheets. A subsequent reduction process is then necessary to remove oxygen and achieve graphene sheets of higher quality with fewer defects. To this end, various kinds of strong reductants, such as hydrazine monohydrate, sodium borohydride, hydroquinone, vitamin C, etc., have been studied to facilitate graphene oxide reduction increasing the C:O ratio from 2:1 in as made GO to approximately 15:1. Combined with thermal reduction, this ratio can be further enhanced to above 250:1. Surprisingly, a recent work[2] has shown that alcohols, atypical reductants, can be used to reduce GO and even recover its graphitic structure.[3] However, the reaction mechanism underlying this extraordinary phenomenon is still not well understood.In order to clarify the effects of trapped alcohol molecules and thermal annealing process for graphitic restoration, we combine DFT calculations with in-situ Fourier transform infrared spectroscopy to study the structural evolution of multilayered GO (intercalated by water, methanol, and ethanol). Once trapped water is replaced with methanol or ethanol, a further thermal reduction of multilayered GO (60-300C) shows that the thermal reduction efficiency of reduced GO changes dramatically. In the presence of methanol in the interlayers, infrared absorption of the carbonyl peak (~1750-1850 cm-1) increases. This is attributed to the formation of a stable carbonyl concentration during annealing process. In contrast, compared with methanol, carbonyl formation is absent in the presence of trapped ethanol.First-principles molecular dynamics calculations at finite temperature (~200C) confirm the formation of C=O groups at the graphene etch holes, which form during thermal annealing. However, due to the extra carbon atoms in ethanol and methanol, compared with water molecules, etch holes are repaired by ethanol, prevented from being enlarged by methanol, and enlarged by water. Indeed, DFT-based total energy calculations outline the energetically favorable pathway of these reactions. Overall, the atomistic understanding of GO reduction in the presence of alcohols clarifies a route to engineer the graphitization of modified GO, which opens a pathway for various applications in energy storage and device fabrication. [1] Acik, et al. ACS Nano 4, 5861–5868 (2010)[2] Dreyer, et al. J. Mater. Chem. 21, 3443-3447 (2011)[3] Su et al. ACS Nano 4, 5285-5292 (2010)
9:00 PM - AA20.15
A New Reducing Agent to Prepare Single-Layer, High-Quality Reduced Graphene Oxide for Device Applications.
Shun Mao 1 , Kehan Yu 2 , Shumao Cui 2 , Zheng Bo 2 , Ganhua Lu 2 , Junhong Chen 2
1 , NanoAffix LLC, Milwaukee, Wisconsin, United States, 2 Department of Mechanical Engineering, University of Wisconsin-Milwaukee, Milwaukee, Wisconsin, United States
Show AbstractGO is a promising precursor for bulk production of graphene-based materials, as it can be synthesized in large quantities by oxidizing inexpensive graphite powders using strong oxidants. To prepare reduced GO (RGO), many chemical and thermal reduction approaches were proposed. In this study, we report on a new reducing agent (hydroxylamine hydrochloride, H3NO HCl) that allows for an efficient, one-step reduction of both GO suspensions and thin films. The chemical reduction method with hydroxylamine hydrochloride produced high quality RGO sheets with high conductivity, good field-effect transistor characteristics, and promising gas sensitivity. The chemical reduction of GO sheets was evidenced by (1) the X-ray photoelectron spectroscopy (XPS) characterization, from which C/O ratio increased after reduction; and (2) the resistance level of the prepared GO device decreased about five orders of magnitude from 1E10 Ω (before reduction) to 1E5 Ω (after reduction). The gas sensing performance of as-fabricated RGO sheets was characterized against low-concentration NO2 (100 ppm) and NH3 (1%) diluted in dry air; and the RGO exhibited fast response and high sensitivity to target gases at room temperature.
9:00 PM - AA20.17
Modulation of the Photoluminescence Properties of Graphene by External Electrical and/or Magnetic Field.
Mingpeng Yu 1 2 , Jie Lian 1
1 , Rensselaer Polytechnic Institute, Troy, New York, United States, 2 , University of Science and Technology, Beijing, Beijing China
Show AbstractThe quality of graphene thin films, synthesized by thermally reduced method and “molecular wedging” method, are compared.Photoluminescence (PL) properties of GO and graphene thin films at different sp2 to sp3 ratio are intensively studied at different temperature. For the fluorescent GO, quenching effect also observed, making it a candidate substrate material in fluorescence quenching microscopy (FQM). Also, we try to modulate the Photoluminescence (PL)properties by applying external electrical and/or magnetic field.Transparent thin film transistors (TFTs) based on graphene-oxide semiconductor will be studied. Quartz as transparent substrate, alumina/silica as gate insulator, graphene-oxide semiconductor composites as channel material. I-V characteristic will be studied.
9:00 PM - AA20.18
Self-Assembly of DNA Wrapped Carbon Nanotubes into Rodlike Clusters for Facile Length Fractionation.
Constantine Khripin 1 , Nicholas Arnold-Medabalimi 1 , Ming Zheng 1
1 Complex Fluids Group, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractEmerging applications require single wall carbon nanotubes (SWCNTs) of well defined length. Yet the use of length-defined SWCNTs is limited, in part due to the lack of an easily-accessible materials preparation method. Here, we present a new strategy for SWCNT length fractionation based on molecular crowding induced cluster formation. We show that DNA-dispersed colloidal SWCNTs form reversible, ordered, rod-like micro-clusters in the presence of a crowding agent, polyethylene glycol (PEG). Polarized fluorescent microscopy shows these clusters are 1-10 μm long, containing approximately 103 DNA-SWCNT aligned along the cluster axis. Most importantly, we show that clustering of longer nanotubes occurs at a lower PEG concentration. We exploit this to design a facile, scalable purification scheme which requires no specialized equipment. By gradually increasing the concentration of PEG, we precipitate fractions of gradually shorter SWCNTs. Using atomic force microscopy (AFM) we show that fractions 60 - 500 nm long with standard deviations of 30 - 40 % have been obtained. Additionally, the UV-VIS spectrum characteristics of these SWCNTs are improved due to the removal of impurities. We examine the effect of PEG molecular weight, nanotube concentration, temperature, and incubation time on nanotube length fractionation. The influence of these factors is explained using a formulation based on depletion-induced attractive forces between DNA-SWCNTs. It is our hope that this purification method can be adapted to a wide range of SWCNT applications and perhaps to other nanoparticles.
9:00 PM - AA20.2
Comparision Analysis of Reduced Graphene Oxide Using Various Reducing Agents.
Kwang hoon Lee 1 , Wan soo Huh 1 , Sang won Lee 1 , Yoo Kyoung Shim 1 , Ho Jin Bae 1
1 chemical engineering, Soongsil univeristy, Seoul Korea (the Republic of)
Show AbstractIt is well known that graphene can be used for a wide range of applications, such as solar cells and hydrogen storage, paper-like materials, multifunctional coatings, sensors, and nano-electromechanical devices, etc. The conversion of graphene from graphite oxide (GO) can be made using two methods: exfoliation of graphite in solvent with three classical methods, and reduction of dispersed single-layer GO sheets with reducing agents (where hydrazine or dimethyl hydrazine are often used). To improve the reduction efficiency, a thermal reduction process is usually used after the chemical reduction process. However, due to the toxicity of hydrazine-based reducing agents, the graphene or graphene oxide has the limited application in many research fields. Therefore, it is important to study the new reducing agent to replace those toxic agents. In this study, we compare the deoxygenation efficiency of graphene oxide suspensions by different reducing agents (sodium borohydride, hydrazine, hydrohalic acids, sodium hydrosulfite, vitamin C), as well as by heating the suspensions under alkaline conditions. The reduction experiments of grapheme oxide were carried out based on the several aspects such as degree of dispersion, degree of reduction, degree of defect repair, and electrical conductivity by utilizing several characterization instruments including atomic force microscopy (AFM), UV-VS absorption spectroscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and four-point probe conductivity measurement. On the basis of the comparison, a simple evaluation criterion was proposed for qualitatively judging the quality of reduced grapheme oxide. The evaluation criterion would be useful for understanding the reduction mechanism and the experimental design of desirable reduction methods. In most cases, the degree of reduction and the related restoration properties (e.g., electrical conductivity) show comparable properties with hydrazine treated grapheme oxide.
9:00 PM - AA20.20
Versatile and Scalable Gas-Phase Methodology for the Chemical Modification of Carbon Nanotube Surfaces.
Robert Menzel 1 , Angelika Menner 2 , Michael Tran 2 , Alexander Bismarck 2 , Milo Shaffer 1
1 Chemistry, Imperial College London, London United Kingdom, 2 Chemical Engineering, Imperial College London, London United Kingdom
Show AbstractThis paper aims to contribute to the understanding and tailoring of carbon nanotube surface properties which are of great relevance for the utilisation of CNTs in a wide range of bulk applications, including composites, electrochemical electrodes, and catalyst supports. We explore a generic, scalable furnace treatment, based on the thermochemical activation of the CNTs, followed by reaction with functional organic monomers [1]. This approach allows the introduction of a wide variety of functional groups onto the CNT surface whilst maintaining the excellent properties of the untreated materials. The underlying mechanism of the reaction has been established and the distribution of the functionalised sites has been studied at the microscopic scale using tagging experiments. The reaction is extremely versatile and can be carried out with various functional methacrylates, styrenes and iodides. We provide a practical and rational basis for predicting the reactivity of different monomers with the activated nanotubes, based on the Q-e scheme, commonly used in polymer chemistry [2].Importantly, we demonstrate that the reaction and the subsequent product purification can be carried out entirely in the gas-phase, greatly simplifying work-up and improving scalability [2]. Scale-up experiments showed that batches of several grams CNT material were effectively modified, and that our approach is fundamentally compatible with the scale and equipment of many industrial nanotube synthesis processes. We demonstrate that the process is applicable to both multi-walled and single-walled CNT materials and show that the grafting ratio (i.e. the mass ratio of grafted reactant and nanotubes) scales with the specfic surface area of the untreated CNT materials.Electron microscopy, liquid nitrogen adsorption and Raman spectroscopy were used to characterise the morphology, texture and structural integrity of CNTs modified via this approach. The surface chemistry and thermodynamic surface properties of CNTs grafted with a broad range of functional oligomers were studied by direct wetting experiments on the nanoscale [3], by dispersion studies of the bulk materials and by inverse gas chromatography [4]. As an example for the practical application of our treatment, we demonstrate that the CNT surface chemistry can be tailored to match a specific polymeric matrix, resulting in enhanced mechanical properties of the corresponding CNT/polymer nanocomposites.[1] R. Menzel, M.Q. Tran, A. Menner, C. Kay, A. Bismarck and M.S.P. Shaffer, Chem. Sci., 1, 2010, 603-8.[2] A. Menner, S. Hausschildt, U. Raum, R. Menzel, A. Bismarck, M.S.P. Shaffer, subm.[3] M.Q. Tran, J.T. Cabral, M.S.P. Shaffer, A. Bismarck, Nano Lett, 9(8), 2008. [4] R. Menzel, A. Bismarck, M.S.P. Shaffer, Langmuir, 2009, 25(14), 8340-8.
9:00 PM - AA20.21
Using the Claisen Rearrangement for Functional Graphitic Materials.
Stefanie Sydlik 1 , Timothy Swager 1
1 Chemistry, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThe oxidation of graphite to graphene oxide (GO) followed by chemical reduction is a popular route to access graphene. Unfortunately, after the reduction step, the graphene sheets quickly aggregate back to graphite unless functional groups or other materials are inserted to stabilize the structure and block the aggregation process. The wide range of functional groups in GO allow for easy functionalization, however, most prospective functionalization cites are attached via a C-O bond, which is not stable to reduction, and functionality is lost upon conversion to graphene. To overcome this problem, we’ve considered the hydroxyl functionalities as allylic alcohols and showed that these functionalities can undergo a [3, 3] sigmatropic rearrangement after reaction with triethyl orthoacetate to give rise to an ester functional group, attached to the graphitic framework via a reduction-proof C-C bond. This variation of the Claisen rearrangement is known as the Johnson-Claisen and previous work in our group has shown that the Ireland-Claisen rearrangement, using N, N-dimethylacetamide dimetyl acetal, is efficient at installing tertiary amide groups on the surface of GO (1 in 23 carbons by XPS). The extension to the Johnson-Claisen rearrangement is desirable since the ester groups allow for more versatility in post-functionalization modification. To this end, we’ve appended several different amines through an amide formation (1 in 30 carbons by XPS) and shown that the functionality survives chemical reduction. The ester groups are also easily saponified to give carboxylic acid groups, which give charged, water soluble graphene (Zeta potential of -59 mV, solubility of 3 mg/mL). Examination of the scope of the modifications (including as substrates for “click” chemistry) and further characterization of the products (XRD, conductivity measurements) are in progress.
9:00 PM - AA20.22
Engineering of Graphene Band Structure by Haptic Functionalization.
Paul Plachinda 1 , David Evans 2 1 , Raj Solanki 1
1 Dept. of Physics, Portland State University, Portland, Oregon, United States, 2 , Sharp Laboratories of America, Inc., Camas, Washington, United States
Show AbstractGraphene represents an ideal example of a nano-material and as such, continues to draw immense interest because of its unusual electronic properties. In spite of graphene’s amazing properties, there are some obstacles that need to be overcome before it can be considered as a viable candidate to replace silicon. The main barrier is absence of a bandgap. Therefore, producing a band gap is probably one of the most important challenges that needs to be addressed before it can ultimately enable practical applications ranging from digital electronics to infrared nanophotonics.Several methods have been proposed to functionalize graphene, e.g. with adducts that interact with the conjugated π-system of graphene locally, thereby disturbing the conjugation and opening an energy gap. However, these methods of functionalization usually create a lot of scattering centers, which diminish the electron mobility. Therefore, our objective is to identify molecules, when covalently bonded to graphene, can break its conical band structure and open up an energy gap even at low concentrations. To achieve this goal, we have examined electronic structure of metal-arene (MA) and metal-carbonyl (MC) functionalized graphene and report our results based on first-principle density-functional calculations. It is shown that the MA and MC adducts haptically bind at the π-conjugated electron system of graphene and disturb band structure of pristine graphene in such way, that a band gap is formed at the Dirac point. We undertook a study of the MA and MC functionalized graphene for the following 3d-metals: Ti, V, Cr, Mn, Fe. The graphene-metal interaction in the haptic functionalization has direct consequences for electronic properties of graphene. As previously reported, functionalization of graphene with radical groups locally disrupt the planarity of the graphene sheet, changing local hybridization form sp2 to sp3, which induces an electron trap. In our case, however, no re-hybridization of carbon atoms occur. The local bonding configuration is, however, significantly affected by the electronic structure of the functionalizing atom: band gaps for different central metal atoms in the MA and MC molecules vary from 0 (semimetal) to 0.8eV, which is sufficient for most of the microelectronic applications. The electronic structure of the functionalizing metal in the MA and MC molecules allows the possibility of controlled modification of both the band gap itself and the position of the Fermi level with respect to the “d-impurity” levels and native graphene bands. Such dependence of the electronic properties on the type of functionalizing metal suggests a novel tunable approach for the “band engineering” of graphene. Our findings on the nature of MA- and MC- functionalization induced band gap provide useful guidelines for enabling flexibility and optimization of graphene-based nanodevices.
9:00 PM - AA20.23
Pyrene PEGylated Single-Walled Carbon Nanotube as Fluorescence Contrast Agent and Photothermal Agents.
Gyudo Lee 1 , Kuewhan Jang 2 , Kihwan Nam 1 , Jinsung Park 2 , Kilho Eom 2 , Jaemoon Yang 3 , Dae Sung Yoon 1 , Taeyun Kwon 1
1 Biomedical Engineering, Yonsei University, Wonju Korea (the Republic of), 2 Mechanical Engineering, Korea University, Seoul Korea (the Republic of), 3 Radiology, College of Medicine, Yonsei University, Seoul Korea (the Republic of)
Show AbstractNanomaterials have recently been receiving a significant attention as photothermal agents and fluorescence contrast agents for molecular therapeutics due to their unique properties (e.g. light absorption). In particular, Single-Walled Carbon Nanotubes (SWNTs) have been recently utilized as photothermal agent (for photothermal therapy) because of the solubility of SWNTs as well as their capability of light absorption at near-infrared region. In this work, we have developed the SWNT-based photothermal agents using pyrene-based PEGylattion of SWNTs. It is shown that FT-IR and/or H-NMR spectroscopies have validated the PEGylation of SWNTs, and that water-soluble SWNTs are able to generate the heat under NIR irradiation of 5 W/cm2. Moreover, it is found that our pyrene-based PEGylated SWNTs exhibit the fluorescence characteristic under the excitation wavelength of 340 nm. Our study sheds light on the pyrene PEGylated SWNTs as photothermal agent and/or fluorescence contrast agent for the future applications in molecular therapeutics.
9:00 PM - AA20.24
Multi-Walled Carbon Nanotube Grafted with Poly(Styrene-co-styrene Sulfonic Acid) and Its Application to the Electrostatic Dissipative Film.
HyukJung Kwon 1 , Sunhwa Yoo 1 , Jihye Hong 1 , Chang Keun Kim 1
1 Chemical Engineering, Chung-Ang, Seoul Korea (the Republic of)
Show AbstractPolystyrene (PS) nanocomposites containing multi-walled carbon nanotubes (MWCNTs) were fabricated to use as an electrostatic dissipative film for various electronics. MWCNTs were grafted with poly(styrene-co-styrene sulfonic acid), P(S-SSA), to enhance the dispersion of MWCNTs in PS matrix and electrostatic dissipative properties. The acid treated MWCNTs were reacted with thionyl chloride and then further reacted with m-aminostyrene. The resulting MWCNTs were finally reacted with the mixture of styrene and styrene sulfonic acid sodium salt by radical polymerization to produce MWCNTs grafted with poly(styrene-co-styrene sulfonic acid). Comparing electrical conductivity and MWCNT dispersion of the PS nanocomposites containing P(S-SSA) functionalized MWCNTs with those of PS nanocomposites containing pristine MWCNTS, the former exhibited better electrical conductivity and MWCNT dispersion than the latter. Dispersion of functionalized MWCNTs enhanced with decreasing PSSA content in the grafted P(S-SSA), while electric conductivity enhanced with increasing PSSA content in the grafted P(S-SSA). Content of MWCNT in the PS matrix for percolation was nearly the same regardless of SSA content in the grafted P(S-SSA). However, electrical conductivity was gradually increased with increasing PSSA content in the grafted P(S-SSA) when the content of the functionalized MWCNT was fixed.
9:00 PM - AA20.25
Large-Scale Solution Processable Graphene-Based Thin Film Devices.
Markus Pesonen 1 , H. Majumdar 1 , J. Kauppila 2 , S. Majumdar 1 3 , J. Lukkari 2 , R. Oesterbacka 1
1 Department of Natural Science, Physics, Åbo Akademi University, Turku Finland, 2 Department of Chemistry, University of Turku, Turku Finland, 3 Wihuri Physical Laboratory, University of Turku, Turku Finland
Show AbstractThe purpose of this work is to fabricate large-scale solution processable graphene-based thin film devices and to characterize the spin transport dynamics of reduced graphene oxide films. The graphene oxide (GO) thin films will be used as the spacer layer in a spin valve, enabling characterization of the spin transport dynamics of reduced GO thin films.The thin films are fabricated by various coating techniques, namely spin, dip and spray coating, from an aqueous dispersion of GO. Different solvents will also be studied for optimization of the film formation properties. The films are then annealed in moderate temperatures to produce reduced GO thin films. The morphology of reduced GO films is characterized by AFM measurements. The resistivity of the films is determined by two-point measurements.
9:00 PM - AA20.27
Synergistic Antibacterial Brilliant Blue/Reduced Graphene Oxide/Quaternary Phosphonium Salt Composite with Excellent Water-Solubility and Specific-Targeting Capability.
Xiang Cai 1 , Shaozao Tan 1 , Wenjie Mai 2 , Minsong Lin 1 , Agui Xie 1
1 Chemistry, Jinan University, Guangzhou China, 2 Physics, Jinan University, Guangzhou China
Show AbstractIn order to effectively resolve the microbial pollution and contamination, natural and synthetic antibacterial materials are widely used in daily life. In this work, we reported a water soluble brilliant blue/reduced graphene oxide/tetradecyl triphenyl phosphonium bromide composite (BB-rGO-TTP) with excellent synergistic antibacterial activity, specific-targeting capability and water-solubility. Since perfectly soluble graphene itself does not exist due to its strong hydrophobicity, noncovalent functionalization of reduced graphene oxide (rGO) has been considered as the key to improving its solubility, self-assembling properties, and further application potentials. First, a water soluble brilliant blue -functionalized rGO (BB-rGO) composite carrier, which had the advantage of aqueous solubility through π-π interactions as well as the unique structure of graphene, was fabricated. Then, the tetradecyl triphenyl phosphonium bromide (TTP) was obsorbed to the BB-rGO through π-π interactions. The water stability and antibacterial activity of the prepared BB-rGO-TTP on Gram-negative Escherichia coli (E. coli) and Gram-positive Staphylococcus aureus (S. aureus) were investigated.The BB-rGO-TTP antibacterials exhibits negligible TTP release in PBS and 26~37 % release in serum over 72 h. BB-rGO-TTP with 23.2 wt% of TTP, shows higher antibacterial activity with the MIC against E. coli and S. aureus of 250 mg.L^-1 and 150 mg.L^-1. The mechanism of the antibacterial activity of BB-rGO-TTPs is suggested as follow: (1) Bacterial cell was trapped in BB-rGO-TTPs; (2) The “blade like edges” of graphene of BB-rGO-TTPs damaged the cytoplasmic membrane of the bacterial cell; (3) The TTP of BB-rGO-TTPs was released and reacted with cytoplasmic constituents; (4) The normal physiology activity of the cell was interfered completely and the cell died. In other words, the BB-rGO was like a “blade”, the BB-rGO-TTP acts as a “blade with poison”, where the TTP of BB-rGO-TTP is the “poison”. The BB-rGO-TTPs combined the advantages of both graphene and TTP on antibacterial activity, rendered the TTP the specific-targeting capability ( release TTP in bacterial cells), and the use of TTP will be safer and more efficient.BB-rGO-TTPs display excellent synergistic antibacterial activity, specific-targeting capability, excellent water-solubility and mild cytotoxicity, suggesting the great potential application as sprayable graphene-based antibacterial solutions.
9:00 PM - AA20.28
Enhanced Fluorescence from Semiconductor Single-Walled Carbon Nanotubes.
Michael Odoi 1 , Andrea Lee 1 , Xiaoyong Wang 1 , Lisa Carlson 1 , Julie Smyder 1 , Bradford Loesch 1 , Xiaomin Tu 3 , Ming Zheng 3 , Todd Krauss 1 2
1 Chemistry, University of Rochester, Rochester, New York, United States, 3 , DuPont Central Research and Development, Wilmington, Delaware, United States, 2 Institute of Optics, University of Rochester, Rochester, New York, United States
Show AbstractSemiconductor single-walled carbon nanotubes (SWNT) are known to have very poor fluorescence efficiencies, with quantum yields of less than 1%, which limits their use in potential fluorescence based applications. This low quantum yield is thought to arise from fast non-radiative decay of excitons due to a combination of aggregation of nanotubes into bundles, and the presence of surface defects.Here, we present single molecule and ensemble fluorescence studies of the photo-physical properties of DNA-wrapped SWNT in the presence of reducing agents such as DTT, BME and Trolox. We show that semiconductor SWNT dispersed in water exhibit about a four fold increase in their fluorescence intensity and an order of magnitude increase in quantum yield, when these reductants are added. When treated with these small molecules, carbon nanotubes display strong photo-stability (no blinking) for tens of minutes at room temperature without spectral diffusion. Our data suggests the enhancement in fluorescence may be due to the passivation of nanotube surface defects, and in particular hole trap states, by the reductants. Altogether our observations shed new light on the understanding of excited state decay processes in semiconductor carbon nanotubes, and specifically how reductants affect the excited state decay dynamics.
9:00 PM - AA20.29
Graphene in Plastic Packages: A Low Cost Construction Method for Resistive Chemical Sensors.
Silpa Kona 1 , Cindy Harnett 1
1 Electrical and Computer Engineering, University of Louisville, Louisville, Kentucky, United States
Show AbstractThe discovery of carbon nanotubes and subsequently graphene has led to an interest in carbon materials as sensing elements due to their unique properties. Graphene is a 2-dimensional material that has a large surface area (~2630 m2g-1) that can be exposed to surface adsorbates from a target gas. This enables studies on the interaction of gas molecules with the graphene surface and subsequent changes in its properties. Graphene also exhibits high conductivity and low noise [1, 2] and has low crystal defects and 1/f noise [3, 4]. Due to its high electron mobility at room temperature, graphene exhibits high sensitivity (in tune of detecting ppm) which is a required trait in environmental and industrial sensing applications, making graphene a good candidate for sensors. Several models of sensors based on graphene as sensing element have been put forth previously based on high-resolution lithographic techniques and for individual electrode attachment to the sensing film with e-beam lithography. These techniques can produce small numbers of devices that explore the limits of molecular scale sensing [5], but the methods are currently impractical for large scale production of low cost sensors. The work presented here counters this labor-intensive process and puts forth a practical low-cost sensor. Graphene sheets grown using chemical vapor deposition [6] are transferred onto an acrylic chip designed for gas sensing. The working principle of the sensor is the electrical conductivity change exhibited by the graphene when molecules adsorb onto the material while the sensor chip is exposed to the target gas in a controlled environment. We present our graphene based sensor with the focus on designing small, cost effective and reliable sensors with high sensitivity towards the target gas, detailing the assembly of graphene/acrylic based devices, their characterization and investigation of their performance as resistive chemical sensors. The effect of temperature on the sensitivity and recovery time of the sensor is also investigated. The obtained results are discussed in light of recent literature on graphene based sensors.References:[1]Schedin F et al.; Nat. Mater. 2007, 6 , 652[2]Lin, Y.M. et al.;Nano. Lett. 2008, 8 , 2119[3]Zhang, Y. et al.; Nature, 2005, 438, 201[4]Novoselov, K.S. et al.; Nature, 2005, 438, 197[5]Novoselov, K.S. et al.; Science, 2004, 306, 666[6]Li, X.S. et al.; Science 2009, 324 , 1312
9:00 PM - AA20.3
Effects of Disorder on the Optical Properties of CVD Grown Polycrystalline Graphene.
Ramakrishna Podila 1 , Benoy Anand 2 , Reji Philip 3 , Apparao Rao 1 4
1 Physics and Astronomy, Clemson Univ, Clemson, South Carolina, United States, 2 Physics, Sri Satya Sai Institute of Higher Learning, Puttaparthi, AP, India, 3 Light and Matter Physics Group, Raman Research Institute, Bangalore, KA, India, 4 Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, South Carolina, United States
Show AbstractWe explore the effects of crystallite size on the linear and non-linear optical properties of chemical vapor deposition grown polycrystalline graphene. The excitonic Fano resonance in graphene present at ~260 nm is strongly influenced by the presence of defects. We tracked the changes in the interaction between the discrete saddle-point van Hove singularity and the Dirac continuum by obtaining the interaction parameter 1/q from the lineshape analysis of optical absorption spectra. We observe that the pi-plasmon wavelength in graphene inversely depends upon La Furthermore, we also report the effects of graphene crystallinity on the saturable absorption of CVD grown graphene. Our Z-scan studies reveal that the crystallite size significantly changes the saturation intensity in CVD grown graphene. Importantly, we also find that the photogenerated carriers in graphene can exhibit lifetimes as long as nanoseconds.
9:00 PM - AA20.30
Annealing Temperature and Substrate Effects on the Raman Spectra of Transferred CVD Graphene.
Barbara Nichols 1
1 RDRL-SER-L, U.S. Army Research Laboratory, Adelphi, Maryland, United States
Show AbstractThis work explores the influence of the post-transfer anneal temperature and the substrate on transferred graphene and its Raman spectrum. Graphene grown by low pressure chemical vapor deposition (LPCVD) on copper foils was transferred to SiO2/Si substrates via a process that involves coating the graphene with PMMA as a protective and handling layer during the wet chemical etching of the copper and then placing the PMMA/graphene onto a SiO2/Si substrate. The PMMA layer was then removed by heating in a hydrogen/argon (H2/Ar) atmosphere at temperatures ranging from 200 to 500 deg C or by exposing the PMMA to heated acetone vapor/liquid. Raman spectroscopy measurements were taken of the transferred graphene before and after PMMA removal. Differences in the prominent Raman features, the G and 2D peaks, were observed upon annealing. These changes include (1) a shift in the average G and 2D peak position when comparing Raman spectra before and after PMMA removal and (2) an decrease in the 2D:G peak intensity ratio (I2D/IG), which is typically used as a measure of the number of graphene layers. For both as-transferred PMMA/graphene and graphene removed by the relatively low temperature heated acetone, the I2D/IG peak ratio was approximately 2, indicating single layer graphene. However, when heated at temperatures above 300 deg C to remove the PMMA in H2/Ar, the I2D/IG intensity ratio varied from 0.5 to 1.5. Ratio values in this range usually indicate few layer or bilayer graphene respectively and suggest a change or the addition of carbonaceous layers upon annealing. These changes in the Raman spectra are similar to those observed in exfoliated single layer graphene supported on SiO2/Si substrates and are indicative of graphene-substrate conformity effects that lead to hole doping of the graphene.1,2 Additionally, Raman measurements were taken on transferred graphene that underwent PMMA removal by heated acetone to remove any carbon sources, followed by annealing in a H2/Ar atmosphere at temperatures greater than 300 deg C. Identical changes in the spectra (i.e., a decreased I2D/IG ratio and peak position shifting) were observed, indicating that the changes were indeed due to the graphene-substrate interactions and not from the addition of carbonaceous material. In order to explore any substrate specific effects that may arise from differences in surface morphology or composition, CVD graphene was transferred onto bare silicon and fused silica wafers respectively and thermally annealed for comparison. 1. Z. Cheng, et al., Nano Letters, 11 (2011) 767.2. A. Nourbakhsh, et al., J. Phys. Chem. C, 114 (2010) 6894.
9:00 PM - AA20.33
A Wet Chemical Approach towards Functional Carbon Nanostructures.
Ruth Szilluweit 1 , Tobias Hoheisel 1 2 , Martin Fritzsche 1 , Holger Frauenrath 1
1 Institute of Materials, Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne Switzerland, 2 Department of Materials, ETH Zurich, Zurich Switzerland
Show AbstractCarbonaceous materials with a feature size on the nanometer scale offer considerable prospects for emerging technologies such as lithium batteries or hydrogen storage. Currently, the methods most widely employed to prepare carbon nanostructures rely on harsh reaction conditions that limit a functionalization to post-synthetic steps. Our strategy for the preparation of nanostructured carbonaceous materials is based on the synthesis of reactive amphiphilic oligo(ethynylene)s. For this purpose, glycosylated hexa(ethynylene) was synthesized on the multi-gram scale. The self-assembly behavior of these molecules in aqueous solution was investigated by UV/Vis spectroscopy and cryo-TEM. We found that the molecules formed vesicles with a controllable size in water. The vesicles were converted via UV irradiation into carbon nanocapsules and characterized by cryo-TEM, XPS and Raman spectroscopy.
9:00 PM - AA20.34
Preparation of GO-Supported Rh Nanoparticles for Reduction of 4-Nitrophenol.
Yo Han Lee 1 , Hyunje Woo 1 , Sungkyun Park 2 , Kang Hyun Park 1
1 Department of Chemistry and Chemistry Institute for Functional Materials, Pusan National University, Busan Korea (the Republic of), 2 Department of Physics, Pusan National University, Busan Korea (the Republic of)
Show AbstractThe impossibility in recovering and recycling homogeneous catalysts is a task of great economic and environmental importance in the chemical and pharmaceutical industries, especially when expensive and/or toxic heavy metal complexes are employed. The development of catalysts anchored to solid supports has been one of the areas of most intense research activity over the past years.We here report the recoverable Rh(0) nanoparticle-supported catalyst with extraordinary recovery and recycling properties. The reduction of 4-NP to 4-aminophenol (4-AP) with an excess amount of NaBH4 has often been used as a model reaction to examine the catalytic performance of metal NPs. The Rh/GO was readily separated by centrifugation and could be reused ten times under the present reaction conditions without any loss of catalytic activity. Transition metals loaded onto GO are useful reagents for a wide variety of organic transformations. Moreover, these heterogeneous systems are promising industrial catalysts.
9:00 PM - AA20.35
Role of Support Substrates on Protein Adsorption to Graphene Surfaces.
Kenji Yamazaki 1 , Tomoya Wada 1 , Yusuke Iida 1 , Toshio Ogino 1
1 , Yokohama National University, Yokohama Japan
Show AbstractSelective protein adsorption attracts much attention toward potencial nanobio-applications. There have been many reports about biodevices using graphene, which has remarkable mechanical and electrical properties, where the specific immobilization of biomolecules to the graphene surfaces plays a crucial role. However, many of immobilization methods require some chemical modifications to the graphene and biomaterials. We have studied control of biomolecule adsorption to the graphene surfaces by geometries and chemical properties of the graphene-supports substrate. In this paper, we propose a new technique to control of protein adsorption to the graphene surfaces by properties of the support substrates.We used single crystalline sapphire surfaces cleaned by a H2SO4 and H2O2 mixed solution for support substrates of graphene. We deposited graphene flakes on (0001) multi-stepped and (1-102) single-stepped sapphire surfaces by mechanical exfoliation of highly oriented pyrolytic graphite (HOPG). We used ferritin to investigate protein adsorption behaviors to the graphene and the support substrate surfaces. A ferritin molecule is constructed from 24 subunits and includes Fe nanoparticle inside it. We prepared a ferritin solution of 0.01 mg/ml by solving the ferritin in a 10 mM N-2-hydroxyethylpiperazine-N’-2-ethanesulfonic acid (HEPES) buffer solution. The pH value was 7.0, which was regulated using KOH solutions. Graphene-deposited sapphire substrates were soaked in a 2 ml ferritin solution at room temperature for 30 min. After the ferritin adsorption, we displaced the protein solution with a clean buffer solution. We observed these samples using atomic force microscopy (AFM) in the buffer solution at room temperature. We observed different adsorption behaviors when different support substrates for the graphene flakes were used. When (0001) multi-stepped sapphire surfaces were used, the ferritin molecules were mainly adsorbed on the graphene surfaces. In contrast, when (1-102) single-stepped sapphire surfaces were used, the ferritin molecules preferentially adsorbed on the sapphire surfaces and few molecules were adsorbed on the graphene surfaces. As previously reported, charge transfer between the support substrate and physisorbed graphene modifies the properties of the graphene surface. We have demonstrated control of protein adsorption selectivity on the graphene surfaces by using the suitable support substrates for graphene.
9:00 PM - AA20.36
Photoluminescent Properties of Chemically Exfoliated MoS2 Ultra-Thin Films.
Goki Eda 1 , Hisato Yamaguchi 2 , Damien Voiry 2 , Takeshi Fujita 3 , Mingwei Chen 3 , Manish Chhowalla 2
1 , Imperial College London, London United Kingdom, 2 , Rutgers University, Piscataway, New Jersey, United States, 3 , WPI Advanced Institute for Materials Research, Tohoku University, Sendai Japan
Show AbstractA single monolayer of molybdenum disulfide (MoS2) has recently emerged as a new class of nano-materials with a direct band gap which makes it attractive for novel opto-electronic applications. Chemical exfoliation of lithium intercalated MoS2 offers a practical route to industrially scalable synthesis of monolayer MoS2, however, the properties of the resulting individual monolayers remain elusive due to the structural changes that occur during Li intercalation and concomitant alteration of the pristine properties. We report tuneable electronic properties of ultra-thin films of chemically exfoliated MoS2 arising from thermally induced phase changes and thickness dependent band gap [1]. We find that the metastable metallic phase which emerges from the intercalation process dominates the properties of the as-exfoliated material but low temperature annealing leads to restoration of the initial semiconducting phase. We also demonstrate that monolayered films exhibit prominent band gap photoluminescence comparable to that of single layered mechanically exfoliated MoS2, indicating that the properties of the pristine material can be restored even after the aggressive exfoliation process. [1] G. Eda, H. Yamaguchi, D. Voiry, F. Takeshi, M. Chen and M. Chhowalla “Ultra-thin films of chemically exfoliated MoS2” Under review.
9:00 PM - AA20.37
Effects of the Atomic Structure of a Substrate Surface on Raman Spectra in Graphene.
Takahiro Tsukamoto 1 , Yusuke Iida 1 , Toshio Ogino 1
1 , Yokohama National University, Yokohama Japan
Show AbstractSince the discovery of a single sheet of graphene in 2004, this remarkable material has been the subject of many studies due to its unique mechanical, physical, and chemical properties. Properties of a graphene flake are strongly affected by the external factors because it is a single-atomic-layer material. Since the graphene flakes should be fixed on an insulator in device applications, effects of the substrate on the graphene flakes attached on it should be well-understood. It was simulated that, in a SiO2 surface, the energetic preference for the graphene flakes to bind to the O-terminated surface differs from that to the Si-terminated surface, and that the graphene flake on a SiO2 surface possesses a semiconducting property. The top-most atomic structure on a sapphire substrate can be controlled by an annealing [1,2], and then the phase-separated substrate, which exhibits an Al-terminated domain structure on the O-terminated surface, can be obtained. By using the phase-separated substrate, role of the top-most atomic structure of the substrate can be examined. Raman spectroscopy is sensitive to the lattice vibration of graphene and can be used to detect the interaction between graphene flakes and the substrate surface. Here, we show the Raman spectroscopy results of the graphene flakes on the phase-separated sapphire surface. Graphene flakes were deposited on the phase-separated sapphire (0001) surface by a mechanical exfoliation of graphite. Raman spectroscopy was carried out with a 532 nm excitation. We observed graphene flakes on the phase-separated sapphire substrate by AFM. The height of the graphene flake on the hydrophilic surface is approximately 0.34 nm higher than that on the hydrophobic one. This result suggests that ambient species exist between the graphene flakes and the hydrophilic surface whereas the graphene flakes are directly contacted with the hydrophobic surface. The G-band in Raman spectrum of the graphene flake attached to the phase-separated sapphire surface is composed of two peaks centered at 1589 cm-1 (G1 peak) and 1596 cm-1 (G2 peak), though the typical G-band of the graphene flake on the SiO2/Si substrate exhibits a single peak. The double-peak spectrum is attributed to the domain structures of the sapphire surface that is in contact with the graphene flakes. It is considered that the G1 and the G2 peaks are derived from the O-terminated surface and the Al-terminated one, respectively. This is because the graphene flake is directly in contact with the Al-terminated surface due to the interaction induced by a higher affinity between the hydrophobic graphene and the Al-terminated surface than that between graphene and the O-terminated one. The present results show that Raman spectroscopy is useful to characterize the interface between the graphene flake and the substrate.[1] T. Tsukamoto et al., J. Phys. D: Appl. Phys., 43 (2010) 374014. [2] T. Isono et al., Surf. Sci., 604 (2010) 2055.
9:00 PM - AA20.38
Cross-Links in Carbon Nanotube Assembly Introduced by Using Polyacrylonitrile as Precursor.
Yanbin Cui 1 , Mei Zhang 1 , Chuck Zhang 1 , Ben Wang 1
1 Department of Industrial and Manufacturing Engineering, High-Performance Materials Institute, FAMU-FSU College of Engineering, Tallahassee, Florida, United States
Show AbstractIndividual carbon nanotube (CNT) can exhibit extraordinary mechanics. However, the properties of the macroscopic structure, such as CNT fibers and films, are far lower than that of individual CNT. One of the main reasons is the weak shear interaction between tubes and bundles in the CNT assemblies. It is understood that the cross-links in CNT assembly play a key role on its performance. Different approaches have been taken to create CNT joints. Most of them are to connect CNTs by generating new covalent bonding between tubes. In this work, we intent to reinforce the CNT network by locking the contacted CNTs. Polyacrylonitrile (PAN) was used as precursor because PAN can form graphitic structures after carbonization. The freestanding super-thin CNT sheet and CNT yarn were used to evaluate the effects of PAN precursor. First, the CNT sheets were draw and CNT yarns were spun from the CNT array. Then, PAN was infiltrated into the CNT assemblies by immersing samples in PAN/dimethylformamide solution. After that the samples were thermally treated under different conditions. The property changes after each treatment were recorded. After carbonization treatment, we found that the tensile strength of the treated CNT yarns is five times higher than that of untreated CNT yarns. High resolution transmission electron microscopy (HRTEM) observation of the sheets shows that highly ordered graphite structures are formed at the CNT joints. We believe that the strength increase of the CNT yarn is due to such cross-links between CNTs. Meanwhile, single layer graphene formed on part of the CNT surface was observed. The mechanical reinforcement achieved by infiltration and thermal treatment of PAN suggests a new possibility to create high performance CNTs based composites.
9:00 PM - AA20.39
Spectroscopic Characterization of Carbon Nanotubes-Polypyrrole Composites.
Paola Corio 1 , Fabiana Inoue 1 , Romulo Ando 1 , Celly Izumi 2
1 , University of São Paulo, São Paulo Brazil, 2 Chemistry, Universidade Federal de São Carlos, São Carlos, SP, Brazil
Show AbstractHybrid composites formed between carbon nanotubes and insulating or conducting polymers attracted considerable attention due, in part to the fact that the synergic combination between both constituents offers new electronic and mechanical properties that can be tailored for a wide range of applications.1 The preparation of such composite materials benefits from the understanding of the chemical interaction between the composite moieties. Raman spectroscopy is a well established technique for the investigation of the peculiar electronic and vibrational properties of carbon nanotubes.2 Raman spectroscopy is also a very powerful technique to be applied in study of vibrational and electronic properties of polymeric materials. This work aims to investigate the chemical interaction between single wall carbon nanotubes (SWNT) and multi wall carbon nanotubes (MWNT) and in-situ chemically polymerized polypyrrole films (ca. 8 nm) by means of Raman and resonance Raman spectroscopy. The composites were synthesized using as a starting material nitric acid functionalized nanotubes (f-SWNT and f-MWNT)3. The resulting composites were characterized by transmission electronic microscopy (TEM) and by resonance Raman spectroscopy (lexc. = 514.5, 632.8 and 785 nm). The composites prepared by the use of f-SWNT (f-SWNT/polypyrrole) have shown an upshift in the G and G prime Raman bands of the nanotubes as compared with the pristine material. However, differently to the behavior of the G band, the displacement of the G prime-band is significantly dependent on the energy of the exciting wavelength, due to its dispersive behavior. The obtained results have shown that this displacement increases as the energy of the exciting wavelength approaches the resonance with the polypyrrole electronic transition. The f-MWNT/ polypyrrole composites, on the other hand, do not show a significant displacement of the characteristic features of the nanotubes as compared to the pristine material. However, both composites (f-SWNT/polypyrrole and f-MWNT/polypyrrole) show a decrease in the ID/IG ratio in the presence on the polymeric material. These results suggest a modification of the electronic structure of the nanotubes, which is significantly more pronounced for the SWNT, caused by the interaction with the polymeric material.1. S. Lefrant, M. Baibarac, I. Baltog, J. Mater. Chem. 2009, 19, 5690.2. A. Jorio, M. A. Pimenta, A. G. Souza Filho, R. Saito, G. Dresselhaus, M. S. Dresselhaus, New J. Phys. 2003, 5, 139. 3. M. Pumera, B. Smid, X. Peng, D. Golberg, J. Tang, I. Ichinose, Chem. Eur. J. 2007, 13, 7644.
9:00 PM - AA20.4
Reversible Oxidation-Reduction of Epitaxial Graphene on SiC(0001).
Md. Zakir Hossain 1 2 , James Johns 1 , Bevan Kirk 3 , Karmel Hunter 1 , Yu Liang 1 , Amanda Lear 4 , Steven Tait 4 , Larry Kesmodel 5 , Mark Hersam 1 6
1 Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 , Faculty of Engineering, Gunma University, Kiryu City, Gunma, Japan, 3 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 4 Department of Chemistry, Indiana University, Bloomington, Indiana, United States, 5 Department of Physics, Indiana University, Bloomington, Indiana, United States, 6 Department of Chemistry, Northwestern University, Evanston, Illinois, United States
Show AbstractGraphene has drawn tremendous practical and fundamental research interest due to its extraordinary electrical and mechanical properties.[1-3] The practical utilization of graphene in a wide range of applications requires control of its electronic states either by physical or chemical means.[3] One of the prominent ways to tune the electronic properties of graphene is through chemical modification of its surface.[4] Oxidation of graphite, for instance, is known to change its electronic properties from metallic to insulating. There have been extensive studies of graphene oxide (GO) exfoliated from graphite oxide, including subsequent chemical or thermal reduction of GO for large scale production of graphene.[5-6] However, chemical or thermal reduction of solution-processed GO only partially recovers pristine graphene and its electronic properties, i.e., the oxidation-reduction process is not fully reversible.[5] This irreversibility relates to the uncontrolled and extreme oxidation process of graphite, which results in an inhomogeneous surface with a variety of oxygen functional groups and structural defects. Here, we experimentally demonstrate a fully reversible and controllable oxidation-reduction processes of epitaxial graphene grown on SiC(0001) surface in ultra-high vacuum. Scanning tunneling microscopy (STM), Raman spectroscopy, Ultraviolet photoelectron spectroscopy (UPS), and Auger electron spectroscopy (AES) have been used to characterize the oxidation-reduction process on the surface. Atomic oxygen is found to chemisorb on the basal plane of the graphene surface resulting in a homogeneous oxidized surface with oxygen in an epoxy bonding configuration.In STM images, the adsorbed oxygen appears as a bright protrusion with a relatively broad lateral spatial extent of ~1.2 nm in diameter. From density functional theory calculations, we suggest that chemisorption of oxygen in the epoxy structure releases strain in the underlying graphene, leading to the large measured size in STM. The chemisorbed oxygen can be reversibly removed by annealing the oxidized surface at 260 °C, which is directly evidenced by the disappearance of the bright protrusions in post-annealing STM images. The UPS spectrum of the oxidized graphene surface is nearly flat at low binding energies; however, after annealing the oxidized surface, the density of states of clean graphene on SiC are reestablished at binding energies of ~ 0.5 and 1.5 eV. The present finding is an important step towards controlling the electrical, optical and chemical properties of graphene.References[1] Novoselov, K. S. et al. Science 306, 666-669 (2004).[2] Novoselov, K. S. et al. Nature 438, 197-200 (2005).[3] Berger, C. et al. Science 312, 1191-1196 (2006).[4] Elias, D. C. et al. Science 323, 610-613 (2009).[5] Dikin, D. A. et al. Nature 448, 457–460 (2007).[6] Navarro, C. G. et al. Nano Lett. 10, 1144-1148 (2010).
9:00 PM - AA20.40
Optical Modulation of Doping in Graphene Using Non-Covalently Bound Azobenzene Based Chromophores.
Myungwoong Kim 1 , Nathaniel Safron 1 , Changshui Huang 1 , Michael Arnold 1 , Padma Gopalan 1
1 Department of Materials Science and Engineering, University of Wisconsin, Madison, Madison, Wisconsin, United States
Show AbstractGraphene, is a two dimensional sheet of sp2-hybridized carbon atoms, which is a single atomic layer thick and has outstanding properties such as its fast charge transport properties, excellent thermal conductivity, mechanical strength, and flexibility. Doping is one way to tune the electronic behavior of graphene. Here, we show that the electrical conductivity and doping of graphene can be controlled by reversibly tuning the conformation of a single monolayer of dipoles on its surface via light induced molecular transformations. The monolayer of dipoles was created by non-covalently anchoring a pyrene functionalized Disperse red 1 (DR1P) chromophore. Upon anchoring the dipolar chromophore to graphene the G band in the Raman spectra is upshifted by 10.0 cm-1. Four probe conductance measurements on DR1P/graphene field effect transistor devices shows Dirac point at 23.4 V confirming p-type doping compared to pristine graphene devices (Dirac point is at 5.4 V). While there are many ways to dope graphene there are very few reports if any to reversibly photo-modulate the doping. Upon UV illumination the molecular conformation changes from trans to cis leading to a dipole moment change from 9D to 6D. We can detect this molecular transformation by Raman spectroscopy as well electrically read out in a FET device. The G band in Raman and the Dirac point in the electrical measurements are downshifted by 2.7 cm-1 and 3.6 V respectively. Estimation of charge carrier concentrations from both the G band shifts and the Dirac point shifts correlate quite well. Remarkably, subsequent white light illumination resulted in recovery of both these parameters as the molecular conformation reverts from cis to trans. Control experiments on pyrene fuctionalized graphene (lacks photo-switchable group), clearly attribute the modulation of doping to molecular transformation. To rule out possible response by photodesorption of oxygen or moisture, we performed FET characterizations of the DR1P/graphene under vacuum conditions. The switching events could be repeated over multiple cycles confirming the reversible nature of the light induced doping. These graphene-chromophore hybrid materials can form the basis for sensors and molecular optoelectronic devices.
9:00 PM - AA20.41
Large-Scale Graphene Heater for Transparent and Flexible Smart Window Application.
Junmo Kang 1 , Soon-Hwi Hwang 1 , Hyeongkeun Kim 5 , Keun Soo Kim 6 , Seoung-Ki Lee 2 , Sukang Bae 1 , Jong-Hyun Ahn 1 2 , Young-Jin Kim 1 3 , Jae-Boong Choi 1 3 , Byung Hee Hong 1 4
1 SKKU Advanced Institute of Nano Technology and Center for Human Interface Nano Technology, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of), 5 Electronic Materials and Device Research Center, Korea Electronics Technology Institute, Seongnam, Kyunggi-Do, Korea (the Republic of), 6 Department of Physics and Graphene Research Institute, Sejong University, Seoul Korea (the Republic of), 2 School of Advanced Materials Science and Engneering, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of), 3 School of Mechanical Engineering, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of), 4 Department of Chemistry, Sungkyunkwan University, Suwon, Kyunggi-Do, Korea (the Republic of)
Show AbstractWe described a high-performance, transparent and flexible heater using chemical doping and multiple staking graphene films. The large-scale graphene films were grown on Cu substrate using chemical vapor deposition (CVD). After layer-by-layer transfer with chemical doping process using nitric acid or Gold(III) choloride-nitromethance, the graphene film showed a sheet resistance of nearly 43 Ohm/sq with ~89% transmittance. We investigated the time-dependent temperature response and heat distribution analysis of graphene-based heater, which are measured by infrared camera and thermocouple. The 4 x 4 cm2 graphene heater shows surface temperature of 80 degree within 40 seconds and the 9 x 9 cm2 graphene heater shows uniform heating performance. Furthermore, we demonstrated the robust mechanical properties of graphene-based heater, which meet the technical requirements for smart window application and defogging systems.
9:00 PM - AA20.42
The Chemical Dynamics of Nanosensors Capable of Single Molecule Detection.
Ardemis Boghossian 1 , Jingqing Zhang 1 , Francois Le Floch 2 , Zachary Ulissi 1 , Peter Bojo 3 , Jae-Hee Han 1 , Jong-Ho Kim 1 , Jyoti Arkalgud 1 , Nigel Reuel 1 , Richard Braatz 1 , Michael Strano 1
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States, 2 Aeronautics and Astronautics, MIT, Cambridge, Massachusetts, United States, 3 Biological Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractRecent advances in nanotechnology have produced the first sensor transducers capable of resolving the adsorption and desorption of single molecules.[1-2] Examples include near infrared fluorescent single walled carbon nanotubes that report single molecule binding via stochastic quenching. A central question for the theory of such sensors is how to analyze stochastic adsorption events and extract the local concentration or flux of the analyte near the sensor. In this work, we compare algorithms of varying complexity for accomplishing this by first constructing a kinetic monte carlo model of molecular binding and unbinding to the sensor substrate and simulating the dynamics over wide ranges of forward and reverse rate constants. Methods involving single-site probability calculations, first and second moment analyses, and birth-and-death population modeling are compared for their accuracy in reconstructing model parameters in the presence and absence of noise over a large dynamic range. Overall, birth-and-death population modeling was the most robust in recovering the forward rate constants, with the first and second order moment analysis very efficient when the forward rate is large (> 10^(-3) s^(-1) ). The precision decreases with increasing noise, which we show masks the existence of underlying states. Precision is also diminished with very large forward rate constants, since the sensor surface quickly and persistently saturates.[1]J. Q. Zhang, A. A. Boghossian, P. W. Barone, A. Rwei, J. H. Kim, D. Lin, D. A. Heller, A. J. Hilmer, N. Nair, N. F. Reuel and M. S. Strano, Journal of the American Chemical Society 133 (3), 567-581 (2011).[2]Z. W. Ulissi, J. Zhang, A. A. R. Boghossian, Nigel F., S. F. E. Shimizu, R. D. Braatz and M. S. Strano, Journal of Physical Chemistry Letters In Press (2011).
9:00 PM - AA20.43
Optical Properties of Graphene on Multiple Substrates Determined by Spectroscopic Ellipsometry from the Terahertz to the VUV.
Alexander Boosalis 1 , Tino Hofmann 1 , Stefan Schoeche 1 , Peter Dowben 1 , Sneha Gaddam 2 , Chiranjeevi Vamala 2 , Jeff Kelber 2 , Rositza Yakimova 3 , Vanya Darakchieva 3 , Luke Nyakiti 4 , Virginia Wheeler 4 , Rachael Myers-Ward 4 , Charles Eddy 4 , D. Gaskill 4 , Mathias Schubert 1
1 Electrical Engineering, University of Nebraska Lincoln, Lincoln, Nebraska, United States, 2 Department of Chemistry, University of North Texas, Denton, Texas, United States, 3 , Linkoping University, Linkoping Sweden, 4 , U.S. Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractWe have determined the complex dielectric function of graphene deposited on a number of different substrates using multiple growth techniques. The investigations were performed over an extremely wide spectral range from terahertz (0.65 THz, 0.28 meV) to the VUV (9.5 eV) using spectroscopic ellipsometry. The samples studied here include graphene grown on SiC by sublimation of silicon from the substrate at high temperature and graphene grown on MgO by CVD. Several different SiC polymorphs, including 4H, 3C, and 6H SiC were studied. The ellipsometric data obtained in the THz and infrared spectral ranges allow the identification of multiple, parallel sheet carrier densities within the single-to-few monolayer thick graphene layers, and which crucially depend on substrate orientation and growth condition. Analysis of the multiple two-dimensional carrier sheet densities reveals their extreme yet strongly varying mobility, effective mass, and density parameters as well as the vertical carrier sheet profile. Our findings reveal striking influences of the substrate. We discuss the physical mechanisms of the substrate that influence the free charge carrier properties in epitaxial graphene such as surface polarity, dopant incorporation, surface roughness, and defects. The ellipsometric data obtained in the visible and VUV spectral range were fit with a parameterized dispersion model. The model includes an asymmetric critical point resonance at 4 eV, a small contribution from Drude absorption, and a pole at 14 eV. Critical point model parameters include the resonant energy, amplitude, and asymmetric broadening, while Drude absorption parameters include free charge carrier concentration and mobility. Parameterization of graphene’s dielectric function enabled a unique fit of graphene thickness for each sample, allowing independence from other techniques.Distinct differences in the complex dielectric function of graphene are observed as the underlying substrate differs in material composition and polytype. In particular in the spectral region of the critical point resonance the complex dielectric function is sensitive to both substrate and growth parameters. We compare our results with those of recent publications of graphene grown by CVD on SiO2. We conclude that graphene grown on 3C SiC exhibits a dielectric function closest to theoretically predicted values, while graphene grown on alternative substrates exhibit less influence from the substrate and carbon transition layers.
9:00 PM - AA20.44
Highly Concentrated Dispersions of Size Separated Molybdenum Disulphide Nanosheets.
Arlene O'Neill 1 2 , Umar Khan 1 2 , Jonathan Coleman 1 2
1 School of Physics, Trinity College Dublin, Dublin 2 Ireland, 2 CRANN , Trinity College Dublin, Dublin 2 Ireland
Show AbstractLiquid phase exfoliation of layered inorganic compounds into nanosheets was demonstrated earlier this year in Science [1]. Concentrations of up 0.16 mg/ml were achieved for Molybdenum Disulphide (MoS2) exfoliation in the amide solvent N-methyl pyrrolidone. In this work we aim to increase the overall concentration of MoS2 nanosheets in dispersion by optimising the sonication and centrifugation regime. Concentrations of ~10mg/ml are achieved after a long (~60hrs) horn tip sonication and a moderate centrifugation of 1500rpm for 45minutes. Similar to earlier studies for graphene[2], we note that the concentration scales with sonication time (C∝ √t) suggesting that the concentration is controlled by sonication induced scission of the flakes. Transmission electron microscopy (TEM) of the dispersions confirmed exfoliation into nanosheets, while statistical TEM analysis indicated the flakes dimensions. Further centrifugation of the sediment, resulted in greater flake size separation of the MoS2 flakes with narrow size distributions. These highly concentrated, size separated MoS2 dispersions will greatly facilitate the use of these inorganic nanosheets in a range of applications.1.Coleman, J.N., et al., Two-Dimensional Nanosheets Produced by Liquid Exfoliation of Layered Materials. Science, 331(6017): p. 568-571, 2011.2.Khan, U., et al., High-Concentration Solvent Exfoliation of Graphene. Small, 6(7): p. 864-871, 2010.
9:00 PM - AA20.45
Adsorption of Fluoride from Water Using the ``Buckyweb''.
Ismaiel Yakub 1 2 , Yevgeny Raitses 3 , Wole Soboyejo 1 2
1 Mechanical and Aerospace Engineering, Princeton University, Princeton, New Jersey, United States, 2 , Princeton Institute of Science and Technology of Materials (PRISM), Princeton, New Jersey, United States, 3 , Princeton Plasma Physics Laboratory (PPPL), Princeton, New Jersey, United States
Show AbstractThis work proposes a new way of fluoride removal from drinking water. It presents the results of an experimental study of the effects of fluoride concentration, contact time and solution pH value on the defluoridation capacity of a novel adsorbent we call buckyweb. Buckyweb, which comprises of a mixture of carbon nanotube and graphene, was produced by arc discharge method with graphite anode, copper cathode and using nickel and yttrium as catalyst. The result of the adsorption test showed that the fluoride removal capacity of the buckyweb increases with increasing concentration of fluoride. The adsorption capacity of the buckyweb was shown to have a somewhat dependence on the pH of the solution. The adsorption isotherms were well modeled by the Langmuir equation and the Freundlich equation. The changes in the free energy also reveal the spontaneous nature of the adsorption process. A particle and intraparticle (pore) model was also used to characterize the batch kinetics. The potential implications of the results are then discussed for the development of future point-of-use water filtration devices for the removal of chemical contaminants and particulates using buckyweb filters.
9:00 PM - AA20.46
Effect of Molecular Weight and Solvent Parameters on Selective Dispersion of Single-Walled Carbon Nanotubes in Conjugated Polymers.
Florian Jakubka 1 , Stefan Schiessl 1 , Julia Schornbaum 1 , Jana Zaumseil 1
1 Materials Science and Engineering, University of Erlangen, Erlangen Germany
Show AbstractSelective dispersion of single-walled carbon nanotubes (SWNT) in conjugated polymers has been extensively studied in recent years. Chiral and diameter selectivity and a high degree of individualization of the SWNT are achieved with certain polymer solutions resulting in improved near-infrared fluorescence yields interesting for optical applications. In particular polyfluorenes and their copolymers are well-suited for highly selective dispersions. Thin films of these polymers containing specific carbon nanotube chiralities are interesting for photovoltaic, charge transport and light-emitting devices. So far SWNT dispersions have predominantly been produced with commercially available low molecular weight polymers. The role of molecular weight has been largely ignored until now. However, the molecular weight of semiconducting polymers is well known to have a large impact on their morphology, optical and electronic properties. Generally high molecular weight polymers are preferred. Here we present a comprehensive study of SWNT dispersions with poly(9,9-dioctylfluorene-co-benzothiadiazole) (F8BT) and poly(9,9-dioctylfluorene) (PFO) with a wide range of molecular weights and polydispersity indices. These polymers are particularly interesting for optoelectronic applications e.g. light-emitting diodes and field-effect transistors. We find that dispersion efficiency and selectivity, determined by absorption, excitation-emission and Raman spectroscopy, change significantly with increasing molecular weight. This affects the type of available SWNT chiralities in polymer films for electronic devices such as for example light-emitting field-effect transistors. This molecular weight dependence again raises the question of the polymer/nanotube interaction on a molecular level. In addition, it is know that the polymer solvent also plays a significant role during the dispersion process, e.g., tetrahydrofuran leads to poor selectivity while toluene gives highly selective dispersions. This effect is not understood. In order to elucidate the solvent influence beyond singular observations we systematically studied the impact of the Hansen solubility parameters of a range of solvents compared to those of the polymers and nanotubes on dispersion selectivity and efficiency.
9:00 PM - AA20.47
Science Behind Superhydrophobicity of Boron Nitride Nanotubes.
Ludmila Boinovich 1 , Alexandre Emelyanenko 1 , Andrei Pashinin 1 , Chee Huei Lee 2 , Jaroslaw Drelich 3 , Yoke Khin Yap 2
1 A.N. Frumkin Institute of Physical Chemistry and Electrochemistry, Russian Academy of Sciences, Moscow, Moscow, Russian Federation, 2 Physics, Michigan Technological University, Houghton, Michigan, United States, 3 Materials Science Engineering, Michigan Technological University, Houghton, Michigan, United States
Show AbstractSuperhydrophobicity is responsible for many water repelling phenomena in plants and inserts. As inspired by the nature, many approaches have been developed in using organic materials as the water repelling, self-cleaning protective coatings. However, inorganic materials are better option due to their higher mechanical robustness and thermal stability. For example, hexagonal phase boron nitride (h-BN) films are promising protective coatings due to their extraordinary chemical and thermal stability. However, like most ceramic materials, the high surface energy of boron nitride materials making them hydrophilic and thus not applicable as water repelling coatings. Our recent discovery on superhydrophobicity of boron nitride nanotubes (BNNTs) [1] is thus contradicting with the fact that BN materials would not be hydrophobic. To resolve this contradiction, we have investigated BNNT coatings by time-dependent contact angle measurement, thermogravimetry, grazing angle IR spectroscopy, and electron microscopy. We found that the wettability of BNNTs is determined by the packing density, orientation, diameter and length of nanotubes, as well as the environmental condition. The origins of superhydrophobicity of these BNNT coatings are identified as 1) surface geometry, and 2) hydrocarbon adsorbed on BNNTs. These airborne adsorbetes reduce the surface energy of BNNTs and make them hydrophobic. Our study also revealed that high-temperature treatment can remove these adsorbates and leads to restitution of hydrophilic BN surface. However, nanotubes have a unique capability of building a hydrophobic layer of adsorbates after a few hours of expose to an ambient air. LBB, AME and ASP acknowledge the support from the Presidential program of support for the Leading Scientific Schools (Project NSh-7853.2010.3), and from the Program P-22 of Russian Academy of Sciences. YKY is grateful for the support from the National Science Foundation (CAREER Award No. 0447555) and the Department of Energy, the Office of Basic Energy Sciences (Grant No. DEFG02-06ER46294).[1]. C. H. Lee, J. Drelich, Y. K. Yap, “Superhydrophobicity of Boron Nitride Nanotubes Grown on Silicon Substrates,” Langmuir (letter) 25 (2009) 4853.
9:00 PM - AA20.48
Tuning the Photoluminecense of Chemically Exfoliated Single-Layer MoS2 by Thermal Annealing.
Hisato Yamaguchi 1 , Damien Voiry 1 , Goki Eda 2 , Takeshi Fujita 3 , Mingwei Chen 3 , Manish Chhowalla 1
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States, 2 Materials, Imperial College London, London United Kingdom, 3 WPI Advanced Institute for Materials Research, Tohoku University, Sendai, Miyagi, Japan
Show AbstractWe report a simple method to dynamically tune the photoluminescence (PL) of chemically exfoliated single-layer MoS2 thin films. By thermally annealing the ultrathin films of exfoliated MoS2 under inert conditions, we show that the PL intensity is tunable by a factor of ~40. Our material is prepared by Li intercalation of bulk MoS2 powders. Atomic Force Microscopy (AFM) on exfoliated MoS2sheets showed typical heights of 1nm, consistent with mono-layer thickness of pristine MoS2. Evolution of the relatively intense PL was observed upon thermal annealing for the ultrathin films of the exfoliated MoS2 sheets. The PL intensity increased with annealing temperature. X-ray Photoelectron Spectroscopy (XPS) study on the annealed samples showed that oxidization of the samples was minimal. The strong PL at around 660nm is consistent with the band structure of the pristine material [1]. PL results will be correlated with chemical changes observed by XPS and a mechanism for the tunable PL will be discussed.[1] Kin Fai Mak, Changgu Lee, James Hone, Jie Shan, Tony F. Heinz "Atomically thin MoS2: A new direct-gap semiconductor" Phys. Rev. Lett. 105, 136805 (2010).
9:00 PM - AA20.49
Morphological Changes of MCF-7 Cells Grown on Buckypapers.
Michael Wang 1 , Robert Pergolizzi 1 , Deok-Yang Kim 1
1 , Bergen County Academies, Hackensack, New Jersey, United States
Show AbstractBuckypapers have shown excellent electrical conductivity and strong tensile strength, proving to be ideal material candidates for various applications. Recently, buckypapers have emerged as promising growth substrates for numerous cell lines because they are known to induce different cell growth behaviors. In this study, the morphological change of breast cancer cells (MCF-7) caused by the buckypaper substrate is investigated. Carbon nanotubes were dispersed in the water with sodium dodecyl benzene sulfonate by ultrasonification. Carbon nanotubes suspensions were filtered to form buckypapers on a 0.2 micron size mixed cellulose ester filter membranes. After the sterilization, the MCF-7 cells were cultured for a week on both films of carboxyl and amine functionalized carbon nanotubes papers. Scanning electron microscopy of the cells grown on buckpapers revealed that the morphology of the cells differed from the normal spherical cancer cell shape. Instead, the cells were elongated and flattened, resembling epithelial cells rather than cancerous cells. These morphological changes, i.e. elongation and flattening of the cells, may be caused by cell differentiations, opening a possibility of coercing the MCF-7 cells into non-cancerous cells. Further studies on the effects of buckypapers on MCF-7 cells may lead to the fundamental understanding of cancer cells, development of new cancer therapy as well as the possibilities of other differentiation mechanisms caused by the carbon nanotubes papers.
9:00 PM - AA20.5
Peptide Secondary Structure Modulates Single-Walled Carbon Nanotube Fluorescence as a Chaperone Sensor for Nitroaromatics.
Daniel Heller 1 , George Pratt 1 , Jingqing Zhang 1 , Adam Hansborough 1 , Ardemis Boghossian 1 , Nigel Reuel 1 , Paul Barone 1 , Michael Strano 1 , Nitish Nair 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractA class of peptides from the bombolitin family, not previouslyidentified for nitroaromatic recognition, allows near-infrared fluorescentsingle-walled carbon nanotubes to transduce specificchanges in their conformation. In response to the binding ofspecific nitroaromatic species, such peptide–nanotube complexesform a virtual “chaperone sensor,” which reports modulation ofthe peptide secondary structure via changes in single-walled carbonnanotubes, near-infrared photoluminescence. A split-channelmicroscope constructed to image quantized spectral wavelengthshifts in real time, in response to nitroaromatic adsorption, resultsin the first single-nanotube imaging of solvatochromic events. Thedescribed indirect detection mechanism, as well as an additionalexciton quenching-based optical nitroaromatic detection method,illustrate that functionalization of the carbon nanotube surfacecan result in completely unique sites for recognition, resolvableat the single-molecule level.
9:00 PM - AA20.50
Tracking and Imaging of High Brightness Colloidal Carbon Nanotubes as a Probe of Nanoscale Rheology.
Jared Crochet 1 , Juan Duque 1 , James Werner 1 , Stephen Doorn 1
1 Center for Integrated Nanotechnologies, LANL, Los Alamos, New Mexico, United States
Show AbstractThe understanding of colloidal nanoparticle hydrodynamics is important for the development of solution based self-assembly routes as well as probing the rheology of soft composite materials including biological environments. At the forefront of nanoscience, carbon nanotubes have been increasingly coming closer to these types of applications because continual increases in sample quality. We present recent developments in tracking and imaging of minimally processed high brightness colloidal carbon nanotubes with a focus on understanding fundamental photophysical properties, complex flow phenomena, and nanotube aggregation kinetics. Applications in hydrodynamics are demonstrated by visualizing transport in nano-porous channels as well as reversible aggregation kinetics where different phases of growth can be observed.
9:00 PM - AA20.51
Optical Feedback Mechanisms in Laser Growth of Carbon Nanotube Forests.
Jeremy Baumberg 1 , Max Bock 1 , Richard Denk 1 , Tobias Wirth 2 , Stephan Hofmann 2
1 Cavendish Laboratory, University of Cambridge, Cambridge United Kingdom, 2 Department of Engineering, University of Cambridge, Cambridge United Kingdom
Show AbstractCarbon nanotubes (CNTs) have many potential applications due to their unique properties, but these are still limited by the lack of controlled growth and integration technologies. Here we present a new approach to controlled CNT growth using localised heat injection in nanoparticle catalysts through visible laser irradiation. Because of the interaction between the growing CNT/substrate composite nanostructures and the incoming laser, optical feedback generates specific morphological structures impossible to achieve in other ways.The most promising and versatile CNT growth technique is catalytic chemical vapour deposition (CVD), in which transition metal particles are exposed to a gaseous carbon precursor at elevated temperatures. Global heating thereby limits CNT integration pathways in particular for complex on-chip devices with a CMOS backbone or involving temperature sensitive flexible substrates. A range of local heating strategies have been reported, including electrically heated cantilevers and laser-induced (LI-)CVD [6-8]. LI-CVD has been typically limited to providing heat via opaque substrate layers, rather directly interacting with the catalyst nanoparticles. While the idea of exciting individual metallic catalyst nanoparticles via surface plasmons has been reported [9-11], no convincing CNT growth result has been achieved. A key point that has been neglected in previous work is the interaction and feedback of the depositing carbon and growing nanostructure with the laser. We study the optical feedback mechanisms occurring during growth of multi-walled carbon nanotube forests on transparent substrates [7]. Growth is realised via laser-induced chemical vapour deposition using nm-scale iron nanoparticle catalysts. In-situ Raman and reflection spectroscopy employed to study the growth process clearly distinguish three growth phases. In an initial seed phase the growth of carbon nanostructures increases the laser absorption and this feedback eventually leads to a rapid growth phase. Here we show that this feedback is essential to LI-CVD and understanding the laser interaction with the growing nanostructure holds the key towards controlled growth. This opens up new routes to nanostructure and nanodevice design and fabrication.[1] Z. Liu, et al., Applied Physics A 93, 987 (2008).[2] Y. Fujiwara, et al., Japanese Journal of Applied Physics 44, 1581 (2005).[3] F. Rohmund, et al., Journal of Vacuum Science & Technology B 20, 802 (2002).[4] W. H. Hung, et al., Nano letters 8, 3278 (2008).[5] D. a. Boyd, et al., Nano letters 6, 2592 (2006).[6] L. Cao, et al., Nano letters 7, 3523 (2007).[7] M. Bock et al., submitted to Appl.Phys.Lett (2011)
9:00 PM - AA20.52
Transparent Organic P-Dopant in Carbon Nanotubes: Bis(Trifluoromethanesulfonyl)Imide.
Soo Min Kim 1 2 , Young Woo Jo 2 , Ki Kang Kim 1 , Dinh Loc Duong 2 , Hyeon-Jin Shin 3 , Jong Hun Han 4 , Jae-Young Choi 3 , Jing Kong 1 , Young Hee Lee 2
1 EECS, MIT, Cambridge, Massachusetts, United States, 2 Department of Energy, SKKU, Suwon Korea (the Republic of), 3 , SAIT, Suwon Korea (the Republic of), 4 , KETI, Suwon Korea (the Republic of)
Show AbstractWe propose bis(trifluoromethanesulfonyl)imide [(CF3SO2)2N] (TFSI) as a transparent strong electron-withdrawing p-type dopant in carbon nanotubes (CNTs). The conventional p-dopant, AuCl3, has several drawbacks, such as hygroscopic effect, formation of Au clusters, decrease in transmittance, and high cost in spite of the significant increase in conductivity. TFSI is converted from bis(trifluoromethanesulfonyl)amine (TFSA) by accepting electrons from CNTs, subsequently losing a proton as a characteristic of a Brønsted acid, and has an inductive effect from atoms with high electronegativity, such as halogen, oxygen, and nitrogen. TFSI produced a similar improvement in conductivity to AuCl3, while maintaining high thermal stability, and no appreciable change in transmittance with no cluster formation. The effectiveness of TFSI was compared with that of other derivatives.
9:00 PM - AA20.53
Direct Measurements of Exciton Mobility in Single-Walled Carbon Nanotubes with Far-Field near-Infrared Fluorescence Microscopy.
Dmitri Tsyboulski 1 , R. Bruce Weisman 1
1 Chemistry, Rice Universtiy, Houston, Texas, United States
Show AbstractRecently discovered stepwise quenching of photoluminescence (PL) signal from semiconducting single-walled carbon nanotubes (SWCNTs) induced by single molecule reactions suggested extensive mobility of excitons in these one-dimensional nanostructures. The mobility of excitons can be assessed by measuring a change of SWCNT PL intensity ΔI after a single quenching event relative to its total PL intensity value I normalized per unit of SWCNT length L. Thus, the average exciton mobility Λ will be given simply as Λ=L×ΔI/I (eq. 1). With this approach, the range of exciton mobility between 100 and 240 nm was deduced for different SWCNT structures. In this work, we present a direct method to measure the exciton diffusion range in SWCNTs using far-field near-infrared fluorescence microscopy. Assuming the model of random diffusion of excitons along the nanotube which are subject to quenching with a 100% probability upon encounter with a defect we may show that the exciton quenching profile along the nanotube is given as exp(-|x|/Λ), where x is the distance from the defect location. Given relatively slow decay rate of this function as compared to Gaussian point spread function (PSF) of an optical system ~exp(-x2) one may expect to partially resolve the defect-induced PL quenching profile along the nanotube. After acquiring snapshots of sufficiently long nanotubes at 150× magnification before and after a single reaction event, the computed differential images of SWCNTs show asymmetric quenching profiles with aspect ratio ~ 2-3. We further fit the measured profiles with a convolution of PSF and exp(-|x|/Λ) functions to directly measure Λ for a particular nanotube structure. These directly measured Λ values appear in the range between 400 and 600 nm. For a variety of SWNCT structures we find excellent correlation of measured Λ parameters to SWCNT brightness. From the same images, indirect method (eq. 1) yields Λ of ~ 200 nm in good agreement with earlier reports. These experimental results indicate that prior assumptions on the 100% efficiency of exciton quenching by a defect may, in fact, be incorrect. We suggest that to explain such a large discrepancy between direct and indirect measurements of Λ a significantly lower exciton quenching probability must be assumed.
9:00 PM - AA20.54
Click Chemistry on Solution-Dispersed Graphene and Monolayer CVD Graphene.
Zhong Jin 1 , Thomas McNicholas 1 , Chih-Jen Shih 1 , Qing Hua Wang 1 , Geraldine L.C. Paulus 1 , Andrew Hilmer 1 , Steven Shimizu 1 , Michael Strano 1
1 Department of Chemistry Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractGraphene from two different preparative routes was successfully functionalized with 4-Propargyloxybenzenediazonium tetrafluoroborate in order to study a subsequent attachment by click chemistry (1,3-dipolar azide-alkyne cycloaddition) of a short chain polyethylene glycol with terminal carboxylic end group (PEG-COOH). The reaction steps were studied by FTIR and Raman spectroscopes, as well as zeta-potential and surface tension measurements. In the first route, pristine graphene was surfactant dispersed from a stage controlled expanded graphite before reaction, resulting in colloidally-stable dispersions after dialysis removal of the surfactant following the two functionalization steps. The chemistry was shown to increase the zeta potential from -45.3 to -54.6 mV and increase the surface tension from 48.5 to 63.0 mN/m compared to the precursor solution. The magnitudes of the zeta potential and the resulting solution concentration were shown to increase with grafting density up to 14.2 μg/mL. A colloidal stability model was used to estimate the maximum grafting density of the PEG-COOH groups yielding a value of 1 per 10 nm2. Raman mapping before and after the two-step functionalization suggests that edges and defects are preferentially reacted. In the second route, we investigated the same click chemistry functionalization on chemical vapor deposition (CVD) synthesized monolayer graphene films, which showed higher reactivity than solution-dispersed graphene. Because these methods do not originate with the more oxidized forms of graphene, the results point to new ways of more precisely controlling the chemistry of graphene. With this synthetic protocol, chemical changes can be bestowed on graphene by tailoring the functional groups on the diazonium moieties, also allows the subsequent reactions to attach additional moieties, thereby providing an easy and versatile route for incorporating graphene into composite materials and biosensors.
9:00 PM - AA20.55
Ultra Wideband Multiwall Carbon Nanotube Sheet Polarizer.
Julia Bykova 1 2 , Iakov Lesnichiy 3 , Dmitry Fedyanin 3 , Aleksey Arsenin 3 , Anvar Zakhidov 1 2
1 Physics, University of Texas at Dallas, Richardson, Texas, United States, 2 NanoTech Insitute, University of Texas at Dallas, Richardson, Texas, United States, 3 General Physics, Moscow Institute of Physics and Technology (State University), Moscow Russian Federation
Show AbstractHigh anisotropy of carbon nanotubes (CNTs) determines a strong polarization dependence of their light absorption and emission. By assembling individual CNTs into a well aligned order the anisotropic properties can be extended to the final composite material with remarkable polarization properties. Recently several attempts have been made to design such a metamaterial [1,2], which usually corresponds to alighned single-walled nanotubes (SWNT) in polymer matrix. But for high degree of polarization (about 0.9) the transparency of such polarizers is low (5-15%) and the working wavelength range is limited by polymer matrix optical properties. Oriented aerogel of multi-walled carbon nanotubes (MWNT) created by dry-drawing of spinnable CNT forests has been shown to be a relatively good polarizer [3] even at very high temperatures [4].In this work we demonstrate a polarizer based on MWNT oriented sheets, which exhibits a DP greater than 0.98 over an ultra wide range of wavelengths: from ultraviolet to microwaves and terahertz. Systematic characterization by spectroscopy, elipsometry combined with SEM showed how the polarization properties depend on conditions during synthesis of CNTs, specific process of drawing of CNT sheets, and also on their doping and functionalization.This work is supported by AFOSR grant FA 9550-09-10384 and AFRL/Rice grant via CONTACT consortium of Texas.[1] S. Shoji, et al. Phys Rev B 77 (2008) 153407[2] L. Ren at al. Nano Lett. 9 (2009) 2610[3] M. Zhang, S. Fang et al., Science, 309 (2005) 1215[4] A. Aliev, A.Kuznetsov, Phys Letters A, 372 (2008) 4938
9:00 PM - AA20.57
Bi- and Tri- Layer Graphene Solutions.
Chih-Jen Shih 1 , Aravind Vijayaraghavan 1 , Rajasekar Krishnan 1 , Richa Sharma 1 , Jae-Hee Han 1 , Moon-Ho Ham 1 , Zhong Jin 1 , Shangchao Lin 1 , Geraldine L.C. Paulus 1 , Nigel Reuel 1 , Qing Hua Wang 1 , Daniel Blankschtein 1 , Michael Strano 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractBilayer and trilayer graphene with controlled stacking is emerging as among the most promising candidates for post-silicon nanoelectronics. However, it is not yet possible to produce large quantities of bilayer or trilayer graphene with controlled stacking, as is required for many applications. Here we demonstrate a solution-phase technique for the production of large-area, bilayer or trilayer graphene from graphite, with controlled stacking. The ionic compounds iodine chloride (ICl) or iodine bromide (IBr) intercalate the graphite starting material at every second or third layer, creating 2nd or 3rd stage-controlled graphite intercolation compounds, respectively. The resulting solution dispersions are specifically enriched with bilayer or with trilayer graphene, respectively. Because the process requires only mild sonication, it produces graphene flakes as large as 50 um2. Moreover, the electronic properties of the flakes are superior to those achieved with other solution-based methods: for example, unannealed samples have resistivities as low as ~1 kOhm and hole mobilities as high as ~400 cm2V-1s-1. The solution-based process is expected to allow high throughput production, functionalization, and transferring of samples to arbitrary substrates.
9:00 PM - AA20.58
Single-Walled Carbon Nanotube Dynamics Based on Surfactant-Specific Binding.
Shannon Eichmann 1 , Matteo Pasquali 1
1 Chemical & Biomolecular Engineering, Rice University, Houston, Texas, United States
Show AbstractAs-produced single-walled carbon nanotubes (SWNTs) contain a variety of chiral conformations and are characterized by type as semiconducting or metallic. Each grouping has different electrical and mechanical properties making some SWNTs desirable for specific applications (i.e. sensors, transistors, and transparent conductive films). Recent work has shown that high throughput and high yield type separation of SWNTs can be achieved using agarose gels by varying the stabilizing surfactant.1,2 The separation is believed to depend on binding affinity between SWNTs, agarose, and surfactants but no direct proof of this mechanism has yet been produced. Direct imaging of individual semiconducting SWNTs by near-infrared (NIR) fluorescence3,4 has been used to measure bending dynamics and stiffness in aqueous media4 and flexibility-dependent mobility in agarose gels.5 In agarose gels, SWNTs were stabilized by deoxycholate, a surfactant shown not to lead to separation (no agarose-specific binding). Here we directly visualize how SWNT mobility in agarose gels changes based on surfactant type. We find that some surfactant-SWNT combinations lead to stronger SWNT binding and show that agarose-SWNT binding events can be used to determine binding constants for competitive replacement of the stabilizing surfactant. Measured binding affinities will be useful in future work requiring selection (or design) of specific surfactant-SWNT combinations for solution-based SWNT processing and other separations goals. Ongoing work is focused on investigating how SWNT chirality affects stabilizing surfactant coverage and can be used for separation by both type and chirality. 1.Tanaka, T., et. al., (2009) Nano Lett 9, 1497.2.Tanaka, T., et. al., (2008) App Phys Exp 1, 14001.3.Weisman, R. B., et. al., (2004) App Phys A 78, 1111.4.Fakhri, N., et. al., (2009) PNAS 106, 14219.5.Fakhri, N., et. al., (2010) Science 330, 1804.
9:00 PM - AA20.60
Chemical Functionalization of Graphene.
Sun Sook Lee 1 , Yong-Sook Shin 1 , Young-Taek Kwon 1 , Min-Han Yun 1 , Ill Young Lee 1 , Ki-Seok An 1
1 , Korea Research Institute of Chemical Technology, Daejeon Korea (the Republic of)
Show AbstractSolution-processable graphene nanosheets were synthesized by virtue of the chemical functionalization of the reaction between the graphene and various organic molecules without any reduction agents, which can give high degree of dispersions of graphene nanosheets in organic solvents. The functionalization of organic molecules to the graphene oxide nanosheets and the effective reduction of graphene oxide were confirmed by Fourier-transform infrared spectroscopy, X-ray diffraction, and X-ray Photoelectron Spectroscopy. The organic molecules functionalized graphene nanosheets exhibited a high solubility in the organic solvents with long-term stability. These results have potential applications for surface modification of graphene oxide-based composite materials.
9:00 PM - AA20.61
DNA Nucleotide Identification across Nanoporous Graphene.
Eduardo Cruz-Silva 1 , Eduardo Girao 1 2 , Humberto Terrones 3 , Bobby Sumpter 3 , Vincent Meunier 1
1 Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Departamento de Fisica, Universidade Federal do Ceara, Fortaleza, Ceara, Brazil, 3 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractTranslocation of DNA through nanopores has been recently shown to present unprecedented accuracy and speed for DNAsequencing. The use of graphene as nanoporous membrane has further advantages since it is ideally only one atom thick, and hence opens up the possibility to identify individual nucleotides in the DNA chain. Experimentally, graphene is usually found in few-layers stacks, with 2, 3 and 4 layers typically observed, and edge reconstruction into looped edges or bond rotations is also commonplace. In this work, we present the electronic structure and conductance properties of porous bilayer graphene nanoribbons with reconstructed edges, and the effects of nucleotides and DNA translocation through the nanopore.
9:00 PM - AA20.62
Mechanism of Chemical Unzipping of Carbon Nanotubes to Form Graphene Nanoribbons.
Alexander Sinitskii 1 , Dmitry Kosynkin 1 , Alexander Slesarev 1 , James Tour 1
1 Chemistry, Rice University, Houston, Texas, United States
Show AbstractRecently, we reported on the simple approach to fabricate graphene nanoribbons (GNRs) by chemical unzipping of multiwalled carbon nanotubes (MWCNTs). (1) This approach employs very common reagents (potassium permanganate and sulfuric acid), is simple, straightforward, scalable and has a high yield approaching 100%, enabling large scale production of GNRs for research needs as well as bulk applications, such as composites, fibers and thin films. However, two fundamentally important questions remain: how does unzipping of carbon nanotubes work and what makes this simple reaction so highly selective? It is well known that strong oxidants can cleave carbon-carbon bonds in the benzene rings of aromatic hydrocarbons. However, in our particular case there are millions of double bonds in MWCNTs and only those few are broken that enable longitudinal unzipping of the tubes. In order to address these questions, we have performed experiments where we monitored the appearance and electrical properties of selected MWCNTs during step-by-step oxidation by acidic potassium permanganate. We have observed the formation of the unzipping sites whose development results in the transformation of the outer shells of MWCNTs to GNRs. We found that mechanical stress in the oxidized MWCNTs plays crucial role in this reaction making it very selective. Although simultaneous formation of several unzipping sites is very frequent, they develop in a concurrent manner due to the mechanical stress, yielding μm-long GNRs rather than small graphene nanoplatelets of irregular shape. Our SEM observations are in a good agreement with the results of the step-by-step electrical measurements, XRD and high-resolution TEM. We also discuss the physical and chemical properties of the GNRs. Our findings on the importance of mechanical stress in chemical reactions could be applicable to other carbon allotropes and, more generally, other materials.[1] Kosynkin, D. V.; Higginbotham, A. L.; Sinitskii, A.; Lomeda, J. R.; Dimiev, A.; Price, B. K.; Tour, J. M. Nature 2009, 458, 872-876.
9:00 PM - AA20.63
Impact of Graphene-Electrolyte Interface in Graphene-Based Chemical and Biological FET Sensor Arrays.
Benjamin Mailly Giacchetti 1 , Hsu Allen 2 , Wang Han 2 , Kim Ki Kang 2 , Kong Jing 2 , Tomas Palacios 2
1 Materials Science and engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Electrical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThanks to the combination of an all-surface 2D structure and high carrier mobility, Graphene is a very promising candidate for high sensitivity and low noise chemical sensing. Indeed, recently graphene devices have been demonstrated for a wide variety of sensing analytes, including pH monitoring in electrolytes, glucose measurements, and in-vitro recording of the electrical activity of living cells.Last year, our group developed the first solution-gated graphene field effect transistor (SGFET) arrays. These devices have important advantages with respect to traditional sensors, including intrinsic signal amplification, simple electronic read-out and straightforward integration with Si-based microelectronics. We use graphene grown by chemical vapor deposition as the active channel of these new devices. After fabricating graphene transistors, an SU8-based encapsulation technology is used to protect the contacts and wires from the electrolyte. The main challenge with the processing of these sensors is that oxygen plasma, commonly used in more standard semiconductors to clean photoresist residue, cannot be used on graphene as oxygen plasma etches graphene. Typical graphene devices have therefore a significant amount of polymer-based residue on their surface that has an important impact on the sensor response, preventing the good reproducibility of the arrays and increasing the noise. This paper presents a new fabrication technology based on the use of an Al2O3 sacrificial layer on top of graphene to protect the graphene from any contamination. This layer is then removed at the end of the processing, resulting in much cleaner devices with record performance. Devices fabricated with the new technology exhibit the same RMS surface roughness as the as-transferred graphene on silicon dioxide, a significant improvement with respect to the original technology followed by most research groups. The characterization of our devices in a phosphate buffer solution demonstrates a high transconductance of 5 mS.mm-1. This high response highlights the very good sensitivity of our sensor compared to conventional silicon devices, which typically have 20 times lower transconductances. pH measurements have been performed using these devices by recording how the Dirac point shifts with changes in pH. Sensitivity as high as 30 mV/pH at the Dirac point have been measured in this way, the highest demonstrated in any graphene device. In addition, long term pH monitoring was achieved. Our on-going work focuses on functionalizing graphene to demonstrate new chemical sensors for glucose and explosive detection as well as fabricating graphene pH sensors on plastic. The surface functionalization has already been developed and will be discussed at the conference, while the successful transfer of graphene devices to polyethylene naphtalate (PEN) wafers has enable the first flexible graphene sensors.
9:00 PM - AA20.65
Chemical Doping of Large Area Graphene.
Brian Larsen 1 , Justin Bult 1 , Jeffrey Blackburn 1
1 Chemical and Materials Sciences, National Renewable Energy Lab, Golden, Colorado, United States
Show Abstract In this presentation, we will describe our recent developments in surface charge-transfer doping of large-area graphene. The high carrier mobility and amphoteric nature of graphene are intriguing properties that make graphene well-suited for many applications, including transparent electrodes [1] and carbon-based electronics [2]. To fully exploit the electronic properties of graphene, we have investigated chemical dopants for both p and n-type doping of graphene.
We have studied large area graphene doped using several aromatic molecules possessing electron withdrawing or electron donating functional groups capable of forming surface charge-transfer complexes. In our presentation, we will discuss in detail our characterization of these graphene dopants. Specifically, we will discuss our findings on the thermal and chemical stability of the chemically doped graphene and the effects of each dopant on graphene carrier concentration, mobility, and transport.
1. Li, X. et al. Nano letters. 2009, 9, 4359-63.2. F. Schwierz, Nat. Nanotechnol., 2010, 5, 487.
9:00 PM - AA20.7
Simultaneous Reduction and Strength Enhancement of Graphene Oxide Paper by Polydopamine.
Wonoh Lee 1 , Jea Uk Lee 1 , Chae-Young Oh 1 , Jin-Woo Yi 1 , Joon-Hyung Byun 1 , Byung-Sun Kim 1
1 Composite Materials Research Group, Korea Institute of Materials Science, Changwon, Gyungnam, Korea (the Republic of)
Show AbstractRecently, graphene-based composites have attracted a great deal of scientific and engineering interests because graphene has superior mechanical, electrical, and thermal properties and can produce a dramatic improvement in properties at very low filler content. Among many methods to achieve successful reinforcing graphene into the composite materials, the solution-based method is the most promising technique since the homogeneous colloidal suspension can provide high processability and flexibility to the large-scale production. This method produces graphene oxide (GO) through sequential chemical oxidation and exfoliation from graphite powders and then reduced graphene oxide (rGO) is obtained by chemical and/or thermal treatment. Therefore, the rGO derived from graphite by the chemical exfoliation and reduction has been widely adopted in the polymer composites. Free-standing paper-like nano-materials have been widely utilized as shielding material, chemical filter, conducting barrier and electronic devices owing to their planar structural capability. Especially, carbon-based paper materials are already commercialized such as carbon-nanotube (CNT) bucky paper and graphite foil. Recently, graphene-based paper has been rigorously investigated since two-dimensional graphene structure is expected to significantly improve paper’s properties than CNT bucky paper and graphite foil.Even though many researches on GO papers reported enhanced mechanical properties, GO papers are electrically insulating. Therefore GO papers require further chemical/thermal reduction process in order to fabricate electrically conducting rGO papers. However, the reduction methods based on chemical and thermal treatment use toxic hydrazine and high temperature annealing step.In this work, rGO paper has been fabricated using bio-inspired adhesive polydopamine (pDop). Dopamine mimics the catechol-amine structure in the mussel’s adhesive foot protein, mytilus edulis. Also, dopamine can be utilized as an effective reducing agent due to its oxidative self-polymerization. Therefore, pDop embedded rGO paper can be mechanically strong and electrically conductive without using toxic chemical and/or thermal annealing reduction processes.In this work, bio-inspired adhesive material, dopamine was utilized to fabricate mechanically strong and electrically conductive rGO papers, since dopamine has both adhesion and reduction properties. To achieve this, GO was manufactured using the modified Hummers method and then pDop/rGO paper was fabricated by a simple filtration. During the filtration, dopamine is self-polymerized within individual layers in GO paper. From the IR spectrum analysis and electrical conductivity measurement, the reduction of GO by pDop was verified. Furthermore, the manufactured pDop/rGO paper showed better mechanical and electrical properties than pure GO paper.
9:00 PM - AA20.70
Graphene for Accelerated Osteogenic Differentiation of Human Mesenchymal Stem Cells.
Henrik Andersen 1 , Tapas Nayak 2 , Venkata Makam 2 , Clement Khaw 3 , Sukang Bae 4 , Xiangfan Xu 1 , Pui-Lai Ee 2 , Jong-Hyun Ahn 4 5 , Byung Hee Hong 4 6 , Giorgia Pastorin 2 7 8 , Barbaros Oezyilmaz 1 7 8
1 Graphene Research Center and Department of Physics, National University of Singapore, Singapore Singapore, 2 Department of Pharmacy, National University of Singapore, Singapore Singapore, 3 , Nikon Imaging Centre, Singapore Singapore, 4 SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon Korea (the Republic of), 5 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 6 Department of Chemistry, Sungkyunkwan University, Suwon Korea (the Republic of), 7 NUS Graduate School for Integrative Sciences and Engineering, National University of Singapore, Singapore Singapore, 8 NanoCore, National University of Singapore, Singapore Singapore
Show AbstractThe use of human Mesenchymal Stem Cells (hMSCs) for tissue engineering and regeneration therapy is very appealing due to the multi-potency of hMSCs and low transplant rejection rate. Such therapy requires a scaffold for the stem cells to adhere, proliferate, differentiate and mature into the desired tissue function. Both natural and synthetic materials have been developed for promoting differentiation into specific lineages such as fat, cartilage, muscle or bone. Here we present single layer, chemical vapor deposited graphene sheets as a biocompatible scaffold that accelerates the osteogenic differentiation of hMSCs. Graphene, as a single layer of carbon, introduces the least amount of artificial material and does not affect the proliferation or morphology of the hMSCs. The rate of differentiation on graphene is even observed to be comparable to that achieved with biochemical inducers. This shows the great potential for graphene as a biocompatible stem cell scaffold.
9:00 PM - AA20.71
Production of Safe Carbon Nanotubes for Bioapplications.
Rui Silva 1 , Diogo Mata 1
1 CICECO, Ceramics Eng. Dept., University of Aveiro, Aveiro Portugal
Show AbstractTo turn the use of carbon nanotubes (CNTs) of pharmaceutical and clinical relevance a huge effort has been made to depress the potential CNT hazards in human body. The toxicity profile of raw CNTs results from their biopersistency that depends on the nonbiodegradability, hydrophobicity and morphology, and also from the amount of metallic impurities [1]. The control of the CNT degradability is still complex to carry out, thus, the research has been focused on the other two toxicity inducing factors. The feeble dispersion of hydrophobic CNTs on physiological mediums has been successfully overcome by means of chemical functionalisations. Concerning the CNT morphology and purity, they have been efficiently controlled by the catalyst-supported CVD method [2,3]. Therefore, such approach has huge potential to produce safe CNT, purified with small lengths <5 µm and diameters <30 nm, that can be easily cleared from the body by the urinary system [4]. Though, the production of gram-amounts of CNTs by this method is still being a challenge.This work describes novel strategies to produce high outputs of safe multi-walled carbon nanotubes (MWCNT) for bioapplications. Aligned MWCNT films were grown in a hot-wall thermal CVD reactor working in a semi-continuous configuration. To maximise the outputs of short MWCNT films of ~5 µm thickness, the growth process was optimised to be performed in an interrupted way to give a stacked arrangement of films. The materials of the substrate and catalyst particles were strategically selected to promote the base-growth mode. This growth mode allows the stacked growth configuration and gives high pure products of ~99.96 wt% avoiding the post-growth purification processes that can change the intrinsic properties of CNTs. Moreover, by tuning the catalyst particle size in the range of 13-20 nm it is possible to control the number of walls and outer diameters of CNTs in the range of 10-7 walls and 14-16 nm, respectively. The morphology, structure and purity of the carbon products were fully investigated.References[1]Smart SK, Cassady AI, Lu GQ, Martin DJ. The biocompatibility of carbon nanotube. Carbon 2006;44:1034-47.[2]Mata D, Ferro M, Fernandes AJS, Amaral M, Oliveira FJ, Costa PMFJ, Silva RF. Wet-etched Ni foils as active catalysts towards carbon nanofiber growth. Carbon 2010;48:2839-54.[3]Mata D, Amaral M, Fernandes AJS, Oliveira FJ, Costa PMFJ, Silva RF. Self-assembled cones of aligned carbon nanofibers grown on wet-etched Cu foils. Carbon 2011;49:2181-96.[4]Lacerda L, Soundararajan A, Singh R, Pastorin G, Al-Jamal KT, Turton J et al. Dynamic imaging of functionalized multi-walled carbon nanotube systemic circulation and urinary excretion. Adv Mater 2008;20:225-30.
9:00 PM - AA20.72
Light-Emitting Diode Based on Metal Oxide-Graphene Core-Shell QDs.
Won Kook Choi 1 , Dong Ick Son 1 , Dong Hee Park 1 , Byoung Wook Kwon 1 , Jung Do Yang 1
1 , Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractRecently, carbon nanomaterials has attracted due to countless investigations into its remarkable electrical properties, opening up a new research area for materials science including for a diverse ranging and exiting potential applications. Quantum dots (QDs) are potential candidates for nano-scale device applications such as next-generation electronic and optoelectronic devices, including light-emitting diodes, because of peculiar physical properties of quantum confinement effect.In this paper, we report the core-shell QDs with new type in situ growth of graphene on the surface of ZnO quantum dots (QD) and their application in multi-structured Blue LED devices by using organic materials and conjugated metal oxide-carbon nanomaterials. We fabricated a hybrid polymer-quantum dots (QDs) light-emitting diode (LEDs) device with a multi-layer structure by all solution processing is simply fabricated by using a spin coating technique. The Al/Cs2CO3/quasi-ZnO-graphene QDs/poly-TPD/PEDOT:PSS/ITO LEDs show electroluminescence (EL) with maximum brightness 798.1 cd/m2 at the forward bias +13 V.
9:00 PM - AA20.74
Determination of Substrate Pinning in Epitaxial and Supported Graphene Layers via Raman Scattering.
Nicola Ferralis 1 , Roya Maboudian 2 , Carlo Carraro 2
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 2 Chemical Engineering, University of California, Berkeley, Berkeley, California, United States
Show AbstractCompared to research into graphene's remarkable electronic properties, much less is known about how its mechanical and elastic properties are affected by the presence of a supporting substrate. Yet, the response of graphene films to mechanical stimuli is crucial, with potentially far reaching applications in high performance linear and nonlinear electronic, photonic, electrochemical and electromechanical elements. Here, a comprehensive description of the temperature dependent evolution of the graphene Raman spectra is used to determine and quantify the strength of the graphene-substrate pinning. Through a comprehensive modelling of the interaction of interatomic potentials, it is found that the degree of pinning is substrate-dependent. The thermal shift rate of epitaxial graphene on SiC substrates is found to be about three times that of freestanding graphene. This result is explained quantitatively as a consequence of pinning by the substrate. In contrast, graphene grown on polycrystalline Ni films is shown to be unpinned, i.e., to behave elastically as freestanding, despite the relatively strong interaction with the metal substrate. Moreover, it is shown that the transfer of exfoliated graphene layers onto a supporting substrate can result in pinned or unpinned layers, depending on the transfer protocol.
9:00 PM - AA20.75
'Curved’ Nanocarbons: Topology and Geometrical Perspectives.
Sanju Gupta 1 , Avadh Saxena 2
1 Chemistry and Biophysics, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 2 Theoretical Division, Los Alamos National Lab, Los Alamos, New Mexico, United States
Show AbstractCarbon is a unique element for its structural diversity and exotic geometries and more so in curvature and topology aspects, namely the spherical fullerenes or stable sp^2 C spherical carbon cages, spheroidal hyper-/hypofullerenes, cylindrical nanotubes, conical nanocarbons, and toroidal nanorings that have attracted a great deal of attention both experimentally and theoretically. Despite extensive structural and physical property characterization, they were not viewed as low-dimensional topologically distinct nanoscale materials albeit geometrical systems that opened a new research arena in materials science [1]. An additional interest in such systems stems from the fact that the exotic geometries are accompanied by (local) topological defects. In the virtues of defects, local violation by topological defects (violating nanoscale translational order inherent to periodic nanostructures) leads to novel curved nanocarbons, which in turn transcends to global topology thus global versus local topology inter-relationship. This work is an extension of recent report [Gupta and Saxena, J. Raman Spectroscopy 40, 1127 (2009)], wherein we provided a detailed and systematic behavior of the phonon spectra through resonance Raman spectroscopy, which paved a way to an emergent paradigm of curvature / geometry/ topology --> property --> functionality relationship. To this end other than monitoring phonon spectra from a range of nanocarbons above mentioned, we determined the probability densities of the mean (H) and Gaussian (K) curvatures as pertinent observables for geometric characterization of various nanocarbons include tubular (single, double- and multi-walled nanotubes), spherical (hypo- and hyper-fullerenes) and complex (nanocones and nanotorus/nanoring) geometries to quantify the interplay of intrinsic curvature (geometric) and topology (global topology). We found various mathematical homologues such as catenoid and saddle-shaped surfaces in nanotorus and nanocones [1]. We compared these results with highly-oriented pyrolytic graphite and monolayer graphene as layered and planar systems, respectively and importantly, the nanoscale carbons discussed are their derivatives. We note that curvature leads to nonlinearity that manifests itself in some form of symmetry breaking that can be extrapolated to topological variations that may either close or open the bandgap reflected in the introduction of new Raman and absorption peaks, changes in mechanical property and electrical behavior as well as electronic density of states. These concepts are generally applicable to other distinct nanostructured materials such as boron-nitride (BN) nanotubes and nanotori, helical gold nanotubes and graphene nanoribbons and Möbius conjugated polymers. [1] ibid. JAP (2011). Selected for Virtual Journal of Nanoscale Science & Technology April Issue (2011)];
9:00 PM - AA20.76
Carbon Nanotubes Functionalized with Switchable Dipolar Molecules.
Changshui Huang 1 , Randy K Wang 2 , Bryan Matthew Wong 3 , David McGee 4 , Francois Leonard 3 , Mark A. Eriksson 2 , Padma Gopalan 1 5
1 Material Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Physics, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 , Sandia National Laboratories, Livermore, California, United States, 4 Physics, Drew University, Madison, New Jersey, United States, 5 Chemistry, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractWe have explored a new class of hybrid nanomaterials consisting of individual single-walled carbon nanotubes (SWNTs) functionalized with azo-benzene chromophores for optoelectronics applications. Here we use a number of experimental techniques and theory to understand the binding, orientation, and coupling between chromophores and the nanotubes, which is important for future optimization of these hybrid materials. These techniques indicate that the binding energy between chromophores and nanotubes depends strongly on the type of tether that is used to bind the chromophores to the nanotubes. We find weak electronic coupling between the chromophores and the SWNTs, indicating non-covalent binding. The chromophore-nanotube coupling, while weak, is sufficient to quench the chromophore fluorescence. The binding energy of the chromophores to the nanotubes is maximized for chromophores parallel to the nanotube sidewall, even with the use of tethers; second harmonic generation shows that there is nonetheless a partial radial orientation of the chromophores on the nanotubes. The chromophore orientation is an important variable for chromophore-nanotube phototransistors, and our experiments suggest the possibility for further optimizing this orientational degree of freedom. Spectroscopic analysis in thin-film form by Raman, UV-Vis, and XPS spectra confirm the increasing strength of π-π interactions of the chromophores to the nanotubes as we move from unmodified dispersed red 1(DR1U) chromophore to a pyrene tethered (DR1P) chrmophore. Density functional theory (DFT) calculation results show stronger binding energy of DR1P to the SWNTs compared to the DR1U. The calculations also suggest that the parallel configuration of DR1 to the nanotubes is preferred over the vertical orientation. Second Harmonic Generation (SHG) experiments indicate that there is some perpendicular component to the overall orientation of DR1P on the SWNTs, suggesting heterogeneous adsorption of the functionalized chromophore. Both this heterogeneity and the indications of chiral/diameter dependence suggest research pathways for improvements in the photogating of chromophore-functionalized carbon nanotubes.
9:00 PM - AA20.77
Effects of CNT Functionalization on the Production of Cu-MWCNT Nanocomposites.
Martin Mendoza 1 , Guillermo Solorzano 2 , Andrea Porto 3
1 Materials Engineering, PUC-Rio, Rio de Janeiro, Rio de janeiro, Brazil, 2 Materials Engineering, PUC-Rio, Rio de Janeiro, Rio de janeiro, Brazil, 3 Materials Division, Inmetro, Duque de Caxias, Rio de janeiro, Brazil
Show AbstractThe objective of this study is to evaluate the effects of CNT functionalization using conventional acid treatment and micro wave process on the production of bulk Cu- Multi walled carbon nanotubes (MWCNTs) nanocomposites. Such motivation relies on the effort to disperse CNTs into the copper matrix and therefore improve its interface in order to take advantage of the well-established superior mechanical and transport properties of CNTs.This work reports some aspects of structural and chemical characterization of a Cu – 1 to 5 wt (%) MWCNT nanocomposites. Purified MWCNT, with diameters between 10-80 nm produced by CVD were used. MWCNTs were functionalized using a mixture of H2SO4: HNO3 (3:1). Parallel MWCNTs have also been functionalized using microwave process in order to compare the effect of functionalization processes on the dispersion into the copper matrix. Nanocomposite powders were produced by dissociation of a homogeneous suspension containing Cu(NO3)2. 3H2O – MWCNT previously functionalized; followed by H2 reduction of the obtained CuO-MWCNT precursor. Bulk nano-composite pellets were obtained trought pre-compactation under uniaxial pressure followed by isostatic pressure procedures. Sintering of the compacted pellets was carried out at 950°C under Argon atmosphere by 30 min. TEM observations of as synthesized powder shows Cu nanoparticles (5 to 20 nm in size) decorating MWCNT. In fact Raman spectroscopy on functionalized MWCNT reveals a defect increase which enhances the adherence of Cu nanoparticles on the CNT walls, as confirmed by HRTEM.Moreover, in the pellets we observed open CNTs agglomeration and CNT shortening, arising from the functionalization and thermomechanical processes, also confirmed by EFTEM and EELS. Low temperature electrical resistivity measurement shows that the nanocomposite material has lower value as compare with pure copper. This decrease is proportional to CNT volume fraction.
9:00 PM - AA20.78
Novel Interpretation of Raman Scattering Data in Semiconducting Single-Walled Carbon Nanotubes.
Hang Chen 1 , Anna Swan 1 , Juan Duque 2 3 , Andrew Shreve 2 , Svetlana Kilina 2 , Sergei Tretiak 2 , Stephen Doorn 2 , Xiaomin Tu 4 , Ming Zheng 4
1 Electrical and Computer Engineering, Boston University, Boston, Massachusetts, United States, 2 Center for Integrated Nanotechnologies, MPA-CINT, MS-K771, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 3 Chemistry Division, Physical Chemistry and Applied Spectroscopy (C-PCS), Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 4 Polymers Division, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractRaman spectroscopy is extensively used for both identification and characterization of single-walled carbon nanotubes and it is therefore important to understand the nature of the Raman scattering. Nanotubes straddle between extended solid state system and a molecular system and the interpretation of measurements will depend on the model used. The Condon approximation, which states that the electronic transition dipole moment is independent of nuclear coordinates, is extensively applied in molecular and condensed matter spectroscopy. This approximation leads to a long-held assumption in Raman spectroscopy of carbon nanotubes that Raman intensities arising from resonant incident or resonant scattered photons are the same. We use resonant Raman excitation profiles (REPs) of the G band to probe the validity of the Condon approximation and get insight into what model (solid state or chemical) best describes the Raman scattering mechanism in semiconducting carbon nanotubes [1]. The measurement is possible due to advances in the separation of nanotube chirality in solution samples.Strong asymmetry can be observed in these REPs, with the incoming resonance always stronger than the outgoing resonance, in contradiction of the Condon approximation. The asymmetry in the REPs supports a molecular interpretation of the optical interaction. A four-level (molecular) model that takes into account the non-Condon effect has been developed and applied to the experimental data. The single Eii transition REPs, including E22, E33 and E44 in our case, can all be well explained and fitted by this model. In addition, partial REPs for the chiralities where interference exists between E33 and E44 transitions are obtained as well, and an extended multi-level model for these REPs are in progress.The violation of the Condon approximation could have impact on phonon mediated mixing of electronically excited states, of interest for exciton relaxation dynamics, and potentially for carrier multiplication with a concomitant improvement in photovoltaic efficiency. [1] J Duque et al ACS Nano ASAP, DOI: 10.1021/nn201430z (2011)
9:00 PM - AA20.79
Dispersions of Exfoliated Boron Nitride Nanosheets for Secondary Modification.
Yi Lin 1 , John Connell 2
1 , National Institute of Aerospace, Hampton, Virginia, United States, 2 Advanced Materials and Processing Branch, NASA Langley Research Center, Hampton, Virginia, United States
Show AbstractAs the structural analog of graphite, hexagonal boron nitride (h-BN) is more inert toward oxidative treatments, making their exfoliation into monolayer sheets a much more challenging task. Nevertheless, several chemical and physiochemical approaches have been developed to exfoliate h-BN to obtain BN nanosheets despite variation in the thicknesses or layer numbers. The homogeneous dispersions of exfoliated BN nanosheets allowed convenient “secondary” surface modification of BN with various functional entities such as polymers, inorganic nanoparticles or protein molecules. Such hybrid nanomaterials might find applications in composites, sensing, and biomedical fields.
9:00 PM - AA20.8
Highly Reduced, N-doped, and Work-Functional Tunable Graphene Electrodes for Application into Optoelectronic Devices.
Jin Ok Hwang 1 , Ji Sun Park 1 , Dong Sung Choi 1 , Sun Hwa Lee 1 , Sang Ouk Kim 1
1 MSE, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractWe demonstrate an effective procedure to fabricate highly reduced and N-doped graphene electrodes on a glass substrate. Highly conductive and transparent reduced graphene films were prepared by sequential reduction and nitrogen doping processes typified with chemical and thermal treatment after spin casting with an aqueous dispersion of graphene oxide. Moreover, the work function of the resultant N-doped graphene electrodes could be controlled by N-doping contents divided by experimental conditions. In this approach, we introduce tailored transparent graphene electrodes by reducing and N-doping environment and also introduce for the first time inverted-type polymer light emitting diodes (iPLEDs) exhibiting the possibility for the commercialization as one of the practical applications using graphene electrodes.
9:00 PM - AA20.80
Undulating Single-Walled Carbon Nanotube Thin-Films Fabricated by Poly(dimethylsiloxane) Swelling in Organic Solvents.
Minho Jeong 1 , Eunsuk Choi 1 , Kunhak Lee 1 , Jinoh Kim 1 , Ahsung Kim 1 , Chaehyun Lim 2 , Takaichi Isoshima 3 , Masahiko Hara 3 , Seung-Beck Lee 1 2 4
1 Electronic Engineering, Hanyang University, Seoul Korea (the Republic of), 2 Nanoscale Semiconductor Engineering, Hanyang University, Seoul Korea (the Republic of), 3 Flucto-Order Functions Research Team, RIKEN Advanced Sicence Institute, Hanyang University, Seoul Korea (the Republic of), 4 Institute of Nano Science and Technology, Hanyang University, Seoul Korea (the Republic of)
Show Abstract Recently for the fabrication of stretchable electrodes, the mechanical undulating, or buckling, thin-films supported by elastomeric substrates have been reported, which were based on releasing of compressive strains caused by mechanical lateral pre-strain to a polydimethylsiloxane (PDMS) substrate. Here, we report undulating single-walled carbon nantoube thin-films(CNTFs) by utilizing the chemical reaction characteristics of PDMS in organic solvents. PDMS swells in contact with various organic solvents which makes it problematic for PDMS based microfluidic systems due to the change in dimensions, however to fabricate undulating CNTFs we have utilized this swelling effect as the pre-strain in the PDMS that replaces externally applied mechanical strains which is harder to control and difficult in terms of uniform strain application. We have fabricated swollen PDMS by dipping it in N-methylpyrrolidone, acetone, o-dichlorobenzene, and chloroform for 24 hours which result in varying PDMS swelling ratios (the length of PDMS in the solvent/the length of dry PDMS) [1]. Then using a stamping method, CNTFs on AAO membrane filter were transferred to the swollen PDMS and dried at 80 °C. Finally, The CNTFs on de-swollen PDMS showed different undulating wavelength and amplitude which corresponded to each solvent used for swelling. The adhesion between CNTFs and PDMS were not strong enough for complete contact due to the hydrophobic surface of PDMS and surfactant coated hydrophilic CNTFs. CNTFs on PDMS treated with higher swelling ratio chemicals showed delaminated undulating patterns after de-swelling with a higher undulating amplitude and wavelength. Also we observed that the undulating effects of CNTFs depended on CNT density. When the CNT density was increased, a higher undulating amplitude and wavelength resulted which was due to the increased CNTF thickness. This strong dependence of CNTF thickness caused various undulating geometry of CNTFs unlike the sinusoidal buckling patterns fabricated by PDMS strain release methods which were independent of film thickness [2]. We have demonstrated undulating CNTFs, depending on the level of swelling ratio of PDMS in the various solvents and CNTF thickness. We expect that undulating CNTFs may be applicable as stretchable electrodes for flexible strain/pressure sensors.References [1] J. Ng Lee, C. Park, and G. M. Whitesides, Anal. Chem. 75, 6544 (2003) [2] Y. Sun, W. M. Choi, G. Jiang, Y. Huang, J. A. Rogers, Nat. Nanotechnol. 1, 201 (2006)
9:00 PM - AA20.81
Flexible Macroporous Carbon Films Using Three-Dimensional Self-Assembly of Graphene Oxide.
Sun Hwa Lee 1 , Hyun Wook Kim 1 , Jin Ok Hwang 1 , Won Jun Lee 1 , Joon Kwon 1 , Christopher Bielawski 2 , Rodney Ruoff 3 , Sang Ouk Kim 1
1 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of), 2 Chemistry and Biochemistry, The University of Texas at Austin, Austin, Texas, United States, 3 Department of Mechanical Engineering and the Texas Materials Institute, The University of Texas at Austin, Austin, Texas, United States
Show AbstractWe have demonstrated a self-assembly method to fabricate highly ordered, mechanically flexible macroporous RGO films. The pore size and the number of porous layers were controlled by the concentration of the organic precursor disperson and the length of polymer chains grafted to the GO surface. Additional N-doping of these 3D carbon films enhanced their electrical conductivities and facilitated further chemical functionalization under mild aqueous-based processes. Our facile preparation of highly ordered macroporous graphene film may find utility in various applications, including energy storage/conversion, biological scaffold, supporting frameworks of catalyst, and sensors.
9:00 PM - AA20.83
Flexible Sensors Based on Carbon Nanofibers.
Oriol Monereo 1 , Marcel Boix 1 , Sergi Claramunt 1 , J. Daniel Prades 1 , Albert Cirera 1 , Pilar Merino 2 , Carlos Merino 2
1 MIND/IN2UB, Departament d'Electronica, Universitat de Barcelona, Barcelona, Barcelona, Spain, 2 , Grupo Antolin Ingenieria S.A., Burgos Spain
Show AbstractStiffness and rigidity set limits to the applications of conventional electronics. Flexible electronics extends the ubiquitous presence of electronic devices to new, yet barely explored, scenarios, such as wearable smart systems. The development of low-cost sensors, able to provide information to smart devices and fully integrated in flexible technologies is still a pending issue. In this contribution we study the varied sensing capabilities of carbon fibers integrated in flexible plastic substrates by means of scalable ink-jet and electrospray techniques. On the one hand, the interesting gas sensing properties of the carbon nanofibers, attributed to their large surface-to-volume ratio and highly reactive surface were explored. The devices displayed remarkable variations in the electrical resistance upon exposure to gases such as NH3, CO and humidity, even at room temperature. On the other hand, the wide spectral response of carbon nanostructures was used for light detection applications. The effect of thermal treatments on the basal sheet resistance, stability and response time of the devices will also be discussed. Finally, results on the mechanical stability of the devices (specifically, their bending stability and resistance) will be presented.
9:00 PM - AA20.84
Carbon Nanotube Top Electrodes for White OLEDs.
Alex Zakhidov 1 , Patricia Freitag 1 , Bjoern Luessem 1 , Karl Leo 1
1 IAPP, TU-Dresden, Dresden Germany
Show AbstractAn important part of any Organic Light Emitting Diode (OLED) is optically transparent electrode. Carbon nanotubes (CNT) provide cheap, flexible, chemically stable alternative to thin film metal oxides such as Indium Tin Oxide (ITO) or thin film metal. In this work, we repot on fabrication and characterization of white OLED with top CNT electrode. Small molecule multilayer p-i-n OLED structure was utilized. CNT electrode was deposited onto the organic stack using room temperature self-laminating process to complete OLED device. We demonstrate that fabricated device show essentially same current efficiencies as reference devices with thin semi-transparent metal electrodes. Due to specific optical properties of CNT film fabricated devices show nearly no optical cavity effect. Consequently by varying transport layer thickness doesn’t affect the emission spectra. This opens new degree of freedom for tuning of OLED color coordinates. Angular resolved measurements show essentially no dependence of color on the viewing angle and nearly Lambertian emission.
9:00 PM - AA20.85
Carbon Nanotube with Chemically Bonded Graphene Leaves for Electronic and Optoelectronic Applications.
Kehan Yu 1 , Ganhua Lu 1 , Zheng Bo 1 , Shun Mao 1 , Junhong Chen 1
1 Mechanical Engineering, University of Wisconsin-Milwaukee, Milwaukee, Wisconsin, United States
Show AbstractHybrid nanomaterials composed of carbon nanotubes (CNTs) and graphene could potentially display outstanding properties that are superior to either CNTs or graphene alone. However, the inherent CNT-graphene loose junctions present in the CNT-graphene composites synthesized by existing methods significantly hinder the realization of the full potential held by CNT-graphene hybrids. In this work, we report on a brand-new, three-dimensional (3D) carbon nanostructure comprising few-layer graphene (FLG) sheets inherently connected with CNTs through sp2 carbons, resembling plant leaves (FLGs) growing on stems (CNTs). The resulting hybrid nanostructures were characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, and X-ray photoelectron spectroscopy (XPS). The evolution of FLG sheets on CNTs was tracked by high-resolution TEM (HRTEM). The host CNT and the as-grown FLG are inherently “fused” or chemically bonded together by sp2 carbons, forming a single, total-carbon nanostructure with minimized CNT-graphene junctions. Distinct from a random mixture of CNTs and graphene sheets (CNT+G) suffering from poor CNT-graphene contacts, our CNT-FLG structure has intrinsic chemical bonding between the two constituent components. We further show that the resulting CNT-FLG structure exhibits remarkable optoelectronic and gas sensing properties superior to its CNT or CNT+G counterparts. The new structure reported here is thus attractive for various electronic and optoelectronic applications.
9:00 PM - AA20.87
Reversible Electrical Reduction and Oxidation of Graphene Oxide.
Okan Ekiz 1 , Mustafa Uerel 1 , Hasan Guener 1 , Burak Tuerker 1 , Aykutlu Dana 1
1 Institute of Material Science and Nanotechnology, National Nanotechnology Research Center, Ankara Turkey
Show AbstractWe demonstrate that graphene oxide can be reversibly reduced and oxidized using electrical stimulus. Controlled reduction and oxidation in two-terminal devices containing multilayer graphene oxide films are shown to result in switching between partially reduced graphene oxide and graphene, a process which modifies the electronic and optical properties. High-resolution tunneling current and electrostatic force imaging reveal that graphene oxide islands are formed on multilayer graphene, turning graphene into a self-assembled heterostructure random nanomesh. Charge storage and resistive switching behavior is observed in two-terminal devices made of multilayer graphene oxide films, correlated with electrochromic effects. Tip-induced reduction and oxidation are also demonstrated. Results are discussed in terms of thermodynamics of oxidation and reduction reactions.Graphene oxide (GO) is an insulator with a large effective band gap and band structure that depends on the stoichiometry. It has been previously observed that graphene oxide can be reduced controllably at low temperature (low-T) in ambient atmosphere. In such a low-temperature reduction process, the resistivity of the films can be continuously monitored and can be used to control the degree of reduction. Interruption of thermal reduction results in partially reduced graphene oxide (PRGO). Conduction mechanisms of such PRGO films are known to be different than multilayer graphene (MLG) films, as evidenced by temperature dependence of resistivity.A hopping transport mechanism was thought to be dominant in such films, and limited evidence for the presence of oxygen-rich domains was provided through scanning tunneling microscopy (STM) imaging of PRGO layers. In this work, we demonstrate electrically induced reversible reduction of graphene oxide, resulting in changes in the electronic and optical properties of graphene-based thin films. It is observed that optical and electronic property changes are correlated with the formation of a graphene/graphene oxide nanomesh.
9:00 PM - AA20.88
Catalytic Activity of Graphene Oxide as Carbocatalyst for Variety Oxidation/Reduction Reactions.
Aram Kim 1 , Seongwan Jang 1 , Byeong-Su Kim 2 , Kang Hyun Park 1
1 Department of Chemistry and Chemistry Institute for Functional Materials, Pusan National University, Busan Korea (the Republic of), 2 Interdisciplinary School of Green Energy and School of NanoBioscience and Chemical Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulsan Korea (the Republic of)
Show AbstractGraphene, a monolayer of aromatic carbon lattice, has attracted considerable interest in recent years in many fields of science and engineering. This interest can be attributed to the extraordinary electrical, optical, electrochemical, thermal, and mechanical properties of graphene and its related carbon nanostructures. While earlier synthetic methods for graphene production were challenging, there have been considerable advancements in the synthesis and processing methods, such as micromechanical exfoliation, oxidation/reduction protocols, epitaxial growth, and vapor deposition. These improved methods have enabled the realization of graphene-based materials for applications to nanotechnology. Among various types of graphene and related carbon nanostructures, a stable suspension of graphene oxide (GO) is the common choice over pristine graphene owing to its facile synthetic nature in a controlled, scalable, and reproducible manner. The abundant oxygen-containing functional groups such as epoxide, alcohol, and carboxylic acids provide graphene oxide with excellent aqueous dispersity and also offer anchors for further chemical modifications.Up to now, in catalytic applications, graphene oxide was exclusively used for the supporting materials to immobilize metal catalyst. Recently, however, Bielawski and co-workers reports the first example of using graphene-based materials as (metal-free) carbocatalysts. They demonstrated that graphene-based materials may be used as carbocatalysts to encourage a number of synthetically useful transformations, including the oxidation various alcohols and cis-stilbene, and the hydration of various alkynes. A significant effort has been made to advance the application of graphene oxide as catalyst in various chemical transformations. Herein, we use graphene oxide as the convenient carbocatalyst for variety oxidation/reduction reactions such as Baeyer–Villiger oxidation of cyclic ketones.
9:00 PM - AA20.9
High Transparent and Conducting Film Based on PEDOT:PSS Doped with SWNT to Replace ITO in Low Cost Manufacturing Opto-Electronic Device.
Gilles Banoukepa 1 , Remi Antony 1 2 , Musubu Ichikawa 1 , Bernard Ratier 1 2
1 , Shinshuu University, Ueda Japan, 2 , Xlim -MINACOM , Limoges France
Show AbstractTransparent and high conductive nano-composite film was fabricated, in the order to replace Indium Tin Oxide (ITO) electrode. Because conjugated polymers offer great potential for low cost and environmental-friendly manufacturing methods on large area, light and flexible panels (1). Besides that, recently, several groups have come with the idea to use a carbon nanotube metallic transparent conductive electrode (2) as the hole collecting electrode. In this paper, studies on the morphology and the conductivity of poly (3,4-ethylenedioxythiophene) poly(4-styrenesulfonate) doped with single wall nanotube (SWNT/PEDOT:PSS) thin layers were carried out. The SWNT/PEDOT:PSS films were prepared on glass substrates using spin coating low temperature method. Polar solvent treatment was performed to improve the nano-composite conductivity. The conductivity enhancement from 0.1 S/cm to 350 S/cm was observed. Optoelectronics characteristics of the films reveal dependence to the SWNT concentration and the achieved multilayer structure. The prepared OLED performances were found to be affected by the nano-composites electrode characteristics as expected. SWNT’s bundle remain into the film, despite that a device with the SWNT/PEDOT:PSS electrode showed a higher external quantum efficiency of 0.81% and a higher power efficiency of 1,32 lm/W than the bare ITO device, respectively 0.40% and 0,54 lm/W. (1) A.D. Pasquier, H.E. Unalan, A. Kanwal, S. Miller, M. Chhowalla, Appl. Phys. Lett., 2005, 87, 203511 (2) C.J. Brabec, N.S. Sariciftci, J.C. Hummelen, Adv. Func.Mater., 2001, 11, pp. 15-26