Symposium Organizers
Eric Chason Brown University
Rodolfo Cuerno Universidad Carlos III de Madrid
Jennifer Gray University of Pittsburgh
Karl-Heinz Heinig FZ Dresden-Rossendorf
KK1: Self-organization and Self-assembly by Growth and Annealing I
Session Chairs
Monday PM, November 30, 2009
Ballroom B (Hynes)
9:30 AM - **KK1.1
Structure Formation During Vapor Deposition of Thin Polymer Films on Substrates - Experiments and Modeling.
Christian Vree 1 , Stefan Mayr 2 3
1 I. Physikalisches Institut, Universität Göttingen, Göttingen Germany, 2 , Leibniz-Institut für Oberflächenmodifizierung e.V., Leipzig Germany, 3 Fakultät für Physik und Geowissenschaften und Translationszentrum für Regenerative Medizin, Universität Leipzig, Leipzig Germany
Show AbstractThe early stages during low temperature vapor deposition of organic materials onto inorganic substrates are frequently characterized by surface pattern formation on a characteristic length scale, accompanied by dramatic roughening, while these structures level at higher film thicknesses. Unexplainable by traditional liquid-state dewetting scenarios, we employ a combined experimental / modeling approach to track down the underlying physics using poly(bisphenol A carbonate) (PC) as a model system: Experimentally structure formation is explored in an atomic force microscopy study on deposition onto Si, SiO2, GaAs, and spin cast polycarbonate substrates by condensation from vapor. The impact of free surfaces on mobility and conformational fluctuations of individual model polymer chains is then investigated with the help of classical molecular dynamics simulations over a broad temperature range. In a coarse-grained approach we then incorporate these findings into a minimum continuum model, with material deposition and chemical potential driven surface diffusion as materials processes, whose numerical solution is capable of reproducing key experimental features.[1] C. Vree and S.G. Mayr, J. Appl. Phys. 104, 083517 (2008)[2] C. Vree and S.G. Mayr, Appl. Phys. Lett. 94, 093110 (2009)
10:00 AM - KK1.2
Non-degenerate Magnetic Alignment of Block Copolymer and Surfactant Mesophases.
Chinedum Osuji 1 , Pawel Majewski 1
1 Chemical Engineering, Yale University, New Haven, Connecticut, United States
Show AbstractSelf-assembly of surfactants in solution and block copolymers in the melt provides a promising route to functional materials engineering. In addition to their native properties, these ordered soft materials can be directly used to sequester nanomaterials or as micro-reactors for in-situ templated synthesis via common chemical routes. The production of monolithic, well-aligned mesophases for various applications, or for use in materials sequestration and the templated synthesis of ordered, anisotropic nanomaterials, however, remains a significant challenge. This is exacerbated in thin film and discontinuous geometries where mechanical forces cannot be conveniently applied to produce shear alignment. Magnetic fields hold promise in this area, but to date their utility has been limited by the degeneracy (non-uniqueness) of the alignments produced by the field. Here, we show that judicious application of high magnetic fields can in fact drive non-degenerate diamagnetic alignment of self-assembled block copolymers and surfactants leading to the facile production of highly ordered films over large length scales. The process is readily scalable and holds promise for the realization of new technologies based on functional self-assembled soft materials.
10:15 AM - KK1.3
Self-Assembly and Ripening of Silver-Alkanethiolate Multilayer Crystals on Inert Surfaces.
Liang Hu 1 , Zishu Zhang 1 , Ming Zhang 1 , Mikhail Efremov 1 , Eric Olson 1 , Lito de la Rama 1 , Ravi Kummamuru 1 , Leslie Allen 1
1 Department of Materials Science and Engineering, Coordinated Science Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractWe studied the reaction of alkanethiol with Ag continuous planar thin films and Ag islands on inert surfaces. Two-dimensional (2D) alkanethiol self-assembled monolayers (SAMs) form on Ag planar thin films and protect the Ag substrate underneath from further reaction with excess alkanethiol. In contrast, alkanethiol reacts more aggressively with nanometer-sized Ag islands deposited on inert surfaces. Significantly larger amount of alkanethiolate is self-assembled on the surface as measured by nanocalorimetry and Rutherford backscattering spectroscopy. Two reaction products are obtained: silver-alkanethiolate (AgSR, R = CnH2n+1) multilayer crystals and monolayer-protected clusters (MPCs). The AgSR lamellar crystals are initially small having only a few layers. However, during thermal annealing, ripening occurs that generates large AgSR lamellae having diameters of 1 μm and thickness up to 30 layers. The AgSR crystals have clearly defined steps, facets and large-area terraces, and are oriented with the substrate surface. The single-layer step-heights of individual crystals measured with atomic force microscopy match the layer thickness obtained via X-ray diffraction analysis. The alkyl chains in AgSR crystals are of highly conformational order, and the melting transition occurs at a higher temperature (137 ± 3 °C) compared to 2D SAMs. On the other hand, the MPCs move laterally on the surface upon annealing and reorganize into a single-layer network with their separation distance approximately equal to the length of an extended alkyl chain.
10:30 AM - KK1.4
Controlling Every Step of Self-Assembly with Surface Structure, Composition and Temperature.
April Jewell 1 , Darin Bellisario 1 , Ashleigh Baber 1 , Heather Tierney 1 , Erin Iski 1 , E. Charles Sykes 1
1 Chemistry Department, Tufts University, Medford, Massachusetts, United States
Show AbstractSelf-assembled monolayers (SAMs) have been extensively studied for their potential advantages to science and industry in the form of parallel nanostructure fabrication, lubricants for MEMS, corrosion protection and sensing. Due to the stability of metal-sulfur bonds, the literature is teeming with information about thiol-based SAMs; however, there is relatively little data available about thioether SAMs. Recent reports have shown that at temperatures up to 298 K, thioethers self-assemble on metal surfaces and exhibit long-range ordering. Thioethers are more resilient to oxidation than thiols and offer the potential for control over nanoscale packing in two dimensions parallel to the surface.1,2 Here we report on the high-resolution, low-temperature scanning tunneling microscopy (STM) study of a simple thioether, dibutyl sulfide (Bu2S), on two different metallic surfaces.We studied moderate and high coverages of Bu2S on a Au{111} surface. At 0.4 to 0.8 monolayer coverage and a temperature of 78 K, Bu2S assembles in highly ordered rows that are stabilized by van der Waals interactions and directed by the strain and surface topography inherent to the Au{111} herringbone reconstruction. When the coverage is increased and the surface heated to 150 K, large, well-ordered domains will little or no defects over areas exceeding 1000 nm2 are formed. Once heated to temperatures >350 K, these extended structures revert back to the moderate coverage configuration. These data suggest the potential use of thioethers for a variety of self-assembly applications that require very perfect assembly and control over molecular spacing parallel to the surface.In another self-assembly application, isolated thioether molecules behave as nanoscale rotors in which the alkyl tails rotate about the central sulfur atom. Two-dimensional arrays of molecular rotors may provide new approaches to many applications based on rotational motions in the molecular adlayers. We have investigated the arrangement of Bu2S on an engineered bimetallic surface with a regular array of dislocations. A single layer of Ag deposited onto Cu {111} reconstructs the Cu surface into a hexagonal array of hexagonally close-packed (hcp) domains (average spacing of 2.6 nm) separated by face-centered cubic close-packed areas. Our data shows that the affinity of Bu2S for the surface is affected by the compositional differences of this unique surface. Thus, molecular rotors can be arranged in a hexagonal pattern via their binding preference for the hcp sites much like placing cogs on a pegboard.1. Jensen, S. C.; Baber, A. E.; Tierney, H. L.; Sykes, E. C. H., ACSNano, 2007, 1, 22.2. Jensen, S. C.; Baber, A. E.; Tierney, H. L.; Sykes, E. C. H., ACSNano, 2007, 1, 423.
10:45 AM - KK1.5
Photochemically Triggered Assembly of Composite Nanomaterials through the Photodimerization of Adsorbed Anthracene Derivatives.
Anthony Smith 1 , David Watson 1
1 Chemistry, University at Buffalo, Buffalo, New York, United States
Show AbstractWe have exploited interfacial photodimerization reactions between adsorbed molecules to achieve the organization of nanoscale components into ordered assemblies in three dimensions and on surfaces. The combination of top-down and bottom-up assembly methods has proven useful for the patterned deposition of nanoparticles onto surfaces. However, the direct photoinduced attachment of nanoparticles to surfaces may enable the preparation of composite nanomaterials via a single photochemical reaction. We have developed a novel approach, in which photoinduced interfacial [4+4] cycloaddition reactions between adsorbed anthryl groups were utilized to induce the aggregation of dispersed gold nanoparticles and to attach gold nanoparticles to nanocrystalline metal oxide films. By illuminating through a photomask, patterned arrays of nanoparticles with ~100-μm features were deposited onto ZrO2 surfaces. Composite materials were characterized by ultraviolet/visible/near-IR (UV/Vis/NIR) spectroscopy, transmission electron microscopy (TEM), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). This presentation will focus on surface functionalization chemistry, photoinduced reactions in solution and on surfaces, photochemically triggered materials assembly processes, and the characterization of composite nanomaterials. The use of interfacial photodimerization reactions to trigger materials assembly processes may represent an attractive fabrication strategy, in which patterned nanostructured materials, potentially including ternary and higher-order materials, are formed in one step.
11:30 AM - **KK1.6
Compositional and Morphological Patterning in Alloy Nanostructures.
Vivek Shenoy 1
1 , Brown University, Providence, Rhode Island, United States
Show AbstractKnowledge of composition profiles within alloy nanostructures such as heteroepitaxial nanowires, alloy nanocrystals and self-assembled surface patterns is critical for applications in electronic, photonic and memory devices as variations in composition at the nanoscale can substantially influence electronic and optical properties. Obtaining a quantitative description of composition profiles in these small-scale structures is a challenging task due to the complex coupling between composition variations, shape and long-range elastic interactions. In addition, differences in the bulk and surface transport properties of the alloy components can lead to rich pattering phenomena that cannot be observed in single-component systems. In this talk I will present efficient numerical schemes to study both equilibrium and far-from equilibrium composition profiles in alloy nanostructures. I will illustrate application of our methods for 1) determination of compositional patterning in strained alloy nanoscrystals, 2) analysis of the morphology and instabilities of heteroepitaxial nanowires and 3) the formation of compositionally modulated ripples during sputtering of alloy surfaces.
12:00 PM - KK1.7
Intermixing During Ripening in Ge-Si:Si(001) Incoherent Nanocrystals.
Marina Leite 1 , Ted Kamins 2 , Gilberto Medeiros-Ribeiro 1 2
1 , Brazilian Synchrotron Light Source, Campinas Brazil, 2 , Hewlett-Packard Laboratories, Palo Alto, California, United States
Show AbstractOstwald Ripening (OR) is a well known phenomenon observed in a variety of systems in nature, from ice cream re-freezing to geology and quantum dots. This thermodynamically-driven spontaneous process is a result of system’s energy minimization through chemical potential reduction. In the nanoscale regime, larger particles grow at the expense of small ones, as a consequence of ripening phenomenon as well. In Ge-Si:Si(001) nanocrystalline islands, ripening (or coarsening) results from a surface driven process, which depends on kinetics and thermodynamics[1]. To understand how composition variations can affect island’s coarsening process, incoherently-strained Ge-Si islands were investigated by AFM. Classical OR does not include intermixing effects between film and substrate. Since Si and Ge are miscible, one needs to take a closer look at this system. Four samples were grown by CVD by the deposition of pure Ge in Si(001) at 6 ML/min in a H2 environment (10 Torr) at 600 oC. Reference sample (A) was quenched to room temperature after the deposition of 12 eq-ML of Ge. Tree other samples were annealed in-situ at 600 oC for 10, 30 and 120 min (B, C and D), allowing adatoms diffusion. All samples produced an array of uniform domes and a significant population of incoherent islands (superdomes, SDs). B -D exhibited a higher population of SDs due to island coarsening. The dome density was found to dramatically decrease in SD’s vicinities, with their respective footprints printed on the wetting layer, demonstrating that coarsening takes place via surface diffusion. A statistical analysis of SDs showed that for longer annealing time t island’s diameter increases as a function of t-1/4, characteristic of OR process. Thus, a sequential selective wet etching was performed to reveal SDs chemical composition and isolate intermixing effects on OR process. SDs in A were found to be uniquely formed by static coalescence of domes, leading to a composition profile with a Si rich core and a Ge rich shell. For SDs in B-D a contrary profile was observed: a Si rich shell was exposed as a result of dome’s adatoms diffusion, which decreases the chemical potential around the SDs[2]. In fact, the selective etching revealed that ripening is affected by intermixing in the Ge-Si binary system, not only due to strain relief but primarily from an entropic standpoint. By considering the mixing entropy term [3] on coarsening rate general equation, ripening occurs at a faster rate for a Ge0.5Si0.5 alloyed SD[2]. This is consistent with the intermixed patterns observed for dislocated islands, which surprisingly have a lower strain than neighboring Ge rich domes. Summarizing, intermixing was found to play an important role on incoherently-strained Ge-Si islands coarsening process, and needs to be addressed when modeling system’s thermodynamics. [1] M. R. McKay et al., PRL. 101, 216104 (2008), [2] M. S. Leite et al. Submitted, [3] M. S. Leite et al., PRL. 100, 226101 (2008).
12:15 PM - KK1.8
A Package for Fast FEM-based Simulation of X-ray Diffraction From Nano-structures.
Eugen Wintersberger 1 , Jay Oswald 2
1 Semiconductor physics, Johannes Kepler university, Linz Austria, 2 McC Mechanical Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractThe characterization of ordered nano-structures is a prerequisite for theunderstanding of their formation and for optimizing production processes.X-ray diffraction (XRD) is a powerful tool for the investigation of suchstructures. Due to their ability to penetrate the sample surface, x-rays probethe inner structure of nano-scale objects to reveal the strain distribution,defects such as dislocations, and the chemical composition. A well-knownaspect of XRD is that usually a large sample area is illuminated and thereforethe recorded data represent an average over a large ensemble of nano objects.However, novel diffraction techniques using nano-focusing make scatteringexperiments on single objects possible. This makes x-ray diffraction acomplementary tool in an arena so far dominated by transmission electronmicroscopy and surface sensitive methods like atomic force microscopy. For theevaluation of 3D x-ray data from complex nanostructures, simulations of therecorded diffraction pattern are required: methods for direct retrieval ofobject properties are emerging, but so far limited to rather simple geometriesand strain distributions.We use finite element method (FEM) calculations to determine the strain stateof nano-objects and use this information as input for simulations of the x-raydiffraction patterns. A new FEM approach is used, able to deal with complexobjects and including the effect of arbitrarily shaped dislocations in ourmodels. A novel algorithm was developed for the fast calculation of 2D and 3Dreciprocal space maps (RSMs) based on FEM data. The typical simulation time for the simulation of a full 3D RSM lies around 10 minutes for a resonable resolution.In order to use nanostructures for devices, they have to be produced withnarrow and predictable distribution of properties. For this purpose, ''guidedself-assebly'', i.e., the combination of self-assembled fabrication methodssuch as Stranski-Krastanov growth, with template formation by lithography orregular dislocation networks, has been developed recently. We studiedcompletely self-organizing systems as well as systems where ordering has beenachieved by pre-patterning of the substrates' surface, and the ordering ofdislocation networks.As an example for a completely self-organizing, two dimensional system,results from periodic SiGe ridges with a nominal Ge content of about 20% willbe presented. The ridges are formed during epitaxial growth of SiGe on highly(about 8 degree) miscut Si substrates. From x-ray diffraction data wedetermine the strain state, the average periodicity, and the Ge distributioninside the ridges. Studies of SiGe quantum dots on pre-patterned Si substratesare shown as examples for two-dimensional systems. Again, the Ge as well asthe strain distribution have been determined from the x-ray data. Finally, wepresent studies of dislocation networks in SiGe films grown on pre-patternedSi substrates and in PbSe films on PbTe.
12:30 PM - KK1.9
Compositional Profiles in Nanometric SiGe Islands Grown on Flat vs Pit-patterned Si(001) Substrates: Theory and Experiments.
Francesco Montalenti 1 , Jianjun Zhang 3 2 , Dario Digiuni 1 , Riccardo Gatti 1 , Armando Rastelli 2 , Fabio Pezzoli 2 , Oliver Schmidt 2 , Guenther Bauer 3 , Leo Miglio 1
1 L-NESS and Materials Science Dept., University of Milano-Bicocca, Milano Italy, 3 Insitute of Semiconductor and solid state physics, University of Linz, Linz Austria, 2 , IFW Dresden, Dresden Germany
Show AbstractCompositional profiles during the first stages of growth (T=720°C ) of alloyed SiGe nanoislands on suitably pit-patterned Si(001) substrates are investigated both experimentally and theoretically. Holographic lithography and reactive ion etching was used to define a two-dimensional periodic pit pattern with a period of 500 nm and pit depths of about 65 nm. After deposition of a Si buffer layer (50nm) either 6 monolayers (MLs) of Ge, or 9 MLs or 12 MLs were deposited, resulting in ordered pyramid- or dome- or barn-shaped islands. For comparison reference samples were grown under identical growth conditions on flat substrates (4.5 ML’s for pyramids, 6 MLs for domes and 8 MLs for barns). Atomic force microscopy combined with a selective wet chemical etching technique [1] was employed to quantitatively determine the three-dimensional (3D) composition profiles within the pyramid-, dome- and barn-shaped islands. While e.g. for barns in the pits, the average Ge content is 30%, experimentally derived iso-compositional lines show Ge enrichment at the top of the island (up to about 42%). By exploiting a recently developed semi-analytical method in the framework of continuum elasticity theory [2], we determine the theoretical compositional profiles minimizing both the elastic energy and the free energy of the island, fixing the experimental shape and average Ge content. Remarkable agreement between theory and experiments demonstrate that the islands are grown very close to thermodynamic equilibrium, entropy playing an important role in Si-Ge intermixing. Since the experimental concentration profiles for the reference-flat substrates indicates a less uniform distribution, with a richer Ge core, the role of the pits in determining a different Si-Ge intermixing is discussed in terms of peculiar Si supply and elastic relaxation [3].[1] A. Rastelli, M. Stoffel, A. Malachias,T. Merdzhanova, G. Katsaros K. Kern, T. H. Metzger, and O. G. Schmidt, Nano Letters 8, 1404 (2008).[2] R. Gatti, F. Uhlik, and F. Montalenti, New J. Phys. 10, 083039 (2008); F. Uhlik, R. Gatti, and F. Montalenti J. Phys.: Condens. Matter 21 084217 (2009); D. Digiuni, R. Gatti, and F. Montalenti (2009, submitted).[3] Z. Zhong, W. Schwinger, F. Schäffler, G. Bauer, G. Vastola, F. Montalenti, and Leo Miglio, Phys. Rev. Lett. 98, 176102 (2007).
12:45 PM - KK1.10
Strain Relaxation in (In,Ga)As/GaAs(001) Quantum Dot Molecules.
Michael Hanke 1 , Martin Dubslaff 1 , Martin Schmidbauer 2 , Zhiming Wang 3 , Yuriy Mazur 3 , Peter Lytvyn 3 , Jihoon Lee 3 , Gregory Salamo 3
1 , Paul-Drude-Institute, Berlin Germany, 2 , Leibniz Insitute for Crystal Growth, Berlin Germany, 3 Department of Physics, University of Arkansas, Fayetteville, Arkansas, United States
Show AbstractA widely recognized development in the self-assembly of semiconductor nanostructures is the growth of quantum dot molecules (QDMs). These are local arrangements of a small number (in many cases 2 to 8) of individual quantum dots (QDs). Besides the most simple, kind of prototypical QDM containing just two QDs more sophisticated QDMs made of three, four or even six QDs are frequently discussed. All the different types (bi-QDMs, and multi-QDMs) can be considered as building blocks of different functionality for opto-electronic device applications, future quantum computational devices and quantum communication. In that context a detailed knowledge on elastic strain evolution (with respect to the particular shape) becomes a key issue for a better understanding of the QDM's evolution.In that context we discuss a detailed growth scenario of surface quantum dot molecules (QDM) in the system (In,Ga)As/GaAs(001) in terms of shape and elastic strain evolution. QDMs are grown by a combined approach using droplet epitaxy for initial homoepitaxial GaAs mounds, which subsequently serve as nucleation spots for surrounding (In,Ga)As surface quantum dots. Atomic force micrographs trace a detailed pathway toward the final QDM containing up to six quantum dots with perfect inherent symmetry. Synchrotron-based grazing incidence diffraction together with grazing incidence small angle x-ray scattering reveal a relaxation behavior, which for all growth stages comprises a strained lattice along [-110] and partial elastic relaxation along [110]. Numerical finite element calculations on the three-dimensional strain profile support the experimental findings.
KK2: Self-organization and Self-assembly by Growth and Annealing II
Session Chairs
Monday PM, November 30, 2009
Ballroom B (Hynes)
2:30 PM - KK2.1
Ordered Nanostressor Growth on Freestanding Ultracompliant Silicon Nanomembranes.
Hyun-Joon Kim-Lee 1 , Clark Ritz 2 , Donald Savage 3 , Max Lagally 3 2 1 , Kevin Turner 4 3 1
1 Materials Science Program, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Physics, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 4 Mechanical Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractThin, freestanding, single-crystal sheets of silicon exhibit a mechanical response that is significantly different from bulk substrates. The thinness (5-100 nm) of these nanomembrane sheets results in a substrate that is exceptionally compliant and thus can respond locally and globally to growth induced stresses. As a result of this altered mechanical response, as well as the ability to access both surfaces during growth, nanomembrane substrates provide new opportunities to realize the self-organization of nanostressors. We have experimentally and theoretically examined the self-organization of SiGe hut nanostressors on thin, freestanding, silicon nanomembranes. We have demonstrated experimentally that SiGe hut nanostressor growth via CVD on freestanding membranes is double-sided and the nanostressors exhibit strong local ordering. Through finite element mechanics modeling, we have shown that nanostressors on one surface generate a strain field in the membrane that creates preferred nucleation sites on the opposite surface. This allows for a chain reaction ordering that yields good local ordering, but limited long-range ordering as multiple islands nucleate on one surface simultaneously. The modeling demonstrates that the positions of the preferred nucleation sites are controlled by the elastic anisotropy of the substrate and the membrane thickness. The membrane thickness plays a crucial role in determining the strength of the strain field that controls ordering as well as the deformation mode. A clear transition from bending to stretching deformation in the membrane occurs near a thickness of 60 nm for the SiGe system. Quantitative comparison between experimental observations and the mechanics simulations show good agreement. The simulations have also been used to explore the propensity for this type of ordering in other systems, such as InGaAs/GaAs, InAs/Si and ZnSe/ZNSSe. In general, the results suggest that the strain distributions will be more pronounced, and hence self-organization more likely, in systems with higher anisotropy ratios. Finally, the simulations have been used to explore routes to induce long-range ordering through patterning and elastic deformation of the membrane prior to growth. This work is supported by NSF MRSEC (DMR-0520527), AFOSR (#FA9550-08-1-0337) and the U.S. Department of Energy, Office of Basic Energy Sciences (DE-FG02-03ER46028).
2:45 PM - KK2.2
The Origins of Buckle Formation in Vapor Deposited Oxides on Polymers.
Michelle Casper 1 , Ying Liu 2 , Michael Dickey 2 , Kirill Efimenko 2 , Jan Genzer 2 , Jon-Paul Maria 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractThere is substantial interest in electronic and photonic materials with periodically patterned micro- and nanostructures [1]. Consequently, spontaneous surface buckling has been transformed from a nuisance associated with hard and soft multilayers to a naturally occurring opportunity for producing hierarchically corrugated surfaces with intrinsic functionality.We investigate the origins of such instabilities in the indium tin oxide (ITO) – polydimethylsiloxane (PDMS) multilayer system and explore strategies for controlling the morphology with the potential for reversibility and tunability. The parameters investigated include deposition pressure, substrate temperature, strain, and energetic bombardment. We first identify the processing window that results in buckling during both radio frequency (RF) and direct current (DC) magnetron sputtering of ITO on thin film and bulk PDMS. Above a threshold deposition pressure, an unbuckled and cracked topography is observed, while below this pressure, a randomly oriented, wrinkled topography with two superimposed generations of buckles exists, each with its own characteristic wavelength, λ. These generations experience different dependencies on preparation conditions. Changing pressure provides control of λ of the smaller generation of buckles, while changing temperature controls the larger generation. This suggests different strain mechanisms, i.e., built-in and thermal expansion mismatch induced strain for the smaller and larger generations respectively. Next, we discuss the influence of internal stress accumulation during deposition on buckling by exploring ITO deposition on polystyrene (PS) films on Si. We reduce film stress by rotating the substrate so that its normal is off-axis with respect to the deposition source and by increasing the source to substrate distance to produce films that are free from buckles in the as-deposited state. The samples were imaged as a function of temperature by hot stage microscopy to monitor the onset of buckling. In both cases, one generation of buckles occurs at temperatures above Tg and can be attributed to the relaxation of differential thermal expansion strain. For comparison, ITO can be deposited on PS at T>Tg, and under conditions of internal stress accumulation two buckle generations are found. Collectively these observations allow us to identify the origins of stress generation, and thus buckle formation in multilayer structures. Thermal expansion mismatch, as reported by previous authors, is an important contributor, but stress effects can provide a similar influence.Finally, we describe strategies for orienting wrinkles by controlling macroscopic strain. By applying 2% uniaxial strain to free-standing PDMS during ITO deposition, buckle orientation aligns perpendicular to the strain direction. As this strain is released, the buckles reversibly orient perpendicular to their original direction. [1] Genzer, Jan, et. al. Soft Matter, 2006, 2, 310-323.
3:00 PM - KK2.3
Self-assembly by Compositional Banding in Thin Gold-silicon Alloy Films.
Dinesh Kumar Venkatachalam 1 , Neville Fletcher 1 , Dinesh Sood 1 , Robert Elliman 1
1 Electronic Materials Engineering, The Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractThe interaction of metals with amorphous-silicon (a-Si) is a subject of considerable fundamental and technological interest. Among the various metals, gold is of particular interest due to the fact that the gold-silicon system is a simple eutectic system with no intermetallic phases, and has a low eutectic temperature (363oC at composition of 18 at. % Si). Despite the apparent simplicity of the bulk Au-Si binary phase diagram, the existence of a deep eutectic and low eutectic temperature can lead to interesting behavior, including unusual layering and surface crystallization observed in bulk liquid alloys at the eutectic composition. In this study we show a self-assembly process in which spiral patterns of gold nanoparticles form on silicon surfaces during the epitaxial crystallization of thin gold-silicon alloy layers. This behaviour is only observed for gold concentrations above a critical value and is shown to result from two-dimensional compositional-banding of a liquid alloy layer during the crystallization process. The compositional banding consists of alternate gold-rich and silicon-rich alloy bands and is initiated by an instability due to differences in total interfacial free energies of the banded and unbanded structures. For this study, gold-rich surface layers were formed by ion-implanting (100) silicon substrates with 20 keV gold ions to fluences in the range 2×1016 - 4×1016 ions/cm2 at room temperature using a MEVVA (metal vapour vacuum arc) ion source. This produces a near-surface amorphous silicon /gold alloy layer of thickness ~25 nm containing a Gaussian distribution of gold with a peak concentration, located approximately mid-layer, that is controlled by the ion-implantation fluence. Following implantation, the samples were annealed in a rapid thermal annealing furnace at temperatures of 450 - 750oC for 30s or in situ in a scanning electron microscope, during which gold diffuses throughout the amorphous silicon layer to produce a uniform gold:silicon alloy layer. Spiral patterns of gold nanoparticles result from the spontaneous separation of a liquid gold-silicon eutectic layer into alternating bands of gold- and silicon-rich composition. Further annealing results in nucleation and growth of gold-rich nanoparticles on the silicon surface.
KK3: Dewetting I
Session Chairs
Eric Chason
Jonah Erlebacher
Monday PM, November 30, 2009
Ballroom B (Hynes)
3:15 PM - **KK3.1
Dewetting of Thin Metallic Films by Using Focused Ion Beam.
Luca Repetto 1 , Giuseppe Firpo 1 , Ugo Valbusa 1
1 , Physics Dept. University of Genova , Genova Italy
Show AbstractThe potential of ion induced self-organization processes has been widely used to obtain a large variety of nanoscale structures [1–4]. Metal, semiconductor and insulator substrates have been employed to observe a rich phenomenology which allowed obtaining a deep insight in the physical processes governing these effects. A better comprehension of the underlying mechanisms has made it possible to obtain more complex geometries whose quality has been improved to a level which can prelude to the technological exploitation [5]. Hybrid processes, where ion-induced auto-organization has been used to template substrates for subsequent auto-organization of different nature, like dewetting induced by ion-beam have been demonstrated [6-7]. In this work we propose a novel self-organization process based on the hierarchical sequence of off-normal ion sputtering ripple generation, metallic film deposition and normal-incidence ion induced dewetting which produces metal nanowires. The experiment uses a Si (100) substrate patterned with ripples by means of a Focused Ion Beam of Ga+ at energy of 30 keV. The ripples are subsequently covered by a thin film of Cr and exposed to the Focused Ion Beam to induce dewetting. The final result is chromium nanowires accumulated in the ripple valleys whose order depends on the initial ripple coherence. A model explaining the effect will be presented. [1] S. Facsko, T. Dekorsy, C. Koerdt, C. Trappe, H. Kurz, A. Vogt, and H. L. Hartnagel, Science 285, 1551 (1999).[2] M. A. Makeev, R. Cuerno, and B. A. L., Nucl. Instrum. Methods Phys. Res. B 197, 185 (2002)[3] U.Valbusa, C.Boragno, and F. Buatier de Mongeot J. Phys.Cond. Matter 14, 8153 (2002)[4] W. L. Chan and E. Chason, Journal of Applied Physics 101, 121301 (2007)[5] A.Cuenat, H.B.George,K.C.Chang, J.M. Blakely , M.J.Aziz Adv. Mater. 17, 2845 (2005) [6] K.Zhao, R.S.Averback and D.G.Cahill Applied Physics Letters 89, 053103 (2006) [7] J.Lian, L. Wang, X. Sun, Q. Yu and R.C. Ewing , Nano Letters 6, 1047 (2006)
3:45 PM - KK3.2
Nanoscale Modification and Patterning with He Ions.
David Bell 1 , Max Lemme 2 , Charles Marcus 2 , Lewis Stern 3
1 School of Enginnering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 Department of Physics, Harvard University, Cambridge, Massachusetts, United States, 3 ALIS Business Unit, Carl Zeiss SMT, Peabody , Massachusetts, United States
Show AbstractWe report on the use of a helium ion microscope as a potential technique for precise nanopatterning. Combined with an automated pattern generation system, we demonstrate controlled etching and patterning of materials, giving precise command over the geometery of the modified nanostructure. After the determination of suitable doses, sharp edge profiles and clean etching of areas in materials were observed. In this paper we present examples of patterning on SiO2 and graphene, which is particularly relevant. This technique could be an avenue for precise materials modification for future graphene based device fabrication. The technique has the potential to revolutionize the way that very thin, one-atomic layer materials are modified in a controlled and predictable way
4:00 PM - KK3: Dewet I
BREAK
KK4: Dewetting II
Session Chairs
Eric Chason
Jonah Erlebacher
Monday PM, November 30, 2009
Ballroom B (Hynes)
4:30 PM - **KK4.1
Nanosecond Laser-induced Dewetting and Self-organization in Single and Bilayer Metallic Films.
Hare Krishna 3 , Nozomi Shirato 1 , Jeremy Strader 1 , Anup Gangopadhyay 3 5 , Hernando Garcia 4 , Ramki Kalyanaraman 1 2
3 Physics, Washington University , St. Louis, Missouri, United States, 1 Dept. of Materials Science & Eng., University of Tennessee, Knoxville, Tennessee, United States, 5 Center for Materials Innovation, Washington University , St. Louis, Missouri, United States, 4 Physics, Southern Illinois University, Edwardsville, Illinois, United States, 2 Dept. of Chemical and Biomolecular Eng., University of Tennessee, Knoxville, Tennessee, United States
Show AbstractMetallic nanostructures and nanocomposites have many applications related to their magnetic, plasmonic and magneto-optical properties. Fabricating desired structures and compositions in a reliable and cost-effective manner is therefore of substantial importance to applications in energy harvesting, sensing and data processing. Here we will focus on the robust pattern formation characteristics of unstable single and bilayer metallic films. The unstable energy state manifests itself as spontaneous dewetting and self-organization under energetic radiation, such as from nanosecond pulse lasers. The ensuing patterns contain a fascinating range of nanostructures as well as physical properties. The general principle that explains the length-scale selection for this pattern formation is the balance between rates of free energy change and energy loss during film deformation. We have applied this principle to the single and bilayer systems and have found very good agreement with experimental observations. We will also briefly discuss our studies of nanoscale nucleation, size-dependent magnetic anisotropy, plasmonic behavior and the magneto-optical properties of some elemental and composite metallic materials made by this self-organization process.
5:00 PM - KK4.2
Thermocapillary Effects in Driven Dewetting and Self-assembly of Pulsed Laser-irradiated Metallic Films.
Mikhail Khenner 1 , Agegnehu Atena 2
1 , University at Buffalo, SUNY, Buffalo, New York, United States, 2 , University at Buffalo, SUNY, Buffalo, New York, United States
Show AbstractA mathematical model for the evolution of pulsed laser-irradiated, molten metallic films has been developed using the lubrication theory. The heat transfer problem that incorporates the absorbed heat from a single laser beam or theinterfering laser beams is solved analytically.Using this temperature field, we derive the 3D long-wave evolution PDE for the film height.To get insights into dynamics of dewetting, we study the 2D version of the evolution equationby means of a linear stability analysis and by numerical simulations. The stabilizing and destabilizingeffects of various system parameters, such as the reflectivity, the peak laser beam intensity, the film optical thickness, the Biot and Marangoni numbers, etc. are elucidated.It is observed that the film stability is promoted for such parameters variations that increase theheat production in the film. Moreover, when the heat conduction in the thin substrate is taken into account, the film with non-zero reflectivity is stablewith respect to small perturbations in some interval of the optical thickness parameter. In the numerical simulations the impacts of different irradiation modes are investigated.In particular, we obtain that in the interference heating modethe spatially periodic irradiationresults in a spatially periodic film rupture with the same, or nearly equal period. Small values of the capillary numberresult in ring rupture.The 2D model qualitatively reproduces the experimental observations to-date and displays some new effects (http://arxiv.org/abs/0810.2511).
5:15 PM - KK4.3
Characterization and Control of the Wettability of Conducting Polymer Thin Films.
Jean Chang 1 , Ian Hunter 1
1 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThe wettability of electrochemically deposited conducting polymer films is highly dependent on several parameters including the deposition conditions, the dopant, and the roughness of the working electrode. To produce superhydrophobic surfaces, one must be able to control the micro and nanostructure of the film. In this study, a template-free method of producing superhydrophobic (water contact angle of 154°) polypyrrole films was demonstrated. The polypyrrole was doped with the low surface-energy heptadecafluorooctanesulfonic acid and had microstructures with nanometer-scale roughness. The microstructures served to increase the roughness of the film and amplify the hydrophobicity of the surface. It is also of interest to be able to dynamically adjust the wettability of a polypyrrole surface after deposition. Applications of this functionality include microfluidics, self-cleaning surfaces, liquid lenses, and smart textiles. By oxidizing or reducing a polypyrrole film, one can change the surface morphology as well as the chemical composition, and control the wettability of the surface. This study characterizes the electrochemically-induced changes in surface energy of polypyrrole. The relationship between applied voltage, charge transferred, surface roughness, and water contact angle was investigated. It was observed that in the oxidized state, the surface of the polypyrrole film was rougher than in the reduced state. Upon reduction, the film thickness as well as average surface roughness (Sa) decreased by up to 25%, and the polypyrrole was switched to a hydrophilic state. The maximum change in contact angle was observed to be 150°. Surface wettability was found to be not fully reversible, with some hysteresis occurring after the first electrochemical cycle.
5:30 PM - KK4.4
Formation of Periodic Patterns of Colloids and Polymers due to the Instability of the Meniscus Front.
Ning Wu 1 , Joanna Aizenberg 1
1 , Harvard University, Cambridge, Massachusetts, United States
Show AbstractEvaporation-induced assembly of colloidal nanoparticles on flat, non-patterned substrates has been shown to result in uniform and crystalline films that have potential applications as photonic crystals, chemical and biological sensors, anti-reflection surfaces, etc. Interestingly, under certain conditions, periodic bands and other intriguing patterns of colloids can develop on non-patterned substrates. In this work, we performed systematic studies to probe the mechanisms that govern the formation of highly periodic micro- and nano-patterns during the evaporation of colloidal suspensions and polymer solutions. We found that for colloidal suspensions, there exists a critical concentration below which periodic colloidal bands with spacings of ten microns to a few hundred microns can emerge over the area of at least several square centimeters. Real-time observation of this evaporative process revealed that the meniscus front experiences highly regular stick-slip motions. Although the band width and spacings increase with suspension concentrations, the slip distance of the meniscus is independent of the concentration but changes significantly when the wetting property of the substrate is changed. Following the direction of the moving meniscus, cross-sectional scanning of the band profile shows a characteristic asymmetry: first, the thickness increases gradually, then it is followed by a plateau of constant height, and finally thickness is dropped to zero steeply. Based on the experimental observations, we hypothesized that the band formation is primarily due to the mismatch between the speed of the moving meniscus and the speed of growing front of the colloidal deposition. Above the critical concentration, uniform colloidal crystals can be obtained. Additives to the suspension, such as surfactants, sol-gel precursors, and polymers, can facilitate the formation of bands, as well as other intriguing patterns. Finally, we show that this pattern formation due to the instability of the meniscus front is a general phenomenon for evaporative deposition of nonvolatile solutes ranging from colloids (microns to one hundred nanometers in diameter) and nanoparticles (a few tens of nanometers in diameter) to polymers (a few nanometers in radius of gyration). This study will shed light on both generating desirable patterns via the meniscus instability and eliminating undesirable patterns by suppressing the instability.
5:45 PM - KK4.5
The Role of Van Der Waals Surface Forces in Nanoparticle Self-Organization.
Andrew Stannard 1 , Uwe Thiele 2 , Philip Moriarty 1
1 School of Physics and Astronomy, The University of Nottingham, Nottingham United Kingdom, 2 School of Mathematics, Loughborough University, Loughborough United Kingdom
Show AbstractDeposition of colloidal nanoparticles onto solid surfaces can give rise to a variety of far-from equilibrium, self-organised nanostructures resulting from solvent dewetting dynamics. Lattice gas-based simulation models have been developed to reproduce these structures with remarkable accuracy1. By altering the substrate properties it is possible to control the solvent dewetting process. Substrate wettability by simple organic solvents can be controlled on silicon substrates by varying the thickness of the silicon oxide top layer. This alters long range van der Waals forces which determine substrate wettability, hence altering the solvent dynamics; the main driving force in drying-mediated nanoparticle self-organisation.Spatial control over nanoparticle deposition can be achieved through patterning hydrogen-terminated silicon surfaces with silicon oxide regions via lithography with a biased AFM tip in ambient conditions. Micro- and nanoscale oxide features alter the dewetting process in different ways2, with the latter inducing rupture of the nanofluid film causing a local fluid flow. We assess the effect of spatial variation in long-range van der Waals interactions which gives rise to heterogeneous wetting properties of the patterned substrates.Of particular interest here is the formation of nanoparticle rings. This is a well-documented phenomenon in colloidal nanoparticle systems, and has recently been realised in simulations with lattice gas-based models1,3. We show that patterning a surface with nanoscale oxide dots, followed by the spin-cast deposition of thiol-passivated gold nanoparticles, results in the seeded formation of nanoparticle rings with some unexpected properties.1A. Stannard et al., J. Phys. Chem. C 112, 15195 (2008).2C. P. Martin et al., Phys. Rev. Lett. 99, 116103 (2007).3G. Yosef and E. Rabani, J. Phys. Chem. B 110, 20965 (2006).
KK5: Poster Session I
Session Chairs
Tuesday AM, December 01, 2009
Exhibit Hall D (Hynes)
9:00 PM - KK5.1
Tailoring 2-dimensional Supra Molecular Assemblies on Surfaces: The Influence of Molecule Ratio and Temperature.
Xiaonan Sun 1 , Fabien Silly 2 , Harry Jonkman 1
1 Zernike Institute for Advanced Materials, University of Groningen, 9747 AG Groningen Netherlands, 2 LRC Nanostructures et Semi-Conducteurs Organiques CNRS-CEA-UMPC, SPCSI/IRAMIS, CEA Saclay, 91191 Gif-sur-Yvette France
Show AbstractWe investigate the formation of three PTCDA-melamine supra molecular networks on Au(111)- (22 × √3 ). Different chiral supra molecular networks were formed by varying molecular concentration and temperature at different surface coverage. Scanning tunneling microscopy (STM) reveals that supra molecular networks having a PTCDA : melamine ratio of 3:2, 1:2, 1:4 can be selectively created. The molecular networks can switch from an open structure(PTCDA : melamine = 3:2) to a more close-packed structure(PTCDA: melamine = 1:2) after annealing. From experimental STM images of supra molecular structures we determine the different molecular bonding morphologies. These results reveal that molecule ratio as well as the substrate temperature are key parameters driving supra molecular structures.Fabien Silly, et. al Phys.Rev.B 77, 201408 (2008)
9:00 PM - KK5.10
From Amorphous Precursor through Liquid Aggregates to Mesocrystals.
Rui-Qi Song 1
1 MS&E, Cornell University, Ithaca, New York, United States
Show AbstractInspired by nature, we employed block copolymers to control crystallization of calcium carbonate in a unified nanoparticle aggregation protocol, that yielded a series of calcium carbonate microstructures ranging from calcite mesocrystals with platonic shapes to polycrystalline hollow spheres. The calcite crystal structure itself does not account for platonic shapes. Mechanism consideration of the formation of platonic calcite microcrystals involving intermediates with minimal surfaces is made based on a non-classical crystallization pathway. Here, the bio-inspired morphogenesis pathway contains both elements of liquid and solid behavior resulting in the first observation of a minimal rhombohedral P-surface in a synthetic crystallization reaction. Also, the influence of crystal structure on the nano-mechanical properties of the as-synthesized calcite crystals will be demonstrated. This finding might help to explain naturally formed biominerals exposing minimal surfaces like sea urchin spines. The method is further anticipated to open a door to generate complex inorganic-organic hybrid materials and even organic materials with attractive properties related tightly to their shapes and sizes.
9:00 PM - KK5.11
Preparation of Nanostructured TiO2 via Solvothermal Process Using Chemically Modified Alkoxides.
Hiroaki Uchiyama 1 , Koichi Matsumoto 2 , Hiromitsu Kozuka 1
1 Faculty of Chemistry, Materials and Bioengineering, Kansai University, Suita, Osaka, Japan, 2 Graduate School of Engineering, Kansai University, Suita, Osaka, Japan
Show Abstract Pattern formation through crystal growth is a practically important and genuinely interesting phenomenon for many researchers in various technological and scientific fields. In recent years, several crystallization events which are not categorized as the formation of simple single crystals or random polycrystals have been reported. Some intermediate states between single crystals and polycrystals have been proposed to be a hierarchical assembly of nanocrystals to superstructures. We previously reported that highly ordered architectures consisting of small building units of inorganic crystals were produced in the presence of organic molecules [1]. The interaction between organic and inorganic species is essential for the specific crystal growth. Here, we address the preparation of nanostructured TiO2 crystals via solvothermal process using chemically modified alkoxides as the source of inorganic species. The high affinity between metal alkoxides and organic molecules would lead to the formation of TiO2 crystals with unique nanostructures. Starting solutions of molar compositions, Ti(OC3H7i)4 : i-C3H7OH : H2O : organic additives (acetylacetone, citric acid or polyvinylpyrrolidone (PVP)) = 1 : 20 : 200 : R (R = 0-5), were prepared by the following procedure. First, the organic additives was dissolved in 3/4 of the prescribed amount of i-C3H7OH, and then the mixture of the remaining i-C3H7OH and Ti(OC3H7i)4 was added to the solution under stirring. The alkoxide solution was added dropwise to H2O under stirring. The resulting solution was heated at 333-453 K in a Teflon-lined stainless steel autoclave for 24 h. The products were obtained after centrifugation of the precipitates followed by washing with C2H5OH and purified water and drying at 313 K. When the solution with acetylacetone was added to H2O, a transparent solution was obtained. On the other hand, white precipitates were produced immediately after the solutions containing citric acid or PVP were added to H2O. Especially, the PVP-containing solution led to the formation of anatase-type TiO2 even without solvothermal treatment. In all cases, crystalline TiO2 were produced by the solvothermal treatment. The morphology and the size of the crystals and the crystalline phases were found to be controlled by the molar compositions, the reaction temperature and the organic additives. The interaction between alkoxides and organic molecules was deduced to be essential for the specific crystal growth.[1] H. Uchiyama, H. Imai, Crystal Growth & Design, 7, 841-843, (2007).
9:00 PM - KK5.12
Selective-area Metal-organic Vapor Phase Epitaxy of Ferromagnetic MnAs Nanoclusters on Si (111) Substrate.
Shingo Ito 1 , Shinjiroh Hara 1 2 , Kohei Morita 1 , Takashi Fukui 1
1 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo, Hokkaido, Japan, 2 PRESTO, JST, Kawaguchi, Saitama, Japan
Show AbstractThe epitaxy of III-V semiconductor nanowires (III-V NWs) on Si is very promising because one-dimensional properties of electronic system, in addition to high electron mobility, possibly provide low power consumption for highly-integrated Si circuits. We have been investigating selective-area metal-organic vapor phase epitaxy (SA-MOVPE) of III-V NWs on Si (111) wafers for vertical surrounding-gate transistors [1]. Our SA-MOVPE technique, in addition, has realized the heteroepitaxy of ferromagnetic nanostructures position-controlled on III-V compound semiconductor wafers. It possibly leads to the integration of magnetic devices such as magnetic memories in addition to conventional semiconductor devices. We have reported the SA-MOVPE and magnetic properties of MnAs nanoclusters (NCs) on GaAs (111)B wafers [2]. In the current work, we demonstrate the growth of MnAs NCs on Si (111) wafer. We report the structural and magnetic characteristics of the NCs position-controlled on Si (111) wafers covered with the 30-nm thick SiO2 films which have the array of circular openings. The diameter and period of the openings were about 270 and 1000 nm, respectively. After the growth of AlGaAs nanopillar (NP) buffers by our SA-MOVPE, the MnAs growth was carried out. The growth temperature, Tg, and the V/Mn ratio, that is, the gas ratios of source materials, were 800 °C and 1125, respectively. First, we confirmed by scanning electron microscopy (SEM) that regular hexagonal MnAs NCs were formed on hexagonal AlGaAs NPs. Typical MnAs NCs and AlGaAs NPs measured about 280 and 410 nm in diameter, respectively, by transmission electron microscopy (TEM). Magnetic force microscopy for the “as-grown” NCs revealed that magnetic responses were detected only for the NCs at room temperature, which shows spontaneous magnetization. However, we observed by TEM that the crystal facets of the NCs were contaminated or destroyed in part, and that some nanostructures, which were observed as s dark contrast in the images, were formed in the Si wafer. The analysis using energy dispersive X-ray spectroscopy revealed that the NCs consisted of a mixture of Mn and As atoms with small amount of Si atoms less than 17 % at the contaminated or destroyed regions, and that the MnSi alloys were grown as nanostructures in the Si wafer. It is likely from our previous results that Si atoms are incorporated in the MnAs NCs during or after the MnAs growth because of the possible gas etching effects of Mn precursors. At much higher Tg of the NCs than the deposition temperature of the SiO2 films, small pin holes are formed in the SiO2 films. Therefore, Mn precursors possibly reacted with Si atoms of the wafer through the pin holes during the MnAs growth. It is required for suppressing the reactions between Mn and Si atoms to optimize the thickness, the deposition conditions, and even the materials of the films. [1] K. Tomioka et al., NL 8, 3475 (2008) ; [2] S. Ito et al., APL 94, 243117 (2009)
9:00 PM - KK5.14
Amphiphilic Liquid Crystalline Block Copolymer Film with Out-of-Plane and In-Plane Cylindrical Domains: Orientation Control and Structural Transcription through Sol-Gel Reactions.
Zhao Yongbin 1 , Iyoda Tomokazu 1
1 , Tokyo Institute of Technology, Yokohama Japan
Show Abstract Controlling orientation of the nanometer-sized objects into arbitrary arrangement is important for the fabrication of functional nanostructured materials for use in optical, optoelectronic, and magnetic storage devices. The self-assembly of block copolymers with aligned, highly ordered arrays of nanoscopic domains make them ideal candidates as templates to fabricate nanostructured materials. Recently, our group developed a new series of amphiphilic liquid crystalline diblock copolymers consisting of poly(ethylene oxide) (PEO) and poly(methacrylate) bearing an azobenzene mesogen in the side chain, denoted PEOm-b-PMA(Az)n.1 In these works, the BCP films with perpendicular or parallel structure can be obtained by the simple filtration methods. In addition, various oxide nanopillar (such as SiO2, ZnO, etc) arrays with perpendicular and parallel structure can be fabricated by the evaporation Induced penetration methods using BCP film as template. The diameter of nanomaterial arrays almost matches with that of the PEO cylindrical domains in the BCP films. The aspect ratio of the nanorods can be controlled by the immersion time and the PEOm -b- PMA(Az)n film thickness.Reference: (1)Tian, Y.; Watanabe, K.; Kong, X.; Abe, J.; Iyoda, T. Macromolecules 2002, 35, 3739.(2)Chen A, Komura M, Kamata K, Iyoda T, Adv. Mater, 2008, 20, 763.
9:00 PM - KK5.15
Morphology of Self-Organized Nanocrystals of TCNQ.
Maki Nishida 1 , Edward Van Keuren 1
1 Physics Department, Georgetown University, Washington, District of Columbia, United States
Show AbstractTCNQ (tetracyano-p-quinodimethane) is an organic molecule often used as an electron-acceptor in charge transfer complex compounds. Using the reprecipitation method, we have prepared nanocrystals of TCNQ. We demonstrate that it self-organizes in a wide range of structures, from nanocoils to micro polyhedrons. In addition to regular SEM, WETSEM™ as well as cryo-SEM is used to conduct in situ studies of the full crystallization process. We show the crystal growth of TCNQ starting from seed-like structures, progressing through blooming-flower-like structures and finally forming polyhedron micro-crystals. We ascribe these observations to the initial formation of metastable morphologies, which change to more stable forms during the course of the nanocrystal growth. These results are supplemented by time dependent spectroscopy and dynamic light scattering measurements as well as XRD characterization of powder samples.
9:00 PM - KK5.16
Photoluminescence of Ge/Si multiple Layers Grown by MBE Method.
Ha Ngo 1 , Irina Yassievich 2 , Tom Gregorkiewicz 1
1 , Van der Waals - Zeeman Institute, University of Amsterdam, Valckenierstraat 65, NL-1018 XE Amsterdam Netherlands, 2 , Ioffe Physico-Technical Institute, Russian Academy of Sciences, Politekhnicheskaya 26, 194021 St. Petersburg Russian Federation
Show AbstractWe present optical properties of Ge quantum dots (QDs) in Si grown by MBE method (*). The Ge QDs are formed on a 400 nm pit-patterned Si substrate with 4 periods of 6-monolayer thickness of Ge, spaced by 20 nm Si layers. Due to the strain distribution, the Ge QDs of successive layers grow on top of each other. The typical size of the dots is about 50 nm diameter and 5 nm height. At low temperatures (4 K), we observe photoluminescence (PL) bands arising from Ge QDs, wetting layers, and from Si spacers and substrate (no-phonon and phonon-assisted emissions). Temperature dependence of PL intensity shows that emission from Ge QDs can still be measured at 150 K, whereas the band due to Si and the Ge wetting layers disappears. The PL spectrum from Ge QDs comprises two Gaussian peaks separated by about 50 meV. In addition, we find that the spectra of Ge dots shift with excitation flux and follow the power law characteristic for heterostructures of type II. We also present fast luminescence decay dynamics of about 30 ns from Ge dots.In the contribution we will discuss the possible origin of these findings. We will also compare luminescence decay dynamics from Ge dots and wetting layers, and relate them to the quantum confinement effects.---(*) The sample is on loan from Prof. W. Jantsch and has been grown in the Institute of Semiconductor and Solid State Physics, Johannes Kepler University, Linz, Austria.
9:00 PM - KK5.17
Mechanical Stress Resulting from Block Copolymer Lithography of Gold Nanoparticles on Si.
David Boyd 1 , Changyi Li 1
1 Division of Engineering and Applied Science, California Institute of Technology, Pasadena, California, United States
Show AbstractBlock copolymer lithography (BCPL) is an emerging self-assembly technique that allows the facile creation of large-scale, low-cost, well-ordered nanostructures of a wide range of materials. For example, BCPL is capable of conformally coating a substrate with nanoparticles that are uniform in size and have a regular inter-particle spacing. Nanoparticles arrays produced by BCPL have potential applications that include electronics, catalysis, metamaterials, and non-volatile memories. Mechanical stress can affect the performance of these applications. In this talk, we will present our observations of a mechanical stress that arises during BCPL of an array of 30 nm gold nanoparticles on Si. The stress, as measured by Raman spectroscopy, is compressive and on the order of tens of megapascals. We will discuss the origins of this stress and how it relates to processing conditions.
9:00 PM - KK5.19
Geometry-Dependent Magnetic Properties of Electrochemically Grown Mesocrystals.
Sara Dale 1 , Miles Engbarth 1 , Andre Mueller 1 , Simon Bending 1 , Laurie Peter 2
1 Department of Physics, University of Bath, Bath United Kingdom, 2 Department of Chemistry, University of Bath, Bath United Kingdom
Show AbstractThere is growing interest in controlling the magnetic properties of ferromagnetic and superconducting mesostructures by tailoring their geometrical shapes, symmetries and sizes. Recent developments in electrodeposition have demonstrated that it is possible to realise a broad range of three dimensional (3D) metal mesostructures by careful control of deposition parameters. For example, highly faceted 3D mesoscale Pb crystals with dramatically different shapes (ranging from regular polyhedra and nanowires to multipods and snowflakes) can be produced by electrodeposition onto a graphite substrate from Pb salt solutions at different reduction potentials [1]. Using this approach we have grown various superconducting Pb, Sn and Pb-Sn alloy microcrystals with vastly different morphologies. The shape of individual samples has been mapped using AFM, and correlated with their superconducting properties in an applied magnetic field as measured by Hall probe array magnetometry. We have also extended this approach to Ni and Ni-Cu alloy ferromagnetic mesostructures where we find magnetisation switching phenomena that are strongly correlated with crystal shape and symmetry. Electrochemical deposition of a shell structure on top of a crystal core uniquely enables one to form hybrid structures from materials with different functionality (e.g. superconductors and ferromagnets) which represent an ideal test-bed for a wide range of novel physical studies. Results of growth studies will be presented and correlated with low temperature micro-magnetometry data on a variety of individual mesostructures.[1] Xiao et al., J. Am. Chem. Soc. 126, 2317 (2004)
9:00 PM - KK5.2
NaCl Multi-layer Islands Grown on Au(111)-(22 × √3) Probed by Scanning Tunneling Microscopy.
Xiaonan Sun 1 , Marcella Felicissimo 1 , Petra Rudolf 1 , Fabien Silly 2 , Harry Jonkman 1
1 Zernike Institute for Advanced Materials, University of Groningen, 9747 AG Groningen Netherlands, 2 LRC Nanostructures et Semi-Conducteurs Organiques CNRS-CEA-UMPC, SPCSI/IRAMIS, CEA Saclay, 91191 Gif-sur-Yvette France
Show AbstractNaCl grown as insulating thin films are promising material to support and electrically isolate nanostructures from the metal substrate for technological applications. The growth of multi-layer NaCl islands on Au(111)-(22 × √3) surfaces was investigated using scanning tunneling microscopy (STM). We observed that the aspect of the NaCl islands drastically differs depending on the tunneling conditions. It is therefore possible to observe the layers forming an NaCl island or to image the gold reconstruction below the first NaCl layer. Atomically resolved STM images obtained on the first NaCl layer demonstrate that NaCl grows as an epitaxial crystalline film on Au(111)-(22 × √3). STM images also suggest that some NaCl layers can be non-crystalline.[1][1] X. Sun, M. P. Felicissimo, P. Rudolf, and F. Silly, Nanotechnology 19 495307 (2008)
9:00 PM - KK5.20
Preparation of Gold Patterns on Polyimide Film via Layer-by-Layer Deposition of Gold Nanoparticles.
Fevzihan Basarir 1 , Tae-Ho Yoon 1
1 Program for Integrated Molecular Systems (PIMS), Materials Science and Engineering Department, Gwangju Institute of Science and Technology, Gwangju Korea (the Republic of)
Show AbstractGold patterns were prepared via microcontact printing of γ-APS on polyimide film, followed by layer-by-layer deposition of gold nanoparticles (GNPs) and then sintering. First, 20 mM γ-APS was spin coated on PDMS stamp, followed by microcontact printing for 30 min on water plasma etched polyimide film. Then, multilayer of GNPs was formed on the γ-APS patterns via layer-by-layer deposition of negatively charged GNPs and positively charged poly(ethyleneimine) for 60 and 10 min, respectively. Thirdly, oxygen plasma etching was carried out to remove poly(ethyleneimine), followed by sintering at 150 oC for 1 hr to afford solid gold patterns. Finally, the samples were characterized by optical microscopy, UV-Vis and AFM, and electrical conductivity was measured via 2-point probe method, providing 4x104 Ω-1cm-1 with 20 layer GNP
9:00 PM - KK5.21
Patterning of Silicon Carbide Nanostructures via Lift-off.
Joel Therrien 1 , Daniel Schmidt 2 , Lian Dai 1
1 Electrical and Computer Engineering, U. massachusetts Lowell, Lowell, Massachusetts, United States, 2 Plastics Engineering, U. massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractSilicon carbide (SiC) for applications in semiconductor devices has seen a resurging interest in the past few years. Silicon Carbide has advantages over silicon in the temperature and power limitations. The high degree of chemical inertness is also of interest for chemical and biological sensor applications. With the high temperatures typically required for processing and its chemical inertness it remains one of the more challenging materials to work with in microelectronics. We will present a novel form of solid phase epitaxy and patterning of SiC films that enables the creation of doped nanoscale patterns. The technique employs processing steps commonly found in the silicon fabrication lines. Patterns with feature sizes as small as 50nm have been produced using this method. Performance characteristics of nanowire transistors fabricated via this method will be presented.
9:00 PM - KK5.23
Self-aligned Nano-hole Formation for Polymer-Protected Nanogap Devices for Biological Sensing in Near-Physiological Conditions.
Huijuan Zhang 1 3 , John Thong 1 3 , Francesco Stellacci 2 3
1 Electrical & Computing Engineering, National University of Singapore, Singapore Singapore, 3 Advanced Materials for Micro- and Nano-Systems Program, Singapore-MIT Alliance, Singapore Singapore, 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractA major weakness of nanogaps used for chemical/bio-sensing is the inevitable presence of parasitic ionic current as noise when operating in aqueous solution. We present here two novel approaches to fabricate nanogaps with a self-aligned nano-hole in an overlying polymer layer that otherwise insulates the electrodes for biological sensing in near-physiological conditions. One technique for the formation of self-aligned nano-hole exploits local heat-induce ablation of the polymer, while the other technique is based on the selective dissolution of the polymer by a non-polar solvent p-xylene. The nanogap is obtained by electromigration in a pre-patterned gold electrode with a narrow constriction. In contrast to conventional bare nanogaps without polymer protection, the polymer protected nanogap is able to reduce the ionic current from the electrolyte by two orders of magnitude. In addition, we have demonstrated direct DNA hybridization detection in aqueous solution by oligonucleotide-modified gold nanoparticle assembly. The electrical signal from DNA hybridization is in the order of 10-10 A, which would have been completely masked by the ionic current from electrolyte if there had been no polymer protection. We believe that our method could be used to produce commercial devices for rapid and inexpensive sensing of DNA strands as well as other biomolecules.
9:00 PM - KK5.24
Guided Self-Assembly of Triblock Terpolymers.
Hirokazu Hasegawa 1 , Satoshi Akasaka 1 , Akiko Mitani 1 , Taketsugu Osaka 1 , Hermis Iatrou 2 , Nikos Hadjichristidis 2
1 Department of Polymer Chemistry, Kyoto University, Kyoto, Kyoto, Japan, 2 Department of Chemistry, University of Athens, Athens Greece
Show AbstractBlock copolymer self-assembles to form highly regular periodic nanostructures (microdomain structures) via microphase separation. Application of such structures in nanotechnology has been sought in many fields such as nanolithography, photonic crystals, templates for hybrid materials, etc. In contrast to rather simple microdomain morphologies found in diblock copolymers, triblock terpolymers exhibit a variety of complex morphologies. Therefore, triblock terpolymers are more interesting from both scientific and technological points of view than diblock copolymers. However, the techniques to control the microdomain morphologies of triblock terpolymers have not been established yet. It is probably because the equilibrium morphology of a triblock terpolymer is difficult to attain except for a low molecular weight ones. For the film preparation of a high molecular weight block copolymer, the solution cast method is usually employed because we can hardly attain the disordered state by heating the bulk material. In case of a triblock terpolymer, however, there exists a difficulty in finding a neutral good solvent for all three components. Thus, the resulting microdomain morphology in the cast film is nonequilibrium but rich in variety. This may be the main reason why the morphology control of triblock terpolymers is not easy. However, we can take advantage of this situation and utilize the nonequilibrium morphology in nanotechnology since many of such microdomain structures are stable for thermal treatment due to the high energy barrier to alter the morphology.We employed the triblock terpolymer system composed of polystyrene (PS), polyisoprene (PI) and poly(1,4-dimethylsiloxane) (PDMS), and toluene as the casting solvent. Toluene is good for both PS and PI but poor for PDMS. Therefore, as the solvent evaporates in the casting process, PDMS phase-separates from the other two to form a microdomain structure first, and then PS and PI microphase-separate next in the space confined by the PDMS microdomains with the junction points between PI and PDMS fixed to the interfaces. In other words, the PDMS microdomains guide the second microphase-separation between PS and PI and the symmetry of the PDMS microdomains affect the final morphology, which we can never obtain otherwise. We synthesized nine PS-b-PI-b-PDMS triblock terpolymers with different compositions and investigated the microdomain morphologies by TEM and 3D electron tomography. Because of Si atoms in PDMS we can observe PDMS microdomains dark in the TEM images without any staining and by staining PI with OsO4 we can observe three different contrasts in the TEM images, bight for PS, dark for PI and medium for PDMS. Thus, we could differentiate the three kinds of microdomains in the TEM images. Consequently, we found nine different morphologies for nine different compositions and four of them exhibited complex network structures. Moreover, three of them are novel network structures.
9:00 PM - KK5.26
Length-scale-dependent Behaviors of a Nano-patterned Resist Material during Thermal Annealing and Plasma Etching.
Tsung-Cheng Lin 1 2 , Robert Bruce 1 , Florian Wielnboeck 1 , Gottlieb Oehrlein 1 , Brian Long 4 , William Bell 4 , Grant Willson 3 , Joe Vegh 5 , Dustin Nest 5 , Gopal Choudhary 5 , Ting-Ying Chung 5 , David Graves 5 , Azar Alizadeh 6 , Ray Phaneuf 1 2
1 Department of Materials Science and Engineering, University of Maryland, College Park, Maryland, United States, 2 Laboratory for Physical Sciences, University of Maryland, College Park, Maryland, United States, 4 Department of Chemistry, University of Texas, Austin, Texas, United States, 3 Department of Chemical Engineering, University of Texas, Austin, Texas, United States, 5 Department of Chemical Engineering, University of California, Berkeley, California, United States, 6 , GE Electrics Global Research Center, Niskayuna, New York, United States
Show AbstractThe drive toward ever-smaller dimensions has made controlled patterning of materials at the nanometer scale crucial in the fabrication of ultra large scale integrated electronics. The line-edge roughness (LER) which occurs during pattern transfer by etching is one of the key issues limiting device performance. Present technology uses resists for pattern transfer, motivating interest in understanding the response of resist materials during plasma etching and thermal annealing. Previously, we have observed evidence for spatial-period selection during etching-induced roughening of poly-alpha methyl styrene (PaMS), a prototype resist material. To determine in a more controlled manner the tendency toward spontaneous pattern formation in this system, here we study the persistence during etching of artificially created nanopatterns on a PaMS surface defined with the stylus of an atomic force microscope (AFM).In this talk, we present results for the evolution of nanogroove-patterned PaMS surfaces both during reactive ion etching, and during temperature-driven relaxation. In the latter case, we anneal at temperature slightly higher than the glass transition temperature, Tg, over a wide range of times. Exponential decay of the low-order Fourier components with respect to annealing time was observed, consistent with the prediction by surface-tension-driven leveling theory. Analysis of our the relation between the relaxation time,τ , and correspondent spatial wavelength,λ , of these nano-patterns, indicates two regimes with a transition spatial wavelength of ~150nm. The functional dependence in these two regimes suggests two different transport mechanisms. Patterns with large ratio (with small spatial wavelength) relax in a manner consistent with surface-tension-driven viscous flow, while those with smaller ratio (with large spatial wavelength) relax with an apparent diffusion-like transport mechanism. In the etching experiments we employ a fluorocarbon based plasma (90%Ar/C4F8) varying the exposure time. Using a height-height correlation approach we separate the pattern amplitude from that due to etching-induced roughness. The evolution of pattern depth was found to show roughly exponential decay as for the annealing case, but with significant short relaxation time. In this case, however the exponent of the power-law dependence of τ on λ is smaller compared with the annealing result.
9:00 PM - KK5.27
Nanoscale Fabrication using Massively Parallel Dip Pen Nanolithography™.
Nabil Amro 1 , Raymond Sanedrin 1
1 , NanoInk, Skokie, Illinois, United States
Show AbstractThe ability to control chemistry of surfaces on the 1-100 nm length scale is a fundamental and exciting challenge in nanoscience and nanotechnology because it opens new possibilities in fields ranging from basic surface science to biomedicine. Dip Pen Nanolithography (DPN®) is a scanning probe-based lithography technique in which an atomic force microscope tip is used to deliver chemical reagents (from small organic molecules to biological polymers, and from colloidal particles to metals ions) directly to nanoscopic regions of a target substrate (from metals to insulators and modified-surfaces). This process has been recognized as a powerful and versatile tool for generating micron-, submicron-, and nanometer scale structures. The combination of resolution, registration, and direct-write capability offered by DPN® distinguish it from any alternative lithographic strategy and make DPN® a promising tool for patterning variety of nanostructures. This paper presents the production of homogeneous high throughput and large area fabrication ranging from mm2 to cm2 of nanostructures using two-dimensional pen arrays (2D nano PrintArray™) consisting of 55,000 tips in a 1 cm2 chip. The 2D nano PrintArray is leveled with respect to the substrate surface, thereby providing uniform contacts between the cantilevers and the surface which leads to reproducible, accurate, and homogeneous edge-to-edge patterning of nanostructures on surfaces across large areas. In order to achieve uniform patterning across the surface several DPN parameters were controlled, such as homogeneous tip coating, temperature and humidity. We will describe DPN applications in areas of life sciences, stem cell differentiation, and fundamental nanoscale science.
9:00 PM - KK5.29
Direct-Write Click Chemistry.
Walter Paxton 1 , Jason Spruell 1 , J. Stoddart 1
1 Chemistry, Northwestern University, Evanston, Illinois, United States
Show AbstractThe past few years have seen an explosion of interest in the Cu(I)-catalyzed azide-alkyne cycloaddition (CuAAC) reaction. This extremely useful reaction efficiently forms a strong covalent linkage, in the form of a triazole ring, between an organoazide and a terminal alkyne with a high tolerance for a wide range of reaction conditions and functional groups. Furthermore, CuAAC has emerged as a rather efficient approach toward modified self-assembled monolayers on solid surfaces. In practice, CuAAC strategies rely on careful use of the catalytically active but unstable Cu(I) species, or the in situ reduction of Cu(II) to Cu(I) using a suitable reducing agent, such as ascorbic acid. Our group has recently demonstrated that polydimethylsiloxane (PDMS) stamps coated with a thin layer of heterogeneous copper metal are also extremely efficient at catalyzing this reaction at reactive interfaces (e.g., an azide-terminated surface), but only where the stamp is in direct contact with the surface, eliminating the need for an additional reducing agent. Currently, we are developing strategies to catalyze CuAAC reactions locally, also without a reducing agent, using a Cu-coated atomic force microscopy (AFM) tip by scanning an azide-terminated silicon wafer in an ethanolic solution containing a terminal alkyne. We observe that the reaction occurs only where the Cu tip is in contact with an azide terminated surface, and that the kinetics of the reaction depend on the scanning force, scanning speed, and the concentration of the terminal alkyne in ethanol. This approach affords a direct-write lithographic approach toward chemically modifying and patterning surfaces at the nanoscale with delicate soft materials.
9:00 PM - KK5.3
Structure and Electronic Properties of Self-Assembled Si-in-Si(001) Nanowire.
James Owen 1 , Sigrun Koester 1 , Francois Bianco 1 , Daniel Mazur 1 , David Bowler 2 3 , Christoph Renner 1
1 Dept. de Physique de la Matière Condensée, University of Geneva, Geneva Switzerland, 2 Department of Physics & Astronomy, University College London, London United Kingdom, 3 London Centre for Nanotechnology, University College London, London United Kingdom
Show AbstractInterest in the physical and electronic properties of experimental low-dimensional systems is driven both by a desire to understand the fundamental physics of these systems, as well as the continual technological drive towards ever smaller devices. Bismuth self-assembles into nanolines 1.54 nm wide when deposited onto the Si(001) surface and subsequently annealed[1]. Of the candidate structures for the Bi nanoline, the Haiku structure[2], which comprises a five layers deep triangular core of reconstructed Si capped by a pair of Bi dimers, is the only one which fits all the available experimental data. However, no direct evidence for the underlying reconstructed core has hitherto been given. Recently, we have used large doses of atomic hydrogen to strip the Bi dimers off the nanoline. The characteristic arc-like 1D RHEED signature of the nanolines remains strong after hydrogenation. This is unequivocal evidence that the Bi dimers in the nanoline sit atop a reconstructed core of Si, and that this core reconstruction survives the hydrogenation process. Detailed STM imaging of the stripped nanoline shows that the bright double row, characteristic of the intact Bi nanoline, is replaced by a dark trench, 0.5Å deep and 1.54 nm (4 dimers) wide. Within each unit cell are 2 rows of 4 maxima, whose positions match that expected of an intact Haiku core with the Si-Bi bonds replaced by Si-H bonds, while being very difficult to reconcile with unreconstructed diamond-structure Si, providing the first direct evidence for the Haiku core structure. DFT simulations of the likely structure are in good agreement with the STM results, except that in the simulation all the H atoms have almost exactly the same physical height, while in the STM, the central pair appear brighter than the outer pair, with an apparent height difference around 0.1Å. This height difference is found to be an electronic effect, resulting from a low-lying occupied state localised around the centre of the core.Thus by this method, a purely Si-in-Si nanoline has been formed, and the Haiku core structure confirmed for the first time. Moreover, by removing the unreactive Bi dimers, this process of hydrogenation could also permit a wider applicability of these structures as pure Si templates for atomic-scale wires[3]. [1] Self-assembled nanowires on semiconductor surfaces. J. Mat. Sci. 41 (2006) pp.4568-4603. J. H. G. Owen, K. Miki, and D. R. Bowler[2] Stress relief as the driving force for self-assembled Bi nanolines. Phys. Rev. Lett. 88 (2002) 226104 ( R). J.H.G. Owen, K. Miki, H. Koh, H.W. Yoem and D.R. Bowler.[3] One-dimensional epitaxial growth of indium on a self-assembled atomic-scale bismuth template. Nanotechnology 17 (2006) pp. 430-433. J.H.G. Owen and K. Miki.
9:00 PM - KK5.30
Unique Micro-phase Separation Structures in the Nanoparticles.
Takeshi Higuchi 1 6 , Kiwamu Motoyoshi 2 , Kazutaka Koike 2 , Hiroshi Yabu 3 5 , Hiroshi Jinnai 4 , Masatsugu Shimomura 1 3 6
1 WPI-AIMR, Tohoku University, Sendai Japan, 6 CREST, JST, Tokyo Japan, 2 Graduate School of Engeering, Tohoku University, Sendai Japan, 3 IMRAM, Tohoku University, Sendai Japan, 5 PRESTO, JST, Tokyo Japan, 4 , Kyoto Institute Technology, Kyoto Japan
Show AbstractBlock copolymers, which have different polymer segments connecting with covalently bonds at the end of polymer segments, have been received great attention for novel nano-building blocks because block copolymers spontaneously form periodic nano-structures such as bcc spheres, hcp cylinders, lamellae and so on. The morphology and period of the nano-structures called as “micro-phase separation structures”, depend on the segment ratio and molecular weight of block copolymers. From the 1960s, the micro-phase separation structures in the films have been investigated.Polymer nanoparticles are one of the key materials for nanoscience and nanotechnology in the fields of photonics, electronics, and biotechnologies. The inner structures of nanoparticles affect their chemical and physical properties. For example, the nanoparticles having core-shell type structures can be applied to the carrier in drug delivery systems. The macro-phase separated nanoparticles such as core-shell structures have been studied vigorously whereas there are several literatures describing the block copolymer nanoparticles having micro-phase separation structures. The reason is that the synthesis of block copolymer nanoparticles is difficult by using conventional method such as emulsion polymerization.In recent years, we have developed the unique preparation method of polymer nanoparticles by using a self-organization process. The polymer nanoparticles can be prepared by using a simple evaporation of a good solvent after adding a poor solvent into a polymer solution. This method is applicable to wide variety of organic materials by choosing suitable solvents. This method requires two solvent conditions, a poor solvent is miscible in a good solvent and a boiling point of a good solvent is lower than that of a poor solvent. By using this method, we succeeded to prepare the block copolymer nanoparticles having micro-phase separation structures.In this report, we focused on the molecular weight dependence for the micro-phase separation structures in the block copolymer nanoparticles. The nanoparticles were prepared from the block copolymers with a wide variety of molecular weight ranging from 30,000 to 1.5 million. We found that the block copolymers having large molecular weight (> 0.2 million) formed the unique micro-phase separation structures (i.e., Janus type, tennis ball, mushroom, wheel, and screw like structures) in their nanoparticles even though only lamellar structures are formed in their films. Transmission electron microtomography (TEMT) revealed that the block copolymers form three-dimensionally complicated structures in the nanoparticles depending on their diameters. The relation between particle diameters and the micro-phase separation structures are discussed in terms of confinement effect in nanoparticles.
9:00 PM - KK5.31
Top-Down Meets Bottom-Up: Self Assembly of Patternable Block Copolymers.
Michelle Chavis 1 , Joan Bosworth 3 , Xavier Andre 2 , Marvin Paik 1 , Christopher Ober 1
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 3 , Hitachi Global Storage Technologies, San Jose, California, United States, 2 , AGFA, Mortsel Belgium
Show AbstractThere are two fundamental but very different patterning approaches in nanotechnology: “top-down” and “bottom-up”. “Top-down” refers to making nanoscale structures by fusing metal, lithography, molding plastic or etching/machining down materials. “Bottom-up”, or molecular nanotechnology refers to making nanoscale structures by combining smaller components such as organic and inorganic structures atom-by-atom or molecule-by-molecule. Block copolymer self-assembly/lithography presents a method for exploiting both methods. Here we present the use of solvent annealing to allow for improved order of the self-assembled pattern morphology along with the use of photolithography techniques to further control the alignment of the block copolymer.Poly(methyl methacrylate)-block-poly(hydroxyethyl methacrylate), PMMA-b-PHEMA, acts as both a block copolymer photoresist and a self-assembling material making it ideal for patterning simultaneously by top-down and bottom-up fabrication methods. Annealing in a nonselective solvent results in parallel orientation of cylindrical domains and the selective removal of the PMMA minor phase allows for precise location of the self-assembled block copolymer patterns. Solvent annealing allows for self-assembled patterns in this material without compromising it ability to behave as a photoresist. Photolithographic functionality was designed into the block copolymer allowing the majority component of the block copolymer to behave as a negative tone photoresist. We combine block copolymer self-assembly with lithographic patterning to create defect free thin films of block copolymer morphology over large domains in which the orientation of the morphology and location are carefully controlled.
9:00 PM - KK5.32
Surface Structures Formed by Octa-Substituted Cobaltacarborane Porphyrin Investigated by Scanning Probe Microscopy.
Wilson Serem 1 , Erhong Hao 1 , Graca Vicente 1 , Jayne Garno 1
1 Chemistry, Louisiana State University, Baton Rouge, LA, Louisiana, United States
Show AbstractPorphyrins and metalloporphyrins have unique electronic and chemical properties for photonic, photovoltaic, magnetic and biomedical applications. A particular problem when working with systems of porphyrins is their relative insolubility in many solvents. For example, chlorinated or volatile solvents are poorly suited for in situ investigations in liquid media using scanning probe microscopy (SPM), due to rapid evaporation and health concerns. When samples of porphyrins are prepared ex situ, we have found that a wide range of surface structures can be generated for porphyrins, (i.e. crystals, stacks, continuous films) depending on simple changes in steps of sample preparation or when using different substrates or solvents. When producing surface structures of porphyrins, there is competition for predominance of intermolecular pi-pi bonding interactions versus attraction to charged surfaces. The conductive properties measured at the molecular level are greatly influenced by the organization of molecules on surfaces. Our objectives are to develop viable approaches for reproducibly arranging porphyrins on various surfaces to study the size dependence of physical properties at the nanoscale. Cobaltacarborane porphyrin with sixteen carborane clusters per macrocycle was synthesized in excellent yield (90-97%) via a ring-opening zwitterionic reaction. Characterizations of surface structures of cobaltacarborane porphyrins will be presented using tapping mode and conductive probe SPM. The capability to develop lithography that enables production of successively smaller structures may provide a new insight into size-dependent properties and mechanisms of molecular self-assembly.
9:00 PM - KK5.33
Building Up Hierarchical Materials With Well Defined Pore Shapes And Dimensions: Mesopores And Nanoboxes.
Luca Malfatti 1 2 , Paolo Falcaro 3 , Daniela Marongiu 1 , Maria Casula 4 , Heinz Amenitsch 5 , Benedetta Marmiroli 5 , Plinio Innocenzi 1
1 Laboratorio di Scienza dei Materiali e Nanotecnologie , University of Sassari, Alghero Italy, 2 Laboratoire de Chimie de la Matière Condensée de Paris, Université Pierre et Marie Curie-Paris 6 and CNRS, Paris France, 3 Division of Materials Science and Engineering, CSIRO, Clayton South, Victoria, Australia, 4 Dipartimento di Scienze Chimiche, University of Cagliari, Cagliari Italy, 5 Institute of Biophysics and Nanosystems Structure Research, Austrian Academy of Sciences, Graz Austria
Show AbstractSelf-assembly of materials through solvent evaporation is a versatile route to obtain different classes of mesoporous materials with a bottom-up technique: evaporation drives the formation and organization of micelles that act as templates of mesopores. A step ahead to the construction of complex materials is achieving hierarchical porosity, with the possibility to integrate different functions at the different length scales. We have developed a new synthesis to obtain hybrid porous silica films with two types of pore templates, spherical micelles from block copolymers and cubic nanoboxes from salt crystallization. A buffer solution containing NaCl and Na2HPO4 in water has been added to a MTES-TEOS precursor sol and then has been used to deposit films by dip-coating through evaporation-induced self-assembly (EISA). We have analysed these films after calcination at 350°C by grazing incidence small-angle X-ray scattering (GISAXS) and we have observed diffraction spots that have been attributed to the formation of an organized cubic mesophase. The images taken by transmission electron microscopy (TEM) have revealed the presence of different types of nanoscale structures that appear spherical and cubic. The thermal treatment removes the templating organic micelles formed by the block copolymer leaving a porous organized structure. These pores are mesopores of spherical appearance and dimensions of 6.3 ± 0.6 nm. At the same time the salts added in the precursor solution form another type of structure which appears as cubic boxes of larger dimensions, typically in the range of 250-280 nm. The cubic salt nanoboxes are thermally stable and can be easily removed by washing the film with water; the salts are water soluble and leave empty pores. It is important to note that the overall process do not disrupt the mesophase, the ordered porous phase maintains its arrangement after thermal treatment and the following washing process. The final material appears as a porous hierarchical film with two ranges of porosities, an ordered array of mesopores and a random distribution of cubic nanoboxes. The nanoboxes are not ordered but result homogeneously dispersed within the mesoporous matrix. We have used X-rays diffraction (XRD) and far infrared (FIR) spectroscopy to identify the crystalline templating phase that is formed by EISA, both the data show that is crystalline sodium chloride. The spectra also show that the washing process completely removes the salt within the film and is able, therefore, to leave empty nanoboxes. We could not obtain nanoboxes using only NaCl as templating agent without Na2HPO4, even if we have systematically changed the composition of the sol and the salt concentration. The presented method will allow a selective functionalization of the nanopores as a function of their size and shape through a selective removal process of the templates.L.M. would like to acknowledge support by the European Commission, through MRTN-CT-019601 grant.
9:00 PM - KK5.34
Nanoscale Patterning in a Beaker: Chemical Functionalization of Water-etched Si(100).
Carmen Say 1 , Kate Queeney 1
1 Chemistry, Smith College, Northampton, Massachusetts, United States
Show AbstractRecent work has shown that hydrogen-terminated Si(100), H:Si(100), is etched in deoxygenated, ultrapure water to produce a surface covered with nanoscale hillocks of a narrow size distribution.1 This regular nanoscale topography is produced at room temperature and atmospheric pressure. Because the final surface is hydrogen-terminated as well, it is subject to oxidation in ambient conditions. To be useful e.g. in biological applications the surface must be made chemically (and topographically) stable. Ideally, the surface termination could be tailored to express a range of functional groups and macroscopic properties such as wettability. Siloxane chemistry is one of the most straightforward and versatile ways to functionalize silicon surfaces, but it requires a pristine surface oxide layer. In this work we examine the stability of the water-etched H:Si(100) surface to various oxidizing chemistries and demonstrate that an SC-2 treatment (HCl/H2O2) is most successful at preserving the original surface topography. Siloxane layers prepared by vapor phase reaction of the SC-2 cleaned surface are characterized by dynamic contact angle goniometry and atomic force microscopy, both of which demonstrate that the alkyl monolayer-functionalized surface maintains its initial nanoscale topography.1 M. F. Faggin, S. K. Green, I. T. Clark, K. T. Queeney and M. A. Hines J. Am. Chem. Soc. 2006, 128, 11455-11462
9:00 PM - KK5.36
Statistical Analysis of Polymorphic Molecular Clusters on Surfaces.
Ulrich Weber 1 , Victor Burlakov 1 2 , G. Andrew Briggs 1 , David Pettifor 1
1 Materials Department, University of Oxford, Oxford United Kingdom, 2 Institute for Spectroscopy, Russian Academy of Sciences, Troitsk Russian Federation
Show AbstractSelf-assembled molecular templates are one of the best candidates for building structures in the nano-regime. One of the key features that is required from these structures is their reproducibility. The latter depends on the absence or control over other competing structures or orientations. Such polymorphism is a regularly observed phenomenon in systems like PTCDI/melamine (1) or PTCDA/melamine (2). Statistical analysis of our Monte Carlo simulations on a simple polymorphic system with two structures/orientations A and B shows that the reproducibility for a given polymorph is dependent on the interface energy between A and B. We observe two separate regimes that are controlled by this interface energy. One favours formation of pure clusters of structures A or B while the other one favours that of mixed phases. Using a simple analytical model we can explain our results and predict the probability of a particular polymorph. Our results show that the formation of a particular molecular structure has a limited probability even under ideal experimental conditions.(1) PRL 100, 156101 (2008)(2) PRB 77, 201408 (2008)
9:00 PM - KK5.37
Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting.
Yueying Wu 1 , Lou Kondic 3 , Javier Diez 4 , Ramki Kalyanaraman 6 , Hare Krishna 5 , Jason Fowlkes 2 , Philip Rack 1
1 Materials science and Engineering, The University of Tennessee, Knoxville, Tennessee, United States, 3 Department of Mathematical Sciences, Center for Applied Mathematics and Statistics, New Jersey Institute of Technology, Newark, New Jersey, United States, 4 Instituto de Fisica Arroyo Seco, Universidad Nacional del Centro de la Provincia de Buenos Aires, Tandil Argentina, 6 Chemical and Biomolecular Engineering, Materials Science and Engineering, The University of Tennessee, Knoxville, Tennessee, United States, 5 Department of Physics, Washington University, St. Louis, Missouri, United States, 2 Center for Nanophase Materials Science, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractSelf–organizing materials offer the potential to assemble complex systems by defining the initial and bounding conditions if the fundamental scientific principles guiding the assembly are known. Much work has been performed studying the assembly of continuous thin polymer and metal films which reveal interesting dewetting phenomenon. While the break-up and pattern formation via dewetting of continuous thin metal and polymer films has been studied in detail, less work has been devoted to the dewetting and pattern formation of confined or patterned thin films. In this work, nickel and nickel/copper thin films were patterned into various shapes and treated via nanosecond pulsed laser processing. Liquid phase dewetting have produced 1D and 2D features unique to patterned thin films. The edges and vertices of the patterned shapes act as programmable instabilities, which enable directed assembly via dewetting when the laser energy density is above the melting threshold. The evolution of nickel line instabilities and nanoparticle formation with correlated size and spacing were observed as a function of the number of laser pulses. Nonlinear time–dependent simulations and linear stability analysis based upon a simple hydrodynamic model were employed to understand the instabilities that direct the nanoparticle assembly. In addition, binary thin films were deposited and dewetted in order to yield functional bi-metallic Cu-Ni nanoparticles.
9:00 PM - KK5.39
Magnetic Properties of Co-Pt and Co-Ni Nanoparticles Fabricated by Thin-film Dewetting on Topographic SiO2.
Junghwan Kim 1 , Yong Jun Oh 1 , Chul Min Joe 1 , Caroline A Ross 2 , Carl V Thompson 2
1 Materials Science and Engineering , Hanbat Natl, Univ., Dae-jeon Korea (the Republic of), 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractNanoparticle arrays of Co and its alloys have received significant attention because of their potential applications in high-density recording media. Recently, it has been shown that Co nanoparticle arrays can be successfully formed by dewetting of metallic thin films on a suitable substrate at elevated temperatures; this process is governed by the surface topography of the substrate[1]. In an earlier dewetting study, gold nanoparticle arrays with uniform crystal orientation were fabricated[2]. Crystal orientation, in addition to particle shape and particle size distribution, is a critical factor that determines the magnetic properties of small-particle arrays. This study investigates the microstructures and magnetic properties of Co60Ni40 and Co50Pt50 nanoparticles fabricated from thin films by two different dewetting processes—furnace annealing and laser annealing. Topographic SiO2 substrates with 200-nm-period square arrays of inverted pyramidal pits were fabricated by laser interference lithography. Co/Ni and Co/Pt thin bilayers were deposited onto the substrate using a pulsed Nd:YAG laser (wavelength: 266 nm) to obtain the target alloy compositions. To induce dewetting, the deposited films were annealed in a furnace at 900 °C, and some films were irradiated using a pulsed Nd:YAG laser (energy density: 85 mJ/cm2). The microstructures of the dewetted particles were observed by HR-SEM and HR-TEM. The magnetic characteristics of the nanoparticles were measured using a SQUID magnetometer. Well-assembled arrays of Co-Ni and Co-Pt nanoparticles (size: 40–80 nm) were obtained by furnace annealing as well as laser annealing. However, laser-annealed sample showed better size uniformity than the furnace-annealed sample even when the film thickness was small. Co50Pt50 nanoparticles formed by furnace annealing were mostly single crystals with an ordered face-centered tetragonal (fct, L10) structure; the [100], [010], and [001] directions of the preferred crystal orientations were normal to the substrate surface. In contrast, Co50Pt50 nanoparticles formed by laser annealing and Co60Ni40 particles formed by furnace annealing and laser annealing were polycrystalline, and no preferred orientation was found. Owing to the large magnetocrystalline anisotropy of the L10 structure, the furnace-annealed Co50Pt50 particles showed very high coercivity (10–15 kOe) when the external field was perpendicular or parallel to the substrate. The coercivities of the other samples were of the order of a few hundred oersteds. In summary, our results indicate that dewetting can be used to control the magnetic behavior of nanoparticles by varying the crystal orientation and microstructure. This technique can also be employed to fabricate magnetic nanoparticle arrays for use in high-density recording media.References [1] Y.J. Oh, C.A. Ross, Y.S. Jung, Y. Wang, C.V. Thompson, Small, 5 (2009) 860–865.[2] A.L. Giermann, C.V. Thompson, Applied Physics Letters, 86 (2005) 121903
9:00 PM - KK5.4
Lateral Heterojunction Micro-networks of Semiconductor Nanowires/Nanofilms.
Mehmet Sarac 1 , Julie Mackey 1 , Paresh Shimpi 1 , Daesoo Kim 1 , Pu-Xian Gao 1
1 Department of Chemical, Materials and Biomolecular Engineering & Institute of Material Science, University of Connecticut, Storrs, Connecticut, United States
Show AbstractBy directly heating a polycrystalline Cu-Zn alloy in ambient conditions, semiconductor heterojunction networks composed of p-type CuO/Cu2O nanofilm and n-type ZnO nanowires have been successfully fabricated. Through selective metallographic etching on polished Cu-Zn polycrystalline alloy, heterogeneous grain boundary network was successfully assembled by individual Cu-rich grain surface and surrounding Zn-rich grain boundary region, which functions as a key for the successful assembly of the nanowire/nanofilm lateral heterojunction networks. The thermal oxidation temperature and duration time were found to be of a little impact on the size distribution of ZnO nanowires, but a significant influence on their aspect ratio and the electrical property.The heterojunction networks formed due to heterogeneous composition distribution induced by selective Cu-Zn grain boundary etching and the resulting selective oxidation kinetics of grain surfaces and grain boundary networks.
9:00 PM - KK5.40
Morphological Analysis of Pattern Formation in Block Copolymers Thin Films.
Michele Salvador 1 , Roberto Faria 2 , Antonio Jose Carvalho 3 , Marcelo A. da Silva 2 , Americo Bernardes 1 , Andrea Bianchi 1
1 Physics Department, Federal University of Ouro Preto, Ouro Preto, Minas Gerais, Brazil, 2 Physics Institute of São Carlos, University of São Paulo, São Carlos, São Paulo, Brazil, 3 , Federal University of São Carlos - Campus Sorocaba, Sorocaba, São Paulo, Brazil
Show AbstractBlock copolymers (BC) thin films are becoming important in nanotechnology due the various spontaneous and regular sub-micrometric surface patterns formed on flat substrates during dewetting process, consisting of parallel ribbons and hexagonal arrays of droplets, which are obtained from a critical polymer concentration. Block copolymers macromolecules are composed by two or more polymers sequentially disposed in segments, such as AB (diblock) and ABC (triblock) configuration, in such the poly(styrene)-b-poly(ethene-co-butene-1)-b-poly(styrene) (SEBS) polymer have a ABA triblock configuration. Despite the progress observed in the last ten years towards the understanding of the dynamic formation of block copolymers patterns, our knowledge about the involved phenomena is not completely understood. In such the morphology measurements extraction during the process of film formation should provide contributions to its dynamic of self-organized copolymers. The pattern structures, in submicrometric scales, formed from dewetting and solvent evaporation phenomena of thin films, previously deposited on flat substrates, belong to a rich scientific subject related to micro fluidics and BC phase segregation [1-5]. In this work we investigate atomic force microscopy (AFM) images of SEBS deposited over mica substrates by dip coating. The first step is the structures segmentation, by applying an adaptive threshold algorithm in AFM images of triblock copolymer, different morphological structures have been separated from background. The pattern recognition uses connected components labeling, which groups pixels (the smallest cell of the image) into structures that share similar pixel intensity values. Pattern recognition and labeling of various disjoint and connected components in an image are decisive to reliable automated image analysis, such as, mean area, width and height. The results show that in self-organized stripes and droplets regularly spaced, the structures area decreases as stripes evolve to droplets, and the high and width of structures increase. Such results are in accordance with hypothesis proposed by Carvalho et. Al [2], differently than the hole formation due to rupture caused by thin film instability studied by Reiter [3] and Sharma [4], regarding SEBS structures the dynamics is caused by formation of fingers at the contact line of the drop (liquid film). Marangoni effect [5] is the phenomenon responsible for the finger-formation, and after that, the fingers are fragmented under the Rayleigh instability [6]. This work was sponsored by Fapemig, Capes and Cnpq.[1]F. S. Bates and G. H. Fredrickson, Annu. Rev. Phys. Chem., 41, 525, 1990.[2]A. J. F. Carvalho, et al, R. M., The Eur Phys Jour E., 20, 3, 2006.[3]G. Reiter, Phys. Rev. Lett. 68, 75, 1992.[4]A. Sharma, Langmuir 9, 861, 1993.[5]A. M. Cazabat, F. Heslot, S. M. Troian, P. Carles, 346, 824, Nature, 1990.[6]L.Rayleigh, Proc. London Math. Soc., 10, 4, 1878.
9:00 PM - KK5.41
Ultrafast Laser-induced Surface Structures and Micro-deposition for Direct Writing.
Bing Liu 1 , Zhendong Hu 1 , Makoto Murakami 1 , Yong Che 1
1 , IMRA America Inc, Ann Arbor, Michigan, United States
Show AbstractWe have studied ultrafast laser-induced morphological change on metal surfaces in two spatial geometries: (i) in open space where the target surface is exposed to ambient air, and (ii) in a confined space where a transparent glass is placed above the target surface during laser irradiation, confining the plasma expansion. In open space, near-threshold ultrafast laser ablation produces sub-wavelength periodical structures on the surface. We have investigated the effects of laser fluence, polarization, and pulse repetition rate on the optical refection of the laser-modified surface. In confined space where the target surface is ablated under a covering glass, we find that the ripple formation is drastically suppressed. We attribute this to the enhanced target surface heating and shock wave hardening.On the other hand we investigate material deposition on the covering glass used in the confined ablation. We present results of micro-deposition and direct writing by scanning the laser beam. We demonstrate that this technique can be used for printing both bitmap and vector graphic images on transparent media with a continuous gray scale.
9:00 PM - KK5.42
Helium Ion Lithography.
Donny Winston 4 , Bing Ming 3 , David Bell 1 , Brian Cord 4 , Lewis Stern 2 , Andres Vladar 3 , Mike Postek 3 , Mike Mondol 4 , Karl Berggren 4
4 Elect. Eng. and Computer Science, Massachusettes Institute of Technology, Cambridge, Massachusetts, United States, 3 , National Institute of Standard and Technology, Gaithersburg, Maryland, United States, 1 School of Enginnering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 ALIS Business Unit, Carl Zeiss SMT, Peabody , Massachusetts, United States
Show AbstractIon-beam lithography is not nearly as prevalent as electron-beam lithography, in part due to destructive ion-sample interactions and in part due to lack of a source competitive with the Schottky field-emission electron gun in terms of brightness, energy spread, and source stability. Helium-ion-beam lithography may hold promise for higher resolution than electron-beam lithography for three reasons. First, scattering in resist and substrate should reduce because the helium-ion mass is over three orders of magnitude higher than the electron mass. Second, the secondary-electron energy and thus range should reduce because the higher-mass helium ions generate secondary electrons more elastically. Third, destructive sputtering and recoil-atom collision cascades, a problem with heavier ions such as Ga+, should not limit helium-ion lithography. Recently, a high-brightness gas phase field ionization source of helium ions has been commercialized as part of a sub-nm-spot-size scanning helium-ion-beam microscope (Zeiss Orion). This system may enable an improvement in lithographic resolution relative to prior work with light (e.g. He+, H¬2+) and “heavy” (e.g. Ga+) ion sources. We connected a helium-ion microscope to a commercially available pattern generator (Nabity NPGS) in order to evaluate the system’s resolution potential relative to electron-beam lithography. Preliminary results suggest that sub-10-nm-pitch patterning is feasible using hydrogen silsesquioxane (HSQ) resist and a salty development process.To evaluate the patterning resolution of our system, we used small-pitch nested-“L” structures. Nested-Ls are convenient test structures for high-resolution lithography because (1) they test corner sharpness and the proximity effect via the L joints, (2) they test beam stigmation via orthogonal grating exposures, and (3) they further test the proximity effect by the challenge of yielding both isolated and dense features. Using these test structures, we were able to fabricate 20-nm-pitch HSQ structures with high contrast and 10-nm-pitch structures with low contrast. This resolution suggests that helium-ion-beam lithography may be a viable tool for high-resolution serial-write lithography applications.
9:00 PM - KK5.43
Nanopatterning of Colloidal Crystals Using Diazonaphthoquinone Chemistry for the Formation of ``Patchy” Particles.
Elizabeth Glogowski 1 2 , Yoonho Jun 2 3 , Agustin Mihi 2 3 , Paul Braun 2 3 , Jennifer Lewis 2 3 , Jeffrey Moore 1 2 3
1 Chemistry, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 2 Materials Science & Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 3 Beckman Institute, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractWell-defined anisotropic building blocks can be used for complex, 3D assembly of microstructures. “Patchy” particles, or colloids with non-homogeneous surface functionality distributed into patches, are predicted to form highly ordered colloidal assemblies that have unique optical properties. One method to potentially achieve patchy particles is to utilize the unique photonic properties of colloidal crystals upon light irradiation. When the diameter of the particles matches the wavelength of the laser, (e.g. a 351 nm laser with 300 – 350 nm diameter colloids) the predicted 3D interference pattern produces regions of localized higher light intensity, i.e. hotspots, within the crystal. These hotspots can trigger a photochemical change of the diazonaphthoquinone (DNQ) moieties on the surface of the silica colloids from neutral DNQ to negatively charged carboxylic acids in the presence of water. DNQ functionalization, colloidal crystal formation, and subsequent laser irradiation have been studied for silica colloidal crystals. The resulting material has been characterized in comparison with DNQ-functionalized planar substrates by X-ray photoelectron spectroscopy, ellipsometry, contact angle measurements, nanoparticle labeling of carboxylic acid groups, and electron microscopy.
9:00 PM - KK5.45
Transmission-Electron-Microscopy-based Metrology of Sub-10-nm Electron-beam Lithography.
Huigao Duan 1 2 , Joel Yang 1 , Bryan Cord 1 , Karl Berggren 1
1 EECS, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Lanzhou University, Lanzhou China
Show AbstractUltrahigh resolution electron-beam lithography has promising applications to bit-patterned media, high-resolution and templated self-assembly, sub-10-nm nanoelectronic devices, and mask manufacturing for integrated circuits. Much progress has been made on ultrahigh resolution electron-beam lithography (EBL) in recent years with the help of new tools, new resists, and new resist development process. Sub-5-nm half-pitch features have been fabricated using salty development on HSQ resist using Raith 150^TWO. It is believed that the resolution can be further improved with the efforts of using smaller electron-beam spot size and continuous optimizing the resist development process. However, when EBL is approaching its limit, metrology poses an increasing difficulty. First, it is well-known that size distribution, line-edge roughness, linewidth roughness, and position placement accuracy caused by random events (such as system instability, shot noise, random interaction of electrons and resists, and random interaction of resists and developers) are very important parameters for practical applications. These random events become more obvious and much more important when the resolution approaches the nanometer scale, so it is essential to understand them. However, considering a variance of 10% of a 5-nm half-pitch, the size deviation should be less than 0.5 nm, which poses a challenge to even the best scanning-electron microscope. Second, ultrahigh resolution electron-beam lithography is intrinsically different from traditional electron-beam lithography. For example, ultrathin resists must be used, the electron distribution of the electron beam plays a more important role at the resolution, secondary electrons may also have a big effect on the lithography, the development process becomes less controllable, and even minor defects become fatal to devices. To study and to control such effects, morphological details must be ascertained prior to subsequent processes. Third, for many nanoelectronic applications, critical dimension must be controlled on the order of 0.1 nm. Considering the above-mentioned points, we have adopted High-Resolution Transmission-Electron Microscopy (HRTEM) to approach a metrology accuracy order of 0.1 nm and investigate the morphological and structural details of ultrahigh resolution electron-beam lithography. We report our results on HRTEM metrology studies of lithographic features approaching the resolution limit of electron-beam lithography. With the help of HRTEM metrology, doing electron-beam lithography on membranes, and studying the point spread function on both membranes and bulk silicon substrates, we found that forward-scattering and back-scattering did not play very important roles as one might have imagined for ultrahigh resolution e-beam lithography. Instead, the beam spot size and development process played the most important roles.
9:00 PM - KK5.46
Perpendicular and Parallel Mode Ripples on Si Generated by Low-Energy Ion Sputtering.
Marina Cornejo 1 , Bashkim Ziberi 1 , Frank Frost 1 , Bernd Rauschenbach 1
1 , Leibniz-Institute of Surface Modification, Leipzig Germany
Show AbstractA simple bottom-up approach for the generation of nanostructures on solid surfaces is the low energy ion beam erosion. Under certain sputtering conditions and despite the statistical nature of the ion bombardment, well ordered nanostructures, like one-dimensional ripples or regular arrays of dots, can be formed on the surface by self-organization processes. This one-step technique can be applied to a wide variety of materials.The focus of our group in the last years has been the pattern formation on silicon and germanium, using broad beam ion sources. The use of broad beam ion sources allows the patterning of large-area surfaces. It was shown that a variety of patterns occur depending on the experimental conditions. Among the several operational parameters that control the topography evolution, the geometrical setup of the substrate with respect to the beam and also the voltages applied to the extraction system, which determine the resulting energy of the ions and the shape of the ion beam, are critical. This study represents a contribution to the understanding of the role of these parameters in the patterning of silicon surfaces using a Kaufman type broad beam source. Under certain experimental conditions ripples perpendicular to the ion beam projection on the surface are formed when irradiating silicon near normal incidence, i.e. angles up to 20°-25°, without sample rotation. It is observed that with variation of the ion energy parallel ripples evolve simultaneously and that decreasing the ion energy they become the dominant topography feature. Perpendicular ripples are formed also at larger ion beam incidence angles (65° to 70°). In this context the transition from perpendicular ripples to features parallel to the ion beam with small increments in the incidence angle and ion energy variation is shown. In the present, the connection between the substrate contamination (in particular by Fe), the operational parameters of the ion beam source and the pattern formation is being analyzed. Here some preliminary results are presented.
9:00 PM - KK5.47
Erosion Mechanism in Low-Energy Ion Beam Sputtering of Fused Silica Surfaces.
Jens Voellner 1 , Bashkim Ziberi 1 , Frank Frost 1 , Bernd Rauschenbach 1
1 , Leibniz-Institute of Surface Modification, Leipzig Germany
Show AbstractIn a recent study the topography evolution on fused silica during low-energy Ar+ ion beam sputtering (Eion ≦ 2000 eV) was studied [1]. It was shown that, for ion incidence angles between 50° and 70° the surface topography of fused silica is dominated by regular ripple pattern with an orientation orthogonal to the ion beam direction. Whereas for ion incidence angels of 50° the ripple wavelength increases for extended sputter times, the ripple wavelength saturates for ion incidence angles of 60° and 70°, additionally , a destroying of the rippled topography was found after passing a cross over time. In contrast, at ion incidence angles < 50° stable and very smooth surfaces were observed. Based on this general study which showed the surface evolution on smooth fused silica, the topography evolution on an initial non-smooth surface is investigated. Therefore, rippled surfaces with defined ripple wavelengths and amplitudes were used as pre-patterns and eroded under various conditions. In a first step ripple pattern are formed at ion incidence angle of 50° with a characteristic ripple wave vector parallel to ion beam projection. Afterwards the sample was rotated azimuthally by 90° and irradiated again at an (polar) ion incidence angle of 50°. Consequentially, the original ripple structures disappear slowly and, simultaneously, a new superimposed ripple pattern emerges.In a second set of experiments rippled surfaces are irradiated at ion incidence angles < 50° and at azimuth angles parallel and perpendicular to the original ripple orientation, where in both cases surface smoothing dominates.For both sets of experiments the temporal and the angle dependent evolution of the surface topography was investigated by scanning force microscopy. Based on the analysis of the local surface gradients of the evolving surfaces that are inherently related to the local ion incidence angle onto the respective surface elements gradient dependent sputtering [2] has been identified as important mechanism responsible for surface topography evolution in this system, especially for the observed ripple coarsening and increasing of the ripple amplitudes with erosion time.[1]D. Flamm, F. Frost, D. Hirsch, Appl. Surf. Sci. 179, 96 (2001)[2]G. Carter, J. Mater. Sci. 8, 1473 (1973)
9:00 PM - KK5.48
Molecular Dynamics Study on Polymer Surface Modification by Ar Ion Bombardement.
Chansoo Kim 1 , Sk. Faruque Ahmed 1 , Mina Park 1 , Myoung-Woon Moon 1 , Kwang-Ryeol Lee 1
1 Computational Science Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of)
Show AbstractIon beam bombardment at low energy forms nanosize patterns such as ripples, dots or wrinkles on the surface of polymers in ambient temperature and pressure [1]. It has been known that the ion beam can alter the polymer surface that induces skins stiffer or the density higher by higher compressive stress associated with chain scissions and crosslinks of the polymer. Atomic scale structure evolution in polymers is essential to understand a stress generation mechanism during the ion beam bombardment, which governs the nanoscale surface structure evolution. In this work, Molecular Dynamics (MD) simulations are employed to characterize the phenomenon occurred in bombardment between the ion beam and polymers that forms nanosize patterns [2, 3]. We investigate the structure evolutions of Low Density Polyethylene (LDPE) and Polydimethylsiloxane (PDMS) at 300 K as the polymers are bombarded with Argon ions having various kinetic energies ranging from 70 eV, 200 eV to 1 keV with the fluence of 2.7 × 1019 #/cm2. These simulations use the Reactive Force Field (ReaxFF), which can mimic chemical covalent bonds and includes van der Waals potentials for describing the intermolecular interactions [4]. The results show the details of the structural evolution of LDPE and PDMS by the low energy Ar ion bombardment. Analyses through kinetic and potential energy, stresses, number of crosslinks and chain scissions, level of local densification and motions of atoms support that the residual stress on the surface is strongly associated with the number of crosslinks or scissored chains [5].
9:00 PM - KK5.49
Stress Due to Low Energy Ar Ion Bombardment: Relation to Ripple Formation.
Yohei Ishii 1 , Vivek Shenoy 1 , Eric Chason 1
1 Division of Engineering, Brown University, Providence, Rhode Island, United States
Show AbstractIon bombardment is known to create self-organized patterns on surfaces (sputter ripples), but the underlying mechanisms are still not well understood. . The Bradley-Harper (BH) theory is a widely-regarded model for understanding ripple formation that considers the balance between roughening by sputtering of atoms and smoothing by the production and transport of mobile defects (adatoms and vacancies on surface). Although it accounts for many features of ripple formation, it does not quantitatively explain the rate of ripple formation in many systems such as Cu(001). This suggests that there may be other ion-induced roughening mechanisms not included in the BH theory. Since stress can be created during ion irradiation, some studies suggest that ion-induced stress can be an additional source of patterning. Although many aspects of ion beam have been studied for many years, the stress near the surface region is not well-characterized. In particular, the relative magnitude of the stress in directions parallel and perpendicular to the ion beam is not known. Non-biaxial stress states may occur when the ion beam is not perpendicular to the surface and this symmetry breaking may play a role in initiating the pattern formation. To understand the mechanisms of ion-induced stress evolution, we present measurements of the stress evolution during and after low energy Ar ion bombardment of surfaces using a wafer curvature technique. We also discuss a simple extension of the BH model to include the effects of stress.
9:00 PM - KK5.5
Structure/Processing Relationships of Highly Ordered Lead Salt Nanocrystal Superlattices.
Tobias Hanrath 1 , Joshua Choi 1 , Kaifu Bian 1 , Detlef Smilgies 1
1 , Cornell University, Ithaca, New York, United States
Show AbstractWe synergistically combined electron microscopy and synchrotron-based small-angle x-ray scattering analysis build a foundational understanding of processing-structure relationships of strongly coupled colloidal nanocrystal assemblies. The prospect of combined control over individual and ensemble nanocrystal (NC) properties provides a rich opportunity space for the engineering of highly ordered nanomaterials with coherent electrical and optical properties relevant to a number of nanotechnology applications. As in analogous molecular systems, collective interactions among NCs in these artificial solids are strongly influenced by NC energy levels, coupling between adjacent sites, and the symmetry and spacing of the lattice. In the present work, we investigated the influence of processing conditions, nanocrystal/substrate interactions and drying dynamics on the ordering of colloidal lead salt nanocrystals. Spin-cast PbSe nanocrystal films exhibited sub-micron sized supracrystals with face-centered cubic symmetry and (001)s planes aligned parallel to the substrate. The ordering of drop-cast lead salt nanocrystal films was sensitive to the nature of the substrate and solvent evaporation dynamics. Nanocrystal films drop-cast on rough indium tin oxide substrates were polycrystalline with small grain size and degree of orientation with respect to the substrate, whereas films drop-cast on flat Si substrates formed highly ordered face-centered cubic supracrystals with close-packed (111)s planes parallel to the substrate. The spatial coherence of nanocrystal films drop-cast in the presence of saturated solvent vapor was significantly improved compared to films drop-cast in a dry environment. Solvent vapor annealing was demonstrated as a post-deposition technique to improve long-range ordering and supracrystal orientation in nanocrystal films. Octane vapor significantly improved the long-range order and degree of orientation of initially disordered or polycrystalline nanocrystal assemblies. Exposure to 1,2-ethanedithiol vapor caused partial displacement of surface bound oleic acid ligands and drastically degraded the degree of order in the nanocrystal assembly. To better understand the dynamic processes underlying the solvent vapor annealing and evaporation, we performed out time-resolved in-situ x-ray scattering experiments. Taken together, these results provide important new insights into the NC structure/processing relationship of strongly coupled NC assemblies.
9:00 PM - KK5.51
Dynamical Renormalization Group Analysis of the Anisotropic Kuramoto-Sivashinsky Equation.
Matteo Nicoli 1 , Rodolfo Cuerno 1 , Adrian Keller 2 , Stefan Facsko 2 , Wolfhard Moeller 2
1 Matemáticas, Universidad Carlos III de Madrid, Leganes, Madrid, Spain, 2 Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden, Rossendorf, Dresden, Germany
Show AbstractRecent experiments on high temperature ion sputtering of Si(111) under oblique incidence have shown a time dependentmorphological transition in which ripples form on the target surface whose crests are initially perpendicular to the direction of the beam, only to get aligned with the beam for larger irradiation times [1]. Theoretically, the appearance of ripple patterns during ion sputtering and their orientation as a function of the incidence angle are well described by the linear continuum equation derived by Bradley and Harper (BH) [2]. BH theory predicts (following Sigmund's theory of sputtering) that the sputtering yield at the valleys is larger than at the crests, leading to a destabilization of an initially flat interface. The competition between this roughening mechanism and the surface smoothing via surface diffusion drives the self-organization of the surface topography. The anisotropic noisy Kuramoto-Sivashinsky (aKS) equation remains to date as the minimal non-linear generalization of the BH equation [3]. In fact, non-linear effects dominate the surface evolution for long times, and the linear description is inadequate to describe these regimes. The non-linear terms in the aKS equation are of the anisotropic non-conserved Kardar-Parisi-Zhang type, in which the two ensuing parameters, λx and λy, reflect the angular dependence of the erosion rate. Roughly, the aKS equation combines a linear morphological instability that creates a regular pattern with an anisotropic non-linearity that tends to roughen the surface. For long times, the appearance of a rotated ripple structure has been observed when λx and λy have different signs [4]. Under this condition cancellation modes exist, but the pattern rotation angle of 90° seen in the experiments does not agree with that predicted in [4]. Recently, ripple rotation by 90° has been observed in numerical integrations of the aKS without cancellation modes, i.e. when λx and λy with the same sign [5]. In this work we present a dynamical renormalization group analysis of the aKS equation in order to explain the ripple rotation. The analytical prediction indicates that the rotation of the pattern arises from the anisotropic renormalization properties of the aKS equation. Specifically, in case of a non-linear term with strong anisotropy the time required for parameter renormalization depends strongly on the substrate direction. For this reason, two transient states of ordered ripples are observed before reaching an asymptotic isotropic state.[1] A.-D. Brown and J. Erlebacher, W.-L. Chan, and E. Chason, Phys. Rev. Lett. 95, 056101 (2005).[2] R. M. Bradley and J. M. E. Harper, J. Vac. Sci. Technol. A 5, 2390 (1988).[3] R. Cuerno and A.-L. Barabási, Phys. Rev. Lett. 74, 4746 (1995).[4] M. Rost and J. Krug, Phys. Rev. Lett. 75, 3894 (1995).[5] A. Keller et al. unpublished (2009).
9:00 PM - KK5.52
The Role of Viscous Flow in Ion-induced Surface Patterning: A Study Combining Collision Cascade Calculations, 3D Monte Carlo Kinetics and a Simple Flow Treatment.
Karl-Heinz Heinig 1 , Bartek Liedke 1
1 Inst. of ion beam physics and materials research, Research Center Dresden-Rossendorf, DRESDEN Germany
Show AbstractThe role of viscous flow of amorphous Si, Ge, and SiO2 for surface pattern formation under ion irradiation is still a matter of discussions. Strong indications for viscous flow exist for ion energies >10keV. A theoretical treatment of ion-induced surface patterning including viscous flow is extremely difficult because mechanisms of surface patterning like curvature dependent ion erosion and diffusion cannot be separated. Therefore, a program package has been developed which allows a simultaneous treatment of the collision cascades, thermally activated processes and viscous flow. The 3D atom relocation by the collision cascades are calculated in the Binary Collision Approximation, the thermally activated relaxation of energetic atomic configurations as well as diffusive processes are simulated be a very efficient bit-coded kinetic 3D Monte Carlo algorithm, and the viscous flow is taken into account by a crude model allowing distant annihilation of interstitials at the surface. The simulations prove a significant contribution of viscous flow to surface patterning. A comparison of simulated pattern with experimental results will be presented.
9:00 PM - KK5.53
Formation of PbSe Nanoislands at the SiO2/Si Interface Via Ion Implantation.
F. Luce 1 , S. Reboh 1 2 , F. Kremer 1 , F. Silva 1 2 , T. Engel 1 2 , F. Zawislak 1 , P. P. Fichtner 1 2
1 Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre Brazil, 2 Escola de Engenharia, Universidade Federal do Rio Grande do Sul, Porto Alegre Brazil
Show AbstractOur group has recently developed a method to nanostructurate SiO2/Si interface via room temperature ion implantation followed by high temperature thermal annealing [1,2]. According to this process, the ions are implanted within the SiO2 film. Upon annealing, a fraction of the implanted atoms migrates towards the SiO2/Si interface that works as a diffusion barrier. The accumulation of the atomic species along a single plane results in the precipitation of nanoislands with an improved degree of ordering. In the present work we extend our investigations by studying the synthesis of compound nanoislands of PbSe. This semiconductor material presents potential characteristics for photovoltaic, photonic and sensors applications. In our experiment, Pb + Se co-implantations were performed sequentially in a thermally growth 190 nm thick SiO2 layer on (001) Si substrates. The samples were then submitted to thermal treatments at 1100 oC for 1 h. Rutherford Backscattering Spectrometry (RBS) was used to study the thermal diffusion and depth concentration of the atomic species. Transmission Electron Microscopy (TEM) in image and Electron Dispersive Spectroscopy (EDS) modes were performed to characterize the microstructure. The RBS results show that the thermal treatment causes a redistribution of the co-implanted elements with evident accumulation at the interface. The TEM investigation revealed the formation of discrete depth distributions of spherical precipitates within the SiO2 layer and nanoislands at the interface with Si. By EDS analysis we have detected Pb and Se in single precipitates and islands thus evidencing the formation of the PbSe compound. X-ray, plan-view TEM imaging and electron diffraction are currently undergoing to further characterize the structures.[1] J. M. J. Lopes, F. C. Zawislak, P. F. P. Fichtner, R. M. Papaléo, F. C. Lovey, A. M. Condó, A. J. Tolley. Appl. Phys. Lett. 86, 191914 (2005)[2] F. Kremer, J. M. J. Lopes, P. F. P. Fichtner, F. C. Zawislak. Appl. Phys. Lett. 91, 083102 (2007)
9:00 PM - KK5.55
First-principles Atomistic Dynamics of Low-energy Ions Impinging on Si Surfaces.
Matthew Beck 1 2 , Sokrates Pantelides 1
1 Physics & Astronomy, Vanderbilt University, Nashville, Tennessee, United States, 2 Chemical and Materials Engineering, University of Kentucky, Lexington, Kentucky, United States
Show AbstractWide-area sputtering of semiconductor and metal surfaces with ion beams triggers the self-assembly of nanometer-scale three-dimensional surface patterns. Significant effort has focused on harnessing this phenomenon as a cost-effective technique for producing nanostructure arrays with sub-lithographic dimensions. Models for the shape, size and growth dynamics of sputter-induced surface patterns are widely based on the underlying theory that pattern formation represents a balance between thermal desorption (roughening) and surface diffusion (smoothing), both processes driven by the kinetic energy deposited by impinging ions. Recent theoretical and experimental work has shown that models based on this approach fail to adequately predict observed patterns, and have questioned in particular the assumption of simple ellipsoidal distributions for ion-deposited kinetic energy. Further, experiments and molecular dynamics simulations using empirical potentials and invoking the binary collision approximation have shown that athermal effects associated with the atomic-scale collision cascades induced by high-energy (> 1keV) impinging ions can play a key role in pattern formation.Here we report results of first-principles molecular dynamics calculations of low-energy Si ions impacting 1000-atom crystalline Si surface slabs. First-principles calculations allow highly accurate determinations of many-body interatomic forces in arbitrary atomic configurations. The present large-scale density functional theory calculations highlight the dynamics of chemical bond rearrangements occurring during low-energy ion bombardment without relying on the simplifying assumption of the binary collision approximation. We find that significant ballistic mass redistribution occurs on the femtosecond timescale following an ion strike. These ballistic processes result in atomic-scale disorder and non-equilibrium variations in local density that represent the initial conditions for thermally-activated diffusion and sputtering. Further, the impinging ions’ kinetic energy is deposited in a mushroom shape, with the local temperature significantly enhanced at the solid surface compared to that expected from a Gaussian ellipsoid model of energy deposition.
9:00 PM - KK5.56
Dislocation Arrays in a Sapphire Using Femtosecond Laser Irradiation.
Chiwon Moon 1 , Shingo Kanehira 2 , Kiyotaka Miura 1 , Eita Tochigi 3 , Shibata Naoya 3 , Yuichi Ikuhara 3 , Kazuyuki Hirao 1
1 Department of Material Chemistry, Kyoto University, Kyoto Japan, 2 Innovative Collaboration Center, Kyoto University, Kyoto Japan, 3 Institute of Engineering Innovation, The University of Tokyo, Tokyo Japan
Show AbstractFemtosecond laser has been received much attention because it can deposit enormous energy to a microscopic area in various materials without surface damage. Therefore, the femtosecond laser irradiation has been used for a method of producing three-dimensional micro or nano structure inside various transparent materials. The interaction between laser pulses and single crystals have also been studied, for example, amorphization of sapphire, formation of refractive index change in LiNbO3, and phase transformation of TiO2.Sapphire is very famous in various fields including mechanics, optics and electronics because of its excellent properties such as hardness, strength, transparency and so on. Recently, some trial to modify the properties of small area was reported. For example, an electrical conductivity of sapphire improved dramatically by the infiltration of Ti atoms along the dislocation, which is one of the lattice defects. We expect that various controls of mechanical and electronic properties would be realized by controlling or modifying an interface inside sapphire using the femtosecond laser.Firstly, we investigated the deformation mechanism of sapphire in a microscopic area during the femtosecond laser irradiation. Nanocracks of 10 ~ 30 nm width propagated from the focal point along {11(_)02} and {11(_)00} planes, and the propagation distance of nanocrack was controllable by adjusting the irradiation conditions of femtosecond laser. In addition, the crystalline phase at the focal point locally transformed to the amorphous phase.Next, we tried to transform the cracks formed by the laser irradiation into arrays of dislocations and pores by heat treatment above 1300°C. The dislocations and the residual pores were periodically aligned along the traces of nanocrack due to a partial healing of the cracks. Interestingly, the amorphous phase at the focal point returned to the single crystalline phase after the annealing process. We estimated that the periodic dislocation structures originated from the discontinuous crack healing by annealing process. Our results would apply to the formation of various unique structures inside sapphire.
9:00 PM - KK5.58
Chemical Gradients with Photoresponsive Coumarins and Greyscale Photolithography Masks.
Joshua Ritchey 1 , Audrey Bowen 2 , Ralph Nuzzo 2 , Jeffrey Moore 1
1 Chemistry, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 2 Fredrick Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractChemical gradients have a variety of applications ranging from biological detection to microfluidics. Previous work primarily constructs continuous gradients using vapor or solution phase deposition of a surface modifier, such as chloroalkylsilane or alkanethiol. Herein we present a photoresponsive surface that can be patterned through a greyscale photolithographic mask to create discrete greyscale features in a single UV exposure. The photo-responsive (4-methyl)-bromocoumarin was prepared to change from hydrophobic to hydrophilic after exposure to UV light (365 nm). The bromocoumarin was then modified with a chlorosilane to enable monolayer formation on silicon. Surfaces were characterized using Secondary Ion Mass Spectrometry (SIMS), contact angle, ellipsometry, and condensation microscopy. Greyscale amplitude masks are prepared by embedding mask elements, of varying optical densities, into a soft lithographically patterned poly(dimethylsiloxane) matrix. After a single UV exposure through such greyscale masks, distinct gradients can be observed by both SIMS and condensation microscopy. The resulting discrete gradients have been incorporated into microfluidic gating as well as some biological detection schemes to demonstrate the general utility of the photochemical and photolithographic elements.
9:00 PM - KK5.6
Studying Uniformity and Distribution in Feature Size, Spacing of Self-assembly Derived High Density, Sub-50nm Scale Polymer Structures and Nanopillar Arrays on Full 4” Wafers.
Sivashankar Krishnamoorthy 1 , Fung Ling Yap 1
1 Patterning & Fabrication, Institute of Materials Research & Engineering (IMRE), Singapore Singapore
Show AbstractWith the promise of nanostructures of different materials having shown considerable promise towards applications, one of the chief concerns in applying them is achieving these structures reliably over large areas such as full wafers or beyond, using techniques that are cost-effective. This has spurred interest in the use of techniques that use self-assembly of molecules or colloids, despite the fact that in most cases they have defects in their organization, their feature sizes and inter-particle spacing display a certain distribution and that the extent of natural ordering is only of the order of one square micron. Such defects as well as the distribution in geometric characteristics of their assemblies can be tolerated for many applications, provided that they conform to reasonable limits, and are well-characterized, and the reproducibility of the mean feature sizes and spacing is ensured. In this direction, we explore the distribution in feature size and spacing of copolymer reverse micelles of polystyrene-block-poly(2-vinylpyridine) coated on 100mm wafers, and analyze the variation in these parameters as a function of distance from the centre to edge of the wafer. We compare the mean and width of distribution in feature size and spacing of the micelle arrays obtained on full wafers with those obtained on 1cmx1cm chips to assess the extent of variation introduced by the scaling up. This study derives its importance from the fact that the geometric characteristics of the micelle arrays directly impacts the characteristics of secondary structures such as the nanoparticle arrays, nanoscale pillars/holes that have been shown possible in earlier reports using the micelles as chemical or physical templates respectively. We further demonstrate the transfer of the micelle array pattern into silicon substrates by dry etching to form nanopillar arrays and compare the geometric characteristics of the pillar arrays with that of the original micelle arrays. While the distribution in the spacing of the pillar arrays match that of the micelle arrays, the distribution in their diameters and heights are profoundly influenced by the pattern transfer conditions. We present optimization of these conditions that could significantly reduce the distribution in the feature size of the pillars resulting in a high fidelity transfer of the self-assembled pattern into the substrate over wafer level.
9:00 PM - KK5.8
Structured Colloidal Materials from Block Copolymers.
Gi-Ra Yi 1 , Seog-Jin Jeon 2 , Seung-Man Yang 3
1 Department of Industrial Engineering Chemistry, Chungbuk National University, Chengju Chungbuk Korea (the Republic of), 2 Materials & Device Center, Samsung Advanced Institute of Technology, Suwon Korea (the Republic of), 3 Department of Chemical and Biomolecular Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractBlock copolymer particles with nanostructures were prepared by evaporation-induced self-assembly of block copolymer inside droplet. Organization of symmetric block copolymers inside emulsion with surfactant have produced onion-like structures. Then, by adding homopolymers in emulsion phase with block copolymers, we have successfully controlled the morphologies inside particles from lamellar to cylinders and spheres. Depending on particle size, we found that morphologies were dramatically changed inside block copolymers. Also, by using amphiphilic block copolymers or mixtures, both of particle shapes and internal structures were controlled well.
9:00 PM - KK5.9
Nanopatterned Surfaces via Self-Wrinkling of Bilayered Polymers.
Kenneth Carter 1 , Joseph Peterson 1 , Sarav Jhaveri 1
1 Polymer Science and Engineering, University of Massachusetts - Amherst, Amherst, Massachusetts, United States
Show AbstractFilms of poly(2-hydroxyethyl methacrylate) (PHEMA) were crosslinked and attached to silicon oxide surfaces via infusing them with toluene-based solutions of various trichlorosilanes. Treatment of the PHEMA films with trichlorosilane crosslinked the films into permanent stable coatings. The crosslinked films, unlike the uncrosslinked PHEMA films, were resistant to both, polar and nonpolar solvents. Films of different thicknesses were infused to provide crosslinked coatings which were studied by ellipsometry, water contact angle, surface X-Ray photoelectron spectroscopy, surface FTIR and atomic force microscopy. Functional trichlorosilanes (eg. bromophenyl trichlorosilane, heptadecafluoro-1,1,2,2-tetrahydrodecyl trichlorosilane and trichlorovinylsilane) were used as crosslinkers to impart chemical functionality onto the surfaces and within the crosslinked films. Further, thermal nanoimprint lithography was employed to produce structures of PHEMA which were subsequently infused to produce functionalized polymer network patterns on silicon substrate. Reactive ion etching with oxygen and tetrafloromethane of the films followed by surface XPS analysis provided a depth profile of the infused siloxane within the films. The patterned films result in an interesting nanoscale organization of the wrinkled surfaces.
Symposium Organizers
Eric Chason Brown University
Rodolfo Cuerno Universidad Carlos III de Madrid
Jennifer Gray University of Pittsburgh
Karl-Heinz Heinig FZ Dresden-Rossendorf
KK6: Dealloying and Dissolution
Session Chairs
Eric Chason
Ramki Kalyanaraman
Tuesday AM, December 01, 2009
Ballroom B (Hynes)
9:30 AM - **KK6.1
Activation Barrier for Terrace-limited Dissolution During Nanoporosity Evolution in Dealloying.
Jonah Erlebacher 1 2 , Joshua Snyder 2 1
1 Materials Science, Johns Hopkins University, Baltimore, Maryland, United States, 2 Chemical and Biomolecular Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractWe describe an experiment whose results can be interpreted as a direct measurement of the chemical reaction kinetics of atoms being removed from high-coordination crystal terrace sites, at least in the particular restricted case of electrochemical dissolution of metal alloys. The basic idea is that there are binary metal alloys such as Ag/Au with the property that they can be subjected to electrochemical dissolution (corrosion) conditions where one element, the less noble component, dissolves and the remaining (minor, more noble) element diffuses on the surface, passivating all steps edges and other low-coordination sites. Further dissolution, particularly under conditions leading to porosity evolution, is rate-limited by dissolution from 9-coordinated terrace sites in fcc alloys. By dealloying silver from Ag-Au alloys in ionic liquids, we have extrapolated the activation barrier for silver dissolution from terrace sites over the composition range 0-30% Au.
10:00 AM - KK6.2
Nanoporous Ni and Ni-Cu Fabricated by Dealloying.
Masataka Hakamada 1 , Yasumasa Chino 1 , Mamoru Mabuchi 2
1 Materials Research Institute for Sustainable Development, National Institute of Advanced Industrial Science and Technology (AIST), Nagoya Japan, 2 Graduate School of Energy Science, Kyoto University, Kyoto Japan
Show AbstractMetallic nanoporous architecture can be spontaneously attained by dealloying of a binary alloy. The nanoporous architecture can be often fabricated in noble metals such as Au and Pt. In this study, nanoporous Ni, Ni-Cu and Cu are fabricated by dealloying rolled Ni-Mn, Cu-Ni-Mn and Cu-Mn alloys, respectively. Unlike conventional Raney nickel composed of brittle Ni-Al or Cu-Al intermetallic compounds, the initial alloys had good workability probably because of their fcc crystal structures. After the electrolysis of the alloys in (NH4)2SO4 aqueous solution, nanoporous architecture of Ni, Ni-Cu and Cu with pore and ligament sizes of 10–20 nm was confirmed by scanning electron microscopy and transmission electron microscopy. X-ray diffraction analyses suggested that Ni and Cu atoms form a homogeneous solid solution in the Ni-Cu nanoporous architecture. The ligament sizes of nanoporous Ni and Ni-Cu were smaller than that of nanoporous Cu, reflecting the difference between diffusivities of Ni and Cu at solid/electrolyte interface. Ni can reduce the pore and ligament sizes of resulting nanoporous architecture when added to initial Cu-Mn alloys.
10:15 AM - KK6.3
Si and Si/Ge Superlattice Nanowires by Metal-assisted Etching.
Nadine Geyer 1 , Zhipeng Huang 1 , Silko Grimm 1 , Manfred Reiche 1 , Trung-Kien Nguyen-Duc 1 , Johannes de Boor 1 , Peter Werner 1 , Ulrich Goesele 1
1 , Max Planck Institute of Microstructure Physics, Halle (Saale) Germany
Show AbstractLarge-area arrayed silicon nanowires and related heterostructures are highly desirable for applications in electronics, optoelectronics and thermoelectrics exploiting their large surface to volume ratio as well as quantum size effects. Especially for the investigation of quantum size effects the diameter of the nanowires should be in the range of the de Broglie wavelength of charge carriers. In this work, we present two approaches which both base on metal-assisted etching in HF/H2O2 but differ using different strategies to structure the substrates with a patterned metal film: i) a combination of colloidal lithography and plasma etching and ii) reactive ion etching with ultra thin anodic aluminium oxide membranes as a mask. In the former approach hexagonally, closed packed monolayers of monodisperse polymer spheres are transferred into hexagonal non-closed packed particle arrays by means of plasma etching. These arrays are used as a mask for metal deposition (e.g. Ag or Au). After removing the spheres the metal layer with holes serves as a catalyst for the following metal-assisted etching step. Using this manner of patterning the substrate, wires with diameters ranging from 5 µm down to 50 nm and with an aspect ratio up to 10 can be obtained. In the second approach an anodic aluminium oxide membrane affords a patterning of the substrate surface by reactive ion etching related to the hexagonal arrangement and size of the holes in it. We successfully applied this approach to generate arrays of nanowires with diameters below 20 nm containing Si/Ge superlattices. Thereby, highly ordered crystalline nanowires with smooth surfaces and sharp interfaces between Si and Ge layers were obtained.Both methods allow controlling the diameter and the length of the Si and Si/Ge superlattice nanowires.
10:30 AM - KK6.4
Long-Range Ordered Aluminum Oxide Nanotubes by Guided Anodization.
Kunbae Noh 1 , Chulmin Choi 1 , Jin-Yeol Kim 1 , Mariana Loya 1 , Sungho Jin 1
1 Materials Science and Engineering, University of California, San Diego, La Jolla, California, United States
Show AbstractSince the discovery of self-assembled anodization in 1995, anodic aluminum oxide (AAO) has become one of the most frequently utilized periodic templates to create nanoislands, nanowires and nanobarcodes for magnetic and electronic devices as well as for biological application such as DNA patterning. While the AAO nanostructures are locally periodic within a typical size regime of micrometers, one of the main issues to be resolved is the lack of long-range periodicity in the self-assembled AAO structures. Also, typical AAO structures are made of pore arrays, with few reports on functionally more useful configurations such as vertical nanotube arrays of aluminum oxide. In this presentation, we report on successful fabrications of periodically ordered and vertically aligned Al2O3 nanotube arrays over a large area (in excess of 0.5x0.5 cm2) by utilizing guided anodization on Al thin films. Nano-indentation of an Al precursor film with a nanostamp having 100 million sharp tip arrays in hexagonally periodic arrangement, produced seed impressions which guided the subsequent anodization process to produce a long-range, periodically ordered, vertical nanopore arrays. Upon continued anodization, a self-assembled extra pore was formed in the center of each hexagon to produce a triangular array of vertical nanopores. Even further anodization resulted in a conversion of nanopore geometry into a nanotube configuration, thus producing long-range periodic Al2O3 nanotube arrays. Such a periodic alumina nanotube array structure has not been reported so far. An alternative patterning process of using a spin-coated PMMA resist layer as a medium for nanoindentation, instead of direct indentation of an Al film, also led to the formation of a similarly long-range periodic structure. An interesting phenomenon of pattern-doubling was observed upon triangular array indentation followed by anodization and etching, which produced self-assembled central pores in the center of all triangles, thus increasing the total number of pores by a factor of two. For long-range ordering and uniformity of AAO nanopore or nanotube geometry, the flatness of starting material, i.e., deposited Al film is very important. Aluminum diffusion, coarsening and surface roughening can occur even near room temperature, and thin film deposition of Al in the regime of a few hundred nanometers often leads to significant surface roughness in excess of several nanometers in the starting Al film to be nanoindented or nanoimprinted. Such a surface roughness is comparable to some intended depth of impression of 5-20 nm, and thus is highly undesirable for the purpose of uniform nanoindentation- or nanoimprinting-based nanopatterning. In order to significantly minimize surface roughening during thin film deposition, we utilized cryogenic substrate temperature to significantly reduce the roughness of sputter-deposited aluminum film to the level of ~1nm, which led to more uniform Al2O3 nanotube arrays.
10:45 AM - KK6.5
Chemically Self-patterned SrTiO3(001) Substrates for Long-range Ordered Nanostructures.
Romain Bachelet 1 , Florencio Sanchez 1 , Jose Santiso 2 , F. Javier Palomares 3 , Luis Garzon 1 , Markos Paradinas 1 , Carmen Ocal 1 , Josep Fontcuberta 1
1 , ICMAB-CSIC, Barcelona Spain, 2 , CIN2, ICN-CSIC, Barcelona Spain, 3 , ICMM-CSIC, Madrid Spain
Show AbstractNew strategies are required for cost-effective fabrication of long-range ordered nanostructures. In this communication, we report an original way that exploits the chemical terminations of the widely used SrTiO3(001) single-crystals, i.e. SrO and TiO2. By means of proper annealing at high temperature in air, both chemical terminations self-assemble at the nanoscale with a long-range order guided by the periodic steps of the surface [1]. Taking advantage of i) the well-known chemical etching that removes the SrO termination and ii) the Sr surface segregation by high-temperature annealing in oxidizing conditions, we will show that diverse chemically-patterned (atomically-flat) surfaces can be tailored, with a fully-controlled termination ratio (from pure TiO2 to single SrO –terminated surface) [2]. The chemically patterned surfaces can be used as nanotemplate for fabrication of ordered nanostructures by selective growth driven by termination. Some examples will be presented, including long-range ordered nanostructures of the conducting ferromagnetic oxide SrRuO3 [1]. The nanostructures have been characterized by atomic force microscopy (with phase-lag, friction and current sensing methods) and by x-ray photoelectron spectroscopy.[1] R. Bachelet, F. Sánchez, J. Santiso, C. Munuera, C. Ocal, and J. Fontcuberta, Chem. Mater. 21, 2494 (2009).[2] R. Bachelet, F. Sánchez, F.J. Palomares, C. Ocal, and J. Fontcuberta, submitted to APL.
11:00 AM - KK6: Dealloying
BREAK
KK7: Patterning by Energetic Beams I
Session Chairs
Tuesday PM, December 01, 2009
Ballroom B (Hynes)
11:30 AM - **KK7.1
Nanoscale Surface Morphology Control in Ion Sputter Erosion.
Michael Aziz 1
1 , Harvard School of Engineering and Applied Sciences, Cambridge, Massachusetts, United States
Show AbstractFocused and unfocused ion beam irradiation of a solid changes the surface morphology by sputter erosion and material relaxation processes. Their interplay can result in completely smooth surfaces; self-organized nanoscale corrugation, dot, or hole patterns; or self-sharpening high-sloped shock fronts that propagate instead of dissipating. Current understanding of these phenomena will be reviewed from an experimental and a theoretical perspective.
12:00 PM - **KK7.2
Multiscale Nano-Structuring of Si Surfaces Combining Top-Down and Bottom-Up Techniques.
Bashkim Ziberi 1 , Klaus Zimmer 1 , Frank Frost 1 , Bernd Rauschenbach 1
1 , Leibniz-Institute of Surface Modification, Leipzig Germany
Show AbstractSurface patterning is of tremendous importance in many technological fields with features ranging from nanometers to millimeters. There are different patterning techniques that generally can be assigned to the top-down and bottom-up approaches. One bottom-up method for the generation of self-organized nanostructures is low-energy ion beam erosion. It is an easy one step process for the generation of large scale nanostructures of different materials, usually with a mean size below 100 nm [1,2]. However due to the stochasticity of the process there is a lack of large scale ordering of nanostructures and of positional control.In this contribution results on self-organized nanostructuring of pre-patterned Si surfaces will be presented. This method known as guided self organization has its inspiration in nature and is already successfully applied in heteroepitaxy and in diblock-copolymers. The idea is to combine the top-down technique for pre-patterning of surfaces followed by the ion beam induced self-organization process (bottom-up) [3]. Due to the periodicity, shape and lateral ordering of pre-patterns an improved ordering, and an exact positional control of nanostructures is achieved. The method allows also for the formation of new structures not possible on planar surfaces. Furthermore patterning of more complex surfaces like curved one or more difficult geometries are possible.The pre-patterned substrates are fabricated by various lithographic techniques in combination with etching techniques for structure transfer. Depending on the shape of the pre-patterned structure (binary gratings with different periods, square arrays of cylinders, gratings with V-grooves) different results are obtained. Some experimental observations are: i) formation of curved ripples on the surface, where the curvature is caused by a continuous change in the local topography within pre-patterned regions; ii) perfectly square ordered dots on exact positions on the surface; iii) enhanced ordering of ripples and the formation of ripples with different orientation due to the local surface orientation; (iv) formation of patterns on curved surfaces. Furthermore the role of local surface curvature by using similar pre-patterns but with changing signs on the evolving topography is investigated.In general it is shown that the combination of Top-down and Bottom-up techniques leads to the formation of hierarchical nanostructures and to pattern formation on surfaces with more complex geometries.[1] W. L. Chan, and E. Chason, J. Appl. Phys. 101, 121301 (2007)[2] B. Ziberi, M. Cornejo, F. Frost, and B. Rauschenbach, J. Phys.: Condens. Matter 21, 224003 (2009).[3] A. Cuenat, H. B. George, K. C. Chang, J. M. Blakely, and M. J. Aziz, Adv. Mat. 17, 2845 (2005).
12:30 PM - KK7.3
What's Happening Beneath the Surface? A Unified Physical Picture of Ion Beam Erosion.
Mario Castro 1 , Rodolfo Cuerno 2
1 Departamento de Sistemas Informáticos, Universidad Pontificia Comillas de Madrid, Madrid Spain, 2 Departamento de Matemáticas, Universidad Carlos III de Madrid, Madrid Spain
Show AbstractSurface nanopatterning by ion-beam sputtering (IBS) at low and intermediate energies is both a technical and a scientific challenge [1]. When energetic ions impact with a target, a competition between both stabilizing and destabilizing physical mechanisms shapes the surface. Partly motivated by the experimental capability to produce ordered nanodots [2] or control crater formation and growth [3], many theoretical approaches have appeared in the last few years to improve the early approach by Bradley and Harper [4]. Thus, frequently they focus on how to incorporate new effects directly into an evolution equation for the surface [1]. In contrast, the so-called "hydrodinamic" theory of erosion describes the dynamics at two different levels: that of mobile species diffusing over the very surface and the underlying topography [5]. This approach allows to obtain a consistent effective equation for the surface, and has been proved successful in its comparison with experiments [6], motivating us to reformulate it from a more rigorous point of view. Specifically, in the spirit of Fluid Mechanics, we describe IBS from symmetries and conservation laws. Our approach takes into account relevant physical mechanisms that take place under the surface (beyond the description of collision cascades), such as e.g. the amorphization of the layers close to the surface, in order to formulate the appropriate constitutive laws and boundary conditions. We also show how previous theories can be understood within this general framework, that may suggest new and intriguing experiments.[1] Special Issue on Surface Nanopatterns Induced By Ion-Beam Sputtering, J. Phys: Cond. Matt. 21 (22) (2009), guest editors: R. Cuerno, L. Vázquez, R. Gago, and M. Castro[2] S. Facsko, T. Dekorsy, C. Koerdt, C. Trappe, H. Kurz, A. Vogt, and H.L.Hartnagel, Science 285, 1551 (1999).[3] H.H. Chen, O.A. Urquidez, S. Ichim, L.H. Rodriguez, M.P. Brenner, and M. Aziz, Science 310, 294 (2005).[4] R.M. Bradley and J.M.E. Harper, J. Vac. Sci. Technol. A 6, 2390 (1998).[5] M. Castro, R. Cuerno, L. Vázquez, and R. Gago, Phys. Rev. Lett. 94, 016102 (2005); J. Muñoz-García, M. Castro, and R. Cuerno, ibid. 96, 086101 (2006).[6] J. Muñoz-García, L. Vázquez, R. Cuerno, J. A. Sánchez-García, M. Castro, and R. Gago, “Self-organized surface nanopatterning by ion beam sputtering” in “Lecture Notes on Nanoscale Science and Technology 5”, ed. Zhiming Wang (Springer, New York, 2009).
12:45 PM - KK7.4
Self-Ion-Induced Nanostructures in Ge.
Lucia Romano 1 2 , Giuliana Impellizzeri 2 1 , Mario Tomasello 1 , Filippo Giannazzo 3 , Beatrice Fraboni 4 , Maria Grazia Grimaldi 1 2
1 Physics, University of Catania, Catania Italy, 2 , CNR-INFM-MATIS, Catania Italy, 3 , CNR-IMM, Catania Italy, 4 Physics, University of Bologna, Bologna Italy
Show AbstractThe damage from ion irradiation is usually an undesirable phenomenon unless one is pre-amorphizing a material, such as Si, prior to doping. However, recent experiments on electron and ion irradiation of various nanostructures demonstrated the ability to tailor the structure and properties of nanosystems with high precision.A damage structure can be formed within the amorphous phase of Ge during ion implantation with heavy ions at room temperature. Even low dose ion irradiation (≈3e15 cm-2) can drastically alter the near surface morphology and eventually lead to a sponge-like structure.We report here the formation and self-organization of nanoscale structures during normal-incidence ion beam implantation of 300 keV Ge in Ge. “Micro-explosions” characterizes the morphology of the swelled material, a random honeycomb structure consisting of voids surrounded by amorphous Ge ripples has been observed and studied in details by combining several analysis techniques. SEM, AFM, TEM and RBS have been performed in order to fully characterize the material structure and to extract the essential parameters to model these phenomena.SEM indicated that the Ge implanted morphology is nanostructured, consisting of thin (less than 20 nm thick) interconnected Ge walls that surround large voided regions (cell size in the range 5-100 nm). The cellular structure is formed when heavy ions (at fluence higher than amorphization threshold) are implanted in Ge at room temperature. The size distribution of pores can be tuned as a function of the ion implantation parameters, such as ion energy and fluence and substrate temperature. AFM showed that implanted samples exhibit significant step height (200 nm for the implanted fluence of 2E16 Ge/cm2). The cellular structure extends 350 nm (by TEM) from the surface and is followed by 100 nm wide amorphous layer. The swelling process has been modelled by considering the formation of porous Ge a consequence of voids agglomeration. Our results are in agreement with the SRIM simulations of excess vacancies that are assumed to result form the physical separation of the vacancy and interstitial distributions during implantation. Mesoporous structures, with pores 5-50 nm wide, provide an enormous surface-area-to-weight ratio, useful in applications such as catalysis, separation, and sensing. Moreover, the honeycomb Ge is stable upon annealing treatments at 600°C in N2 and O2 gas flow. The porosity allows the introduction of guest molecules that attach to the Ge surfaces and alter the conductivity. We used sputtering, chemical and electrochemical techniques to functionalize the honeycomb Ge surface in order to explore the possible applications of a nanostructured template. In our vision the high surface/volume ratio of the Ge honeycomb structured material can be strategically used as active gate to improve the new generation of low cost chemical and biochemical-sensing devices.
KK8: Patterning by Energetic Beams II
Session Chairs
Rodolfo Cuerno
Stefan Facsko
Tuesday PM, December 01, 2009
Ballroom B (Hynes)
2:30 PM - **KK8.1
Hole, Dot and Cellular Nanopatterns Induced on Silicon Surfaces by Ion Bombardment.
Luis Vazquez 1 , Raul Gago 1 , Jose Angel Sanchez-García 1 , Javier Munoz-Garcia 2 , Rodolfo Cuerno 3 , Andres Redondo 4 , Maria del Mar Garcia-Hernandez 1 , Mario Castro 5
1 Departamento de Superficies y Recubrimientos, Instituto de Ciencia de Materiales de Madrid (CSIC), Madrid Spain, 2 Complex and Adaptive Systems Laboratory, School of Mathematical Sciences, University College Dublin, Dublin Ireland, 3 Departamento de Matemáticas and GISC, Universidad Carlos III de Madrid, Leganés Spain, 4 Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, Madrid Spain, 5 Escuela Técnica Superior de Ingeniería and GISC, Universidad Pontificia Comillas de Madrid, Madrid Spain
Show AbstractIn recent years, the study of the possible influence of metal incorporation and substrate heterogeneity during ion beam sputtering (IBS) on the induced target surface nanopatterning is being increasingly addressed both experimentally and theoretically [1-3]. Here, we describe our findings [4,5] on the correlation between the surface metal content and the type of nanopattern produced on Si(001) surfaces when they are irradiated by 1 keV Ar IBS at normal incidence with an alternating cold cathode ion source (ACC-IS). In our case, metal sources during IBS are related to the ACC-IS operation, since this set-up leads to the simultaneous Fe and Mo incorporation on the target surface from the erosion of the cathodes and sample holder, respectively. The morphologies were routinely characterized by Atomic Force Microscopy and compositionally by Rutherford Backscattering Spectrometry. When nanodot patterns were produced the residual metal content was relatively low whereas, in contrast, when the metal content was higher nanohole patterns were induced. Interestingly, the nanohole patterns can display similar characteristics to nanodot patterns in terms of roughness, wavelength and order. We have performed further experiments with a standard Kaufman-type source (where metal contribution from the plasma discharge is suppressed) in order to determine whether this pattern selectivity is specific to the ACC-IS system or, rather, be controlled with the metal incorporation rate. These experiments confirm the role of metals on the pattern selectivity. In addition, chemical analysis of the surface has been performed showing, for the first time, the relevant formation of metal silicides. We have also studied the dynamics of nanodot formation at different ion fluxes and contrasted it with predictions from a two-field continuum model of IBS nanopatterning that does not take into account any compositional heterogeneity on the target material [6]. Somehow surprisingly, the quantitative agreement between the experimental and theoretical morphological observables is quite satisfactory. We have performed additional experiments on Si(001) surfaces immersed in a magnetically confined plasma, for which cellular patterns appear, that we have analyzed using tools from the study of froths and foam patterns.[1] G. Ozaydin, A.S. Ozcan, Y. Wang, K.F. Ludwig, H. Zhou, R.L. Headrick and D.P. Siddons, Appl. Phys. Lett. 87, 163104 (2005).[2] H. Hofsäss, and K. Zhang, Appl. Phys. A 92, 517 (2008).[3] V.B. Shenoy, W.L. Chan, and E. Chason, Phys. Rev. Lett. 98, 256101 (2007).[4] J.A. Sánchez-García, L. Vázquez, R. Gago, A. Redondo-Cubero, J.M. Albella and Zs. Czigány, Nanotechnology 19, 33506 (2008).[5] J.A. Sánchez-García, R. Gago, R Caillard, A. Redondo-Cubero, J.A. Martin-Gago, F.J. Palomares, M. Fernández and L. Vázquez, Journal of Physics: Condensed Matter 21, 224009 (2009).[6] J. Muñoz-García, M. Castro, and R. Cuerno, Phys. Rev. Lett. 96, 86101 (2006).
3:00 PM - KK8.2
Linear Stability and Instability Patterns in Ion-sputtered Silicon.
Charbel Madi 1 , Bola George 1 , Michael Aziz 1
1 Applied Physics, Harvard University, Cambridge, Massachusetts, United States
Show AbstractWe study the patterns formed on Ar+ ion sputtered Si surfaces at room temperature as a function of the control parameters ion energy and incidence angle. We observe the sensitivity of pattern formation to artifacts such as surface contamination and report the procedures we developed to control them. We identify regions in control parameters space where holes, parallel mode ripples and perpendicular mode ripples form, and identify a region where the flat surface is stable. In the vicinity of the boundaries between the stable and pattern forming regions, called bifurcations, we follow the time dependence from exponential amplification to saturation and examine the amplification rate and the wavelength in the exponential amplification regime. The resulting power laws are consistent with the theory of nonequilibrium pattern formation for a Type I (constant-wavelength) bifurcation at low angles and for a Type II (diverging wavelength) bifurcation at high angles. We discuss the failure of all sputter rippling models to adequately describe these aspects of the simplest experimental system studied, consisting of an elemental, isotropic amorphous surface in the simplest evolution regime of linear stability.
3:15 PM - KK8.3
Composition Modulation as the Driving Force Behind the Formation of Nanopatterns During Ion Erosion.
Elin Sondergard 1 , Sebastien Le Roy 1 , Mathis Plapp 2 , Morten Kildemo 3 , Ingar Nerbo 3
1 Laboratoire Surface du Verre et Interfaces, UMR 125 CNRS/Saint-Gobain, Aubervilliers France, 2 Laboratoire PMC , Ecole Polytechnique , Palaiseau France, 3 Department of Physics, Norwegian University of Science and Technology , Trondheim Norway
Show AbstractBroad ion beam erosion is known to induce spontaneous pattern formation on some materials. Bradley and Harper have provided a general framework for understanding the structure formation under ion erosion, but their model comes short to explain the capacity of some Ga based III-V semiconductors to form high amplitude dots. We have suggested and evidenced another formation scenario: the surface instability leading to the formation of these structures is linked to the material chemistry and does not result only from ion-matter interaction physics. During ion abrasion a surface segregation can lead to the formation of a template of Gallium droplets which acts as a protecting mask. As the segregation stems from a bulk property of the underlying material the mask is maintained during the entire etching procedure leading to a stable pattern formation mechanism. It explains the low growth saturation found on these systems. The formation of the mask is controlled by thermodynamic properties like segregation, dewetting and diffusion [1].In the following we show experimental results using a newly developed in-situ spectroscopic ellipsometry method [2]. It allows investigating the formation rate as a function of flux, energy and temperature. The results are in very good agreement with the above mentioned formation mechanism. We also evidence that the pattern evolution is well accounted for within the framework of the maximum entropy principle which has been applied with success to foam formation – a system which is also driven by the surface energy. Finally, we will consider other promising materials for ion beam patterning.[1] Self-sustained etch masking: a new concept to initiate the formation of nanopatterns during ion erosion. S. Le Roy, E. Barthel, N. Brun, A. Lelarge, and E. Søndergård, submitted[2] Real-time in situ spectroscopic ellipsometry of GaSb nanostructures during sputtering I.S Nerbø, S. Le Roy, M. Kildemo, E. Søndergård, Applied Physics Letters, 94, 21, 213105 (2009).
3:30 PM - KK8.4
FIB-Synthesized Ga Nanodroplet Arrays for Negative Index Metamaterials.
Myungkoo Kang 1 , Jiahung Wu 1 , Rachel Goldman 1
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States
Show Abstract Recently, nanostructure arrays have shown significant promise for various applications in electronics, optoelectronics, and photonics. For example, ordered arrangements of metallic nanostructures within semiconductors would enable the formation of 3D negative index metamaterials (NIMs), which selectively operate within the infrared and visible frequency ranges. Indeed, ordered arrays of plasmonic nanospheres in a matrix have been predicted to enable achievement of simultaneous negative values of permittivity and permeability, leading to low loss NIMs [1]. On III-V compound semiconductor surfaces, nanometer-sized metallic droplets often form during epitaxial growth, thermal annealing, and/or ion irradiation. Recently, droplet-size dependent plasmon resonances up to 3.3eV have been reported for randomly distributed Ga droplets on sapphire surfaces [2]. In the case of focused-ion beam (FIB) irradiation of III-V semiconductor surfaces, the group V elements are preferentially sputtered, forming a group III-rich FIB-milled region. With continued irradiation beyond a threshold ion dose, group III-rich droplets are observed. Here, we report a universal trend for this droplet formation on III-V semiconductor surfaces. In particular, the threshold ion dose for droplet formation increases with the binding energy of the III-V semiconductor. On surfaces with high binding energies, including GaN, GaP, GaAs, InP, and InAs, droplet sizes increase and droplet densities decrease with dose beyond the threshold ion dose. Eventually, the droplets transform to ripples and in some cases, vertical nanorods. On the other hand, for surfaces with low binding energies, including GaSb and InSb, continued FIB irradiation beyond the threshold ion dose induces the transformation of droplets to lateral nanorods to ripples, and finally, droplets atop ripples. We will present these results along with transmission measurements of large area (~0.1mm2) highly ordered two-dimensional arrays of Ga droplets on GaN. We will also discuss progress towards the design and fabrication of three-dimensional Ga droplet arrays in GaN using FIB-assisted molecular beam epitaxy. This work was supported by the AFOSR under contract FA9550-06-1-0279 through the MURI program, monitored by Dr. Harold Weinstock. [1] A. Alu, A. Salandrino, and N. Engheta, Opt. Exp. 14, 1557 (2006).[2] P. Wu, T. Kim, A. Brown, M. Losurdo, G. Bruno, and H. Everitt, Appl. Phys. Lett. 90, 103119 (2007).
3:45 PM - KK8.5
2-D Array of Pb Nanoislands at the SiO2/Si Interfaces via Ion Implantation and High Temperature Annealing.
Felipe Kremer 1 , Shay Reboh 1 2 , Marcel E. Staats 2 , Fernando S. Schaurich 2 , Tierri Engel 2 , Paulo F. P. Fichtner 1 2 , Fernando C. Zawislak 1
1 , Instituto de Física - UFRGS, Porto Alegre Brazil, 2 , Escola de Engenharia - UFRGS, Porto Alegre Brazil
Show AbstractTechniques to construct and manipulate nanostructures are among the major issues in materials science. In this work, we report on the formation of Pb islands at SiO2/Si interfaces via Diffusion Through Oxide (DTO) technique. The method is based in the ion implantation of atoms within an oxide layer grown on (001) Si followed by high temperature thermal treatments. The annealing step causes a strong accumulation effect along the SiO2/Si interface [1, 2] leading to the formation of a 2D dense array of nanosized islands. Our technique provides a method to produce a well organized system of Pb islands without the requirements of Ultra High Vacuum (UHV) conditions of depositions methods. In this experiment, 150 nm SiO2 layers thermally grown on a (001) Si substrate were implanted with 225 keV Pb ions from 1 to 2x1016 cm-2 fluence range. The samples were then annealed at T = 1100 ○C at times ranging from 30 to 180 min. Rutherford Backscattering Spectrometry (RBS) and Transmission Electron Microscopy (TEM) were then used to characterize the specimens. After the lower fluence (Φ = 1x1016 cm-2) implantation and 30 min annealing, very small dome-like Pb islands having diameters of ~ 4 nm were formed at the SiO2/Si interface. The islands are epitaxially matched with the Si lattice and mainly growing within the SiO2 layer. Increasing the annealing time to 180 min, the islands present a considerable penetration in the Si substrate producing a half octahedron with four {111} interfaces, while keeping the dome shape within the oxide. For higher fluences (Φ = 2x1016 cm-2 annealed for 180 min) the Pb islands are much larger and evolved to half truncated-octahedron within the Si substrate. These results are discussed in terms of the Pb islands interfacial energy minimization.[1] J.M.J. Lopes, P.F.P. Fichtner, R.M. Papaléo, F.C. Zawislak, F.C. Lovey, A.M. Condó, and A. J. Tolley. Appl. Phys. Lett. 86, 191914 (2005). [2] F. Kremer, J.M.J. Lopes, P.F.P. Fichtner, and F.C. Zawislak. Appl. Phys. Lett. 91, 083102 (2007).
4:30 PM - **KK8.6
Nanopatterning by Multiple-ion-beam-sputtering.
Jae-Sung Kim 1
1 Physics, Sook-Myung Women's University, Seoul Korea (the Republic of)
Show AbstractIon beam sputtering has attracted vast attention due to its ability to produceordered nanopatterns such as ripples and dots in self-orgnized fashion.To elucidate the pattern forming process, continuum models of various sophistications have been forwarded, ranging from a linear theory by Bradley and Harper (BH) to theories including addtional non-linear terms such as Kuramoto Sivashinsky (KS) model. Previously, most of both experimental and theoretical studies are made for the cases where flat surface is sputtered by an ion beam.This practice of ion beam sputtering has restricted not only the obtained patterns and information to examine and refine the theoretical models. Recently, there have been proposed ides in which multiple ion beams are applied to a surface simultaneously or sequentially. They predict the superposition of simple patterns formed by each ion beam sputtering to form a complex pattern on a surface. In this talk, we present our recent experimental results to realize/examine the idea of superposition of multiple ion beam sputtering. Three different kinds of implementation of multiple ion beam sputtering have been tested. The first is the simultaneous sputtering of a surfaceby two ion beams at a grazing angle, dual ion beam sputtering (DIBS). The second is a sequential ion beam sputtering (SIBS) of a surface; we sputter a substrate at a grazing angle to form ripple pattern, and then rotate it by 90 degree only in azimuth and then continue to sputter it. The last is another SIBS; we sputter a substrate at a grazing angle to form ripple pattern, and then continue to sputter now at normal to the surface.For the understanding of the underlying process, we also made extensive numerical simulation or integration that are based on BH, KS, and extended KS (eKS) models.For DIBS, we observe ordered nanodot or hole pattern, even though the sputtering is made at a grazing angle with the sample fixed. For the first SIBS, new ripple pattern perpendicular to the original one develop only after the initial ripple pattern is erased. For the second SIBS, we observe nanodots grown selectively on the initial ripples that we call this quasi one dimensional feature nanobead pattern.From those studies, we cannot find any evidence for superposition of patterns formed by each ion beam sputtering. Rather, we find clear counter evidences against the idea of superposition of patterns formed by each ion beam sputtering. Accordingly, our numerical studies find the significant roles of non-linear effects, especially redeposition.
5:00 PM - KK8.7
Prompt and Gradual Effects in Ion-sputtered Semiconductors.
Scott Norris 1 , Michael Aziz 1 , Michael Brenner 1 , Charbel Madi 1 , Juha Samela 2 , Kai Nordlund 2
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 , University of Helsinki, Helsinki Finland
Show AbstractModels of ion-sputtered semiconductors contain two broad classes of physics: a "prompt regime" describing the fast integrated surface response at each point due to nearby ion impacts, and a "gradual regime" describing the slow dynamics of the amorphous irradiated surface layer. Using a set of crater functions obtained for 250eV Ar+ -> Si at various incidence angles, we apply a rigorous upscaling framework to obtain a continuum PDE for the associated prompt regime, and also discuss the selection of an appropriate model for the gradual regime. The phase diagram and pattern predictions generated by this composite model show reasonable agreement with experiment over the parameter ranges explored. More importantly, however, the process casts light on the source of several ongoing disagreements between theory and experiment.
5:15 PM - KK8.8
Normal and Off-normal Ion Induced Pattern Formation via the Crater Function Model.
K. Das 1 , N. Kalyanasundaram 1 , J. Freund 1 , Harley Johnson 1
1 , University of Illinois, Urbana, Illinois, United States
Show AbstractThe sputter erosion surface instability has been widely studied both experimentally and theoretically for many years. The role of local mass rearrangement on the atomistic scale has been the focus of much recent attention as an important aspect of the phenomenon, by which low-to-medium energy ion bombardment can lead to formation of sub-micron scale dots and ripples on an initially planar target surface. Here we report on results of a multiscale modeling approach to study the phenomenon, both for normal incidence and off-normal incidence ion irradiation. Taking as an example Ar+ bombardment of Si, we use molecular dynamics to determine the atomistic scale crater function, which measures the average local surface height change due to a single incident ion. We then incorporate the crater function geometry into a continuum calculation of the long-time surface diffusion component of the process. Our results for surface height evolution show good agreement with experiments for the normally incident ion case, where the competition between ion roughening and diffusive smoothening leads to amplitude saturation of the periodic sub-micron surface structures. For the off-normal case, we show that geometric details of the crater functions, which illustrate the significant differences between local mass rearrangement in the normal and off-normal cases, cause reorientation of the surface ripples relative to the near-normal incidence case.
5:30 PM - KK8.9
Quantitative Description of IBS Nanopattern Dynamics Through an Effective Interface Equation.
Javier Munoz Garcia 1 , Rodolfo Cuerno 1 , Mario Castro 1 , Luis Vazquez 1 , Jose Angel Sanchez-Garcia 1 , Raul Gago 1
1 , University College Dublin, Dublin Ireland
Show AbstractContinuum models have proved their applicability to describe nanopatterns produced by ion-beam sputtering (IBS) of amorphous or amorphizable targets at low and medium energies. Here we pursue a two-field description of these self-organization processes for the cases of bombardment at normal incidence, or of oblique incidence onto rotating targets, known to lead to self-organized arrangements of nanodots. Through the explicit coupling of the evolution of the target height with that of the density of mobile species, our approach stresses the dynamical roles of material (defect) transport at the target surface and of local redeposition. By applying results previously derived for arbitrary angles of incidence, we derive an effective single-field evolution equation valid for these geometries of incidence, which is then numerically studied. We thus account for the common dynamics for both types of incidence conditions, namely formation of dots with short-range order and long-wavelength disorder, and an intermediate coarsening of dot features that improves the local order of the patterns. We provide for the first time approximate analytical predictions for the dependence of stationary dot features (amplitude and wavelength) on the parameters appearing in the effective evolution equation, that improve upon previous linear estimates. Comparison with experimental data allows a consistent quantitative description of the full nanopattern dynamics of a realistic system, accounting for the time evolution of observables such as roughness, dot amplitude, and wavelength. We thus expect this effective equation to apply rather generally to systems with a similar morphological dynamics, beyond the specific features of the experiment considered.
5:45 PM - KK8.10
Nano-scale Patterns on Soft Polymers Induced by Ion Beam/Plasma Treatment.
Myoung-Woon Moon 1 , Ashkan Vaziri 2 , Taegon Cha 3 1 , Ho-Young Kim 3 , Kwang-Ryeol Lee 1
1 Future Convergence Technology Laboratory, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 , Northeastern University, Boston, Massachusetts, United States, 3 , Seoul National University, Seoul Korea (the Republic of)
Show AbstractSurface engineering of polymers has a broad array of scientific and technological applications that range from tissue engineering, regenerative medicine, microfluidics and novel lab on chip devices to building mechanical memories, stretchable electronics, and devising tunable surface adhesion for robotics. Recent advancements in the field of nanotechnology have provided robust techniques for controlled surface modification of polymers and creation of structural features on the polymeric surface at submicron scale [1-3]. A major class of these techniques is based on the creation of a stiff skin on the surface area of the polymer and inducing a strain mismatch between the created skin and a more compliant polymeric substrate. In these techniques, the strain mismatch between skin and polymeric substrate, generally due to a prestretching or temperature change, causes instability of the stiff skin and surface undulations in the form of buckles. The wavelength of these buckles are generally much larger than the stiff skin thickness and much smaller than the overall dimensions of the polymeric specimen. We have recently demonstrated two robust techniques for controlled surface engineering of soft polymers - ion beam irradiation and plasma treatment – which allow controlled fabrication of nanoscale surface features on polymers. In this talk, we discuss the underlying mechanisms of formation of these structural features in each technique. This includes the change in the chemical composition of the surface layer of the polymers due to ion beam irradiation or plasma treatment and the instability and mechanics of the skin-substrate system. Using these methods, we introduce a simple method for fabrication of one-dimensional, two-dimensional and nested hierarchical wrinkling patterns on polymeric surface using ion beam irradiation and multi-step plasma treatment. By systematically varying these two key experimental factors of irradiation time and bias voltage, wrinkle patterns with wavelength in the range of 50 nm to 10 microns and amplitude in the range of 20 nm to 400 nm were created. We discuss the similarities and differences between the two techniques and highlight the advantages of each technique by providing several examples of the created surface patterns and their potential applications. Reference1. M-W Moon et al ,PNAS., 104 (2007) 1130-1133.2. M-W Moon, Ashkan Vaziri, Scripta. Mat., 60 (2009) 44-47.3. M-W Moon et al, Nanotechnology, 20 (2009) 115391.
Symposium Organizers
Eric Chason Brown University
Rodolfo Cuerno Universidad Carlos III de Madrid
Jennifer Gray University of Pittsburgh
Karl-Heinz Heinig FZ Dresden-Rossendorf
KK9: Ordered 2D/3D Arrays of Nanostructures
Session Chairs
Karl-Heinz Heinig
Jae-Sung Kim
Wednesday AM, December 02, 2009
Ballroom B (Hynes)
9:30 AM - **KK9.1
Self-Aligned Metal Nanoparticles and Nanowires Grown on Ripple-Templates.
Stefan Facsko 1 , Mukesh Ranjan 1 , Thomas W.H. Oates 2 , Adrian Keller 1 , J. Rosen 2
1 Institute of Ion Beam Physics and Materials Research, Research Center Dresden-Rossendorf, Dresden Germany, 2 Thin Film Physics, IFM, Linköping University, Linköping Sweden
Show AbstractNobel metal nanoparticles exhibit distinct optical properties due to their localized surface plasmon resonance. They are used nowadays in various applications, like solar cells, nonlinear optical devices or sensors. Especially for nanoscale optics aligned equidistant chains of metal nanoparticles are favored [1]. Ion beam sputtered surfaces featuring self-organized ripple patterns are excellent templates for the alignment of these metal nanoparticles. Depending on deposition angle, substrate temperature, beam flux, and deposition time, the nanostructures align parallel to the ripples, eventually coalescing and forming nanowires [2]. Because of alignment the nanoparticles exhibit a strongly anisotropic plasmonic resonance [3].We will present how Ag nanoparticles grow and align on ion beam rippled Si surfaces and how the optical properties depend on their shape, size, and alignment.[1] S.A. Maier and H.A. Atwater, Jour. Appl. Phys. 98, 011101 (2005).[2] T.W.H. Oates, A. Keller, S. Noda, et al., Appl. Phys. Lett. 93 (2008).[3] T.W.H. Oates, A. Keller, S. Facsko, et al., Plasmonics 2, 47 (2007).
10:00 AM - KK9.2
Formation and Grain Analysis of Magnetic Nanoparticle Monolayers.
Aaron Johnston-Peck 1 , Junwei Wang 1 , Joseph Tracy 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractSpin casting is an economical, high-throughput method for depositing nanoparticle (NP) thin films. Ligand-stabilized NPs with diameters below 10 nm were suspended in organic solvents and spin cast onto SiN membranes. SiN membranes facilitate detailed high-resolution characterization of spin-cast arrays of spherical FePt and Ni2P NPs by transmission electron microscopy (TEM). Several parameters were tailored to optimize monolayer coverage, including substrate preparation, solvent selection, and NP concentration. Monolayer regions upwards of 10 μm2 were achieved, while single hexagonal close-packed (HCP) grains as large as 0.5μm2 were observed but contained both line and point defects. Edge dislocations, interstitials, vacancies, and overlapping particles were observed.Grain analysis of the monolayer arrays elucidates their structure, including boundaries, orientation, defects, and correlation lengths. Narrow NP size distributions form HCP arrays with minimal defects and large grains. Arrays with multiple grains contain both low- and high-angle grain boundaries. Deviations from HCP ordering occur as the normalized standard deviation of the sample’s size distribution increases.
10:15 AM - KK9.3
X-ray Diffraction Investigations of Three-dimensional Si/SiGe Quantum Dot Crystals.
Nina Hrauda 1 , Tomas Cechal 2 , Ondrej Caha 2 , Julian Stangl 1 , Thomas Fromherz 1 , Vaclav Holy 3 , Christian Dais 4 , Detlev Gruetzmacher 4 , Guenther Bauer 1
1 Institute of Semiconductor and Solid State Physics, University of Linz, Linz Austria, 2 , Masaryk University, Brno Czechia, 3 , Charles University, Prague Czechia, 4 , PSI, Villigen Switzerland
Show AbstractThree-dimensional periodic arrangements of SiGe dots in a Si matrix can be grown by molecular beam epitaxy [1,2], by combining SiGe island growth on patterned (001) Si substrates for the definition of an initial two-dimensional (2D) ordered island array with vertical ordering. The latter is achieved by susbsequent deposition of Si spacer layers and SiGe island layers with typically 10 periods. Patterns with lateral periods of 90 and 70 nm were defined by deep UV lithography using a wavelength of 13.5 nm available at a synchrotron source (SLS Villigen). SiGe islands with approximately 30 nm diameter and 3 nm height were grown into the pits and capped with 11.5 nm (for the 90 nm lateral period samples) or 7 nm Si spacer layers (for the 70 nm ones) Subsequently the SiGe island/Si multilayer was deposited in which the vertical ordering is mediated by the strain fields of the buried dots. In order to achieve quantitative information on the structural perfection of such 3D SiGe/Si quantum dot crystals we employed x-ray diffraction reciprocal space mapping at a synchrotron (ESRF, Grenoble). From the analysis of the scattered intensities we obtain information of the Ge content of the islands, the strain fields in the dots and in the surrounding Si matrix as well as data on the disorder of the dot positions. R.m.s values for the deviations of the quantum dots from the ideal lateral positions in the entire 3D dot crystal are as low as 3 nm, revealing their so-far umatched structural perfection.Such structures are suitable for the realization of vertical and lateral electronic couplings of the electron states associated with the strain fields in the Si matrix due to the presence of the ordered dot arrays. Indeed calculations based on a full 3D simulation package for the electronic structure show that the Δxy Si conduction band minima couple vertically between the island layers and form delocalized states along entire quantum dot columns, i.e. minibands along the growth direction. Furthermore, based on the structural data the results of the band structure calculations yield an excellent agreement between measured and calculated photoluminescence transition energies. For smaller lateral periods also in-plane coupling of these conduction band states between adjacent dots starts to occur. [1] V. Holý et al. Phys.Rev.B 79, 035324 (2009).[2] D. Grützmacher et al. Nano Lett. 7, 3150 (2007).
10:30 AM - KK9.4
Extended Domains of Organized Metallic Nanodots and Study of Their Physical Properties.
Mikhael Bechelany 1 , Xavier Maeder 1 , Pierre Brodard 1 , William Mook 1 , Elias Jamil 1 , Laetitia Philippe 1 , Johann Michler 1
1 , EMPA, Thun Switzerland
Show AbstractMetal nanoparticles and nanodots have been a focus of intense research due to their novel physical properties in comparison to their bulk counterparts. In addition, collective properties can arise due to the interactions of the individual nanoparticles in ordered arrays. This is why the control of individual dot properties (with tunable size, shape, crystallinity) and their relative arrangements (density, pattern shape) are crucial. Nanodots patterned in ordered arrays have been proposed for a wide range of applications, such as magnetic data storage, optoelectronic devices, biosensors and catalysts for the growth of aligned one-dimensional nanostructures. In past years, many methods have been developed for nanodot array patterning. However these methods are not satisfactory due to some drawbacks, such as low throughput, high cost of equipment and low uniformity of the shapes and sizes of dots. Beside these methods, applications using two-dimensional (2D) colloidal crystals, “natural lithography”, has attracted attention due to relatively easy process. Based on such process, uniformly sized nanostructures can be produced on a substrate using a monolayer coating of colloidal spheres.In this talk, we will describe the fabrication of ordered metallic (Au, Ag) nanodot arrays on Si substrates. It is known that the intrinsic properties of noble metal nanoparticles are mainly determined by their size, shape, density, composition, crystallinity and arrangement. Therefore three types of site-selective metal deposition methods based on the colloidal crystal templating will be described for the synthesis of different sizes, shapes and arrangements of Au nanodots. We will show for the first time the possibility to organize these nanoparticles in nanorings, nanodisks and nanocrowns using a very simple method. We will show in parallel, the control of the sintering mechanism of metallic nanodots (coalescence sintering or Ostwald ripening sintering) by heat treatment at different temperatures and under different atmospheres. The synthesis and characterization of these nanostructures will be discussed together with the studies of their physical properties (SERS and mechanical properties) and their utilisation as catalysts for the growth of aligned one-dimensional nanostructures.
10:45 AM - KK9.5
Controlled Interparticle Gap Tuning for SERS-active Structures on Elastomeric Substrates.
Kristen Alexander 1 , Anuj Dhawan 2 , Shunping Zhang 3 , Hongxing Xu 3 , Rene Lopez 1
1 Physics and Astronomy, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States, 2 Biomedical Engineering, Duke University, Durham, North Carolina, United States, 3 Institute of Physics , Chinese Academy of Sciences, Beijing China
Show AbstractSince its first observation in 1970, surface enhanced Raman spectroscopy (SERS) has been regarded as a promising tool in the field of sensing technology. Unfortunately, reproducibility issues have hampered the accurate characterization of SERS-active nanostructures. The key to this problem lies in the ability to elicit control over the spacing between nanostructures at the sub-5 nm level. In this research we have been able to achieve this precision by utilizing the elastic properties of polydimethylsiloxane (PDMS) substrates. Because the distance between any two points on an elastic substrate scales with percent strain, macroscopic changes in substrate length can easily be scaled down to nanometer-scale changes in distance for closely space nanostructures. Specifically, we have used this technique to push past the 15 nm spot size limit for focused ion beam milling. By pre-straining the substrate prior to patterning, minimum feature spacing can reduced as needed (up to one order of magnitude) to achieve optimal spacing.
11:30 AM - KK9.6
Charge Manipulation in Silicon Atomic Quantum Dots for Nano-Electronic Computing Architectures.
Jason Pitters 1 , Baseer Haider 2 , Gino DiLabio 1 , Lucian Livadaru 2 , Josh Mutus 2 , Robert Wolkow 2 1
1 National Instittute for Nanotechnology, National Research Council of Canada, Edmonton, Alberta, Canada, 2 Physics, University of Alberta, Edmonton, Alberta, Canada
Show AbstractCoupled quantum dots form an attractive basis both for fundamental studies of single electron control and as potential building blocks for future nano-electronic devices. One computing scheme, Quantum-dot Cellular Automata (QCA), is based upon “cells” of tunnel coupled quantum dots and electrostatic interactions between adjacent cells to transmit binary information and perform calculations with minuscule power consumption. Efforts to fabricate electrostatic QCA devices have been limited by the need for extreme cryogenic conditions. We have demonstrated a new approach using the scanning tunneling microscope (STM) at room temperature. We show that the silicon atom dangling bond (DB) state, on an otherwise hydrogen terminated surface, serves as a quantum dot [1]. These atomic quantum dots can be assembled into multi-DB ensembles through precise hydrogen atom removal using the STM tip. Assembly at critical distances (on the order of 1-2 nm) leads to electron tunnel coupling between DB quantum dots. Control over the net electron occupation of assembled quantum dot structures is also demonstrated. Additionally, it is shown that pairs of tunnel-coupled DBs can be switched, using electrostatic control, from a symmetric bi-stable state to one exhibiting an asymmetric electron occupation. Similarly, the setting of an antipodal state in a square assembly of four DBs is achieved, demonstrating at room temperature, the essential building block of a quantum cellular automata device.[1]Physical Review Letters, V102, p046805 (2009).
11:45 AM - KK9.7
Controllable Synthesis of Single-Walled Carbon Nanotube Framework Membranes and Capsules.
Changsik Song 1 , Taeyun Kwon 1 , Jae-Hee Han 1 , Mia Shandell 1 , Michael Strano 1
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractWe report covalent single-walled carbon nanotube (SWNT) assembly into 3-dimensional framework materials with controllable pores by positioning linker molecules. Thin films of SWNT framework multilayers having different linkers were synthesized by a covalent layer-by-layer process and characterized. The flux ratio comparison in a membrane-permeation experiment showed that the SWNT framework membrane with a larger linker molecule showed a larger pore structure. This synthetic method was equally efficient on silica microspheres, resulting in all-SWNT hollow capsules after silica etching. We were able to further extend this strategy to encapsulating gold nanoparticles with SWNT framework cages. The ability to encapsulate functional nanomaterials inside perm-selective SWNT cages is an important step toward new applications such as adsorbents, novel catalysis systems, and drug delivery vehicles.
12:00 PM - KK9.8
Copolymer Derived High-density Nanopatterns to Control Nanoparticle Formation and Organization on Surfaces.
Fung Ling Yap 1 , Sivashankar Krishnamoorthy 1
1 Patterning & Fabrication, Institute of Materials Research & Engineering (IMRE), Singapore Singapore
Show AbstractHigh density patterns and structures have known to significantly enhance capabilities of devices for applications in electronics, data storage, photonics and bio-diagnostics. The means of achieving these nanopatterns of desired density over large areas on chip or wafer level is central to ensuring reproducibility, high performance and economy in device fabrication. Self-assembly of copolymers is a known and promising approach towards achieving patterns with excellent uniformity and integrity over the entire coated area, with a narrow distribution in feature size and spacing.The formation of nanoparticle arrays on surface using the core of amphiphilic copolymer micelles as nanoreactors is one of the attractive capabilities offered by copolymer self-assembly approach. Despite allowing preparation of particles arrays with narrow dispersion in size and spacing, this approach suffers from the limitation that the concentration of functional group in the core of the micelles and the centre to centre spacing of the micelle array are both being tied to the aggregation number of the micelles. In this work, we present a new approach wherein we overcome the above limitations of the copolymer nanoreactors by decoupling the concentration and nature of functional groups present in the nanoreactors from the aggregation number of the micelles used. We demonstrate formation of particle arrays of metal, metal-oxide and semiconductors on silicon and dielectric substrates using this approach.
12:15 PM - KK9.9
The Optimization of the Alignment of the Block Copolymer, Polystyrene-[Polyferrocenyldimethylsilane], on Electronic Substrates for the Creation of Nanostructed Devices.
Colm O'Mahony 1 2 , Sheena O'Driscoll 1 2 , Dipu Borah 1 2 , Micheal Morris 1 2
1 Chemistry, University College Cork, Cork Ireland, 2 , Centre for Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin Ireland
Show AbstractWithin the next few years the microelectronics industry will be heading in a new direction as described in ITRS roadmap [1]. Current lithographical techniques may be improved on, allowing for the further shrinking of device size but with this comes a dramatic increase in the cost; both in development and production. And even then there are limitations to what these ‘top-down’ approaches can achieve, given the problems of; the ability to control parasitic resistance and capacitance in resistors; the ability to reduce resistance and capacitance in electrical interconnects, the increasing effect of line edge roughness and dopant fluctuations and power densities. Over the next decade a large amount of research will be focused in the area of developing ‘bottom-up’ techniques that will not only solve the problems of size limitations but will also help reduce costs. One particular area of this research that has attracted a lot of attention is the use of block copolymers for lithograpical and etch masks.The area of block copolymer self-assembly has received much attention in recent years not only thanks to the scale of the microdomains (tens of nanometers) achieved upon phase separation, and their various physical properties (e.g. differential etching rates) but also due to the convenient size and shape tunability of microdomains afforded by simply changing their molecular weights and compositions[2].However, one of the main challenges of using block copolymers lies with control of microstructure. Although block copolymers form a variety of highly ordered structures, these often lack long-range alignment which is an important requirement for many potential applications.The presentation will demonstrate work carried out into the optimization of the microphase seperation and alignment of a specific block copolymer: Polystyrene-[Polyferrocenyldimethylsilane] (PS-PFS). It will examine the effects of thermal versus solvent annealing and the effect that graphoepitaxy has on the long range alignment of the polymer. It will also show work carried out into the development and optimization of the etching of the block copolymer as a means of pattern transfer into electronic substrates.[1]http://www.itrs.net/Common/2005ITRS/Home2005[2]C. Park, J. Yoon and E. Thomas, Polymer,2003,44,6725
12:30 PM - KK9.10
SP1 Protein-Nanoparticle Hybrids as Building Blocks for Nanostructures: Memory Units and Arrays, Logic Machines and Nanowires.
Izhar Medalsy 1 , Arnon Heyman 2 , Or Dgany 2 , Oron Bet Or 2 , Maya Gottleib 1 , Michael Klein 3 , Francoise Remacle 4 , Raphae Levine 3 , Oded Shoseyov 2 , Danny Porath 1
1 Physical Chemistry Department and Center for Nanoscience and Nanotechnology, Hebrew University, Jerusalem Israel, 2 Faculty of Agriculture, Hebrew University, Rehovot Israel, 3 The Fritz Haber Research Center for Molecular Dynamics, Hebrew University, Jerusalem Israel, 4 Département de Chimie, Université de Liège, Liège Belgium
Show AbstractControlled formation of complex nanostructures is one of the main goals of nanoscience and nanotechnology. SP1 (Stable Protein 1) is a boiling-stable ring-shaped protein complex, 11 nm in diameter. SP1 is utilized to form large ordered arrays; to be easily modified by genetic engineering to produce various mutants; it is selective to gold and Si surfaces; it is also capable of binding nanoparticles (NPs), and forming protein-NP chains made of alternating SP1s and gold NPs. The nanostructures are formed by genetic engineering and self-assembly methods and characterized by transmission electron microscopy (TEM), atomic force microscopy (AFM) and electrostatic force microscopy (EFM), in addition to biochemical methods. Proteins as a mean of a versatile isolating template on one hand and a NP as an electric storage device on the other hand have long been investigated as independent entities. The ability to combine the two species to form an addressable single NP isolated from a conductive surface and adjacent NPs gives rise to a wide range of nanoelectronic devices. For this purpose we have connected a 5 nm SiO2 NP to the SP1, and investigated the electric storage capabilities of the hybrid using Conductive AFM (cAFM). Such memory unit is capable of storing 2 or 3 states (0, 1, -1). With storage time of over 10 min at room temperature and hours in vacuum, this hybrid serves as a nanometric memory unit and can be used as a finite state machine and demonstrate binary and ternary logic operations. The SP1-nanopartcle hybrids can form long nanotubes in which the SP1 protein serves as a template for an ordered chain of NPs. This chain, when optimized, may serve as a conductive wire and potentially, by using different NPs in specific positions, as a chain of embedded devices. More complex architectures based on such wires may be very attractive for nanoelectronic applications.
12:45 PM - KK9.11
Assembly and Reorganization Processes in DNA-Directed Colloidal Crystallization.
Robert Macfarlane 1 , Byeongdu Lee 2 , Haley Hill 1 , Andrew Senesi 1 , Soenke Seifert 2 , Chad Mirkin 1
1 Chemistry, Northwestern University, Evanston, Illinois, United States, 2 X-Ray Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractOver a decade ago, our group introduced the concept of synthetically programmable particle assembly through the use of DNA and polyvalent oligonucleotide nanoparticle conjugates. Recently, we and others have independently used these principles to construct highly ordered nanoparticle crystallites via DNA hybridization, where crystal type and lattice parameters can be programmed through design of DNA linker. The formation of these crystals involves multiple types of molecular interactions that are highly dependent and predictable based upon the DNA base sequence, where the hybridization of the DNA linkers drives the crystallization process. Previous work has shown that the complexity of the nanoparticle systems studied thus far necessitates significant thermal annealing to create the thermodynamically favorable crystal structures. Furthermore, the final crystalline structure is dependent upon the thermal pathway of formation, indicating that multiple structures (including aggregates that exhibit only short-range or no observable order) can be created utilizing a single DNA hybridization scheme. Fundamentally, the mechanism by which individual DNA-functionalized nanoparticles (DNA-AuNPs) assemble into crystalline materials is of interest because of this complexity, as the forces governing crystal formation are significantly different from their atomic analogues. Understanding this growth mechanism is also essential for the complete development of the design rules for the formation of highly-ordered 3-dimensional structures. Herein, we monitor the rate of crystal growth as a function of increasing DNA linker length, solution temperature, and self-complementary versus non-self-complementary DNA linker strands (one- versus two-component systems). Interestingly, we show that the kinetics of hybridization are remarkably fast, and the restructuring process occurs at temperatures significantly lower and orders of magnitude faster than model atomic crystal lattices. We have determined that the mechanism of formation of these systems follows a three-step process: initial DNA-AuNP aggregation, localized restructuring into small, well-ordered crystalline domains, and small crystal-crystal aggregation and subsequent rearrangement to form large crystal systems with significant long-range order. We also have shown that higher temperatures are necessary for the formation of maximally ordered crystals and that increased DNA-interconnect length decreases the rate of crystal formation. Finally, there is a difference in both the rate of crystal formation and the rate of aggregate restructuring obtained for one- and two-component systems, with one-component systems creating initial aggregates more quickly, but requiring more time to create most ordered crystals. These findings should allow for a more rational design of both DNA interconnects and formation methodologies for future DNA-AuNP crystal systems.
KK10: Continuum and Atomistic Models and Simulations
Session Chairs
Rodolfo Cuerno
Chaouqi Misbah
Wednesday PM, December 02, 2009
Ballroom B (Hynes)
2:30 PM - **KK10.1
Coarse-Grained Theory of Surface Nanostructure Formation.
Christoph Haselwandter 2 , Dimitri Vvedensky 1
2 Physics, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 1 Physics, Imperial College London, London, England, United Kingdom
Show AbstractWe present a stochastic continuum theory of the formation of surface nanostructures which we apply to several experimental settings. The first step of our methodology is the systematic transformation of a lattice model for a particular system into a regularized stochastic equation of motion. With these equations as initial conditions, differential renormalization group (RG) equations are formulated for the changes in the model coefficients under coarse graining. The solutions of the RG equations yield trajectories that describe the original model over a hierarchy of scales, ranging from transient regimes, which are of primary experimental interest, prior to the crossover to the asymptotically stable fixed point. Thus, our method produces sequences of continuum equations that describe atomistic growth models over expanding length and time scales, but retain a direct connection to the underlying atomistic transition rules. Here, our interest is in the transient regime for several experimental scenarios, where the growth conditions play a central role in determining the form of the governing equation. We first consider the regimes defined by the relative magnitudes of the diffusion noise to the deposition noise. If the diffusion noise dominates, then the early stages of growth are described by the Mullins-Herring (MH) equation with a conserved noise. This is the classic regime of molecular-beam epitaxy. If the diffusion and deposition noise are of comparable magnitude, the transient equation is the MH equation with nonconserved noise. This behavior has been observed recently in experiments of Al on silicone oil surfaces. Finally, the regime where deposition noise dominates deposition noise has been observed in computer simulations, but does not appear to have any experimental relevance.For initial conditions that consist of a flat surface, the Villain-Lai-Das Sarma (VLDS) equation with nonconserved noise is not obtained in the transient regime for any set of growth conditions. If, however, the initial surface is corrugated, the relative magnitudes of terms can be altered to the point where the VLDS equation does indeed describe transient growth. This is consistent with the analysis of growth on patterned surfaces reported by the Maryland group.
3:00 PM - KK10.2
Efficient Numerical Studies of Scaling Properties and Pattern Formation During Surface Growth/Erosion by Surface Mapping on a Binary Lattice Gas Model.
Geza Odor 1 , Bartosz Liedke 2 , Karl-Heinz Heinig 2
1 Inst. of ion beam physics and materials research, Research Center Dresden-Rossendorf, DRESDEN Germany, 2 , Research Institute for Technical Physics and Materials Science,, Budapest Hungary
Show AbstractWe show how surfaces can be mapped onto two-dimensional lattice gases with binary site values, where surface growth/erosion is described by one-dimensional forward/backward migration of dimers, respectively. Using this mapping and a bit-coded numerical algorithm, very efficient simulations on large spatiotemporal scales have been performed. In addition, the bit-coding allows parallel simulations of 32 systems on a single 64-bit CPU core by the use of particular bit-pair (dimer) locations of the 64 bit words for each system. Using this novel mapping and the internal massive parallelization, we provide high-precision scaling results for the Kardar- Parisi-Zhang (KPZ) and Edwards-Wilkinson type of surface growth. The (smoothing/roughening) surface diffusion can be described by the correlated (attracting/repelling) motion of dimers and Mullins diffusion scaling can be simulated.The combination of competing KPZ and Mullins processes enables to generate various surface patterns (dots/ripples) analogously to the nonequilibrium states seen in driven Ising models. The relation of surface roughness and wavelength coarsening and the role of initial conditions (flat/tilted) will be analyzed.
3:15 PM - KK10.3
Unstable Nonocal Interface Dynamics.
Matteo Nicoli 1 , Rodolfo Cuerno 1 , Mario Castro 2
1 Matemáticas, Universidad Carlos III de Madrid, Leganes, Madrid, Spain, 2 Escuela Técnica Superior de Ingeniería, Universidad Pontificia Comillas, Madrid, Madrid, Spain
Show AbstractThe interface dynamics of many non equilibrium systems arises from the interplay between nonlocal interactions and morphological instabilities. Nonlocal effects can be due to diverse physical mechanisms like diffusive, ballistic, or anomalous transport, as occurs e.g. in combustion fronts or in thin film growth [1]. Often, the dynamics of these interfaces can be cast into an stochastic partial differential equation for the surface height h on a d-dimensional substrate. In this work we study the family of equations (after Fourier transform F)∂thk(t) = (-νkμ-Κkm)hk(t)+(λ/2) F[(∂xh)2]+ηk(t), (1)where μ, m and Κ are positive constants with 0<μ≤2 and m≥2, while η is Gaussian uncorrelated noise, and the nonlinear term is the celebrated Kardar-Parisi-Zhang (KPZ) nonlinearity. Important examples of nonlocal dispersion relations included in (1) are the Mullins-Sekerka or the Saffman-Taylor instabilities [2]. Also the Darrieus-Landau instability occurring in the propagation of a premixed laminar flame, for which the gas expansion produced by heat induces wrinkles on the flame front. Moreover, in the case of ballistic relaxation, i.e. when μ=1, and for m=2, Eq. (1) becomes a stochastic generalization of the Michelson-Sivashinsky equation, derived for reactive infiltration in porous media. When ν>0 (stable fronts), dimensional analysis correctly predicts the critical exponents obtained through the pseudo-spectral integration of the equations in one and two substrate dimensions. However, when ν<0 (instability), the scaling properties of the surface are nontrivial and an improved analytical argument is needed to calculate the critical behavior of the equations.In this work, we have done a one-loop dynamic renormalization group (DRG) of Eq. (1) for the unstable case and compared with pseudo-spectral numerical integrations for d=1,2 [3]. For a wide range of parameters, the asymptotic dynamics is scale invariant with dimension-independent exponents reflecting a hidden Galilean symmetry. The KPZ nonlinearity, albeit irrelevant in that parameter range, plays a key role in the stabilization of the system for intermediate to long times and seems to be responsible for a scaling relation among exponents. In the DRG language, somehow the KPZ nonlinearity renormalizes to zero in infinite RG flow "time" [3].[1] P. Pelcé, New Visions on Form and Growth (Oxford University, New York, 2004). [2] M. Nicoli, M. Castro, and R. Cuerno, Phys. Rev. E 78, 021601 (2008).[3] M. Nicoli, R. Cuerno, and M. Castro, Phys. Rev. Lett. in press (2009).
3:30 PM - KK10.4
Morphological Evolution During the Annealing and Growth of Polycrystalline Films.
Ramanathan Krishnamurthy 1 , Mikko Haataja 2
1 School of Industrial Engineering, Purdue University, West Lafayette, Indiana, United States, 2 Department of Mechanical and Aerospace Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractPolycrystalline films are commonly used in many optoelectronic and materials applications; however, most growth / deposition models are not designed to include time-dependent lateral grain size and evolution effects. We address this issue by employing a thermodynamics-based method that effectively handles grain grooving and lateral grain growth in a polycrystalline set-up. Continuum equations describing the temporal evolution of the film height of the polycrystalline film, and the growth/shrinkage of constituent grains are formulated based on this method. First, we consider the annealing of a film (zero deposition flux) with a polydisperse grain size distribution. Our model successfully reproduces several experimentally observations pertaining to surface-diffusion dominated annealing of films with mobile grain boundaries, such as the appearance of 'ghost' valleys at locations occupied previously by grain boundaries that have vanished as a result of grain growth, the asymmetry of grooves, the motion of grain boundaries towards the larger groove angle and the lack of any observable movement of certain grain boundaries, while others move significant distances. It also reproduces experimentally observed, aggregate behavior of the grains of the film, such as the rapid decrease of the roughness of the film with time at early times (owing to the rapid disappearance of small grains due to grain growth), an intermediate period of near constant roughness with time, and a subsequent gradual roughnening of the film with time attributable to film grooving and the development of near steady-state morphologies on the grains. We systematically explore film morphology evolution with time and demonstrate that different morphologies and scaling behavior of film roughness with time are produced depending upon the relative magnitude of surface diffusivity and grain mobility. We also show results from a similar study of polycrystalline film morphology evolution based on evaporation-condensation dominated kinetics. We also present analytical results for the roughness scaling with time at late times for the two different mass transport mechanisms. In the case of surface evolution during growth (i.e. non-zero deposition flux), we demonstrate that film grooving is considerably enhanced and grain growth noticeably impeded when a very large, average deposition flux (compared to surface diffusivity and grain mobility) is employed, owing to the enhanced chemical potential driving grooving in this case. We examine the effects of spatially varying deposition fluxes, with an example form chosen to simulate electrodeposition of films and find that the morphological evolution of the film surface in this case critically depends upon the ratio of two length scales, namely the most unstable wavelength as determined by a linear stability analysis of electrodeposition, and the grain size.
3:45 PM - KK10.5
The Growth of Molecular Rhombus Tilings.
Andrew Stannard 1 , Matthew Blunt 1 , Peter Beton 1 , Juan Garrahan 1
1 School of Physics and Astronomy, The University of Nottingham, Nottingham United Kingdom
Show AbstractRecent experiments have demonstrated the growth of random 2D self-assembled molecular tilings formed by the adsorption of p-terphenyl-3,5,3’,5’-tetracarboxylic acid (TPTC) molecules at the solid/liquid interface between highly ordered pyrolytic graphite and nonanoic acid1. Each molecule forms up to four intermolecular hydrogen bonds and may be mapped onto a lozenge rhombus tile having common edges with up to four other rhombi. The simplicity of the experiment, combined with the assumption that the formation of these tilings is determined by the adsorption and desorption of TPTC, allows the study of the formation of these dynamic tilings via simple modelling techniques.Here, we discuss the results of a lattice gas model which describes molecular adsorption and predicts a variety of complex behaviour due to the interplay between energy and entropy, and the role of tiling defects in mediating transitions between quasi-degenerate local minima. This system draws many analogies to the properties of glasses and other kinetically constrained systems 2. It is shown these systems tend to an entropically maximized configuration as determined via logarithmic spatial correlations, and we show here how entropy can be the driving force of growth of such tilings. Special attention is paid to nucleation and growth mechanisms, and how the growth process can either lead to a kinetically arrested, far-from equilibrium system, or conversely, allow the system to grow in a manner that can be described as close-to equilibrium3.1M. O. Blunt et al., Science 322, 1077 (2008).2J. P. Garrahan, A. Stannard, M. O. Blunt, and P. H. Beton, submitted to Proc. Natl. Acad. Sci. USA.3A. Stannard, M. O. Blunt, P. H. Beton, and J. P. Garrahan, in preparation.
4:30 PM - **KK10.6
Crystal Surfaces Out Of Equilibrium: Order, Disorder, Lengthscale Selection and Coarsening.
Chaouqi Misbah 1
1 , CNRS and uni. J. Fourier Grenoble , Grenoble France
Show AbstractThe understanding of the intricate nature of pattern selection is essential in order to tailor the results to potential applications to nano-patterns and achieve structures with a high degree of ordering, uniformity, and/or periodicity.Examples of nonequilibrium surface dynamics are abundant in nature and especially during growth of nanostrutures.Crystal surfaces which are brought away from equilibrium exhibit a variety of dynamics going from order to chaos, and from lengthscale selection to coarsening. We present a rational classification of nonlinear dynamics and treat the case of a vicinal surface as an example. Subtle interplay between physical mechanisms make either order or chaos to prevail. Moreover, regarding the length of the pattern, various routes can be taken by a growing surface: a lengthscale selection, or coarsening. We shall present general criteria for coarsening in one and two dimensions and provide concrete examples of driven surfaces that exhibit both scenario.
5:00 PM - KK10.7
Modeling and Simulation of Heteroepitaxial Growth using Kinetic Monte Carlo.
Peter Smereka 1
1 Mathematics, Univ. of Michigan, Ann Arbor, Michigan, United States
Show AbstractIn this talk I will describe a discrete kinetic Monte Carlo (KMC) model used for the simulation of heteroeptixial growth. Our KMC model contains all the physics accounted for in most continuum descriptions. However, it naturally captures physical effects not easily modelled in continuum formulations such as stochastic effects and nucleation. The connections between standard continuum approximations and our KMC model will be explored. The KMC model is computationally challenging due the long range nature of elastic interactions. This talk will briefly discuss the computational methods we have developed for efficient KMC simulation. These are based on a Fourier-Multigrid method for fast computation of the elastic displacement field. A technique for obtaining inexpensive upper bounds on transition rates will be presented. Finally, the principle of energy localization is explained which combined with the expanding box method allows one to accurately compute changes elastic energy using local calculations, resulting in a ten to one hundredfold increase in computation speed. These ideas are combined to allow one simulate heteroeptitaxy using KMC in physically interesting regimes. We have applied our method to study the effects of intermixing on the formation of islands in heteroepitaxial growth. Our results show that the intrinsic surface roughness combined with adatom diffusion provides enough intermixing to delay island formation and that surface segregation is destabilizing. We have also studied formation of stacked quantum dots. Our results indicate that the alignment mechanism is somewhat more complicated than suggested in the literature. Finally some simulation results of quantum dot formation on patterned substrates will be presented.This is joint work with A. Baskaran, J. P. Devita, J.Y. Lee, J.M. Millunchick, G. Russo,and, T.P. Schulze.
5:15 PM - KK10.8
Quantum Size Effects in Thin Film Evolution.
Cai-Zhuang Wang 1 , Maozhi Li 1 , Jim Evans 1 , Myron Hupalo 1 , Michael Tringides 1 , Kai-Ming Ho 1
1 , Ames Laboratory - USDOE and Iowa State University, Ames, Iowa, United States
Show AbstractRecent experiment showed that the kinetic behavior of coarsening and growth of Pb islands on Si(111) surface does not obey traditional classical kinetic model predictions. We have developed a novel rate equation model to describe this unconventional and rapid coarsening and growth behavior. In addition to the dependence of chemical potential on islands’ curvatures as in the classical coarsening model, our model incorporates the dependence of the chemical potential on the island height and also the effects of the dense wetting layer between the islands. Extensive first-principles calculations are performed to determine the relevant chemical potentials in the theory. Incorporating these features, this theoretical model predicts the evolutions of island density and height distribution in good agreement with experiments.
5:30 PM - KK10.9
Origin of Stress-Driven Interface Instability Leading to Formation of Self-Ordered Porous Anodic Aluminum Films.
Kurt Hebert 1 , Wei Hong 2
1 Chemical & Biological Engineering, Iowa State University, Ames, Iowa, United States, 2 Aerospace Engineering, Iowa State University, Ames, Iowa, United States
Show AbstractThe extensive exploration of porous anodic alumina (PAA) films as templates for functional nanostructures derives from the high regularity and controllability of the pore morphology. These films are formed by electrochemical oxidation of aluminum in acidic solutions, and contain self-organized hexagonal arrays of parallel cylindrical pores. As yet, no growth mechanisms have been identified explaining the development of pores, or the sensitivity of porous layer geometry to cell voltage and type of acid used in the bath. A significant body of recent experimental evidence now indicates that plastic flow of the oxide contributes significantly to ion transport in PAA, occurring at rates comparable to that of electrical migration [1]. Recently, we developed a model for steady-state growth of ordered PAA films in which ions transport was considered to occur by coupled electrical migration and viscous flow [2]. The hypothesis of viscous flow was validated by detailed comparisons with measurements of W tracer profiles during anodizing [1]. The results indicated that flow arises from compressive growth stresses at the oxide-solution interface. Here, we present a model of the initial stage of planar film growth, prior to pore initiation. The model includes viscoelastic deformation of the film, ion migration in the electric field and stress gradient, and flow. Stresses arise at the metal-film interface, through the volume change accompanying oxidation, and at the film-solution interface, by oxide volume expansion associated with the incorporation of large electrolyte oxyanions in these films. Such anion incorporation is well-established experimentally and is found to correlate with oxide flow [3]. We show how compressive anion-induced stresses can destabilize the planar interface. These stresses cause interface perturbations to grow, by producing flow from local depressions to neighboring ridges. [1] S. J. Garcia-Vergera et al., Electrochim. Acta, 52, 681 (2006); [2] J. E. Houser and K. R. Hebert, Nature Mater., 8, 415 (2009); [3] S. J. Garcia-Vergera et al., Corros. Sci., 49, 3696 (2007).
KK11: Poster Session II
Session Chairs
Thursday AM, December 03, 2009
Exhibit Hall D (Hynes)
9:00 PM - KK11.1
Temperature Effects on Dip-pen Nanolithography of Alkylthiols.
Raymond Jose Sanedrin 1 , Nabil Amro 1
1 , NanoInk, Inc, Skokie, Illinois, United States
Show AbstractDip-pen nanolithography (DPN) has emerged into a powerful tool in creating sophisticated micron and nanoscale features of various molecules onto a variety of substrates. The once serial writing process has been developed into a parallel lithographic tool that can generated nanometer sized features over cm2 areas. Ink molecules, such as alkanethiols, silanes, peptides, proteins, and polymers, had been written on a variety of surfaces, such as gold, silver, mica, SiO2, and GaAs. This technique had been used to study various processes and interactions including protein-protein interaction, protein-virus binding, cell-nanostructure interaction, monolayer polymer growth, and metal nanostructure fabrication. Despite significant advances in the recent years, the influence of temperature on molecule transport for nanostructure fabrication has not been fully explored. Recent published works, wherein from room to 10 oC above ambient temperature have shown dependence of molecule transport from cantilever to substrate surface. In addition, they also showed no influence of temperature on generated feature sizes below 28 oC, using heating fans as an environmental chamber temperature control. Herein, we report a new development, which greatly improves the DPN process, based on a heating and cooling stage derived on a Peltier module that can specifically control the substrate surface temperature with increments of 0.1 oC, and a temperature range from 4 oC to 80 oC. This approach, which is called Temperature Controlled DPN (TCDPN), allows and influences the writing of various molecules onto a variety of substrates under ambient environment, which could have not been achieved using conventional DPN systems. This work presents the fabrication of nanoscale features of small organic molecules with low and high melting point, such as alkanethiols. Nanosized features as small as 35 nm were written onto gold substrates. With similar tip-surface contact time, nano and micro size features can be reproducibly generated with low and high temperatures, respectively. This well controlled system permits the fabrication of homogeneous micron, sub-micron, and nano-structures over large areas for different applications ranging from the semiconductor industry to the life-sciences.
9:00 PM - KK11.10
Control of Nanopores in Size and Orientation in Thin Block-Copolymer Films.
Wonjoo Lee 1 , Xin Zhang 1 , Robert Briber 1
1 Materials Science and Engineering, University of Maryland, College Park, Maryland, United States
Show AbstractBlock copolymers are well-known to self-assemble into meso- and nanoscale structures. The use of block copolymers for nanostructured patterns has attracted increasing attention due to their potential use as templates and scaffolds for the fabrication of functional nanostructures. In order to realize the potential of these materials, it is necessary to be able to control the orientation of the nanostructured patterns. In this research, we report on a new method to control nanopores in block-copolymer films. PS-P4VP block copolymer and P4VP homopolymer were dissolved in THF. Depending on the amount of P4VP homopolymer added to PS-P4VP solutions in THF, the ratio of P4VP and PS was changed from 3 to 45 wt%. The solutions were spincoated onto silicon substrates. The morphology of the resulting films was examined using AFM, TEM and SEM. It was found that microphase-separation occurred during the spincoating process and the size of the microphase-separated domains increased as the ratio of P4VP to PS increased, indicating that the added P4VP homopolymer was contained within the P4VP microdomains. The PS-P4VP/P4VP blend films were then immersed in ethanol which induced a reconstruction of the film structure and removed the P4VP homopolymer. The resulting morphology exhibited nanoscale porosity with the pore size increasing with increasing concentration of P4VP homopolymer. Moreover, it was found that cylinder or lamellar morphology, depending on P4VP wt%, can be induced through annealing as-spun films in solvent vapor. After the P4VP homopolymer is extracted using ethanol from the films, ordered nanopores, parallel or perpendicular to the substrate, are generated. A possible mechanism for the formation of the nanoporous structure will be discussed.
9:00 PM - KK11.12
Pattern Formation of Nanoscale Structures using Masks of Silica Particles under Energetic Ion Beams.
Juan-Carlos Cheang-Wong 1 , Eder Resendiz 1 , Ulises Morales 1
1 , Instituto de Física, Universidad Nacional Autónoma de México, Mexico, D.F., Mexico
Show AbstractColloidal silica particles are being intensively studied due to their potential applications in catalysis, intelligent materials, optoelectronic devices and coating technology. The properties of these SiO2 particles depend on their size, size distribution and shape, which in turn determine the different roles they can play as electronic substrates, electrical and thermal insulators, photonic bandgap crystals, masks for lithographic nanopatterning, etc, in technologically expected nanodevices. Ion irradiation induces damage and structural changes in solids due to energy losses of multi-MeV heavy ions via ionization events and atomic collisions occurring in the near-surface region of the irradiated sample. Indeed, it has been observed that amorphous glassy materials like silicon dioxide can undergo extreme deformations under exposure to high-energy beams. This ion-beam induced anisotropic deformation of amorphous materials such as silica has been observed in the case of SiO2 films on Si substrates as well as in colloidal silica particles. Spherical submicrometer-sized silica particles were prepared by the Stöber method and deposited as a monolayer onto silicon wafers, in order to use them as a mask to create regular arrays of nanoscale surface features, such as Ag deposits. Also, ion beam modified masks were used to tailor the size and arrangement of these Ag deposits on Si substrates as a function of the ion fluence. Some of the samples were irradiated at room temperature with Si ions at 4 and 6 MeV and fluences up to 0.3×1015 Si/cm2, under an angle of 90° with respect to the sample surface. After the irradiation the silica particles turned into oblate particles, as a result of the increase of the particle dimension perpendicular to the ion beam and the decrease in the parallel direction. By this way, the mask openings of the silica particle monolayer were modified and a subsequent Ag evaporation allowed the formation of ordered arrays of Ag features, after the silica removal with a HF solution. The size, size distribution and shape of both the silica particles and the Ag deposits were determined by scanning electron microscopy.
9:00 PM - KK11.13
Directed Morphologies of Polymer Blends using Chemically Heterogeneous Patterns.
Liang Fang 1 , Ming Wei 1 , Sivasubramanian Somu 2 , Ahmed Busnaina 2 , Carol Barry 1 , Joey Mead 1
1 , NSF Nanoscale Science and Engineering Center for High-Rate Nanomanufactuing, University of Massachusetts Lowell, Lowell, Massachusetts, United States, 2 , NSF Nanoscale Science and Engineering Center for High-Rate Nanomanufactuing, Northeastern University, Boston, Massachusetts, United States
Show AbstractPattern-directed morphologies of multi-component polymer films are of considerable importance because of their potential applications in various nano-devices. A simple and versatile method to produce chemically heterogeneous patterns by combining electron-beam lithography and self assembled monolayers of alkanethiols has be used to direct the assembly of polystyrene / polyacrylic acid blends in 30 seconds. Both uniform and nonuniform (T-junctions, circles, and squares) patterns could be prepared In this work, the influence of functional groups of the alkanethiols was investigated. Additionally, the effect of molecular weight, spin coating speed, and solution concentration on the ability to replicate nanoscale domains was studied.
9:00 PM - KK11.14
Soft Graphoepitaxy of Block Copolymer Assembly with Disposable Photoresist Confinement.
Moon Hyoung-Seok 1 , Jeong Seong-Jun 1 , Kim Ji Eun 1 , Kim Bong Hoon 1 , Kim Sang Ouk 1
1 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractWe demonstrate soft graphoepitaxy of block copolymer assembly as a facile, scalable nanolithography for highly ordered sub-30-nm scale features. Various morphologies of hierarchical block copolymer assembly were achieved by means of disposable topographic confinement of photoresist pattern. Unlike usual graphoepitaxy, soft graphoepitaxy generates the functional nanostructures of metal and semiconductor nanowire arrays without any trace of structure-directing topographic pattern. Our novel approach is potentially advantageous for multilayer overlay processing required for complex device architectures.
9:00 PM - KK11.16
Glass Nanoimprint for Nanoscale Growth Control of Transparent Conducting Oxide Thin Films.
Yuki Sugimoto 1 , Yasuyuki Akita 1 , Yuta Nakasone 1 , Naoki Shiraishi 1 , Masahiro Mita 2 , Hideo Oi 2 , Mamoru Yoshimoto 1 3
1 Department of Innovative & Engineered Materials, Tokyo Institute of Technology, Yokohama Japan, 2 , Kyodo International Inc., Kanagawa Japan, 3 , Patent Attorney, Tokyo Institute of Technology, Yokohama Japan
Show AbstractThere are many investigations on nanoscale-structural control of glassy materials for development of high functions, in which nanoimprint lithography has attracted much attention as one of nanoscale surface modification techniques with simple, low-cost and high-throughput. Glass nanoimprint is expected to lead to fabrication of high performance glass substrates for solar cells or liquid crystal displays. Previously, we investigated nanoscale surface patterning control of silicate glass plates by applying a hot nanoimprinting technique, in which self-organized oxide molds with a nanopattern were employed[1,2]. By use of the nanoimprinted glass substrates for thin film deposition, there are possible merits that result in higher crystallinity of the films due to homogenization of crystal nucleation sites and anisotropic crystal growth. Here we examine glass surface nanopatterning by hot nanoimprint, and fabrication of transparent conducting oxide (TCO) thin films on the nanoimprinted glass substrates by pulsed laser deposition (PLD). The imprinted glass surface had the nanowire array pattern through reversely transferring of the nanopatterned oxide molds, which were prepared by annealing the epitaxial Li-doped NiO thin films deposited on the atomically stepped sapphire (0001) substrates. The oxide mold had a straight nanogroove array pattern (height: ~20nm, width: ~90nm) on the surface. The nanowires on the imprinted glass surface had an interval of ~80nm, wire width of ~70nm, and wire height of ~20nm. Then, we have grown indium tin oxide (ITO) thin films on the nanoimprinted glass as well as commercial glass by PLD. Pulsed KrF excimer laser beam was irradiated onto the sintered ITO (5wt%-Sn doped) ceramics target. Amorphous ITO films deposited at room temperature were then annealed for 3 h in ultrahigh vacuum (UHV) at 200°C. By annealing the amorphous ITO thin films on the nanoimprinted glass, we could observe novel crystal growth behavior reflecting the nanopattern of the used glass surface. Furthermore, these ITO films had an anisotropic electrical property, and exhibited higher quality than the ITO thin films grown on the commercial glass substrate. [1]Akiba et al. Appl.Surf.Sci.253(2007)4512 [2]Akita et al. Jpn.Appl.Phys.46(2007)L342
9:00 PM - KK11.17
193-nm Lithography with Silver Superlens.
Caixia Bu 1
1 Physics , University of Virginia, Charlottesville, Virginia, United States
Show AbstractWe have tested the exposure and development of PMMA at 193nm with EX5/250 Excimer laser and set up a preliminary 193-nm lithography system incorporating silver superlens. The test-exposure experiments show high resolutions. The future exploration in our team is the development of a tipless, direct writing, and high-resolution and costless 193-nm lithography system. We can expect better than 50-nm pattern resolution.
9:00 PM - KK11.18
Directed Assembly of Polymer Blends on Nano-Scale Patterned Self-Assembled Monolayers.
Jason Chiota 1 , John Shearer 1 , Ming Wei 1 , Carol Barry 1 , Joey Mead 1
1 Plastics Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractNanopatterned polymer structures can be used in a variety of applications from nanolithography to biomedical. This work demonstrates the directed assembly of polymer blends (polystyrene (PS)/polymethyl methacrylate (PMMA)) into a range of uniform and nonuniform geometries using chemically functionalized surfaces prepared by Dip-Pen Nanolithography (DPN). Attraction between the polymer and patterned surface controlled the deposition of hydrophilic polymers onto the hydrophilic regions of the template while hydrophobic polymers were assembled onto the hydrophobic regions. Multiple length scales on a single template was successfully achieved using a short solvent annealing step. Length scales from 300 nm down to 100 nm which consisted of varying nonuniform geometries were consistently patterned on the same template. Domains sizes down to 50 nm were also replicated, but less consistently compared to the larger sizes. These results provide a process is capable of assembling polymer blends into varying geometric structures in a relatively simple, rapid, and consistent fashion suitable for scale-up in various nanomanufacturing processes.
9:00 PM - KK11.2
Nanoporous Polymeric Periodic Structures Fabricated by Emulsion-assisted Holographic Patterning.
Vincent Hsiao 1 , Wei-Ting Chang 1
1 Applied Materials &Optoelectronic Engineering, National Chi Nan University, Nantou Taiwan
Show AbstractWe report a new technique of creating a nanoporous polymeric nanostructure by photo-patterning emulsions generated from a formamide (polar phase) and an acrylate-monomer (nonpolar phase). Formamide is a highly polar solvent that forms well dispersed, non-aqueous emulsion droplets within the monomer-containing nonpolar phase before holographic patterning. Photochemically initiated polymerization of the nonpolar phase (acrylate monomer) forces the formation of ordered formamide emulsions defined by the holographic interference. Evaporation of the formamide from the ordered structure yields a periodic structure that could act as a one-dimensional photonic crystal (1D-PC) with high optical reflectivity and a wide reflection bandwidth. The average size and the size distribution of formamide droplets in the photopolymer fluid must be controlled to fabricate a 1D-PC with high reflectivity. Furthermore, we found that the addition of sodium dioctyl sulfosuccinate (AOT) surfactant helps to stabilize the formamide emulsion which further facilitates the formation of the ordered nanopores with uniform size.
9:00 PM - KK11.21
Adhesion Hysteresis of Janus Nanopillars Fabricated byNanomolding and Oblique Metal Deposition.
Hyunsik Yoon 1 , Hoon Eui Jeong 2 , Kahp Suh 2 , Kookheon Char 1
1 School of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of), 2 School of Mechanical and Aerospace Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractWe present the fabrication method to realize Janus nanopillars showing the direction-sensitive dry adhesive behavior. Polymeric nanopillars were first molded from an etched SiO2 substrate containing high aspect ratio nanoholes, followed by the oblique metal deposition on polymer nanopillars such that metal layers in different thickness were coated only on one side of the pillars. We found that the Janus nanopillars show the asymmetric adhesion behavior: it exhibits a strong shear attachment when pulled from the polymer contact compared with relatively weak attachment when pulled from the metal layer in contact with the substrate. The difference in shear adhesion strength is believed to originate from the different interfacial adhesion between different surfaces of the nanopillars and a target substrate. In addition, the Janus nanopillars could further be bent by thermal annealing or e-beam irradiation. The bending mechanism for the nanopillars toward the metal layer by thermal annealing can be explained by the mismatch in thermal expansion coefficient between metal and polymer, followed by the plastic deformation of polymeric pillars. What is strikingly interesting is that these bent Janus nanopillars show even greater adhesion hysteresis: a strong shear attachment when pulled from the bent direction in contrast to the easy detachment from the opposite direction just like Gecko-like adhesives. We also demonstrate that this type of bending process for the Janus nanopillars has a superb advantage for the large area fabrication.
9:00 PM - KK11.22
A Level Set Simulation for Ordering of Quantum Dots via Cleaved-Edge Overgrowth.
Christian Ratsch 3 4 , Xiaobin Niu 1 3 , Emanuele Uccelli 2 , Anna Fontcuberta i Morral 2
3 Mathematics, UCLA, Los Angeles, California, United States, 4 IPAM, UCLA, Los Angeles, California, United States, 1 Materials Sciences and Engineering, University of Utah, Salt Lake City, Utah, United States, 2 Laboratoire des Materiaux Semiconducteurs, Institut des Materiaux, Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland
Show AbstractCleaved edge overgrowth is a promising technique to obtain ordered arrays of quantum dots, where the size and position of the dots can be controlled very well. We present level set simulations for cleaved edge overgrowth. Our simulations illustrate how the quality of this technique depends on the potential energy surface for adatom diffusion, and thus suggest how variations of the potential energy surface canpotentially improve the uniformity of quantum dot arrays.
9:00 PM - KK11.23
Supercrystal Structures of Nanopolyhedra.
Jiye Fang 1
1 , State University of New York at Binghamton, Binghamton, New York, United States
Show AbstractThe potential for application of nanocrystals has caused a tremendous increase in publications during the past two decades. Wet-chemical synthesis of nanocrystals is one of powerful methods to produce high-quality nanometer-sized materials which have exhibited a lot of novel properties. However, most of the early efforts were focused on size-control. In recent years, relationship between the shape of nanocrystals and their physical/chemical characteristics has drawn a new attention and various approaches of particle shape-control have been developed. This presentation that covers a broad spectrum of materials including oxide, metal/alloy and semiconductors reviews our recent progress in preparation and structural analyses of various supercrystals, self-assembled in an organic solution phase with single- and binary components. As typical building blocks, two types of nanopolyhedra (nanocubes and nanoctahedra), are discussed.
9:00 PM - KK11.24
Atomic Scale Studies of Intermixing, Segregation, and Ordering Effects of Ge on Chemically Patterned Si Substrates.
Avinash Dongare 1 , Douglas Irving 1 , Leonid Zhigilei 2
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Materials Science and Engineering, University of Virgini, Charlottesville, Virginia, United States
Show AbstractPatterning of semiconductor surfaces is one of the most promising techniques that is being explored for guided nucleation and growth of nanostructures during thin film growth. Particularly interesting is the case of chemical patterning of the substrates using metals (Au) for growth of nanowires and nano-scale islands. The understanding of the effects of chemical patterning on the guided nucleation of nanostructures at the atomic scale is still at the initial stages of exploration. The lack of understanding is due to the very complex nature of the process of chemical patterning and also can be partially related to the limitations of the computational tools available for atomic scale modeling of metal-covalent systems. A new computationally efficient Angular-dependent EAM (A-EAM) interatomic potential is developed by combining the Embedded Atom Method (EAM) potential for metals for Au with the Stillinger – Weber (SW) potential for Si and Ge in a compatible functional form. To test the performance of A-EAM potential at finite temperatures, the thermodynamic properties of the alloys and equilibrium lines on the Au-Si phase diagram are evaluated and compared with experimental data. Molecular dynamics (MD) simulations in combination with Monte Carlo methods using the newly developed A-EAM potential are used to investigate effects of intermixing, segregation, and ordering of Ge on Au patterned Si substrates.
9:00 PM - KK11.25
Aligned Porous Network of Nanoparticles by Directional Freezing.
Min Kyung Lee 1 , Nae-Oh Chung 1 , Jonghwi Lee 1
1 Department of Chemical Engineering and Materials Science, Chung-Ang University, Seoul Korea (the Republic of)
Show AbstractDirectional freezing is a simple method to produce aligned porous materials in the form of 2D patterns. A solvent-typically water but also organic solvents-is frozen uni-directionally, and the structures of pores after drying reflect the spaces (templates) occupied by the uni-directionally frozen and aligned crystals of solvents. In this "ice-templating" method, template ice crystals are formed and can be easily removed through freeze-drying. The freezing process can be carried out in a more controlled manner to orientate the growth of ice crystals in one direction. This method can be applied to a range of particulate materials (metal oxide nanoparticles, polymer colloids) with diameters ranging from 10 to 500 nm. When SiO2, TiO2, or PTFE (polytetrafluoroethylene) nano-dispersions sprayed onto a glass substrate were quickly frozen by immersing them into a liquid nitrogen bath, ice spheres grew inside their matrixes. While the solvent is freezing, the growth of ice crystals expels the nanoparticles to grain boundary region (cryo-concentration). Under these conditions, the nanoparticles were aggregated between the growing ice crystals. The size of ice crystals could be adjusted by varying freezing rate and dispersion concentration. As some applications require the enhanced stiffness and strength of the structures, it was investigated that the effects of annealing on the structural and mechanical properties of porous networks. The morphology of networks with aligned pore structures was characterized in detail by optical microscopy (OM), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The mechanical properties of the networks were investigated by AFM Force-Distance curve. It was found that the pore size of the networks could be controlled by freezing rate and dispersion concentration. It was also found that the 2D networks with developed pores could be strengthened by thermal annealing.
9:00 PM - KK11.27
Synthesis of Single Crystalline, Defect Free Metal Nano-Whiskers.
Gunther Richter 1 , Matthias Kolb 1 , Matthias Schamel 1
1 , Max-Planck-Institut für Metallforschung, Stuttgart Germany
Show AbstractNano-wires and nano-tubes will form the building blocks of future nano-technology. So far only non-metals like carbon, ceramic and semiconductor nano-wire and nano-tubes have been synthesized with perfect, defect free atomic structure in freestanding, one-dimensional configuration. This paper reports the synthesis of metallic, single crystalline, defect free and freestanding nano-whiskers. An initiator mediated filamentary crystal growth process based on the physical vapour deposition technique was developed. Metals with face centred (Cu, Ag, Au, Pd), body centred (Fe), and hexagonal (Co) crystal structure were synthesized successfully with the new technique. Typical diameters of the whiskers are 100 nm and lengths of up to 200 µm are observed, giving aspect ratios of up to 2000:1. Transmission electron microscopy studies revealed the absence of dislocations and grain boundaries in the volume of the whisker. The shape of the filamentary crystals is governed by the surface energies of its confining crystal facets, being {111} and {100} crystal planes for the fcc metals. A growth model based on diffusion processes is proposed from results of preliminary growth studies. Apart studying the inherent properties of pure materials, whiskers can be used for fabrication of nano-composites from different materials. Tailored microstructures enable the formation of different configuration, such as axial or lengthwise multilayered whisker. A bridge between metallic macro-devices and structures down to those dominated by the quantum effect, and between metal and semiconductor devices may be formed by initiator mediated whisker growth.
9:00 PM - KK11.28
The Phenomena of the Self-organization in the Processes of Thin-film Growth.
Nikolay Bodyagin 1
1 BMSE, Ryazan State Radiotechnical University, Ryazan Russian Federation
Show AbstractThe ability of the usage of the ideas of the complex system (self-organization) theory concerning the processes of thin-film growth of different materials was shown earlier. The basis for this is the characteristic features of the growth dynamics: bifurcation character of the changes, the break symmetry, non-equilibrium, the existence of the dissipation processes. All these are essential ingredients of the self-organization. It exists in all spatial scales: from macro to nano levels. Taking all these into account we have solved some important problems of the thin-film growth research. 1. We have developed the direct means of the analysis of the growth process based on non-linear dynamics:- by any characteristics of the substance in situ, according to the ideology of embedding method. For this purpose we can use the methods of scattering of the light, ellipsomethry and some others - by the structure of the material, which stores the information about its previous time evolution. We have adopted well-known means of the processing of the time sequences for the analysis of the two-dimensional surfaces. This method gives us an opportunity to bring to light the sequence in the structure which can’t be find with the help of the traditional methods. Besides, we were able to find the connection between the structure and the parameters of the dynamics of its formation. 2. We have given the explanation of the phenomena of non-reproductivity of the growing structure. It has a very important meaning for the most technologies of the materials on all levels of the structure and first of all on the nano-level. We have shown why the reasons of non-reproductivity are connected with the peculiarities of the dynamics of growth. In our previous works we have proved that the determinate chaos is the essential stage of the thin-film growth processes. Thus we have come to the conclusion that instability of the growth process which is characteristic to chaotic dynamics with aggregation with inaccuracy of the maintenance of the parameters of the technology and unavoidable fluctuations is the cause non-reproductivite of the structure.We have developed the criteria of non-reproductivity. They are invariant for different technologies. We have shown that there is the limit of reproductivity. Beyond this limit the growth of the accuracy of the technological parameters becomes senseless. We have developed the analytical connection between the sizes of the system (the number of atoms which make the independent micro- or nanostructure) and the degree of reproductivity. 3. We have suggested the effective methods of the growth control which are based on the correspondence of the technological parameters in the inner dynamic process.
9:00 PM - KK11.29
Magnetic Particles on Cellulose Template.
Sofia Hiort af Ornaes 1
1 , SweTree Technologies, Uppsala Sweden
Show AbstractSweTree Technologies is a plant and forest biotechnology company providing products and technologies to improve the productivity and performance properties of seedlings, wood and fiber for forestry, pulp & paper, packaging, hygiene, textile and other fiber related industries.We are active in the field of new technologies for cellulose fiber modification. A recent innovation is Magnetic Cellulose based on research from Berglund and co-workers (Royal Institute of Technology, Stockholm, Sweden). Magnetic cellulose is obtained by precipitation of metal salts onto a cellulose template. The cellulose source can originate from bacterial cellulose, in the form of a hydrogel or aerogel, or microfibrillated cellulose (MFC). 1,2 Freeze-dried bacterial cellulose works as a template for precipitation of magnetic nano-particles onto the nano-fibers inside the matrix. The final material is a lightweight composite material based on cellulose and ferrites (magnetic particles). It is possible to compress gels to films to form a more dense material. The particles are physically attached to the cellulose nanofibers and evenly distributed.3It is known that magnetic particles tend to agglomerate during and after formation, and especially when dried. With our approach we have overcome this problem and provided an agglomerate free magnetic nanoparticle material with high mechanical properties.The new magnetic nanoparticle cellulose material with a network of cellulose nanofibers as scaffold can be used for the production of new functional nano-materials.References:1.Henriksson, M, Berglund, LA, Isaksson, P, Lindstrom, T, Nishino, T, Cellulose nanopaper structures of high toughness, Biomacromol, 2008, 9, 15792.M Pääkkö, J Vapaavuori, R Silvennoinen, H Kosonen, M Ankerfors, T Lindström, L A Berglund and O Ikkala, Soft Matter, 2008, 4, 24923.R.T. Olsson, et al, Flexible Multifunctional Aerogel Magnets by Biomimetic Mineralization, Nature Nanotechnology, in revision, 2009.
9:00 PM - KK11.3
Effect of Processing Parameters on Directed Assembly of Nanoelements in Multi-phase System.
Satyam Modi 1 , Joey Mead 1 , Carol Barry 1
1 Plastics Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractA number of methods, including electrophoresis, have been used to create nanoscale patterns from suspensions of nanoparticles. Polymer melt-based processes for the manufacturing of nanostructures offer a high rate, environmentally friendly, and commercially viable alternative to current solution-based methods. Adapting the nanoassembly processes, specifically electrophoretic assembly, however, to the high viscosity environment of polymer melts presents significant challenges. In this work, viscous solutions of carbon black and polystyrene were employed as a model system for investigating the effects of viscosity on electrophoretic assembly from highly viscous systems.
9:00 PM - KK11.30
Kinetic Monte Carlo Simulations of the Effect of the Ehrlich-Schwoebel Barrier during Growth on Patterned Surfaces - Comparison to Unstable Growth on Patterned GaAs(001).
Chuan-Fu Lin 1 2 , Krista Cosert 1 2 , Ajmi Hammouda 3 , Hung-Chih Kan 1 2 4 , Kanakaraju Subramaniam 2 , Christopher Richardson 2 , Raymond Phaneuf 1 2 3
1 Materials Science and Engineering, University of Maryland, College Park, Maryland, United States, 2 , Laboratory for Physical Science, College Park, Maryland, United States, 3 Physics, University of Maryland, College Park, Maryland, United States, 4 Physics, National Chung-Cheng University, Chia-Yi Taiwan
Show Abstract*Work supported by NSF #DMR0705447, LPS and the UM-MRSEC # DMR0520471 We present results of kinetic Monte Carlo simulations of epitaxial growth on lithographically-patterned surfaces in the presence of a significant Ehrlich-Schwoebel barrier. Our results show a profound change in the mode by which an initial lithographic pattern evolves during growth, with growth mounds dominating at low temperatures and island nucleation and growth at higher temperatures. We describe the use of height-height correlation maps as a tool to facilitate the statistical characterization of the evolution of periodic patterns during growth, and correlate peaks in the maps with the change in growth mode with temperature. Our results show a window of growth temperatures in which the pattern amplitude initially amplifies, and then decays, in qualitative agreement with observations of a transient instability during growth on patterned GaAs(001) surfaces [1-3]1. T. Tadayyon-Eslami et al., Physical Review Letter 97, 126101 (2006)2. H.-C. Kan et al., Physical Review B 73, 195410 (2006)3. H.-C. Kan et al., Physical Review Letter 92, 146101 (2004)
9:00 PM - KK11.31
Nanoscale Mosaic Texture Formation through Templated Lamellar Phase-ordering Growth.
Nasser Abukhdeir 1 , Alejandro Rey 1
1 Department of Chemical Engineering, McGill University, Montreal, Quebec, Canada
Show AbstractManufacturing of materials with well-ordered hierarchical pattern formation on the nanoscale is highly desirable for applications ranging from semiconductors to optical materials [1]. Phase-ordering transitions, where characteristic length scales are on the order of the molecular length, provide an ideal basis for the development of these approaches. These phase-ordering transitions are observed in a staggering variety of materials including liquid crystals and block copolymers. Focusing on lamellar phase-ordering, the canonical lamellar system is the smectic-A liquid crystal. Through the study of the direct disordered/ordered smectic-A transition, knowledge is gained which is relevant to a broad number of lamellar systems with similar phase-ordering symmetries, such as cylindrical self-assembled block copolymer films [2,3]. In this work, the directed self-organization of the disordered/ordered smectic-A transition is studied via simulation of a high-order continuum model (of the Landau-de Gennes type). A combination of an ensemble of well-oriented nucleation events and orientational preordering [3-6] is shown to result in mosaic pattern formation through a complex dynamic process.REFERENCES:[1] M. Maldovan & E.L. Thomas. Periodic Materials and Interference Lithography for Photonics, Phononics and Mechanics. Wiley-VCH (2008) [2] C. Harrison, D. H. Adamson, Z. Cheng, J.M. Sebastian, S. Sethuraman, D. A. Huse, R.A. Register, & P.M. Chaikin. Science, 290, 1558 (2000) [3] Abukhdeir, N. & Rey, A. Defect kinetics and dynamics of pattern coarsening in a two-dimensional smectic-A system New Journal of Physics, 2008, 10, 063025 (17pp) [4] J. Bechhoefer, H. Löwen, & L.S. Tuckerman. Phys. Rev. Lett., 67, 1266-1269 (1991) [5] M. Tokita, K.W. Kim, S. Kang, & J. Watanabe. Macromolecules, 39, 2021-2023 (2006) [6] N.M. Abukhdeir & A.D Rey. Macromolecules, 42, 3841-3844 (2009)
9:00 PM - KK11.32
Simulation of the Spontaneous Assembly of Self-limited Filamentous Bundles with Chiral Interactions.
Michael Hagan 1 , Yasheng Yang 1
1 Physics, Brandeis University, Waltham, Massachusetts, United States
Show AbstractThe assembly of filamentous bundles with controlled diameters is common in biological systems and desirable for the development of nanomaterials. We discuss dynamical simulations of patchy spheres with chiral pair interactions that spontaneously assemble into filamentous bundles. The chirality frustrates long-range crystal order by introducing twist between interacting subunits. For some ranges of system parameters this constraint leads to bundles with a finite diameter as the equilibrium state, and other cases frustration is relieved by the formation of defects. While some self-limited structures can be modeled as twisted filaments arranged with local hexagonal symmetry, other structures are surprising in their complexity. We discuss the relation between model structures and finite bundles in biological or biomaterials systems, and implications for the design of nanostructured materials with controlled sizes.
9:00 PM - KK11.33
Dynamic Simulations of Models for Viral Capsid Assembly around Flexible Polymers.
Michael Hagan 1 , Aleksandr Kivenson 1 , Oren Elrad 1
1 Physics, Brandeis University, Waltham, Massachusetts, United States
Show AbstractWe will present several coarse-grained models developed to describe the assembly of viral capsid proteins around nucleic acids or other polymers, with the objective of learning general principles about the assembly of a capsid around a flexible polymer. I will mainly focus on a recently developed a model that represents both a flexible polymer and capsids on a lattice, allowing assembly simulations over a wide range of capsid sizes and time scales. We find that assembly effectiveness is nonmonotonic with respect to polymer length, with an optimal polymer length that scales with capsid surface area. Polymers that are significantly longer than the optimal length often result in incomplete encapsulation or a competition between multiple partial capsids attached to a single polymer. We find that the polymer can increase the rate of capsid growth by stabilizing the addition of new subunits and by enhancing the incoming flux of subunits; the net rate of subunit of accretion to a growing capsid therefore depends on polymer length and the strength of polymer-subunit interactions. These predictions can be tested with bulk experiments in which capsid proteins assemble around nucleic acids or other polymers; in addition, predictions for the polymer-length dependence of assembly rates during the growth phase could be tested with experiments that monitor the assembly of individual capsid
9:00 PM - KK11.35
Sol-gel Substrates of Controlled Roughness and Surface Energy for Effecting Orientational Order on Cylindrical Block-copolymer Films.
Manish Kulkarni 1 , Karttikay Moudgil 1 , Alamgir Karim 1
1 Polymer Engineering, University of Akron, Akron, Ohio, United States
Show AbstractSelf assembly of cylindrical block-copolymers (BCP) films is envisaged as a low-cost, large area nano-patterning alternative to contemporary lithographic techniques. However, it is important to control the long range orientational order of the cylinders over large areas on commercially viable substrates for device level applications. We have recently developed such a simple strategy for modulating the BCP film-substrate interaction, which can bias the self-assembly energy landscape and thus enforce a desired microstructure. The technique uses sol-gel processing to generate a rough interface with UV controlled wetting and sol-gel chemistry controlled roughness properties. We present experimental results on the micro-phase separation of poly(styrene)-block-poly(ethylene oxide) (PS-PEO) and poly(styrene)-block-poly(methylmethacrylate) (PS-PMMA) cylindrical block-copolymer (BCP) films coated on various aperiodic, hierarchically rough and porous silica xerogel substrates. Effect of BCP film thickness and roughness amplitude and the fractal dimension of the rough substrates on the orientation cylinders will be presented. In addition, comparison of thermal and solvent vapor annealing techniques on the orientation of cylinders will also be discussed. The orientation of the cylinders was studied using atomic force microscope (AFM) as well as neutron reflectivity.
9:00 PM - KK11.36
Electron Magnetic Resonance Studies on Nanowire and Nanoparticle Arrays.
Osei Amponsah 1 , Rakhim Rakhimov 1 , Yury Barnakov 1 , Rosa Lukaszew 2 , Jeffrey Owrutsky 3 , Natalia Noginova 1
1 , NSU, Norfolk, Virginia, United States, 2 , College of William & Mary, Williamsburg, Virginia, United States, 3 , Naval Research Lab, Washington, District of Columbia, United States
Show AbstractArrays of magnetic nanowires and well-oriented chains of superparamagnetic nanoparticles were fabricated using polymer and alumina membrane templates. The systems were characterized by SQUID and studied by electron magnetic resonance methods. Comparative analysis of the obtained results for different types of magnetic materials, as well as for different geometries and sizes of the magnetic inclusions and their mutual arrangements will be presented. The role of the anisotropic shape and dipole-dipole interactions in ferromagnetic and superparamagnetic resonance cases will be discussed.
9:00 PM - KK11.37
Nanostructured Biodegradable Polymers for Drug Delivery.
Daniel Bernards 1 , Tejal Desai 1
1 Bioengineering and Therapeutic Sciences, University of California, San Francisco, San Francisco, California, United States
Show AbstractIn the field of drug delivery, many therapeutic treatments are ideally administered to a patient at a constant rate over a certain time period. Nonetheless, a considerable fraction of therapies exhibit an initial spike in therapeutic concentration that exceeds the useful amount and can sometimes have negative side-effects to patient health. By engineering drug delivery devices appropriately such undesired treatment side-effects can be avoided. Nanostructured scaffolds are a promising approach to achieving constant drug release over time. For porous material loaded with a therapeutic that is comparable to the pore size of the material, diffusion of therapeutic through the pores is constrained. This results in zero-order release of therapeutic and a constant rate of drug delivery over time. Given the size of most therapeutic molecules and proteins, materials must be structured at the nanometer scale to take advantage of this approach to drug delivery.The majority of existing nanoporous materials are fabricated from inorganic materials, owing to their crystallinity, knowledge of processing techniques, and chemical inertness. Unfortunately, these materials are not suitable for all applications, particularly those where invasiveness, long term biological viability, or mechanical stiffness are of concern. In this work, we fabricate nanoporous biodegradable polymer films by templating poly(caprolactone) (PCL) onto zinc oxide nanorods. Films were characterized with scanning electron microscopy and x-ray photoelectron spectroscopy to determine structural and chemical properties. In addition, a small molecule and a macromolecule (fluorescein and bovine serum albumin (BSA)) were used to characterize diffusion through the nanoporous membranes. In particular, fluorescein (with size much less than the pore size) exhibited first-order diffusion, and BSA (with size similar to pore size) exhibited zero-order diffusion. Incorporation of nanostructured PCL into therapeutic devices will be discussed.
9:00 PM - KK11.38
Assembly of Block Copolymer Micelles on a Lithographically Templated Surface.
Anthony Pearson 1 , Matthew Linford 2 , John Harb 3 , Robert Davis 1
1 Physics and Astronomy, Brigham Young University, Provo, Utah, United States, 2 Chemistry and Biochemistry, Brigham Young University, Provo, Utah, United States, 3 Chemical Engineering, Brigham Young University, Provo, Utah, United States
Show AbstractBlock copolymer micelle patterning has been shown to be a versatile method of creating hexagonal arrays of metal nanoparticles with sizes less than 10 nm and spacing that can be controlled by adjusting the molecular weight of the block copolymer. To use these nanoparticles for controlled lithographic applications, registration of the nanoparticles with other surface patterns is essential. Here we exploit the self-aligned assembly of PS-P2VP block copolymer reverse micelles using both topographical and chemical surface patterning to achieve micelle registration. Specifically, e-beam lithography and plasma etching of SiO2 surfaces was used to create recessed boxes and ovals correctly sized to deposit controlled numbers of micelles within the recessed regions. Chemical surface patterning has been explored to selectively place micelles in the patterned areas with low micelle adsorption in the non-patterned regions. Gold nanoparticles were formed from the micelles by adding HAuCl4 to the micelle solution prior to deposition. After dip coating, an oxygen plasma etch removes the polymer, leaving an aligned array of nanoparticles. A subsequent hydrogen anneal reduces the nanoparticles to elemental gold. Chemical functionality of the gold has been examined by attachment of octadecanethiol (ODT). Atomic force microscopy was used to verify thiol attachment by measuring a particle height increase of two nanometers following the gold-thiol reaction. This is consistant with the expected height of an ODT self-assembled monlayer.
9:00 PM - KK11.39
Engineered SERS Substrates with Multiscale Signal Enhancement: Nanoparticle Cluster Arrays.
Bo Yan 1 2 , Anupama Thubagere 1 2 , Ranjith Premasiri 2 , Lawrence Ziegler 1 2 , Luca Dal Negro 3 2 , Bjoern Reinhard 1 2
1 Department of Chemsitry, Boston University, Boston, Massachusetts, United States, 2 The Photonics Center, Boston University, Boston, Massachusetts, United States, 3 Department of Electrical and Computer Engineering, Boston University, Boston, Massachusetts, United States
Show AbstractDefined nanoparticle cluster arrays (NCAs) with total lateral dimensions of up to 25.4 μm × 25.4 μm have been fabricated on top of a 10 nm thin gold film using template-guided self-assembly. This approach provides precise control of the structural parameters in the arrays, allowing a systematic variation of the average number of nanoparticles in the clusters (n) and the edge-to-edge separation (Λ) between 1 < n < 20 and 50 nm ≤ Λ ≤ 1000 nm, respectively. Investigations of the Rayleigh scattering spectra and surface-enhanced Raman scattering (SERS) signal intensities as a function of n and Λ reveal direct near-field coupling between the particles within individual clusters, whose strength increases with the cluster size (n) until it saturates at around n = 4. Our analysis shows that strong near-field interactions between individual clusters significantly affect the SERS signal enhancement for edge-to-edge separations Λ < 200 nm. The observed dependencies of the Raman signals on n and Λ indicate that NCAs support a multiscale signal enhancement which originates from simultaneous inter- and intracluster coupling and |E|-field enhancement. The NCAs provide strong and reproducible SERS signals not only from small molecules but also from whole bacterial cells, which enabled a rapid spectral discrimination between three tested bacteria species: Escherichia coli, Bacillus cereus, and Staphylococcus aureus.
9:00 PM - KK11.40
The Role of Molecular Weight on the Assembly of PS/PMMA Blends onto Templates of Non-Uniform Geometry.
John Shearer 1 , Jason Chiota 1 , Ming Wei 1 , Carol Barry 1 , Joey Mead 1
1 , UMass Lowell, Lowell, Massachusetts, United States
Show AbstractThe role of molecular weight on incompatible multiphase polymer systems assembled onto heterogeneous thiol self-assembled monolayers (SAMs) was investigated using a model system of polystyrene (PS) and polymethyl methacrylate (PMMA). Polystyrene ranged in molecular weight from 18,100 to 1,500,000 g/mol whereas the molecular weight of PMMA was varied from 15,000 to 1,554,000 g/mol. Solutions containing both polymers were spin-coated onto gold-coated mica templates. The templates were patterned with a hydrophilic alkanethiol using dip-pen nanolithography (DPN). Analysis was conducted using scanning electron and ayomic force microscopy. Assembled co-continuous domains of simple and complex geometry are reported over a size range of 100 to 300 nm. The decreasing phase miscibility inherent in increasing the molecular weight of the system produced increased fidelity of the polymer assembly to the template geometry.
9:00 PM - KK11.41
Self-Assembly of PbSe Nanoparticles into Binary Superlattices.
Kangwook Kim 1 , Jutaek Nam 1 , Jiwon Bang 1 , Sungjee Kim 1
1 Chemistry, POSTECH, Pohang Korea (the Republic of)
Show Abstract Self-assembly attracts great interest for chemistry, biology and materials science because it promises effective ways to position nanoscale components for large and hierarchical systems in a predetermined fashion. Since early 1980s, various phenomena of self-assemblies have been reported including binary nanoparticle superlattices (BNSLs) such as AB, AB2, AB5 and AB13 structures. We report 3D self-assembled BNSLs that consist of PbSe nanocrystals of two different sizes. Bimodal size distributed PbSe nanocrystals were prepared by pyrolysis method using oleic acids. Transmission electron microscopy (TEM) studies reveal the bimodal average sizes at 5.0 nm and 5.6 nm with the relative distributions of 7.3 % and 7.1 %, respectively. The assemblies were prepared by solvent evaporation assisted method. They show various types of AB5 crystal structures as elucidated by electron microscopy. The structure of BNSLs is determined by the nanoparticle size ratio and the assembly conditions such as concentrations of the colloidal suspension, types of solvents and the evaporation rate. We will discuss the parameters of self-assembly including the particle size ratio, dipole and van der Waals interaction, and casting conditions for PbSe BNSL formation. Conventional binary hard sphere models will be addressed as well.
9:00 PM - KK11.42
Assembly of Conducting Polymer Using Electrostatically Addressable Template.
Jia Shen 1 , Ming Wei 1 , Carol Barry 1 , Joey Mead 1
1 Plastic Department, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractThe assembly of conducting polymers into ordered micro/nano structures has great importance in the nanomanufacturing of flexible electronics, biosensors, and chemical sensors. Compared with other approaches, assembly by electrophoresis and dielectrophoresis offers the advantages of high rate, easy to control, cost efficiency and large area processing. The dielectrophoresis approach, however, has advantages over electrophoresis. The employment of dielectrophoresis can avoid oxidation of the template surface, which allows the template to be reused and provides longevity for the templates, and in addition, the materials to be assembled do not need to be charged. Here we demonstrate that electrophoretic and dielectrophoretic approaches can be used to pattern a conducting polymer. polyaniline (PANi), into nanoscale structures. The width of the assembled PANi lines can go down to 100 nm. The assembled conducting polymer can be transferred to a polymeric substrate..
9:00 PM - KK11.43
Sub 50 nm Pattern Replication of Inorganic Based Materials using Electrohydrodynamic Lithography in Combination with Nanoimprint Lithography and Its Functionalization.
Suok Lee 1 2 , Seung Nam Cha 3 , Jongmin Kim 3 , Dae Joon Kang 1 2
1 Physics Department, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of), 2 Department of Enerygy Science, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of), 3 Frontier Research Lab, Samsung Advanced Institute of Technology, Suwon, Gyeonggi-do, Korea (the Republic of)
Show AbstractThe electrohydrodynamic lithographic method (EHL) in combination with nano imprinting lithography (NIL) was used to transfer and replicate the miniaturized structures over large area in a relatively short period of time and low cost as compared to other conventional techniques. The TiO2 based inorganic precursor films was used as a dielectric film to replicate various sizes over a large area using master pattern which are prepared by using NIL. Our results show that the minimum feature size was limited by the nano-imprinting capability and we construct pattern whose size is below 50 nm. The replicated structures are followed by a heat treatment which results in fully functionalized metal oxide nanostructures. In this research, the patterned TiO2 structures prepared by using EHL were heat treated using a versatile low temperature and rapid laser annealing system by using laser to functionalize the patterned TiO2 structures. The effects of annealing times and laser power were studied in detail. We believe that this technique is quite versatile and powerful tool to realize fully functionalized metal oxide nanostructures on a localized area as well as a relatively large area substrate without a high cost. With this technique, size controllable functionalization and usage of various substrates – ITO, glass, any flexible substrates, etc.- are possible, and thus it may provide a great potential in various technical application.
9:00 PM - KK11.44
Formation and Functionality of Hexagonal Non-Closed Packed Hierarchical Oxide Micro/Nanostructures by Pulsed Laser Deposition in Gas Phase and Subsequent Annealing.
Naoto Koshizaki 1 , Yue Li 1
1 Nanotechnology Research Institute, AIST, Tsukuba, Ibaraki, Japan
Show AbstractOxide ordered nanorod arrays (especially focusing on TiO2) with hexagonal non-closed packed (hncp) arrangements were synthesized by the pulsed laser deposition (PLD) by using PS colloidal monolayers as templates and subsequently annealing in air. The formation of hncp nanorod array was caused by the in situ volume shrinkage of amorphous oxide nanorod in the crystallizing process by annealing. The morphologies of hncp nanorod arrays can be readily tailored by changing experimental conditions. The periodicity of nanorod array can be easily tuned by the colloidal template with different PS sphere sizes and the nanorod distance can be well controlled by altering the background gas pressure in the PLD process in the same periodicity of nanorod array. Compared to the traditional lithographical techniques, the presented method has an advantage of low costs. This hierarchical particle array exhibits excellent superhydrophilicity with a water contact angle of 0 degrees without further UV irradiation. The superhydrophilic property originates from the oxygen defects on the surface of TiO2 nanoparticles produced by PLD and the increasing roughness from the hierarchical particle arrays. More importantly, this property is very stable for half a year, and could be used in self-cleaning surfaces and microfluidic devices. The parameter-controlled hncp nanorod arrays are also helpful to investigate and optimize the parameter dependence on field emission (FE) properties. The hncp nanorod array showed an enhanced FE performance compared to both particle films and nanorod arrays with top aggregation. With increasing periodicity of hncp nanorod array, the field-enhancement factor decreased and the turn-on field increased in our experimental range owing to the decrease of nanorod number density. More importantly, we find that the FE characteristic could be enhanced by increasing of inter-nanorod distance in the same periodicity of nanorod array. Parameter-optimized results suggested that the hncp nanorod array with small periodicity and large nanorod distance display the best performance in FE properties, which supplies useful information in designing field emission devices based on ordered nanorod arrays.
9:00 PM - KK11.45
Shape and Feature Size Control of Colloidal Crystal Based Nanopatterns Using Stretched Poly(dimethylsiloxane) Replica Molds.
Hong Kyoon Choi 1 , Sang Hyuk Im 2 , O Ok Park 1
1 Chemical & Biomolecular Eingeering, Korea Advanced Insititute of Science and Technology, Daejeon Korea (the Republic of), 2 , Korea Research Institute of Chemical Technology, Daejeon Korea (the Republic of)
Show AbstractHexagonally arrayed structures of the colloidal crystals with uniform surface are a good candidate for master molds to be used in soft lithography. In this work, we fabricated various of nanopatterns using colloidal crystals as master mold via soft lithography method.[1] First, we prepare robust, high-quality 3D-colloidal-crystals master molds by using the colloidal suspension containing a water-soluble polymer. Then, we can successfully transfer the surface patterns of the 3D colloidal crystals onto a UV curable polymer thin film via soft lithography, by means of the replication of the surface pattern with PDMS. Although colloidal crystals are attractive starting structures for nanopatterning, until now they have only been able to offer circle- or sphere-shaped patterns. Here, we have overcome this limitation by deforming PDMS replica molds.[2] Non-spherical shaped patterns such as rectangular and elongated hexagon-shaped patterns with various aspect ratios have been successfully fabricated. Pattern shape and feature size can be easily controlled by changing the stretching axis and ratio of the PDMS mold. We also simulated the deformations of the PDMS replica molds depending on the stretching axis by finite element analysis and they were consistent with the experimental results. Other shapes and feature sizes of the patterns could be obtained by using biaxially stretched or compressed PDMS molds. These elongated nanopatterns were used as template to offer new types of colloidal crystal superlattice structures. The proposed pattern-control technique can be applied not only to colloidal crystal based nanopatterns but also to other nanopatterns using different master patterns. Therefore, we believe that this pattern-control method will significantly expand the usefulness and diversity of nanopatterning technology.Reference[1] H. K. Choi, M. H. Kim, S. H. Im, O O. Park, Adv. Funct. Mater. 2009, 19, 1594[2] H. K. Choi, S. H. Im, O O. Park, 2009 submitted
9:00 PM - KK11.47
Self-assembled Co-SiO2 Core-shell Nanocrystals (NCs) as Charge Storage Nodes for Nonvolatile Memory Applications.
Hai Liu 1 , Domingo Ferrer 1 , Sanjay Banerjee 1
1 Microelectronic Research Center, the University of Texas at Austin, Austin, Texas, United States
Show AbstractNanocrystal floating gate flash memory is considered to be one of the most promising candidates to replace conventional highly doped polysilicon floating gate flash memory widely used in today’s commercial market. According to International Technology Roadmap for Semiconductors (ITRS) 2007, the memory cell size will reduce to ~1000 nm2 by 2020, where only about 10 nanocrystals can be contained per memory cell. Under such small scale, it becomes increasing challenging to synthesize suitable materials with uniform size and shape, and assemble them into a well-ordered nanocrystal matrix. In this paper, we present an novel approach to fabricate flash memory device with Co-SiO2 core-shell nanocrystal as charge storage nodes in the floating gate of the nanocrystal memory, which can potentially satisfy long term requirements for nonvolatile memories as for ITRS 2007.Our solution-phase synthesis of colloidal nanocrystals is based on standard airless techniques on a Schlenk line. Using the water-in-oil microemulsion technique, the nanocrystals were successfully synthesized with precise shape and size control. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) are used to image Co-SiO2 nanocrystals after synthesis and assembly, respectively. The diameter of cobalt core is about 3.4 nm and the SiO2 shell thickness is about 3.5 nm. When self-assembled, separated by the insulating shell, the Co nanocrystals uniformly close packed with a high density about ~0.8×1E12 cm-2 on the tunneling oxide without aggregation. In our experiment, Co is more desirable as the core material compared to its semiconductors, such as Si or Ge, because metals have large work function and can be engineered down to 1 nm without decreasing potential well depth due to quantum confinement effects. Thus, continuous reduction of the shell thickness may yield ultrahigh density nanocrystal matrix. Furthermore, with the SiO2 shell, the thermal stability of the metal core can be improved to avoid oxidation of cobalt nanocrystals during annealing and control oxide deposition steps and promotes a better interface, which also helps to achieve better the memory device performance. High-frequency capacitance-voltage (CV) measurements were employed to characterize the memory device performance using precision semiconductor parameter analyzer 4156C and precision LCR meter 4284A. Beyond SiO2 shell, the insulator shell with high dielectric constant, as Al2O3 and TiO2, is also being pursued for further optimization of the memory device structure.
9:00 PM - KK11.48
Polymer Templated Inorganics with Highly Ordered Pore-Solid Architectures Made from Preformed Water/Alcohol-Dispersable Nanoparticles.
Kirstin Brezesinski 1 , Bernd Smarsly 1 , Torsten Brezesinski 1
1 Physical Chemistry, Justus-Liebig University, Giessen Germany
Show AbstractNanoscale materials typically exhibit chemical and physical properties that are different from their bulk counterparts. Just as for bulk materials, the search for nanoscale oxides with tailored properties has been centered mainly on the control of atomic structure (chemical composition, defect structure etc). A wide variety of self-organization processes, however, now allows us to add a new variable to the arsenal of materials design, namely control over nanoscale structure, which also can have a profound effect on materials properties.In recent years, it has been shown that polymer templating of inorganic materials can be used to prepare periodic nanostructured inorganic-organic composites. The formation of these materials relies on the solution phase co-assembly of inorganic reagents with organic structure-directing agents to produce long-range periodicities reminiscent of lyotropic liquid crystal phases. Because of the high degree of chemical control available, the pore-to-pore distance, inorganic wall thickness, and pore symmetry as well as orientation can be varied essentially independently. Thin film materials can be produced by the same co-assembly methods but using evaporation-induced self-assembly (EISA). However, despite the fact that a broad range of ordered porous materials can be made, the majority of the polymer templated materials do not allow the inorganic walls to be crystallized while retaining nanoscale order. Part of the reason for this is the lack of control over crystallization.Polymer-directed assembly of preformed nanocrystals into 3-dimensional architectures is an alternative route to circumvent loss of both periodicity and porosity upon crystallization. The synthesis of nanoscale building blocks with well-defined size and shape, low polydispersity as well as good redispersability is complicated, though. Thus, only a few well-defined materials with nanoscale periodicity made from preformed nanocrystals have been reported up until now.[1]Here, we describe the facile water-free (non-solvothermal) synthesis of nanocrystals and nanoclusters (TiO2, α-Fe2O3, Al2O3, V2O5 etc.) that can be redispersed readily in water/alcohol mixtures and further show their assembly into mesoporous films and powders. All materials have highly ordered pore-solid architectures with high surface areas and 100 % crystalline wall structures with either randomly or crystallographically oriented grains after removal of the polymer template by thermal treatment. Moreover, we show that these building blocks also lend themselves to the preparation of well-defined nanofibers by electrospinning.Overall, the results presented here represent for the first time a concrete design template for the synthesis of mesoporous films as well as powders with fully crystalline framework by using preformed nanoparticles.[1] Deshpande, A. S.; Pinna, N.; Smarsly, B.; Antonietti, M.; Niederberger, M. Small 2005, 1, 313-316.
9:00 PM - KK11.49
Silicon Nano-Structures Prepared by using Self-Organized Polymer Structures for Dry Etching Masks and Their Surface Properties.
Yuji Hirai 1 , Hiroshi Yabu 2 , Yasutaka Matsuo 3 4 , Kuniharu Ijiro 3 4 , Masatsugu Shimomura 2 4 5
1 Graduate School of Engineering, Tohoku University, Sendai Japan, 2 IMRAM, Tohoku University, Sendai Japan, 3 RIES, Hokkaido University, Sapporo Japan, 4 CREST, JST, Tokyo Japan, 5 WPI-AIMR, Tohoku University, Sendai Japan
Show AbstractWe have reported that honeycomb-patterned polymer films can be prepared by casting a solution of hydrophobic polymer(e.g. polystyrene, PSt) and amphiphilic polymer on a solid substrate by using condensed water droplet arrays as templates. The pincushion film was formed by simple peeling of the top-layer of the honeycomb-patterned film with an adhesive tape [1]. In this report, we show the preparation of the silicon nano-structures by using self-organized honeycomb-patterned films for masks and dry etching process and their surface properties of wettabitlity and reflectivity. We prepared honeycomb-patterned films from PSt and amphiphilic polymer by the casting method. After UV-O3 treatment for hydrophilication, honeycomb-patterned films were fixed up side down on silicon substrates with poly(vinyl alcohol) solution as adhesive. After peeling of the bottom layer of the honeycomb-patterned films, pincushion-structured masks were formed on the silicon substrate. After reactive ion etching of the silicon substrate through the mask, the silicon nano-structured substrate was obtained. Silicon pincushion-like structures with hierarchic spike structures ranging from nano meter to micro meter were formed. From the reflection spectra of the silicon nano-structures at the wavelength ranging from 300 to 1600 nm, the reflectance of them are decreased with increasing incident angles and times of dry etching, respectively. We measured water contact angles (CAs) on the silicon nano-structures, and the maximum CA was over 170 degree. From the results of X-ray photoelectron spectrum of the silicon nano-structures, fluorine was detected after dry etching. The result suggests that super-hydrophobicity are realized due to fluorocarbon absorbed on the surface during dry etching and their surface structures. These results suggest that novel silicon substrates having anti-reflection and super-hydrophobic properties for various applications including solar cells can be obtained.
9:00 PM - KK11.5
Graphene Sheets-Oil Nanocomposites: Equilibrium and Transport Properties from Molecular Simulation.
Deepthi Konatham 1 , Alberto Striolo 1
1 School of Chemical Biological and Materials Engineering, University of Oklahoma, Norman, Oklahoma, United States
Show AbstractNanostructured materials hold unrestricted promises in material sciences. It has long been thought that dispersing nanoparticles in a polymer blend can enhance both mechanical and transport properties. It would be for example desirable to generate a polymer nanocomposite with high thermal conductivity. Such materials could be obtained by dispersing thermally conductive nanoparticles within polymers. Carbon-based nanoparticles are extremely promising towards these goals, although the use of carbon nanotubes is hindered by high resistance to heat transfer from the nanotubes to the polymer matrix.We are interested in composites in which graphene sheets (GS) are dispersed within organic oils. Although pristine GS agglomerate when dispersed in oils such as octane, hexane and dodecane, our equilibrium molecular dynamic simulations demonstrate that when the GS are functionalized with short branched hydrocarbons, they remain well dispersed within the oils. We are now conducting equilibrium and non-equilibrium molecular dynamics simulations to assess the effective interactions between GS dispersed in oils, the self-assembly of GS within oils, the structure of the fluid surrounding the GS, and the heat transfer from a GS to the surrounding matrix. Our tools are designed to understand the effect of GS size, oils molecular weight and molecular architecture on the GS dispersability and GS-oil heat transfer rate. For example, we detail the formation of nematic phases for grapheme sheets in oils at room conditions as a function of the grapheme volume fraction. As expected, the transition from isotropic to nematic phase occurs at lower grapheme sheet concentrations as the grapheme sheet size increases.
9:00 PM - KK11.50
Development and Electrical Transport study of Electron Beam Sensitive Spin-Coatable Indium Doped Zinc Oxide Nanostructures.
Muhammad Shahid 1 , Danielle Rhen 1 , Hyoungwoo Yang 1 , Dae Kang 1
1 Physics , Sungkyunkwan University300 Chunchun-Dong, Jang-an GuKyeonggi-Do, Suwon, 440-746South Korea, Suwon Korea (the Republic of)
Show AbstractElectron beam lithography is a patterning technique using an electron beam to pattern material (called a resist). It by-passes the conventional method of sputtering and lift-off and achieves nanoscale resolution. In this work spin-coatable IZO resist was prepared by chemically reacting zinc naphthenate with indium nitrate. The films were patterned with an electron beam writer and exhibited sensitivity and contrast of ~6.15mC cm-2 and 2.7, respectively. The electron beam exposed region became insoluble in toluene, thus yielding negative patterns. IZO films were characterized after annealing treatment with X-ray Diffraction (XRD), atomic force microscopy (AFM), Raman and UV-vis spectroscopy. We also present the effect of indium concentration on the transport properties of IZO nanostructures patterned by electron beam lithography.
9:00 PM - KK11.51
Fabrication of Magneto-optical Waveguide Integrated into Anodized Porous Alumina.
Kazuo Yayoi 1 , Kazuma Tobinaga 2 , Yuusuke Kaneko 2 , Jooyoung Kim 2 , Alexander Baryshev 2 , Mitsuteru Inoue 2
1 , Ibaraki National College of Technology, Hitachinaka Japan, 2 , Toyohashi University of Technology, Toyohashi Japan
Show Abstract Periodic structures comprising dielectric and magnetic elements at optical wavelength scale — so-called magnetophotonic crystals (MPCs) — are currently attractive due to their unique properties: photonic band structure for electromagnetic waves and possibility to control propagation of light by external magnetic fields. It is known that one-dimensional magnetophotonic crystals (1D MPCs) exhibit remarkable enhancement of linear and nonlinear magneto-optical (MO) effects. Two-dimensional MPCs are more efficient because they are shown to be useful for such applications as magnetic superprisms and optical micro-circuits in waveguiding regime (bandpass filters, isolators and circulators). We have recently demonstrated an approach for fabrication of anodized porous alumina with high-quality 2D hexagonal structure. These samples act as 2D photonic crystals (2D PC), moreover, they have thicknesses as that for waveguides supporting modes from visible and infrared spectral ranges. That is why anodized porous alumina can be used for designing 2D PC with line defects, Y splitters, bending lines, and others. Note that magneto-optical properties of 2D PC-based (or MPC-based) waveguides have not yet been experimentally demonstrated. Our work is devoted to fabrication of MO waveguides integrated into anodized porous alumina. Yttrium iron garnet (YIG) was chosen as an MO material to be embedded into anodized porous alumina; the fabrication process was as follows. YIG waveguides with widths of 0.5—2µm and thicknesses of 0.5—1µm were fabricated on Si wafers using electron beam lithography and RF magnetron sputtering. Al film with thickness of 1 µm was sputtered on top of Si wafer/YIG waveguide. A Ni stamper with 2D hexagonal array of small convexes was pressed on an Al film by a press. The pressure of 2800 kg/cm2 transferred the profile of the Ni stamper to the Al surface. Anodization was conducted with a constant applied voltage of 160 V in phosphoric acid solution at 0 °C. Finally, we treated the surface of the fabricated samples using ion milling.
9:00 PM - KK11.52
Direct Fabrication of an 80-nm Integrated Fe2.5Mn0.5O4 (FMO) Nanocrystal Arrays in Large Area Using a Hollow Nanopillar Metal Mask for High Temperature.
Nam-Goo Cha 1 , Teruo Kanki 1 , Hidekazu Tanaka 1
1 Institute of Scientific and Industrial Research, Osaka University, Osaka Japan
Show AbstractThe transition metal oxides (TMOs) exhibit the attractive physical properties with stability. The reliable, large-area and low-cost nano-processing of the TMOs will be essential for successful commercial products. However, nanofabrication methods based on research purpose is too slow and small area of patterns are very difficult to analysis using conventional analyzers.Recently, nanoimprint lithography (NIL) is introduced as a new lineup for nanofabrication. Great merits of NIL are conceptually simple and remarkable effective. Important issues in NIL technology are still that the pattern resolution is limited by molds and difficult to apply high-temperature directly for high quality epitaxial growth due to its polymer based nanostructures. For high-temperature epitaxial growth, porous alumina films formed by anodic oxidation have been widely used. It has a high density nanopore structure but lack of long-range order, which is a barrier for practical applications. To obtain periodic patterns, they also adopt NIL technique for prepositioning method. To overcome these problems, we developed a unique process to make the high-aspect-ratio (AR) Mo metal hollow nanopillar arrays by using a combination of nanoimprint, sidewall deposition and ultrasonic cutting (nSU). The nSU method with Mo was investigated step by step to examine validity. First, bilayer resist patterns were fabricated by UV-NIL process on sapphire substrate. After applying two step RIE process with O2 and CF4, Mo was carefully deposited on a pattered bilayer resist substrate by sputter. Applying ultrasonic to Mo coated structures, only top-layers were cut out and bottom layers were dissolved in acetone. By using nSU method with a 250 nm square pattern mold, we fabricated a hollow nanopillar Mo mask with high-AR (>5) and 90 nm window. The FMO nanocrystal (80 nm) arrays in large area were deposited through the Mo nanopillar mask by pulsed laser deposition (PLD) at 350 oC. The fabricated high-AR hollow Mo nanopillar mask showed good contact with substrate during deposition and easily removed by H2O2 for 1 minute. The nSU method combing with various kinds of functional materials, it could be applied for potential high-density data storage applications.
9:00 PM - KK11.55
Synthesis of Composite Nanoparticles by Self-organization in Solution.
Edward Van Keuren 1 , Maki Nishida 1
1 Physics, Georgetown University, Washington , District of Columbia, United States
Show AbstractNanoparticle dispersions with narrow size distributions can be prepared using arrested precipitation methods, often known as reprecipitation or solvent shifting. These methods have been successful at creating nanoparticles of single materials with sizes in the range of tens to hundreds of nanometers. Here we demonstrate that more complex multicomponent nanomaterials can also be prepared by inducing components to co-crystallize or co-aggregate into nanoparticles. In order to get multiple components to assemble at single nucleation sites, the solubilities of the components in both solvents as well as their interactions with each other need to be understood and controlled. Besides the nature of the molecular components themselves, these interactions are affected by concentration, temperature, pH, solvent polarity and viscosity, etc. We present the synthesis of nanocrystals of the charge transfer complex perylene:TCNQ (tetracyano-p-quinodimethane). The increase of the charge transfer band in the near infrared and changes in the particle size from dynamic light scattering are used to determine the kinetics of the nucleation and growth of the nanocrystals. We also use cryo-SEM to study particle morphology as a function of the preparation conditions.
9:00 PM - KK11.56
Multiscale Transport Property of Nanoporous Materials.
Sangil Hyun 1
1 Nanotech Convergence Team, KICET, Seoul Korea (the Republic of)
Show AbstractA cellular material based on kagome lattice was proposed as an ideal candidate for multifunctional material achieving various optimal properties in many length scales. The superior performances on mechanical, thermal, electrical, and fluidic properties have been explored in analytic, numerical, and experimental studies. And numerous manufacturing techniques are being developed for macroscopic applications such as structural materials. Since the cellular materials have wide range of potential applications in microscopic devices, characterization in small length scale gains more attentions recently. For this assessment, the atomistic approach as well as continuum approach becomes crucial to characterize its performance in multi scales. One of the key multifunctional characteristics of the kagome-based microstructures would be high fluidic performance, which is another great advantage of the ultra light cellular materials. Some studies investigated macroscopic transport properties, but none has been done to address the scale-dependent transport for the application in microscopic fluidic devices. In this study, we investigated complex flow patterns and transport properties of regular and random porous structures in macroscopic and microscopic scales. To address the geometry-dependent transport properties, a hierarchical multiscale scheme was employed, consisting of non-equilibrium molecular dynamics in microscopic scale and finite element method in macroscopic scale. We studied the effect of microstructures on the pressure driven flow of a Lennard-Jones (LJ) fluid in various types of nanochannel. Regular lattice shapes of polygons including kagome and also random porous structures were used for the channel geometry. The transport characteristics of the various cellular materials were investigated by evaluating pressure drops in terms of geometry and different length scales.
9:00 PM - KK11.58
Phase Controllable Transfer Printing of Patterned Polyelectrolyte Multilayers.
Je Seob Park 1 , Pil Yoo 2 1
1 SKKU Advanced Insitute of Nanotechnology, Sungkyunkwan University, Suwon, Gyunggi-Do, Korea (the Republic of), 2 Chemical Engineering, Sungkyunkwan University, Suwon, Gyunggi-Do, Korea (the Republic of)
Show AbstractLayer-by-layer (LbL) self-assembly is based on the sequential adsorption of polyelectrolytes containing complementary charged groups from aqueous solutions to form ionically complexed thin films. A wide variety of charged species, including biomolecules, carbon nanotubes or inorganic nanoparticles, can be incorporated into highly tuned, functionalized thin films with fine control over the film composition, structure, and chemical activity. While many studies on LbL applications have focused on developing film functionality on a bulk scale, it is essential to obtain spatial controllability on substrates for commercially accessible devices. The multilayer transfer printing (MTP) method has been proposed to meet the requirement of good pattern selectivity as well as economically viable process. With this technique, the assembled multilayer film can be transferred directly from a stamp to the targeted substrates. The process is robust and feasible using an elastomeric stamp made of polydimethylsiloxane (PDMS).In this study, we show that various types of transferred patterns of positively embossed, negatively engraved, and edge-defined shapes can be produced using modified MTP process. Quantitative analysis reveals that the magnitude of the capillarity of the plasticized polymeric film to be responsible for the different phases of the patterns. Positively transferred and embossed patterns are generated when a relatively thin polyelectrolyte multilayer film assembled on a patterned PDMS stamp was placed onto a substrate with no external pressure due to the lack of capillary motion. However, when a thick multilayer film is brought into contact with the substrate under an external pressure, polymeric capillarity is working vigorously resulting in negatively engraved patterns. Intriguingly, under intermediate conditions, partial wetting of the film around the wall of the PDMS mold patterns occurs as a result of the restricted capillarity, producing edge-defined patterns on the substrate surface. The governing role of the work of adhesion at the interfaces in the MTP process is also investigated to determine the conditions needed for successful pattern transfer. The superior hydrophilicity of the polyelectrolytes appears to make it enable the transfer of a polyelectrolyte multilayer in a reproducible manner. This reliable transfer is attributed to the increased work of adhesion at the interface between the polymer and target substrate compared with that between the polymer and the PDMS stamp surface. It is anticipated that the ability to tailor the phases of transferred patterns can be potentially applicable to a broad range of applications, such as electrooptics, membrane devices and microfluidic devices. Furthermore, the straightforward processing scheme and easy scale-up ability for patterning may allow its use in industrial processing.
9:00 PM - KK11.6
Fabrication and Characterization of EBL Patterned Magnetic Nanowire Arrays.
Jin-Hee Lim 1 , John Wiley 1
1 Department of Chemistry and Advanced Materials Research Institute, University of New Orleans, New Orleans, Louisiana, United States
Show AbstractE-beam lithography (EBL) can easily produce designed nanostructures on a substrate for applications such as microelectronics, optoelectronics, and sensor devices; anodic aluminum oxide (AAO) templates can be routinely used for the fabrication of nanowires. We have used e-beam lithography to pre-pattern AAO for the production of metal nanowire arrays in specific patterns. In this presentation, we will describe the synthesis of patterned metal nanowire arrays through the combination of EBL and template-assisted electrodeposition. A series of magnetic nanowire arrays will be presented and the variation of magnetic response (hysteresis) will be discussed for different patterns, including those where inter-wire distances between single nanowires or nanowire bundles are effectively controlled.
9:00 PM - KK11.60
Pattern Formation of Highly Ordered Au Nanorods by Kinetically Driven Self Assembly.
Kyoungweon Park 1 , Dhriti Nepal 1 , Hilmar Koerner 1 , Richard Vaia 1
1 , Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States
Show AbstractVarious functional properties of nanoparticles,such as plasmon or spin coupling, can be created through the formation of ordered assemblies. In the case of Au nanorods (NRs), disordered, nematic and smectic like arrangements have been predicted to create specific field gradients resulting from the directionally-dependent plasmon interactions. One simple, robust and scalable method to order NRs is drop-drying which can be directed by surface energy patterns and has a strong preference for forming films consisting of monolayer assemblies. We discuss the impact of NR size, shape and interface modification on the macroscopic formation of patterns of cellular networks, rings and fingering structures via controlled evaporation. The degree of nanoscale ordering can be manipulated by modifying the interaction between particles through various capping ligands and solvent selection. Thus, the final optical characteristics of these films are processing-parameter dependent. The potential to use these structures for optical devices and sensors will be discussed.
9:00 PM - KK11.63
Hydrogel-Actuated High Aspect Ratio Polymer Nanostructures for Reversible Pattern Generation.
Lauren Zarzar 2 , Philseok Kim 1 3 , Xuanhe Zhao 1 , Joanna Aizenberg 1 2 3
2 Department of Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 1 School of Enginering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 3 Wyss Institute for Biologically Inspired Engineering, Harvard University, Cambridge, Massachusetts, United States
Show AbstractSensitive and functional actuators on the nano and microscale are significant to the understanding and control of tissue engineering, cell-surface interactions and biofilm growth, and superhydrophobicity and wetting properties of surfaces. By using environmentally-responsive hydrogels that can swell due to changes in humidity, electrical impulse, temperature, chemical presence, or pH, new “smart” surfaces can be designed that adapt functionality in response to stimuli. Here we demonstrate the reversible and controllable actuation of arrays of isolated, high-aspect-ratio polymeric structures (AIPS) governed by the swelling of polyacrylamide hydrogel. By patterning the hydrogel, the direction of the actuation can be controlled to suit different applications; unidirectional actuation of AIPS allows for switchable hydrophobicity, while closing “florets” and “nanotraps” may be used for trapping and releasing particles. AIPS are made from lithographically defined and etched silicon post arrays by a two step replication process. Polydimethylsiloxane (PDMS) is used to create a negative mold of the silicon posts, and then the PDMS mold is filled with photocurable polymers, creating an array of soft polymer posts identical in shape to the original silicon. Patterning of the hydrogel on the AIPS is achieved by sandwiching the hydrogel beneath a confining surface during curing. The confining surfaces include flat substrate, polymer films patterned with a honeycomb shape, and polymer posts; further work will be done with other patterns. To induce preferential binding of the polyacrylamide hydrogel to the AIPS, the AIPS polymer precursor is chemically modified by mixing with ca. 10% bifunctional monomer that can form covalent bonds with both the polymer precursor and the hydrogel. The thickness and pattern of the hydrogel provides anisotropic forces on the AIPS which yields predictable and reversible patterns of actuation. Surface modification of the confining layer by plasma treatment generates a more hydrophilic confining layer that yields thicker hydrogel within the spaces of the confining surface, while a hydrophobic confining layer generates thicker hydrogel that pins to the edge of the patterns of the confining surface. By switching the hydrophobicity of the confining surface, the pattern of the hydrogel can be inverted which yields actuation in the opposite direction; for example, “closing” florets can be made with hydrophilic confining surfaces while “opening” florets are made with a hydrophobic confining surface. The use of different confining surfaces to induce more variable actuation patterns over different size scales of AIPS, as well as application of such surfaces, is currently being pursued. Additionally, by varying the type of hydrogel used, the swelling stimulus is altered; for example, actuating surfaces can be designed to respond to temperature change by switching to an N-isopropylacrylamide based hydrogel.
9:00 PM - KK11.64
Investigating the Gas-phase Synthesis of Non-agglomerated and Aggregated Silica Nanoparticles.
Ali Abdali 1 , Christof Schulz 1 2 , Hartmut Wiggers 1 2 , Beril Moritz 1 , Anoop Gupta 1
1 IVG, Institute for Combustion and Gasdynamics, University of Duisburg-Essen, Duisburg Germany, 2 Cenide, Center for Nanointegration Duisburg-Essen, University of Duisburg-Essen, Duisburg Germany
Show AbstractThe exact knowledge of the kinetics of precursor decomposition, particle formation, and particle growth is required for the synthesis of nanoparticles with a highly defined particle size distribution and morphology. Tetraethoxysilane (TEOS) as a halide-free and inexpensive precursor material is subject to growing interest for particle formation from the gas-phase. A microwave-induced plasma reactor with a subsequent hot-wall furnace has been constructed for gas-phase synthesis and detailed study of the growth behavior of silica nanoparticles. The microwave-induced plasma heats the injected gas mixture, which constitutes gaseous TEOS, O2, and Ar, within a few microseconds to high temperatures. This initiates the precursor decomposition and the chemical reactions followed by particle formation. Behind the plasma typically fast cooling is observed. To achieve longer and variable residence times at high temperature we combine the plasma reactor with a hot-wall furnace. This allows studying the kinetics of further particle growth such as coalescence, agglomeration as well as sintering of particle agglomerates. Particle size, shape, and morphology have been characterized by transmission electron microscopy (TEM). For TEM measurements, the samples were collected through thermophoretic deposition of nanoparticles inside the reaction chamber. TEM analysis reveals that in all cases the particles sampled from the reaction chamber are non-agglomerated, spherical in shape and have a wide size distribution. The size of the primary silica nanoparticles was modified by varying the process parameters, such as TEOS concentration, reactor pressure, residence time, and furnace temperature revealing single silica nanoparticles sizing between 8 and 35 nm. While thermophoretic sampling from the reactor always shows non-agglomerated particles, powders sampled from a filter device mounted directly behind the TEM sampling point always showed highly agglomerated powders with strong sinter necks. Nevertheless, the size distribution of the primary silica nanoparticles that form the large aggregates on the filter followed the same log-normal size distribution function as the non-agglomerated particles sampled from the reactor. We propose that silica nanoparticles that touch each other will sinter together in the humid atmosphere due to silanol and silicic acid groups on their surface. In this case, temperature and humidity play an important role in the formation of silica aggregates. The degree of agglomeration depends strongly on temperature and precursor concentration. The maximum degree of agglomeration was observed at 400°C in tests where the furnace temperature was varied from 200°C to 800°C. As a result, post-processing of silica nanomaterials enables the formation of 3D silica networks with sponge-like structures consisting of nanoparticles with a specific structure size that can be tuned by the synthesis conditions in the gas phase.
9:00 PM - KK11.65
Selective Direct Deposition of Carbon Nanotubes using Electrostatic Forces.
Mayur Kumbhani 1 2 , Joey Mead 1 2 , Carol Barry 1 2
1 Plastics Engineering, UMass Lowell, Lowell, Massachusetts, United States, 2 Center for High-rate nanomanufacturing, UMass Lowell, Lowell, Massachusetts, United States
Show AbstractResearch shows that carbon nanotubes can be used as a next generation material for many industries. Majority of the research work is focused to create nano size feature used for MEMS (Microelectromechancial system) or semi conductor application. Template assist assembly, layer by layer assembly, etc. are some of the most explored techniques. Inspite of their advantages, slower rate of manufacturing make it hard to implement in the industry. Direct assembly of nanotubes using electrostatic principles can be used to fabricate connectors and other features. In this research attempt is made to produce well align geometries of carbon nanotubes using high voltage DC electric field. Compare to other assembly technique this technique can produce feature at a higher rate, also it is solvent free.
9:00 PM - KK11.66
Doubly Responsive Tunable Full Color Block Copolymer Photonic Gels.
Ho Sun Lim 1 , Joseph Walish 1 , Edwin Thomas 1
1 Department of Materials Science and Engineering, Institute of Soldier Nanotechnology, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractBlock copolymers have provided a practical tool to use as platforms for creating various 1D, 2D and 3D photonic crystals due to their self-assembly into long range ordered microstructures. In particular, their periodic dielectric structures prohibit the propagation of electromagnetic waves, producing strong reflective colors. In this study, we present a facile fabrication of tunable 1D photonic lamellar gel which responds to dual-stimuli such as pH control and UV light irradiation. These responses result from the infiltration of photoreductive metal ions into the lamellar microdomains self-assembled from the pH-responsive polyelectrolyte block copolymer. The cross-link density of the lamellar microdomains can be controlled with the dosage of UV light, inducing the photochemical reduction of metal ions from trivalent forms to di-, monovalent or neutral states. Therefore, the selective swelling of the block copolymer lamellar structure leads to extremely large tunability of the photonic stop band from ultraviolet to infrared wavelengths upon variation of pH and exposure to UV light, i.e., showing full color tunability. This finding may offer a route to produce inexpensive, robust, low-energy and high-contrast displays.
9:00 PM - KK11.67
Precisely Controlled Nanotextured Surfaces.
Mingliang Jin 1 , Lingling Shui 1 , Albert van den Berg 1 , Edwin Carlen 1
1 , MESA+, Enschede Netherlands
Show AbstractWe present a novel technique for creating large area nanotextured surfaces consisting of densely packed nanoscale geometries with atomically precise sizes and spacing. The new nanotextured surfaces are ideally suited for a variety of applications including surface enhanced Raman scattering (SERS) [1] and super-hydrophobic surfaces (SHS) [2] as the lateral and vertical dimensions can be arbitrarilty scaled down to ~100 nm. The nanotextured surfaces are realized using electron beam lithography, however any sub-micron patterning method can be used, wet anisotropic silicon etching, and thermal oxidization. The spontaneous formation of precise nanotextured surfaces is driven by the anisotropic etch rate of silicon where the etch rate of the (111) planes is at least 100-fold smaller the (100) planes. For Si(100) substrates, nanoscale tetrahedron and triangular grooves can be arbitrarily formed. For Si(110) substrates rectangular pits and grooves are possible. Following the surface formation, a thin layers are added for specific applications; metals for SERS and hydrophobic coatings for SHS. The nanotextured surfaces have been dimensionally characterized with atomic force microscopy using an ultra sharp tips (2 nm radius) and high-resolution scanning electron microscopy.It is well known that a rough surface structure can enhance certain surface properties, such as surface hydrophobicity as demonstratred in nature by the wax crystal on the lotus leaf consisting of a 2-fold roughness [2], and Raman scattering through the excitation of localized surface plasmons of noble metal surfaces [3]. The advantage of the nanotextured surfaces presented in this work is that a high density of nanostructures with nanometer sizes and spaces can be controlled with ultra precision. The latest fabrication details and optical measurements will be discussed.References1.M. Jin, D. Wijnperle, V.V. Pully, C. Otto, A. van den Berg and E.T. Carlen, Materials Research Society (MRS2009), Spring Meeting, San Francisco, CA, U.S.A, 2009.2. W. Barthlott, C. Neinhuis, Planta 1997, 202, (1), 1.3. D. L. Jeanmaire, R. P. Van Duyne, J. Electroanal. Chem. 1977, 84, 1.
9:00 PM - KK11.68
Classification of Simulated Surface Morphologies Induced by Ion Irradiation using Combined TRIM and Kinetic Monte-Carlo Calculations.
Bartosz Liedke 1 , Karl-Heinz Heinig 1 , Stefan Facsko 1 , Wolfhard Moeller 1
1 , Forschungszentrum Dresden - Rossendorf, Dresden Germany
Show AbstractAtomistic understanding of surface morphology evolution induced by ion beam sputtering is still strongly limited. Available continuum models cannot explain microscopic processes during ion beam irradiation. Also atomistic simulation cannot describe pattern dynamics in the spatiotemporal scales of experiments.Therefore, we develop a novel program package which unifies the collision cascade with kinetic Monte-Carlo simulations. The 3D atom relocations were calculated in the Binary Collision Approximation (BCA), whereas the thermally activated relaxation of energetic atomic configurations as well as diffusive processes were simulated by a very efficient bit-coded kinetic 3D Monte Carlo code.Low energy (up to 5 keV) ion sputtering simulations have been performed on simulation cell of about 17 million atoms, where irradiation fluence goes up to few 10^18 cm^-2. The pattern topography has been study by means of various intensive parameters like incidence angle, ion beam energy, ion fluence, and migration energy of surface defects. Moreover, scaling behaviour of surface roughness and pattern periodicity has been analysed.Finally, we compare our results with experiments as well as with continuum theory.
9:00 PM - KK11.69
Self-assembled Mercaptobenzimidazole Monolayers on Au(111).
Liang Yueh Ou Yang 1 , Tomas Moffat 1
1 Materials Science and Engineering Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractSelf-assembly provides a rapid and easy way to modify electrodes in order to produce organic films with tailored properties. Such monolayer films serve as model systems for fundamental investigations ranging from the study of supermolecular ordering to the examination of long distance electron transfer. In addition, self assembled monolayer films are being examined as vehicles for pattern transfer down to molecular dimensions, a topic central to a range of evolving nanotechnologies. In the present work the self assembly of a class of aromatic mercaptobenizimidazole molecules on Au(111) under well defined electrochemical conditions will be described. Specifically the formation of 2-mercaptobenzimidazole (MBI) and 2-mercaptobenizimidazole-5-sulfonate (MBIS) monolayer films on Au(111) and their respective potential driven phase transitions will be outlined. The influence of MBI and MBIS monolayer films both underpotential and bulk deposition of Cu and Pb will also be detailed.
9:00 PM - KK11.7
Structural and Electrical Properties of Hafnium Silicide Nanocrystals.
Giovanni Fiorentini 1 , Marina Leite 1 , Vinicius Pimentel 1 , Luciano Montoro 1 , Antonio Ramirez 1 , Gilberto Medeiros-Ribeiro 1
1 , Brazilian Synchrotron Light Source Laboratory, Campinas Brazil
Show AbstractBecause of its large relative permittivity (high-κ), hafnium dioxide has been used to increase the effective permittivity of gate insulator in Metal Oxide Semiconductor Field Effect Transistors (MOSFETs), which can allow the continuous miniaturization of semiconductor devices. However, in order to effectively incorporate hafnium dioxide into the current SiO2 technology, a complete understanding of the HfO2 system is required from structural, chemical and electronic standpoints. In contact with Si or SiO2 layers, one has a ternary compound that might include metallic phases, most specifically silicides. Previously, HfSix islands were investigated by several techniques, such as atomic force microscopy, low energy electron diffraction (LEED) and x-ray photoemission spectroscopy (XPS) [1], and an ordered surface was suggested. However, a clear identification of the crystalline structure is still lacking. Hafnium silicide (HfSix) islanding occurs spontaneously by Volmer-Weber growth mode, when small amounts of metallic hafnium are epitaxially deposited on Si(001) clean surfaces at room temperature, 1.4 ML/min deposition rate, and subsequently annealed at 750 oC. Different Hf coverages were investigated by atomic resolution Scanning Tunneling Microscopy (STM) measurements, in an ultra-high vacuum environment (4.0 x 10-11 Torr) in order to verify distinct stages of island formation [1]. Small islands occurred for 0.26 ML of deposited Hf, coalescing into flat top islands for longer deposition times. By increasing Hf coverage, nanocrystal evolution proceeds through coalescence and growth processes, leading to hafnium disilicide (HfSi2) islands with lateral size larger than 25 nm, with flat top (061) rearranged facets parallel to the Si(001) surface. This structure was characterized as a C49 crystalline phase by nano-beam electron diffraction measurements, combined with simulated diffraction patterns. The zone axis, perpendicular to the substrate surface, was found to be the [013] direction of the silicide, corroborating previous STM results about the structure. Scanning Tunneling Spectroscopy (STS) was carried out over islands of different sizes, which correspond to different growth stages. An excess current was observed through the islands, indicating a higher density of states. IV curves showed a finite conductance at 0V for islands with 4 nm in lateral size, demonstrating their metallic nature. These results uncover the electronic properties of small HfSix nanocrystals, which can severely impact the performance of semiconductor devices. [1] A. de Siervo et al., Phys. Rev. B 74, 075319 (2006), [2] G. Fiorentini et al., Appl. Phys. Lett. 93, 013107 (2008).
9:00 PM - KK11.70
Templated Silicon Oxycarbide Thin Films with Controlled Porosity.
Luca Malfatti 1 , Cristina Fernandez-Martin 1 , Christel Gervais 1 , Cedric Boissiere 1 , Florence Babonneau 1
1 Laboratoire de Chimie de la Matière Condensée de Paris, Université Pierre et Marie Curie-Paris6 and CNRS, Paris France
Show AbstractTemplated mesoporous organosilica thin films (PMO-films) have been prepared by evaporation induced self-assembly using amphiphilic structuring agents bis(silylated) precursor such as (EtO)3Si-CH2-CH2-Si(OEt)3. The presence of Si-O and Si-C bonds in the framework could allow obtaining true silicon oxycarbide (SiCO) films in which C is inserted into the silica network, causing a strengthening of the structure. Mesoporous PMO-films with hexagonal arrangment of cylindrical pores have been thermally treated up to 1000°C under inert atmosphere. Analysis of film structures after pyrolysis performed using XRD and TEM confirmed the preservation of the ordered structure. The porous volume and pore size distribution of these thin films were investigated using the environmental ellipsometric porosimetry (EEP), based on the water adsorption-desorption isotherms. Relatively narrow pore size distribution around 3 nm was found. X-ray photoelectron spectroscopy (XPS) revealed the presence of Si-C bonds, indicating that SiCO phase was formed. In addition, evolution of film refractive index and thickness as a function of temperature were also studied.The authors would like to acknowledge support by the European Commission, through MRTN-CT-019601 grant.
9:00 PM - KK11.71
Directly Patterned Nanoscale Cu and CuO by Selective Electroless Plating on a Novel Organo-Copper Seed Layer and Its Catalytic Behavior From Bulk to Nanoscale.
Danielle Rhen 1 2 3 , Nadiia Kulyk 5 6 , Muhammad Shahid 1 2 4 , Chan-Hwa Chung 5 6 , Dae Joon Kang 1 2 4
1 BK21 Physics Research Division, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 2 Department of Energy Science, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 3 Center of Nanotubes and Nanostructured Composites, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 5 School of Chemical Engineering, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 6 Advanced Materials and Process Research Center for IT, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 4 SKKU Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of)
Show AbstractElectroless plating (ELP) is a widely known and promising technique for deposition of copper on nonconductive substrates. It enables metal deposition for circuitry of complex geometry over a large area, unlike electrodeposition. It is also an inexpensive method easily applied in industry. ELP on non-conductive substrates requires a so-called catalytic seed layer to induce the reduction of metal precursor from the solution. Pd is usually used but it is costly and its deposition is not environmentally friendly. As industry looks to miniaturize circuitry, the ability to achieve highly conductive pure nanoscale Cu and metal oxide lines and patterns over large area is an active area of research.We introduce a novel technique for seed layer formation via a simple spin coatable metal-organic precursor, which can be reduced to metallic copper and acts as a seed layer for the subsequent copper ELP process. We found that this precursor could be directly patterned by electron beam and soft lithography techniques on various substrates, including SiO2, glass and flexible transparent polyethylene terephthalate. In both cases nanoscale (100-300 nm wide) ELP patterns were realized by selective anisotropic deposition onto the patterns with growth rates of ~35nm per minute. Nano-contact printing (NCP) yielded continuous narrow Cu lines over a 1cmx2cm area. Not only did we find that the Cu seed layer catalysis of copper from the electrolyte is significantly different from that when Cu is deposited in film from the same seed layer, thus requiring change in electrolyte and deposition conditions, but we also found that catalysis on electron beam patterned and NCP patterned seed layers was also different. To understand the nature of Cu ELP as the patterns reduce to the nanoscale and its limitations, temperature and size dependent catalysis studies were carried out with two different electrolytes on film and patterned seed layers from our novel precursor. The calcination, reduction and their effects on successful Cu ELP were investigated with various techniques, including thermo-gravitational analysis, Fourier-transform infrared and X-ray photoelectron spectroscopies. Film and pattern crystal phase and morphology were confirmed by X-ray diffraction, scanning electron microscopy and atomic force microscopy. Conductivity (4-terminal) of the seed layer (film and patterns) and ELP deposited Cu films and nanolines was also measured. After ELP Cu conductivity improved orders of magnitude and was within 1 order of magnitude of bulk for films while nanolines were within ~3 orders of magnitude. By oxidizing the patterns on glass and SiO2 we found that high quality nanoscale CuO lines were obtained. This organo-Cu seed layer is a promising candidate for commercial use and the understanding of its ELP catalytic activity over the lengthscales makes it key in the general use of ELP metal deposition for nanoscale circuitry and the formation of nanoscale functional metal oxides.
9:00 PM - KK11.72
A Catchment Basin Self-avoiding Simulated Annealing Algorithm for Unbiased Global Optimization Search.
Minghai Li 1 , Xi Lin 1
1 Mechanical Engineering dept, Boston University, Brookline, Massachusetts, United States
Show AbstractWe develop a generic global minimization algorithm which can escape from catchment basins on full potential energy surfaces. The essential idea is to combine the simulated annealing with our recently developed history-penalized basin filling algorithm. With basin filling algorithm, we are able to obtain the saddle points of reaction paths without knowing the final state. Using this method, we have successfully identified the most energetically favorable configurations of all Lennard-Jones (LJ) clusters up to 60 atoms, including the most challenging 38-atom cluster which the conventional simulated annealing algorithm failed. In addition, we report for the first time the most energetically favorable configurations for the polymer chains consisting of up to 60 LJ monomers and their potential energy disconnectivity graphs.
9:00 PM - KK11.73
Crystal Ordering of Isotactic Polypropylene and Carbon Nanotube Composites under Shear Stress.
Robert Judith 1 , Georgi Georgiev 2 , Yaneil Cabrera 1 , Lauren Wielgus 1 , Peggy Cebe 1
1 Physics Department, Tufts University, Medford, Massachusetts, United States, 2 Department of Natural Science, Assumtion College, Worcester, Massachusetts, United States
Show AbstractIsotactic polypropylene (iPP) can form a smectic liquid crystalline phase when its melt is subjected to shear stress. To enhance the liquid crystallinity of iPP melt, we formed nanocomposites of iPP with multi-walled carbon nanotubes (MWCNT’s) which assist the polymer melt to align during shear stress. Nanocomposites were made from solution of iPP/MWCNT in hexane, at nanotube compositions of 0.01, 0.1, 1.0, 2.0, and 5.0%, MWCNT by weight, and dried to form a powder. The powder was then compression molded into a film. The iPP/MWCNT nanocomposite films were cooled from the melt in a Linkam shearing stage, and sheared at 160oC at 1 Hz for 10 seconds. Polarized optical microscopy shows that strong birefringence develops during the shear. The sheared film then crystallized during cooling to room temperature and subsequently was analyzed using differential scanning calorimetry (DSC), microscopic transmission ellipsometry, and wide angle X-ray scattering (WAXS). WAXS scans show formation of ordered crystals consistent with the monoclinic alpha phase of iPP. DSC scans show a shift in the crystal melting endotherm to higher temperature when comparing non-sheared iPP composites to the sheared iPP composites. The carbon nanotubes serve as nucleation agent for the iPP crystal growth during cooling, and cause the melting endotherm to increase in temperature in the DSC scans. Research supported by the National Science Foundation, Division of Materials Research, Polymers Program, through DMR-0602473.
9:00 PM - KK11.74
Clay Nanosheets as Template for the Synthesis of Highly Ordered Two-dimensional Organic-inorganic Hybrids Using the Langmuir Blodgett Approach.
Regis Gengler 1 , Luminita Toma 1 , Dimitrios Gournis 2 , Petra Rudolf 1
1 Zernike Institute for Advanced Materials, University of Groningen, Groningen Netherlands, 2 Material Science and Engineering, University of Ioannina, Ioannina Greece
Show AbstractMuch of the research effort concerning the nanoscopic properties of clays focuses on mechanical applications such as nano-fillers for polymer reinforcement. To broaden the horizon of what is possible exploiting the richness of clays in nanoscience, we developed a new bottom-up approach for the production of hybrid materials based on single and/or multi-layers of clay nanosheets as building blocks. Our method, based on combing self-assembly processes with the Langmuir Blodgett technique, uses the clay nanosheets as 2D template for reaction or grafting of a variety of guest species (in our case: C60, Ni8 molecular magnets, Prussian blue analogues) and allows for prefect layer-by-layer growth and control at the molecular level. We present a detailed study of this new approach with an emphasis on the crucial role of clays and illustrate the flexibility of our approach with T-dependant X-ray diffraction data, spectroscopic measurements, microscopy (AFM, STM), magnetic measurements of different new hybrid materials where clays act as structure directing interface and reaction media.
9:00 PM - KK11.75
Peptide-templated Calcium Molybdate Particles.
Hassan Borteh 1 , Kenneth Sandhage 2 4 , Ye Cai 2 , Derek Hansford 3
1 Biophysics, The Ohio State University, Columbus, Ohio, United States, 2 Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 4 Chemistry and Biochemistry, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 Biomedical Engineering, The Ohio State University, Columbus, Ohio, United States
Show AbstractCalcium molybdate (CaMoO4) belongs to a family of ceramic compound oxides with Scheelite crystal structure, which exhibit photoluminescence properties. Standard methods for producing CaMoO4, such as the Czochralski method, coprecipitation, combustion, and conventional solid-state reaction, rely on high temperature and large amounts of energy to produce crystalline material. Peptides that were determined to catalyze the production of calcium molybdate particles from a precursor solution have great potential for the patterning of particles for applications such as biosensing. Based on peptide sequences determined by Sandhage’s group for the precipitation of CaMoO4 from aqueous solution, we have patterned the catalysts for the controlled deposition of photoluminescent particles for biosensing applications. First, the peptide was patterned on a silicon dioxide substrate through conventional photolithography and biofunctionlization of the surface by a heterobifunctional polyethylene glycol (methoxysilane-PEG-COOH). Coupling chemistry at the carboxy-terminated end of the PEG was used to covalently attach the peptide in the designed pattern. The patterned substrate with the peptide was reacted by a precursor solution of calcium acetate and ammonium paramolybdate to deposit crystalline calcium molybdate particles. The crytallinity of the particles was confirmed by cross sectioning the particles with focused ion beam (FIB) and taking high resolution TEM (HRTEM) images and electron diffraction patterns. Photoluminescence spectra of the patterns were collected by confocal microscopy in different wavelengths. Furthermore, to study the affect of cells attachment in photoluminescence spectra of the samples osteoblast cells were cultured on the patterns for two days and photoluminescence spectra were collected from the samples with cell culture and the controls. The results show shifts in the spectra with respect to the controls with cells attached, presenting the opportunity for sensing applications.
9:00 PM - KK11.76
Angled Nano-tunnels With High Aspect Ratio were Fabricated on Poly(methyl methacrylate) Using Focused Ion Beam.
Eun Kyu Her 1 , Hee-Suk Chung 1 , Myoung-Woon Moon 2 , Kyu Hwan Oh 1
1 Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Convergence Technology Laboratory, Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractAngled nano-scale tunnels with high aspect ratio were fabricated on the Poly(methly methacrylate) (PMMA) using a focused ion beam (FIB). The fabrication parameters such as ion fluence, incidence angle, acceleration voltage of the Ga+ ion beam were first studied on PMMA surface for exploring the formation of the nano-scale configurations such as nano-holes and cones with diameter ranging 50 to 150 nm at the ion beam acceleration voltage of 5 ~ 20 kV. It was also found that PMMA surface exposed to FIB was changed into an amorphous graphitic structure. Angled nano-scale tunnels were fabricated with high aspect ratio of 700 ~ 1,500 nm in depth and 60 nm in mean diameter at an ion beam acceleration voltage of 5 kV and under a specific ion beam current. The angle of the nano-tunnels was found to follow the incident angle of the ion beam tilted from 0 degree to 85 degree, which has the potential for creating a mold for anisotropic adhesives by mimicking the hairs on a gecko’s feet.
9:00 PM - KK11.77
Structure of SDS Surfactant on a Metallic Surface with Different Orientations.
Edgar Rojas 1 , Hector Dominguez Castro 1
1 Reología y Mecánica de Materiales, Instituto de Investigaciones en Materiales, UNAM, Distrito Federal, Ciudad de México, Mexico
Show AbstractAim of this work is to study adsorption of a surfactant molecule in a metallic suface, because of the various areas of industry, technology or everyday life where this phenomenon is relevant. Therefore, studies of Molecular Dynamics of sodium dodecyl sulfate (SDS) molecules interacting in an interfase liquid/solid were carried out. Solid surfaces were (100), (001) and (110) faces of rutile. We studied these three orientations because of rutile is an anisotropic material due to its crystalline structure. In order to work with rutile We built surfaces of this material oriented with respect to planes studied previously. SDS molecule has been already studied interacting with hydrophobic surfaces, finding different shapes of structures formed by molecules adsorbed. Authors studied influence of salt concentration in solution.We studied influence of surface nature in aggregation and structure of aggregates of surfactant molecules. We calculated density profiles and radial distributions in order to know how much adsorbed were surfactant molecules and how were aggregate structures. We found structures in aggregate systems with both cylindrical shape, hemimicelles and admicelles.
9:00 PM - KK11.78
Mechanism of Self-ordering of Metal Nanoclusters During Gracing Vapour Deposition on Surfaces Pre-patterned by Ion Irradiation.
Satoshi Numazawa 1 , Karl-Heinz Heinig 1 , M. Ranjan 1 , Stefan Facsko 1
1 Inst. of ion beam physics and materials research, Research Center Dresden-Rossendorf, DRESDEN Germany
Show AbstractDuring low-angle metal vapour deposition perpendicular to ripples of pre-patterned surfaces, a chain-like alignment of the metal nanoclusters along the ripples has been observed. The metal nanoclusters are located on the slopes which point towards the evaporation source. The self-ordering of metal nanoclusters has not been observed for normal deposition and for low-angle deposition along the ripple direction. It depends strongly on temperature and the evaporation angle. The pre-patterned template has been produced by low-energy ion irradiation.Here, we study the mechanisms of silver nanocluster self-ordering on pre-patterned and oxidized Si surfaces by means of kinetic 3D Monte Carlo simulations. It will be shown that the tilted evaporation on patterned surfaces leads to a spatially varying deposition rate, which in turn results in a variation of the metal atom concentration on the surface. The nucleation is strongly dependent on the concentration, thus nanoclusters grow in high deposition-rate regions only. Additional, the self-shading effect of metal nanoclusters and the 2D Ostwald ripening will be discussed.
9:00 PM - KK11.79
Prediction of Surface Pattern Formation by Surface Defect Generation.
Satoshi Numazawa 1 , Karl-Heinz Heinig 1
1 Inst. of ion beam physics and materials research, Research Center Dresden-Rossendorf, DRESDEN Germany
Show AbstractThe Bradley-Harper model assumes that under ion irradiation surface pattern develop by a competition between surface curvature dependent ion erosion and surface curvature driven diffusion. By our contribution it will be shown that the curvature dependence in Sigmund’s sputter theory is not the only possible origin for surface pattern formation. For instance, very low energy ion irradiation does not create collision cascades which justifies the Bradley-Harper model, but produces rather some defects at the surface. Therefore, simple surface defect (adatoms, vacancies) production procedures are applied in combination with kinetic 3D Monte Carlo simulations of defect recombination and diffusion. Depending on the model parameters (ion incidence angle, temperature, defect creation,…) ripples perpendicular or parallel to the ion beam or even dots have been found.
9:00 PM - KK11.8
Hyperthermal Ion Induced Self-organization During the Growth of Carbon-transition Metal Films.
Gintautas Abrasonis 1 2 , Gyorgy Kovacs 1 , Thomas W. Oates 3 , Mark Tucker 2 , Frans Munnik 1 , Marcela M. Bilek 2 , Wolfhard Moeller 1
1 Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, Dresden Germany, 2 School of Physics, University of Sydney, Sydney, New South Wales, Australia, 3 Thin Film Physics Division, Department of Physics Chemistry and Biology, Linköpings Universitet, Linköping Sweden
Show AbstractSpatial self organization of metal nanoparticles and quasi-continuous multilayers in carbon-transition metal (TM=Co, Ni and Cu) composite thin films as a function of metal content, metal type and incoming flux energy is investigated. Films were grown by pulsed filtered cathodic vacuum arc (PFCVA) which produces a flux of film forming species in the form of ions with ‘natural’ hyperthermal energies in the range of ~20-50 eV. Since each arc pulse deposits less than one monolayer of ions, by rapidly alternating between cathodes it is possible to deposit compounds or nanocomposites. The metal content (nominal 10-50 at.%) was varied by changing the metal to carbon pulse ratio while the incoming beam energy was controlled by applying substrate bias of 0 V, -15 V and -30 V. The structure of the films was investigated by means of transmission electron microscopy and grazing incidence small angle x-ray scattering while the film composition was determined by elastic recoil detection analysis. The results demonstrate that vertical self-organization occurs in all type of films. At low metal contents the formation of un-correlated encapsulated nanoparticles occurs. An increase in the metal content results in the formation of a vertically separated layered nanoparticle structure with well pronounced diagonal metal nanoparticle correlations, while a further increase in the metal content results in the formation of quasi-continuous metal/carbon multilayers. The periodicity of the vertical structure strongly depends on the film composition and the incoming ion energy. The vertical ordering observed is broken down by thermal activation of the surface diffusivity by additional thermal heating during deposition. The results are discussed in terms of the interplay between stochastic thermal effects and ion induced ballistic effects.
9:00 PM - KK11.80
Force Handles for Alignment of Metallic Nanoparticles.
Tyler Ray 1 , Jennifer Snipes 1 , Catherine Murphy 2 , Thomas Crawford 3 , Sarah Baxter 1
1 Mechanical Engineering, University of South Carolina, Columbia, South Carolina, United States, 2 Chemistry, University of Illinois, Urbana, Illinois, United States, 3 Physics, University of South Carolina, Columbia, South Carolina, United States
Show AbstractPolymer nanocomposites offer extraordinary promise for new material systems with specifically designed properties. A key challenge limiting the ability to fully realize this promise is the necessity of generating a well-dispersed nanophase. Realizing desired ‘nanophase’ properties requires the ability to engineer, design, and fabricate hierarchical microstructures. In this work we demonstrate the use of electrostatic forces as ‘handles’ to manipulate metallic nanorods into designed microstructures. Previous studies have employed alternating AC fields to align and transport micrometer length gold nanorods via field-induced dipole torques, producing rotations, and gradient forces, which result in lateral translations. Here we use the fields generated within the electrodes of a micro-patterned capacitor to produce specific microstructural arrangements of chemically-synthesized gold nanorods with diameters of ~25 nm and lengths of ~ 440 nm. We have imaged their alignment both optically and via a pattern transfer, which occurs from curing a polymeric layer on top of the rods. The thin film is peeled off and imaged with contact mode atomic force microscopy (AFM). These methods offer the potential to yield both thin film polymer nanocomposite ‘ribbons’ of aligned rods, as well as circular domains of radially aligned rods, for incorporation with additional layers of polymer, each with its own specific, anisotropic, microstructure.
9:00 PM - KK11.81
Interactions Between Carbon Nanotubes and Liquid Crystals in a Binary Nematic Mixture in Twisted and Anti-parallel Liquid Crystal Electro-optic Cells.
Georgi Georgiev 1 2 , Yaniel Cabrera 2 , Erin Gombos 1 , Michael McIntyre 1 , Peggy Cebe 2
1 Natural Sicences, Assumption College, Worcester, Massachusetts, United States, 2 Physics and Astronomy Department , Tufts University, Medford, Massachusetts, United States
Show AbstractMultiwall Carbon Nanotubes (MWCNTs) form a liquid crystalline (LC) state in their lyotropic form, enabling their mixing with organic liquid crystals and coupling of their nematic directors. Manipulating carbon nanotubes is a very important task for nanotechnology, but currently there are very few ways for doing it efficiently. Making electro-optic cells by mixing them with organic liquid crystals shows a promise to allow alignment and orientation of the nanotubes using nematic coupling, surface anchoring, and electric and magnetic fields. This approach can be used to create electromechanical devices, for example a nanoswitch, and to position the carbon nanotubes in a particular 3D orientation. We chose 5CB liquid crystal because of its large dipole moment and birefringence, which are necessary for our method of study Microscopic Transmission Ellipsometry. We measured the altitudinal angle as a function of the applied electric field and observed a downshift in the transition voltage for the carbon nanotube doped electro-optic cells during the Freedericksz transition. We compare the results for twisted and anti-parallel liquid crystal cells, which show very similar values for the transition voltages. We observed an increase of the temperature of the nematic to isotropic transition as a function of the MWCNT concentration. Using light scattering we measured the average separation between the nanotubes clusters as a function of time and sonication. Carbon nanotubes tend to aggregate in their liquid crystal composite and to separate under sonication on a timescale of seconds. The effects contributing to the downshift in the transition voltage during Freedericksz transition are several. First is trapping of ionic charges by the CNTs reducing their screening effect. Other four important quantities are known to be lower as a result of introduction of CNTs: rotational viscosity, dielectric anisotropy, splay and bend elastic constants. CNTs reduce the threshold and driving voltage and the hysteresis of LC cells and improve their response time by 50%. MWCNTs are superior to SWCNTs for those effects. LCs induce dipole moment in the CNTs, which also experience torque in electric field. Research supported by: Assumption College Faculty Development Grant; Assumption College Summer Student Research Funds; the National Science Foundation, Division of Material Research, Polymers Program, through grant DMR-0602473 and by NASA grant (NAG8-1167).
9:00 PM - KK11.82
Capillary Force Lithography with Inpermeable Mold.
Hyunsik Yoon 2 , Myung-June Park 1
2 Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of), 1 Chemical Engineering, Ajou University, Suwon Korea (the Republic of)
Show AbstractWe present capillary force lithography (CFL) in which impermeable hard mold is used. The use of hard mold such as silicon wafer implies that the feature size can be reduced to any that can be made on the hard mold. Furthermore, the smaller the feature size is, the more favorable the condition for patterning becomes for CFL. The master pattern is an array of tapered cylinders with flat top. The bottom diameter is 200 nm, the top diameter is 50 nm, and the height is 800 nm. The patterning result obtained with Polystyrene (PS) and the Polyurethaneacrylate (PUA) mold made from the master showed that little capillary rise should have occurred because the diameter is not small enough for sufficient capillary pressure. However, some of the trapped air usually leaks out over a long period of time (18 hr) and thus some capillary rise did occur and the height of the patterning is 1.5 μm as opposed to the master pattern height of 10 μm. An impermeable and inflexible hard mold such as silicon mold can also be used for CFL provided the substrate is flexible. The mold used for the purpose is silicon wafer with a silicon nitride layer. The master pattern made in the nitride layer is a 30 nm line and 80 nm space pattern with a pattern depth of 120 nm. The substrate was flexible polyethylene terephthalate (PET) that was coated with PS. When the substrate is flexible, which is relevant to the patterning for flexible display, rigid molds such as silicon wafer can be used. Therefore, the smallest feature size that can be patterned in this case could reach sub-10 nm range although the result demonstrated here is only for a dense pattern with a space of 30 nm between lines. The strength of CFL lies in the fact that the natural driving force for the patterning, which is capillary pressure, increases with decreasing feature size.
9:00 PM - KK11.83
Direct Passivation of Hydride Terminated Silicon (100) Surfaces by Free Radically Tethered Polymer Brushes.
Kenneth Carter 1 , Isaac Moran 1
1 Polymer Science and Engineering, University of Massachusetts - Amherst, Amherst, Massachusetts, United States
Show AbstractA simple and effective means for passivating crystalline silicon is reported by the use of free radical polymerization (FRP) to directly graft polymer chains to a hydride terminated surface (Si-H). Complete surface coverage and passivation was achieved in approximately 24 hr at 60° C or 30 min at 90° C. Mechanistic studies determined that chain attachment followed a hydride transfer-based grafting-to mechanism. The grafting process is compatible with a variety of monomers and was used to assemble polymer brush layers (2 to 12 nm thick) with grafting densities ranging from 0.02 to 0.65 chains/nm2 rivaling densities typically obtained by grafting-from scenarios. This new passivation route provides a uniquely accessible means to covalently anchor dense polymer brushes to silicon surfaces without the need for functionalization of the polymer chain ends or the substrate.
9:00 PM - KK11.84
Sub-10-nm Half-pitch Electron-beam Lithography by Using PMMA As A Negative Resist.
Huigao Duan 1 2 , Karl Berggren 1 , Erqing Xie 2
1 EECS, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Lanzhou University, Lanzhou China
Show AbstractUltrahigh resolution electron-beam lithography (EBL) has promising applications on dense bit-patterned media, high-resolution and high-accuracy templated self-assembly, sub-10-nm nanoelectronic devices, and mask manufacturing for integrated circuits. Much progress has been made on ultrahigh resolution e-beam lithography in recent years, and 9-nm-pitch structures have been fabricated using HSQ resist by e-beam lithography. It is believed that the resolution can be further improved by reducing electron-beam spot size and optimizing the resists and development processes. HSQ is frequently used in ultrahigh resolution EBL experiments because on the one hand it has high contrast and good process compatibility; and on the other hand, it exhibits high resolution. Unfortunately, HSQ may be fundamentally limited to ~9-nm pitch. In addition, HSQ is not compatible with all processes or materials, exhibiting poor adhesion in some cases. So developing additional ultrahigh resolution electron resists is required. Herein, we report results of sub-10-nm-half-pitch (down to 6-nm half-pitch) nanostructures which we have fabricated using PMMA as a negative electron resist. We optimized the development process by using different developers, concentrations, temperatures, and times, and measured the resulting. Point-spread function was also measured. From these results, we believe that PMMA may ultimately match the resolution of HSQ, and thus could be used as a high-resolution mask layer for many applications. Furthermore, considering the fact that the exposed negative PMMA is carbonaceous, it might serve as a direct precursor to high-resolution-patterned carbon or graphitic nanostructures.
9:00 PM - KK11.85
Patterned Magnetic Recording Media - Topography and Magnetic Properties.
Chulmin Choi 1 , Daehoon Hong 2 , Young Oh 1 , Mariana Loya 1 , Li-Han Chen 1 , Sungho Jin 1
1 , University of California, San Diego, La Jolla, California, United States, 2 , Western Digital Corporation, San Jose, California, United States
Show AbstractNanopatterning of magnetic islands is important for scientific understanding of nanoscale magnets as well as for their practical applications for information storage. The continued success of the hard disk drive technology as compared to other storage technologies is mainly due to the continuing increase of recording capacity while keeping the price per bit low. For further enhanced recording density of 1 TB/in2 or higher, the bit patterned media (BPM) approach of using each magnetic island as a memory bit is highly desirable. A variety of patterning processes including self assembled nanostructure formation has been explored for such applications.[1-3] We have successfully fabricated 10 nm diameter Si island arrays with 10 nm spacing by advanced electron beam lithography utilizing hydrogen silsesquioxane (HSQ) negative resist and reactive ion etching (RIE). This nanopattern arrangement is equivalent to ~ 1.6 TB/in2 island density. A high-coercivity, [Co/Pd]8 multilayer magnet film was then sputter deposited on top of each 10 nm patterned Si nano pillar to form a magnetic bit.As the unavoidable deposition of magnetic material in the valley of the Si nanopillar structure as well as on the sidewall of Si nanopillars introduces undesirable magnetic noise and switching field variations, a further enhanced patterning technique has been designed and implemented so as to limit the metal deposition only onto the nanopillar top surface. We have recently developed a viable and convenient planarization technique to enable such roof-top-only placement of magnetic layer for bit patterned magnetic media [4]. We have also utilized large-area nanoimprint stamping for successful fabrication of 30 – 100 nm diameter island arrays uniformly over 0.5 x 0.5 cm areas. The surface topography and roughness of the planarized island media were analyzed by atomic force microscopy (AFM) and scanning electron microscopy (SEM). In addition, the magnetic properties were evaluated by high-resolution magnetic force microscopy (MFM) and superconducting quantum interference device (SQUID), before vs after filling and planarization process. The processing steps, structure and properties of patterned magnetic island media prepared by planarized vs non-planarized nanofabrication routes were compared and analyzed. Some implications of the nanopatterning approaches and reliability aspects on practical technical utility will also be discussed. [1] A. I. Gapin, X. R. Ye, J. F. Aubuchon, L. H. Chen, Y. J. Tang, and S. Jin, J. Appl. Phys. 99, 08G902 (2006).[2] Andrew I. Gapin, Xiang-Rong Ye, Li-Han Chen, Daehoon Hong, and Sungho Jin, IEEE Trans. Magn. 43(6), 2151 (2007).[3] D. Hong, C. Choi, Y. Oh, Y. Yoon, F. E. Talke, S. Jin, J. Appl. Phys. 2009 (in press).[4] R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo, P. F. Nealey, Science 321, 936 (2008).
9:00 PM - KK11.86
Nanoscale Patterning of Graphene via Nanoparticles and Nanowires on Substrates.
Teng Li 1 2 , Zhao Zhang 1
1 Department of Mechanical Engineering, University of Maryland, College Park, Maryland, United States, 2 Maryland NanoCenter, University of Maryland, College Park, Maryland, United States
Show AbstractThe discovery of graphene in 2004 sparked a surge of scientific and technological interest, The exceptional electronic and mechanical properties of graphene have inspired tantalizing applications of this unique material. Graphene is intrinsically non-flat and tends to be corrugated due to the instability of 2D crystals. The corrugation in freestanding graphene forms spontaneously, owing to thermal fluctuation, and therefore is random. The random corrugation of graphene can lead to unpredictable electronic properties, thus resulting in unstable performance of graphene devices. It is highly desirable to precisely control the morphology of graphene over large areas. Recent experiments show that, a graphene layer supported by an insulating substrate partially conforms to the substrate surface. In this talk, we report a modeling framework to explore the self-assembled patterning of graphene via the regulation of nanoparticles and nanowires on a substrate. The resulting patterns of the graphene can be determined quantitatively through energy minimization of the graphene/nanoparticle/nanowire/substrate material system. Parametric studies reveal the effect of nanoparticle/nanowire size and distribution, and the effect of interaction among graphene, nanoparticle/nanowire and substrate on the self-assembled graphene patterns. Studies through this modeling framework reveal a promising mechanism to achieve quantitative self-assembled patterning of graphene. Graphene with controlled patterns over large areas can potentially pave the way towards various graphene-based nanoelectronic devices.
9:00 PM - KK11.9
Measuring Dispersion Forces via the Ordering of Molecules on Surfaces.
Fabrizio Cleri 1
1 Institut d'Electronique Microelectronique et Nanotechnologie (IEMN), University of Lille I, Lille France
Show AbstractThe ubiquitous dispersion forces still escape both an accurate experimental determination and a robust theoretical formulation. As a case in point, we present a numerical study the formation of ordered structures of C60 molecules on the Si:B(111) surface by means of atomic-scale computer simulations. Molecular dynamics simulations with empirical forces were able to identify different order-order surface transitions for C60 molecules adsorbed at the Si:B(111) surface, undergoing two different reconstructions. Such transitions are driven by the subtle balance between competing dispersion forces. The results of the simulations explain several experimental observations of ordered 2D molecular phases, with the B atom in the S5 subsurface configuration or in the T4 adatom position. The latter seem to differ mainly because of an extra attraction, which can be weaker or stronger than VdW, possibly due to charge transfer between the Si-B bond and the C60. The appearance of order-order transitions is correlated with the change in occupancy for one or both of the possible surface adsorption sites, namely, the hollow site (amidst three adatoms) or the top site (right above one adatom). Changes in sublattice occupation imply a tradeoff between Van der Waals energies, because of the competition between the increase in C60 coordination and reduced C60-surface interaction. Such variations could be exploited to obtain a measure of the relative intensity of the dispersion forces. Further, a lattice Monte Carlo model allowed us to extract a general, power-law relationship between the relative intensity of Van der Waals energies and the critical order-order transition density, which could be used as a scale to deduce the experimental values of the dispersion forces.