Symposium Organizers
Leonid Tsybeskov New Jersey Institute of Technology
David J. Lockwood National Research Council
Christophe Delerue IEMN
Masakazu Ichikawa The University of Tokyo
Anthony W. van Buuren Lawrence Livermore National Laboratory
L1: Light Emission and Photonic Devices I
Session Chairs
Harry Atwater
David Lockwood
Monday PM, November 27, 2006
Room 207 (Hynes)
9:30 AM - **L1.1
Group IV Semiconductor Light Emitting Nanostructures: Which can be Bright? Which can Yield Gain?
Harry Atwater 1
1 Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractIn this talk, I will describe progress in light emission from both Si and non-Si Group IV semiconductor nanostructures and alloy materials with potential for visible and near-infrared imaging emission. Column IV elements can be combined to form alloys (e.g., SixSn1-x and GexSn1-x) with tunable infrared optical properties in the infrared, and have yielded the first known example of a direct bandgap Column IV semiconductor. Progress on GexSn1-x alloy growth and key requirements for light-emitting devices will be discussed. Plasmon-enhanced photoluminescence and electroluminescence has been observed from silicon quantum dot (QD) emitters interacting with the enhanced local field when in close proximity to noble metal nanostructures. This enhanced luminescence is attributed to an increase in quantum efficiency, radiative decay rate, and absorbance cross section. Moreover, the enhancement is a resonant process, and this frequency-specific interaction can be used to tune the spectral emission of the Si QDs.Metal-oxide semiconductor (MOS) structures containing Si nanocrystals that exhibit field effect electroluminescence have potential to enable electrically-pumped Si-based optical gain media based nc-Si:Er media. Design requirements for achieving net gain in electrically-pumped nc-Si:Er and recent experimental results will be discussed.
10:00 AM - L1.2
Experimental Measurement of the Dielectric Constant of Nanometer-size Silicon Structures
Han Yoo 1 , Rishi Krishnan 2 , Christopher Striemer 2 , Philippe Fauchet 2
1 Department of Physics and Astronomy, University of Rochester, Rochester, New York, United States, 2 Department of Electrical and Computer Engineering, University of Rochester, Rochester, New York, United States
Show AbstractDuring the design of devices using Si nanostructures, it is often important to precisely know the dielectric function ε, since it determines many of their electrical and optical properties. Several theoretical studies [1 – 5] have predicted a reduction in ε as the nanostructure size decreases. However different physical mechanisms have been proposed for the reduction. In one model, the decrease is due to quantum confinement effects [1 – 3], whereas a more recent model attributes it to a breaking of polarizable bonds—a surface effect [4, 5].
There have been only a few experimental works on the size dependence of ε [6, 7]. In these studies ε was measured only for one particular size and no confirmation of a particular theory was possible. In our work, we have measured the size-dependent ε of both silicon nanocrystals and thin crystalline slabs at different sizes using spectroscopic ellipsometry from 0.73 eV (1700 nm) to 4.58 eV (270 nm).
In one of the two types of samples we studied, alternating layers of a-Si and a-SiO2 were deposited on a Si substrate by RF magnetron reactive sputtering. The thickness of the a-SiO2 layer was kept fixed at 5 nm, whereas the a-Si layer thickness was varied from 1 nm to 15 nm. Rapid thermal annealing initiated crystallization of the a-Si layers and furnace annealing completed it, creating a dense array of nc-Si [8]. In the second type, the thickness of the top Si layer of SOI wafers was first reduced to ~ 13 nm by a wet thermal oxidation process and the oxidized Si layer was removed by HF etching. The Si layer was then thinned down by repeated oxidation and etching to produce nano-sized Si slabs of different thicknesses. Ellipsometry and surface profile measurements were performed between each etching step.
We focused on ε in the transparent region. At 1.7 µm wavelength where the bulk ε ~ 11.7, we found that ε was reduced by ~ 40% (from 11.6 to 7.0) in the case of dense arrays of nc-Si with 2.6 nm diameters, whereas it was reduced by ~ 36% (from 11.7 to 7.5) for a 2.4 nm thick Si slab. For the nano-sized slabs, the decrease in ε with size was gradual and could be said to follow the model presented in reference [1]. For the dense arrays of nc-Si, the decrease was initially slower until around a size of 2.7 nm, when the decrease became much more rapid, which might be explained by a recent model [5]. Our results represent the first systematic measurement of the dielectric function of Si nanostructures as a function of size and represent the first test of theory.
[1] L, Wang and A. Zunger, Phys. Rev. Lett. 73, 1039 (1994); [2] M. Lannoo, et. al., Phys. Rev. Lett. 74, 3415 (1995); [3] R. Tsu, et. al., J. Appl. Phys. 82, 1327 (1997); [4] C. Delerue, et. al., Phys. Rev. B 68, 115411 (2003); [5] C. Delerue and G. Allan, Appl. Phys. Lett. 88, 173117 (2006); [6] C. Ng, et. al., Appl. Phys. Lett. 88, 063103 (2006); [7] K. Lee, et. al., Thin Solid Films 476, 196 (2005); [8] G. Grom, et. al., Nature 407, 358 (2000)
10:15 AM - L1.3
Effect of Surface Termination on Electronic Structure of Silicon Nanoparticles.
April Montoya Vaverka 1 2 , Robert Meulenburg 2 , Trevor Willey 2 , Subhash Risbud 1 , Louis Terminello 2 , Anthony van Buuren 2 3
1 Chemical Engineering and Materials Science, University of California, Davis, Davis, California, United States, 2 Chemistry and Materials Science, Lawrence Livermore National Laboratory, Livermore, California, United States, 3 , University of California, Merced, Merced, California, United States
Show Abstract10:30 AM - **L1.4
Quasi-Direct Transition due to Proximity Effects in GaSb-Si Anti-Electron Type-II Quantum Dots.
Susumu Fukatsu 1 2
1 Graduate School of Arts and Sciences, University of Tokyo, Meguro, Tokyo, Japan, 2 PRESTO, Japan Science and Technology Agency, Saitama Japan
Show Abstract To create an efficient Si-based light emitter is essential to the realization of Si-photonics and has been challenged over decades. To this end, many approaches have been proposed and extensively studied: these include π-Si and Si-nanocrystals emitting in the visible, and column-IV-based nanostructures such as Ge quantum dots and short-period Si/Ge superlattices emitting in the near-infrared. However, there has appeared no successful demonstration of either efficient light emission or gain due to interband transitions over the technologically important wavelengths, 1.1-1.7µm, where Si is not strongly absorbing. The conventional wisdom to tailor the dispersion of the otherwise indirect-gap Si relies solely on the principle of the zone-folding that takes advantage of an artificial periodicity due to short-period superlattices. This has, however, turned out to be elusive for practical but technical reasons: three-dimensional superlattices are hard to achieve, and even worse an inborn tendency of spontaneous disordering due to interface mixing disrupts the superlattice coherence. Recently, we have come up with a new concept of band-gap-type conversion utilizing proximity coupling of electronic wavefuctions, as opposed to standard band-gap engineering. The idea is to utilize quantum mechanical tunneling of electron wave function of indirect Si into the forbidden gap of direct-gap QDs standing as an anti-electron barrier. This takes effect once electrons are localized sharply at the interface. The electron trapping potential arises from anion-Si bond polarization while carrier capture over macroscopic distances is facilitated by band warping which occurs due to anisotropically distributed local strain encompassing QDs. Among allied III-V compounds, GaSb has turned out to provide the best results. As a matter of fact, efficient light emission as evidenced by 0.3-% power efficiency and room-temperature light-emitting-diode, and even near-infrared gain were obtained from 10 monolayers-equivalent GaSb-Si QDs grown by solid source molecular beam epitaxy. The proximity effects allow electrons in Si to behave more like those in direct-gap GaSb, leading to the development of on-off gain well over 10dB/cm for a 5-mm long waveguide sample in dual-chip pump-and-probe geometry both under optical and current pumping at a cryogenic temperature of 5 K. The results promise a Si-based semiconductor optical amplifier, Si-SOA. Gain saturation, probe-intensity dependent gain, and clear threshold behaviors are indicative of population-inversion in a three-level system. It has been found that as the whole system is spontaneously n-type doped, free-carrier recombination diminishes gain at a high pumping level in a slab waveguide geometry. Possible lasing is expected in view of a clear kink in the growth curve of the light output of a single chip under optical pumping, which coincides well with the kink on gain curve in the dual-chip experiment.
11:00 AM - L1: LEPD1
BREAK
11:30 AM - **L1.5
Epitaxial Growth and Luminescence Characterization of Si-based Double Heterostructures Light-emitting Diodes with Iron Disilicide Active Region.
Takashi Suemasu 1 , Tsuyoshi Sunohara 1 , Yuya Ugajin 1 , Ken'ichi Kobayashi 1 , Shigemitsu Murase 1
1 Institute of Applied Physics, University of Tsukuba, Tsukuba Japan
Show AbstractSince the demonstration of EL in β-FeSi2[1], β-FeSi2 has been attracting much attention as a material for a Si-based light emitter. We have succeeded in obtaining 1.6µm EL at RT from β-FeSi2 particles embedded in Si p-n diodes on Si(001)[2-4], and very recently from p-Si/β-FeSi2/n-Si (SFS) double heterostructures (DH) on Si(111) by MBE [5]. In this paper, we report recent experimental results on the formation of SFS DH on both Si(001) and Si(111) substrates and their PL and EL properties.A SFS DH was prepared as follows. For Si(001) substrates, 8-nm-thick [100]-oriented β-FeSi2 was grown by reactive deposition epitaxy, followed by undoped Si by MBE. For Si(111) substrates, 250-nm-thick [110]-oriented β-FeSi2 was grown by codeposition of Fe and Si on RDE-grown β-FeSi2 template, followed by undoped Si by MBE. For fabrication of LEDs, a p+-Si top layer was grown. Details of the growth procedure have been already described in our previous papers. 1.6µm EL was realized at RT from SFS DH LEDs formed on both Si(001) and Si(111). For LEDs on Si(001), the current density necessary for EL at RT, which was approximately 20A/cm2, was suppressed by a factor of 3 compared to previous LEDs on Si(001) with β-FeSi2-particles active region. Time-resolved PL measurements elucidated that the luminescence originated from two sources, one with a short decay time (10 ns) and the other with a longer decay time (100 ns) at 8K. In contrast, a short decay time (10 ns) was found to be dominant for previous Si/β-FeSi2 particles/Si(001) structures. The short decay time was thought to be due to carrier recombination in β-FeSi2. On the other hand, the long decay time was due probably to a dislocation-related D1 line in Si. The luminescence intensity ratio of β-FeSi2 to D1 line was approximately 2 at 8K, and it increased with temperature (6 at 130K) since the D1 line is more rapidly quenched. Similar results were obtained for SFS DH on Si(111). For LEDs on Si(111), EL was obtained at a current density higher than 80A/cm2. The lattice mismatch between β-FeSi2 and Si is approximately 1% and 5% for β-FeSi2 formed on Si(001) and Si(111), respectively. Thus, we think that more defects working as nonradiative recombination centers exist at the SFS on Si(111). When current passing through the defects saturated, bias current began to contribute to the radiative recombination and a reasonable EL output was obtained. Thus, by increasing carrier injection into β-FeSi2 by reducing the defect densities at the β-FeSi2/Si hetero interfaces, practical Si-based LEDs will be obtained in the near future. [1] Leong et al., Nature 387 (1997) 686., [2]Suemasu et al. , Jpn. J. Appl. Phys.39 (2000) L1013., [3] Li et al., J. Appl. Phys. 97 (2005) 043529., [4] Sunohara et al., Jpn. J. Appl. Phys. 44 (2005) 3951., [5] Takauji, et al., Jpn. J. Appl. Phys. 44 (2005) 2483.
12:00 PM - L1.6
Quantum-confinement Effect in β-FeSi2 Flat Nanoislands on Si (111) Substrates.
Yoshiaki Nakamura 1 2 , Ryota Suzuki 1 , Masafumi Umeno 1 , Sung Cho 3 2 , Nobuo Tanaka 3 2 , Masakazu Ichikawa 1 2
1 Dept. of applied physics, The University of Tokyo, Tokyo Japan, 2 CREST, Japan Science and Technology Agency, Tokyo Japan, 3 EcoTopia Science Institute, Nagoya University, Nagoya Japan
Show AbstractSemiconducting β-FeSi2 is attractive as a Si-based light emitting material for an optical fiber communication. Nanostructures of β-FeSi2 [1] have drawn much attention due to their quantum-confinement effects which can change material properties. However, the quantum-confinement effect in β-FeSi2 has not been elucidated yet. In this study, we investigated the quantum-confinement effect of individual β-FeSi2 nanoislands with quantum well structures using scanning tunneling spectroscopy (STS).Si (111) samples cleaned by flashing in the ultrahigh vacuum chamber at the base pressure of ~1×10-8 Pa were oxidized at 600°C for 10 min at the oxygen pressure of ~2×10-4 Pa to form ultrathin SiO2 films. We predeposited Si with an amount of 1 monolayer (ML) on the ultrathin SiO2 films and codeposited Fe and Si at 500°C at a stoichiometric ratio of deposition rates (~0.5). This deposition formed 3-nm hemispherical β-FeSi2 nanodots with ultrahigh density (>1012 cm-2). Annealing of the β-FeSi2 nanodots at 650°C for 30 min changed hemispherical dot shape to flat nanoisland one by diffusion and coalescence of iron silicide.STM images showed that flat nanoislands were formed with a lateral size of ~10-20 nm and a height of ~2-5 nm. 7×7 Si surfaces were observed in the surface areas among the nanoislands revealing that the SiO2 was decomposed during annealing process. RHEED patterns of the samples indicated that β-FeSi2 was epitaxially grown on Si (111). We measured the energy bandgaps of the individual hydrogen-terminated β-FeSi2 nanoislands using STS. The energy bandgaps were found to increase with the decrease in the island heights while the energy bandgaps were independent of the lateral island sizes. This indicated that the β-FeSi2 nanoislands had quantum well structures. This size dependence of the energy bandgaps was fitted with the L-2 curve based on the hard wall square potential model with well width L, where the reduced mass was adjusted to be (0.25±0.07)m0 with m0 free electron mass. This is consistent with the value (0.21–0.25m0) estimated from electron and hole effective masses calculated by Martinelli [2].In summary, we measured the energy bandgaps of individual β-FeSi2 nanoislands using STS. The island-height dependence of the energy bandgaps was explained by the quantum-confinement effect in β-FeSi2 nanoislands with quantum well structures. This work was partly supported by JSPS.KAKENHI (15201023 and 17710093).References[1] Y. Nakamura, Y. Nagadomi, S.-P. Cho, N. Tanaka, and M. Ichikawa, Phys. Rev. B 72, 075404 (2005).[2] L. Martinelli, E. Grilli, D. B. Migas, L. Miglio, F. Marabelli, C. Soci, M. Geddo, M. G. Grimaldi, and C. Spinella., Phys. Rev. B 66, 085320 (2002).
12:15 PM - L1.7
Theoretical study of Si-rich transition-metal silicides with double-graphene-like structures.
Takehide Miyazaki 1 , Toshihiko Kanayama 2
1 RICS, AIST, Tsukuba Japan, 2 ASRC, AIST, Tsukuba Japan
Show AbstractRecently, there has been an enthusiasm for fabrication of graphene[1,2]. A reason is that graphene with the thickness of a few atomic layers shows the outstanding transport properties[3,4]. Regarding this excitement about graphene, it would be very intriguing to synthesize the silicon (Si) counterpart of graphene, because the use of Si in constructing those nanometer-size objects should be much more compatible with the current VLSI technology than introduction of carbon (C) systems. However, it has been argued that it is very difficult to construct Si atoms in a single stable graphene sheet[5-8] without mixing elements other than Si such as C [9,10]. In this presentation, we propose a completely novel form of graphene-like Si nanostructure based on ab initio total-energy calculation and geometry optimization. It has a three-layer structure, where the two layers of Si atoms positioned in graphene-like geometries sandwich another layer of transition metal atoms. It is possible to tune the electronic structure of this layered material from metal to semiconductor by changing the element of the transition metal atoms. Our new material can be regarded as a Si- rich phase of transition-metal (TM) silicide with a large Si-to-TM composition ratio being around ~10, which may be suitable for a material to smoothly connect the interfaces between electrodes made of conventional TM disilicide and Si substrates in transistors. We will further discuss how the novel material in question could be synthesized.References[1] S. Horiuchi, T. Gotou, M. Fujiwara, T. Asaka, T. Yokosawa and Y. Matsui, Appl. Phys. Lett. 84, 2403 (2004).[2] J.-L. Li, K. N. Kudin, M. J. McAllister, R. K. Prud’homme, I. A. Aksay, and R. Car, Phys. Rev. Lett. 96, 176101-1 (2006).[3] K. S. Novoselov, A. K. Geimm, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva and A. A. Firsov, Science 306, 666 (2004).[4] K. S. Novoselov, A. K. Geimm, S. V. Morozov, D. Jiang, M. I. Katsnelson, S. V. Dubonos, I. V. Grigorieva and A. A. Firsov, Nature 438, 197 (2005).[5] M. T. Yin and M. L. Cohen, Phys. Rev. B29, 6996 (1984).[6] K. Takeda and K. Shiraishi, Phys. Rev. B50, 14916 (1994).[7] Y.-C. Wang and K. Sheerschmidt and U. Gosele, Phys. Rev. B61, 12864 (2000).[8] E. Durgun, S. Tongay and S. Ciraci, Phys. Rev. B72, 075420 (2005).[9] Y. Miyamoto and B. D. Yu, Appl. Phys. Lett. 80, 586 (2002).[10] C. L. Freeman, F. Claeyssens, N. L. Allan and J. H. Harding, Phys. Rev. Lett. 96, 066102 (2006).
12:30 PM - **L1.8
Advances in SiGeSn/Ge Technology
Richard Soref 1 , John Kouvetakis 2 , Jose Menendez 2
1 , Air Force Research Laboratory, Hanscom AFB, Massachusetts, United States, 2 , Arizona State University, Tempe, Arizona, United States
Show AbstractWe have recently reported the CVD growth of binary Ge1-ySny and ternary Ge1-ySixSny alloys directly on Si wafers using SnD4, GeH4, SiH3GeH3, and (GeH3)2SiH2 sources. Ge1-ySny is an intriguing infrared material that undergoes an indirect-to-direct bandgap transition for y > 0.09. In addition, we have found that Ge1-ySny layers have ideal properties as templates for the subsequent deposition of other semiconductors: (a) they are strain-relaxed and have low threading defect densities (105 cm-2) even for films thinner than 1 µm; (b) their low growth temperatures between 250°C and 350°C are compatible with selective growth, and the films possess the necessary thermal stability for conventional semiconductor processing (up to 750°C depending on composition); (c) they exhibit tunable lattice constants betwen 5.65 Å and at least 5.8 Å, matching InGaAs and related III-V systems; (d) their surfaces are extremely flat; (e) they grow selectively on Si and not on SiO2; and (f) the film surface can be prepared by simple chemical cleaning for subsequent ex-situ epitaxy.The incorporation of Sn lowers the absorption edges of Ge. Therefore, Ge1-ySny is attractive for detector and photovoltaic applications that require band gaps lower than that of Ge. Spectroscopic ellipsometry and photoreflectance experiments show that the direct band gap is halved for as little as y = 0.15. Studies of a Ge0.98Sn0.02 sample yield an absorption coefficient of 3500 cm-1 at 1675 nm (0.74 eV). Thus infrared detectors based on Ge0.98Sn0.02 could easily cover the U-(1565 nm-1625 nm), L-(1565 nm-1625 nm), and C-(1530 nm-1565 nm) telecomm bands. We have made advances in P and N doping of GeSn and shall present results on infrared detection using GeSn/SiGeSn PIN heterodiodes. GeSn also has application in band-to-band laser heterodiodes.The ternary system Ge1-x-ySixSny grows on Ge1-ySny-buffered Si. It represents the first practical group-IV ternary alloy, since C can only be incorporated in minute amounts to the Ge-Si network. The most significant feature of Ge1-x-ySixSny is the possibility of independent adjustment of lattice constant and band gap. For the same value of the lattice constant one can obtain band gaps differing by more than 0.2 eV, even if the Sn-concentration is limited to the range y < 0.2. This property can be used to develop a variety of novel devices, from multicolor detectors to multiple junction photovoltaic cells. A linear interpolation of band gaps and lattice constants between Si, Ge and α-Sn shows that it is possible to obtain SiGeSn with a band gap and a lattice constant larger than that of Ge. We shall use this feature to make a tensile-strained Ge-on-SiGeSn telecomm detector with improved performance. The tensile-strain-induced direct gap of Ge can be used also for electroptical modulators and lasers.
L2: Light Emission and Photonic Devices II
Session Chairs
Graham Reed
Richard Soref
Monday PM, November 27, 2006
Room 207 (Hynes)
2:30 PM - **L2.1
Sub-micron Silicon Photonic Devices.
Graham Reed 1 , Goran Mashanovich 1 , Frederic Gardes 1 , Branislav Timotijevic 1 , William Headley 1
1 , University of Surrey, Guildford United Kingdom
Show Abstract3:00 PM - L2.2
Fabrication of Extreme aspect Ratio Tubes and Wires of Silicon and Germanium Within Microstructured Optical Fibers.
Neil Baril 1 4 , John Badding 1 4 , Vankatraman Gopalan 3 4 , Pier Sazio 5 , Thomas Scheidemantel 2 4 , Bryan Jackson 3 4 , Dong-Jin Won 3 4 , Adrian Amezcua Correa 5 , Chris Finlayson 5
1 Chemistry, The Pennsylvania State University, University Park, Pennsylvania, United States, 4 The Center for Nanoscale Science, The Pennsylvania State University, University Park, Pennsylvania, United States, 3 Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 5 Optoelectronics Research Centre, University of Southampton, Southampton United Kingdom, 2 Physics, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractOptical fibers are a natural conduit for light and semiconductors are the basis of modern optoelectronics. We have recently fabricated semiconducting micro- and nanowires with extreme aspect ratios in ordered arrays using microstructured optical fibers (MOF’s), as templates. These systems contain the highest aspect ratio semiconducting micro- and nanowires yet produced by any method. The micro wires are over a meter in length, and the nanowires are centimeters long and ~100nm in diameter. The technique for infiltration uses high-pressure gasses to carry chemical vapor deposition precursors through the capillaries of the MOFs, which are heated in a tube furnace to deposit the semiconductor materials. The semiconductors are deposited amorphous and are annealed after deposition to produce polycrystalline materials. MOFs are versatile templates allowing the deposition of high density arrays of micro to nano sized capillaries. They are optically transparent allowing in situ characterization of the wires with Raman spectroscopy. The semiconductor wires can be easily manipulated because they are encased in a silica optical fiber, the silica can also be removed giving access to the wires. The possibilities for the fabrication of in-fiber optoelectronic devices are numerous. These structures have many potential applications for in-fiber sensing, light modulation, and light generation.Sazio et al. Science 311, 1583 (2006)
3:15 PM - L2.3
Large Scale Formation of Y2SiO5:Er Oxyorthosilicate Nanocrystals using Si Nanowires for Efficient, High-gain Light Emitting Material at 1.5 μm.
Kiseok Suh 1 , Jung Shin 1 , Byeong-Soo Bae 2
1 Physics, KAIST, Daejeon Korea (the Republic of), 2 Materials Science, KAIST, Daejeon Korea (the Republic of)
Show Abstract3:30 PM - L2: LEPD2
BREAK
4:30 PM - **L2.4
Silicon Integrated Nanophotonics - Advances and Challenges.
Yurii Vlasov 1 , Fengnian Xia 1 , Lidija Sekaric 1 , Eric Dulkeith 1 , Solomon Assefa 1 , William Green 1 , Martin O'Boyle 1 , Hendrik Hamann 1 , Sharee McNab 1
1 Physical Sciences Department, IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show Abstract5:00 PM - L2.5
Three Dimensional Silicon Inverse Photonic Quasicrystals for Infrared Wavelengths.
Alexandra Ledermann 2 , Ludovico Cademartiri 1 , Martin Hermatschweiler 3 , Martin Wegener 2 , Geoffrey Ozin 1 , Diederik Wiersma 4 , Georg von Freymann 3
2 , Institut für Angewandte Physik, Karlsruhe Germany, 1 Department of Chemistry, University of Toronto, Toronto, Ontario, Canada, 3 , Institut für Nanotechnologie, Karlsruhe Germany, 4 , European Laboratory for Nonlinear Spectroscopy, Firenze Italy
Show AbstractPhotonic quasicrystals pose numerous challenges and promises both from the theoretical and the technological point of view. For example it is impossible to completely predict the optical properties of three-dimensional (3d) photonic quasicrystals for their lack of translational periodicity, most fabrication techniques available today are planar and so are optimized for fabrication of two-dimensional structures and only of limited use for arbitrary 3d structures.One of the interesting promises of 3d photonic quasicrystals are anomalous diffusion of light due to the long range order coupled with a lack of short range order, as well as opening complete photonic bandgaps due to their nearly spherical Brillouin zone, which is a consequence of their extremely high rotational symmetry.Photonic quasicrystals might also be considered as a testbed on which to verify theories developed for atomic quasicrystals. In contrast to atomic quasicrystals, photonic quasicrystals can be created by design. Thus, the influence of the symmetry on e.g. transport properties can be monitored more easily.We will here present results on the fabrication of oriented photonic quasicrystals in a polymeric photoresist via direct laser writing and their subsequent inversion with Si.The quality of the structures will be demonstrated via scanning-electron and laser-confocal microscopy while the preservation of the symmetry will be demonstrated with Laue diffraction experiments and their comparison with theory.
5:30 PM - L2.7
Ultra-high Resolution Imaging of Highly Confined Optical Modes in Sub-micron Scale SOI Waveguides.
Jacob Robinson 1 , Stefan Preble 1 , Michal Lipson 1
1 Electrical and Computer Engineering, Cornell , Ithaca, New York, United States
Show Abstract5:45 PM - L2.8
Si3N4-SiO2-Si Slot-waveguide Disk Resonators in a Silicon Photonic Platform.
Bradley Schmidt 1 , Carlos Barrios 2 , Michal Lipson 1
1 Electrical and Computer Engineering, Cornell University, Ithaca, New York, United States, 2 Instituto de Sistemas Optoelectrónicos y Microtecnología, Ciudad Universitaria, Madrid Spain
Show Abstract
Symposium Organizers
Leonid Tsybeskov New Jersey Institute of Technology
David J. Lockwood National Research Council
Christophe Delerue IEMN
Masakazu Ichikawa The University of Tokyo
Anthony W. van Buuren Lawrence Livermore National Laboratory
L3: Ge and SiGe Nanostructures I
Session Chairs
Philippe Boucaud
Detlev Gruetzmacher
Tuesday AM, November 28, 2006
Room 207 (Hynes)
9:30 AM - **L3.1
Templated Selfassembly of Ge Dot Arrays, Molecules and 3-dimensional Crystals on Si.
Detlev Grutzmacher 1 , Christian Dais 1 , Elisabeth Müller 1 , Harun Solak 1 , Hans Sigg 1 , Julian Stangl 2 , Günther Bauer 2
1 Laboratory for Micro- and Nanotechnology, Paul Scherrer Institut, Villigen-PSI Switzerland, 2 Inst. for semiconductor and solid state physics, Johannes Kepler University Linz, Linz Austria
Show AbstractTemplated self-organization has been used to prepare samples with regimented arrays of Ge quantum dots. Si (100) substrates have been patterned with 2-dimensional hole gratings using multiple beam diffraction in the extreme UV (EUV). The setup of EUV interference lithography (EUV-IL) at the swiss synchrotron ligth source (SLS) permits exposure areas up to 2x2 mm in a single illumination. Different gratings have been used for masks, leading to patterns with an periodicity ranging from 50 to 250 nm. After the pattern had been transferred into the Si (100) substrate by reactive ion etching, molecular beam epitaxy was employed to grow Si/Ge quantum dot layers on the pre-patterned substrates. First a 20-100 nm thick Si buffer layer was deposited at 300°C followed by the Ge deposition for island formation. In the first Ge quantum dot layer the dots align with holes provided by the pre-patterning. Here we studied the impact of the microscopic shape and size of the pre-pattern using the mask design and the XIL exposure time and dose as parameters. Very regular array of Ge dots are formed with a densities up to 2.2x1010 cm-2. The density depends not only on the periodicity of the pattern fabricated, but also on the shape of the patterned formed at various exposure conditions. The formation of square like holes on the Si substrates lead to the nucleation of ordered quantum dot molecules on the Si surface. Typically 4 Ge dots are formed, nucleating at the corners of the square holes of the prepattern. Lowering the exposure dose and thus decreasing the size of the holes in the prepattern allows the deposition of one Ge dot per hole. Atomic force microscopy has been employed to determine the size distribution of the Ge dots in the arrays. Narrow size distribution of 4% and 7% for dome and hut cluster of 80 and 40 nm diameter have been found on arrays with 250 nm and 100 nm periodicity, respectively.Continuation of depositing Si/Ge layer sequences on top of the ordered dot arrays leads to alignment of dots to the first layer due to strain fields. The alignment has been observed for quantum dot molecules as well. AFM surface scans as well as crossectional TEM micrographs reveal the formation of highly ordered 3-dimensional quantum dot crystals. Typically, the vertical periodicity amounts to ~8nm, whereas the lateral periodicity is 90 x 100 nm. The dots have a diameter of 34±3 nm, thus Ge dots exhibit a remarkably narrow size distribution and close to perfect ordering. This is confirmed by X-ray diffraction experiments at symmetric and asymmetric diffraction peaks. Moreover, photoluminescence and optically pumped absorption measurements have been performed giving insights into the bandstructure of the 2-d and 3-d quantum dot crystals. Our results on the fabrication and properties of 2- and 3-dimensional Ge quantum dot crystals may open a new routes towards the realization of nanoelectronic and spintronic devices as well as for quantum computing.
10:00 AM - L3.2
Stranski–Krastanov Growth of Tensely Strained Si on Ge (001) Substrates.
Dietmar Pachinger 1 , Gang Chen 1 , Herbert Lichtenberger 1 , Friedrich Schäffler 1
1 Semiconductor Physics, Institute of Semiconductor and solid state physics, Linz Austria
Show Abstract10:15 AM - L3.3
Electric Field Controlled Directional Growth in Metal-Induced Lateral Crystallization of Amorphous SiGe on Insulating Films.
Masanobu Miyao 1 , Hiroshi Kanno 1 , Taizoh Sadoh 1
1 Department of Electronics, Kyushu University, Fukuoka Japan
Show AbstractThe low-temperature (<500oC) formation of high quality polycrystalline SiGe (poly-SiGe) on insulating substrates has been expected to realize advanced system-in-displays. In line with this, we have been developing metal-induced lateral crystallization (MILC) of a-Si1-XGeX (X:0-1) by using Ni as catalyst metal, and found that growth morphology strongly depends on Ge fraction., i.e plane crystallization for samples with low Ge-fraction (X<0.3), dendrite growth for intermediate Ge fraction (X:0.35-0.65) and no crystallization for high Ge fraction (X above 0.7). To solve these problems, present paper examines the electric-field stimulated MILC of a-Si1-XGeX (X:0-1). This enables uniform crystal growth of SiGe with all Ge fractions.In the experiment, a-Si1-XGeX (X:0-1, 50 nm thickness) were deposited on quartz substrate using a solid-source MBE system. Then, Ni films (15 nm thickness) were deposited selectively on a-SiGe layers. This Ni films were used as the catalyst atom source and electrodes for bias voltage. The spacing between anodes and cathodes were 40~6000 micron. Finally, the samples were annealed at 400~500oC with applying electric fields (0~5000 V/cm) between the electrodes. The lateral growth lengths and crystal qualities of SiGe were evaluated by using Nomarski optical microscopy, scanning electron microscopy, and Raman spectroscopy.When the electric fields were applied (<200V/cm) during MILC, lateral growth velocity at the cathode side became faster by 10 times than that at the anode side. This indicates that Ni atoms are charged negatively in SiGe, and their migration is enhanced by electric fields. In addition, the dendrite growth obtained by conventional MILC was almost vanished away and very large uniform growth regions (>50 micron) appeared at the cathode sides even for both samples with intermediate and high Ge fractions. Raman spectroscopy measurements showed that grown layers were completely strain free. Under the extremely high electric fields (>2000 V/cm), crystal growth propagated straight from the Ni patterns, where the growth direction was completely aligned to the electric fields. These phenomena are attributed to the facts that kinetic energy of Ni atoms transferred from the high electric fields (>2000 V/cm) exceeds thermal energy at 500oC. These results indicate that flow direction of catalyst atoms (Ni) during annealing can be controlled by the electric fields. This advantage of aligned growth of poly-SiGe on the insulating films should be used for advanced TFT with high speed operation. We are now fabricating thin-film transistors (TFT) with Schottky source and drain structures. Preliminary results indicated that TFTs showed good ambipolar operation characteristics. In addition, a kink effect due to the floating body effects, which were observed in the conventional doping source/drain TFTs, was successfully suppressed. The possible application of these TFTs to advanced system-in-displays will be discussed.
10:30 AM - L3.4
Spin Relaxation in SiGe Islands.
Hans Malissa 1 , Wolfgang Jantsch 1 , Gang Chen 1 , Herbert Lichtenberger 1 , Thomas Fromherz 1 , Friedrich Schäffler 1 , Günther Bauer 1 , Alexei Tyryshkin 2 , Stephen Lyon 2 , Zbyslaw Wilamowski 3
1 Institute of Semiconductor and Solid State Physics, Johannes Kepler University Linz, Linz Austria, 2 Department of Electrical Engineering, Princeton University, Princeton, New Jersey, United States, 3 Institute of Physics, Polish Academy of Sciences, Warsaw Poland
Show Abstract10:45 AM - L3.5
Photoluminescence Excitation Dependencein Three-dimensional Si/SiGe Nanostructures.
Eun Kyu Lee 1 , Boris Kamenev 1 , Theodore Kamins 2 , Jean-Mark Baribeau 3 , David Lockwood 3 , Leonid Tsybeskov 1
1 ECE, New Jersey Institute of Technology, Newark, New Jersey, United States, 2 Quantum Science Research, Hwelett-Packard Laboratories, Palo Alto, California, United States, 3 National Research Council, Institute for Microstructural Sciences, Ottawa, Ontario, Canada
Show AbstractWe find that in Ge (SiGe) clusters grown on Si using Stranski-Krastanov (SK) growth mode, (i) photoluminescence (PL) spectra, (ii) PL lifetime and (iii) PL thermal quench activation energies exhibit strong dependence on the excitation intensity. Under PL excitation intensity increasing from 1 to 104 W/cm2, the PL spectra exhibit blue shift from below Ge bandgap up to ~ 970 meV. The PL lifetime shows strong dependence on the excitation wavelength, decreasing from 20 microseconds at ~ 0.8 eV to 200 nanoseconds at ~ 0.9 eV. The process of PL thermal quench has two clearly distinguished activation energies. At low temperature, small (~ 15 meV) and excitation-independent activation energy is attributed to exciton thermal dissociation. At higher temperature, excitation-dependent PL thermal quench activation energy (increasing from ~ 120 to 340 meV as excitation intensity increases) is found, and it is attributed to hole redistribution via tunneling and/or thermal ionization over the Ge (SiGe)/Si valence band confinement barrier.
11:00 AM - L3: Ge/SiGe
BREAK
11:30 AM - **L3.6
Ge/Si self-assembled Islands for Photonics Applications.
Philippe Boucaud 1 , Xiang Li 1 , Moustafa El Kurdi 1 , Sébastien Sauvage 1 , Xavier Checoury 1 , Sylvain David 1 , Navy Yam 1 , Frédéric Fossard 1 , Daniel Bouchier 1 , Guy Fishman 1
1 , CNRS-IEF, Orsay France
Show Abstract12:00 PM - L3.7
Ordering and Shape Tuning of Ge Islands on Metal-patterned Si.
Jeremy Robinson 1 2 , Donald Walko 3 , Dohn Arms 3 , Daniel Tinberg 4 , Paul Evans 4 , Yifan Cao 1 , J. Liddle 2 , Oscar Dubon 1 2
1 Materials Science and Engineering, University of California, Berkeley, California, United States, 2 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States, 4 Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin, United States
Show Abstract12:15 PM - L3.8
Photo-oxidation of Ge Nanocrystals: Kinetic Measurements by In Situ Raman Spectroscopy
Ian Sharp 1 2 , Qing Xu 1 2 , Chun Yuan 1 2 , Joel Ager III 1 , Daryl Chrzan 1 2 , Eugene Haller 1 2
1 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Materials Science and Engineering Department, University of California, Berkeley, Berkeley, California, United States
Show AbstractGe nanocrystals are formed in silica by ion beam synthesis and are subsequently exposed by selective HF etching of the silica. Under ambient conditions, the exposed nanocrystals are stable after formation of a protective native oxide shell of no more than a few monolayers [1]. However, under visible laser illumination at room temperature and in the presence of O2, the nanocrystals rapidly oxidize. The oxidation rate was monitored by measuring the Raman spectra of the Ge nanocrystals in situ. The intensity ratio of the anti-Stokes to the Stokes line indicated that no significant laser-induced heating of illuminated nanocrystals occurs. Therefore, the oxidation reaction rate enhancement is due to a photo-chemical process. Under certain conditions laser illumination can lead to complete oxidation of nanocrystals, whereas those that are not illuminated are stable after formation of a thin native oxide. The oxidation rate varies linearly with the logarithm of the laser intensity, and at constant laser intensity the rate increases with increasing photon energy. These kinetic measurements, along with the power and energy dependencies, are described quantitatively by an electron active oxidation mechanism involving tunneling of optically excited electrons through the forming oxide skin and subsequent transport of oxygen ions to the Ge nanocrystal surface. This work was supported in part by the U.S. Department of Energy under Contract No. DE-AC02-05CH11231 and in part by U.S. NSF Grant No. DMR-0405472.[1] Sharp, I.D. et al., J. Appl. Phys. 97, 124316 (2005).
12:30 PM - L3.9
Influence of Nanoimprinted and Etched Surface Relief on Nucleation and Ordering of Si and Ge on Amorphous Silicon Dioxide.
Ted Kamins 1 , Amir Yasseri 1 , Shashank Sharma 1 , Fabian Pease 2 , Qiangfei Xia 3 , Stephen Chou 3
1 Quantum Science Research, Hewlett-Packard Laboratories, Palo Alto, California, United States, 2 Dept. of Electrical Engineering, Stanford University, Stanford, California, United States, 3 Nanostructure Lab, Dept. of Electrical Engineering, Princeton University, Princeton, New Jersey, United States
Show Abstract12:45 PM - L3.10
Control of Valley Splitting in a Si/SiGe 2DEG Quantum Point Contact.
Lisa McGuire 1 , K. Slinker 1 , S. Goswami 1 , J. Chu 2 , Mark Friesen 1 , S. Coppersmith 1 , M. Eriksson 1
1 , University of Wisconsin, Madison, Wisconsin, United States, 2 , IBM Research Division, T. J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractValley splitting in Si quantum wells is an important component of Si-based quantum computing. If valley splitting is large, the spin degree of freedom forms the basis for a robust two-level qubit. If valley splitting is small, different valley states will interfere with this qubit basis. Here we report the measurement and control of valley splitting in a Si/SiGe quantum point contact. In contrast with previous results on large Hall bars, which have shown small valley splitting, we find that the valley splitting in a quantum point contact is large, approaching the maximum theoretical estimates1. To measure the valley splitting, we perform a point contact spectroscopy by recording the point contact conductance as function of magnetic field and gate voltage at an electron temperature T~100 mK. The results show that the point contact geometry enables control of the valley splitting as a function of both gate voltage and magnetic field in individual subbands. Research supported by ARO, NSA, and NSF. 1Valley splitting in strained silicon quantum wells, Timothy B. Boykin, Gerhard Klimeck, M.A. Eriksson, Mark Friesen, S. N. Coppersmith, Paul von Allmen, Fabiano Oyafuso, and Seungwon Lee, Appl. Phys. Lett. 84, 115 (2004).
L4: Ge and SiGe Nanostructures II / MEMS and Strained Si
Session Chairs
Thomas Fromherz
Avi Kornblit
Tuesday PM, November 28, 2006
Room 207 (Hynes)
2:30 PM - **L4.1
Optoelectronic Properties and Bandstructure of SiGe Quantum Dot and Cascade Structures.
Thomas Fromherz 1 , Moritz Brehm 1 , Patrick Rauter 1 , Nguyen Vinh 2 , Ben Murdin 3 , Jonathan Phillips 4 , Carl Pidgeon 4 , Zhenyang Zhong 1 , Gang Chen 1 , Jiri Novak 1 , Julian Stangl 1 , Detlev Gruetzmacher 5 , Guenther Bauer 1
1 Semiconductor Physics, University Linz, Linz Austria, 2 , FOM Institute for Plasma Physics Rijnhuizen , Nieuwegein Netherlands, 3 , University of Surrey, Guildford United Kingdom, 4 , Heriot-Watt University, Edinburgh United Kingdom, 5 , Paul Scherrer Institut, Villigen Switzerland
Show AbstractDue to its indirect fundamental bandgap in k-space, bulk silicon - the dominating material for microelectronics - is not suitable for optoelectronic applications. Nevertheless, the demand for processing and transmitting a large amount of data in very short times is steadily increasing and intra-chip optical communication links will become more and more important in the near future. Evidently, a Si based optoelectronic platform compatible with modern CMOS technology is highly desirable. While several levels of integrating electronics and optics are followed in research, for wide-spread applications in consumer electronics the most attractive and probably cost-efficient level would be a monolithic optoelectronic integration.The central building block of any Si based optoelectonic platform is an electrically pumped emitter. In order to circumvent small optical matrix elements typically for interband transitions in an indirect semiconductor, large efforts are devoted to the development of a SiGe based quantum cascade emitter, since in these unipolar devices, no transitions across the fundamental bandgap are involved in the generation of light. After an initial rapid success in the demonstration of electroluminescence emitted by quantum cascade (QC) structures, lasing has still to be demonstrated. For a proper design of laser structures, a critical parameter is the excited state lifetime of the laser transition. In our work, we have for the first time directly measured the optical-phonon limited heavy hole (HH) excited state lifetime of a quantum well (QW) sequence designed for MIR emission. For these measurements, time resolved pump-pump photocurrent experiments under various applied biases have been performed. A lifetime of 550 fs was determined, significantly longer than reported in literature up to now.Another promising type of light emitters in the SiGe system are quantum dots (QDs). It is well known, that due to the strain field originating from the QDs, not only holes, but also electrons are bound to the QDs. Due to this confinement, the k-space selection rules are relaxed in all three dimensions. However, while the holes are bound to the Ge rich region in the interior of the QDs, the electrons are localized in then Si matrix along the surface of the QDs. Thus a spatial indirect (type II) band alignment results and optical interband matrix elements are expected to be small. In our work, we have analyzed the bandstructure and its dependence on the structural parameters of the QDs (size, Ge concentration, Ge gradient) by simulations using the nextnano3 code. By comparing the results of the calculations to photoluminescence (PL) measurements, we try to identify the transitions observed in the experiments. Based on this analysis, strategies for enhancing the PL efficiency of QDs (like for example the control of the Ge gradient within the dots) are discussed.
3:00 PM - L4.2
WITHDRAWN 11/16/06 Design Criteria for p-type SiGe Bound-to-Continuum THz QCLs.
Marco Califano 1 , Zoran Ikonic 1 , Robert Kelsall 1 , Paul Harrison 1
1 Electronic & Electrical Engineering, University of Leeds, Leeds United Kingdom
Show AbstractTuesday 11/28Withdrawnoral2:00 pm L4.2
3:15 PM - L4.3
Luminescence in Multilayers of SiGe Nanocrystals Embedded in SiO2.
M. Avella 1 , A. Prieto 1 , Juan Jimenez 1 , A. Rodríguez 2 , J. Sangrador 2 , T. Rodríguez 2 , M. Ortíz 3 , C. Ballesteros 3 , A. Kling 4
1 Dpto. Física de la Materia Condensada, Universidad de Valladolid, Valladolid Spain, 2 Dpto. de Tecnología Electrónica, Universidad Politécnica de Madrid, Madrid Spain, 3 Dpto. de Física, Universidad Carlos III, Madrid Spain, 4 , Instituto Tecnológico e Nuclear, Sacavém Portugal
Show AbstractNanoparticles of the Group IV semiconductors embedded in a dielectric SiO2 matrix have received a great deal of attention because of their potential applications in optoelectronic devices and non-volatile memories. Several approaches have been tried to make nanocrystalline structures inside the dielectric matrix, like ion implantation, sputtering or Chemical Vapour Deposition. Usually, Si or Ge nanocrystals are formed. However, previous attempts to prepare arrays of SiGe nanocrystals revealed that the diffusion of Ge constitutes a drawback to control the nanocrystal composition. Intense luminescence is required for light emission applications; therefore, a high density of nanocrystals is desirable. For these purposes we have fabricated multilayer arrangements of SiGe nanocrystals, obtained by rapid thermal crystallization (temperatures between 750 and 1100°C, and times up to 10 minutes) of amorphous nanoparticles embedded in a matrix of SiO2 deposited by low pressure chemical vapor deposition (LPCVD). This process is fully compatible with the CMOS technology. The structures were characterized by Raman spectroscopy, Rutherford Backscattering Spectrometry, Transmission Electron Microscopy, Fourier transform infrared spectroscopy and Cathodoluminescence. The effect of the annealing conditions and the thickness of the oxide interlayers on the luminescence intensity and on the variation of the composition of the nanocrystals due to the Ge diffusion were studied. The contributions of the SiO2 matrix and the SiGe nanoparticles to the luminescence were identified and separated. The maximum intensity of the luminescence has been obtained after annealing the samples at 900°C. At this temperature the SiGe nanoparticles are fully crystallized and no appreciable variation of their composition has been detected. The luminescence of the structures increases: a) with the number of periods in the structure and b) with the thickness of the SiO2 interlayers. The luminescence emission was compared to our previous results for pure Ge nanocrystals, showing that the light emission mechanisms are similar for both SiGe and Ge nanocrystals.
3:30 PM - L4.4
Ordering of Strained Ge Islands on Prepatterned Si(001) Substrates: Morphological Evolution and Nucleation Mechanisms
Gang Chen 1 , Herbert Lichtenberger 1 , Zhenyang Zhong 1 , Günther Bauer 1 , Wolfgang Jantsch 1 , Friedrich Schäffler 1
1 Institute of Semiconductor and Solid State Physics , Johannes Kepler University, Linz Austria
Show AbstractGe on Si(001) is considered a model system for studying the basic properties of strain-driven (S-K) 3D island growth, but also a promising material system for electronic and optoelectronic applications. Most recently, laterally ordered Ge quantum dots were proposed as building blocks for quantum computing and quantum information storage. Both applications require perfectly ordered Ge dots to allow external addressing. In previous work, we have realized perfectly ordered SiGe and Ge island arrays by preferential nucleation on nanostructured substrates [1]. Under optimized growth conditions the islands nucleate at the bottom of reactively ion etched pits, which assume the shape of truncated inverted pyramids with sidewall inclinations of around 8° after overgrowth with a thin Si buffer layer. This nucleation site is rather surprising, because it appears to be the least favorable site for strain relaxation within the pit template. To gain better understand of the underlying mechanisms, we studied the very early stages of Ge coverage [2]. The experiments show that the Ge wetting layer develops a complex, but highly symmetric morphology on the inclined sidewalls of the pits. This pattern is driven by strain- and surface energy minimization, and leads after the deposition of typically three monolayers of Ge to a conversion of the pit sidewalls into trains of radially oriented prisms of triangular cross section and surface termination by two adjacent {105} facets. [3] In the corner regions of the pits, (001) terraces form were two of these prisms from adjacent sidewalls intersect. This way, the pit surface becomes entirely covered by {105} and (001) facets. We attribute the subsequent island nucleation to Ge accumulation at the bottom of the pits, which is driven by capillarity and the enhanced surface diffusion on the by now {105} faceted sidewalls of the pits. We systematically varied the growth conditions, and found that the morphological pattern of the wetting layer in the pits is stable over a growth temperature range of at least 100°C. We also increased the diameter of the etch pits until neighboring pits overlap. This leaves a hill-dominated morphology on the substrate, which evolves during wetting layer growth into an entirely equivalent, but now convex, morphology. We therefore conclude that the morphological evolution of a Ge wetting layer on pit or hill patterns is a generic feature that develops over a wide range of growth conditions. It can be ascribed to a combination of step meandering on the inclined sidewalls, and step bunching in the corner regions of the pits or hills. The simultaneous occurrence of these two mechanisms is mainly driven by low-energy facet formation in combination with the confined geometry of the employed templates. [1] Z. Zhong et al., J. Appl. Phys. 93, 6258 (2003)[2] G. Chen, et al., cond-mat/0602175[3] H. Lichtenberger et al., Appl. Phys. Lett. 86, 131919 (2005)
3:45 PM - L4: Ge/SiGeMEMS
BREAK
4:15 PM - **L4.5
Challenges in the Fabrication of Advanced MEMS.
Avi Kornblit 1 , Vladimir Aksyuk 1 , Cristian Bolle 1 , J. Bower 1 , Raymond Cirelli 1 , Harold Dyson 1 , Ed Ferry 1 , Linus Fetter 1 , Robert Fullowan 1 , Arman Gasparyan 1 , Christopher Jones 1 , Robert Keller 1 , Fred Klemens 1 , Warren Lai 1 , O. Lopez 1 , William Mansfield 1 , John Miner 1 , Chien-Shing Pai 1 , Flavio Pardo 1 , Maria Simon 1 , Thomas Sorsch 1 , J. Taylor 1 , Donald Tennant 1 , Brijesh Vyas 1 , George Watson 1
1 , Lucent Technologies Bell Labs, Murray Hill, New Jersey, United States
Show AbstractAs higher performance and complexity is demanded from advanced MEMS, the processes required to fabricate them are becoming much more demanding. Although many techniques used in IC manufacturing can be adapted to MEMS fabrication, the films used could be considerably thicker. The potential stress associated with these films, besides having an impact on the individual device, can lead to a significant wafer-bow, far exceeding the specifications of advanced semiconductor processing tools. Stress management is now one of the most important requirements in advanced MEMS fabrication.Advanced MEMS, in addition to having sub-micron features, are highly complex devices with a large number of elements. Step and repeat (or step and scan) cameras can deliver the required dimensions, but they are limited by their field size. When large-area devices are needed, the repeated patterns can be tiled or stitched, thus enabling the realization of large MEMS with sub-micron features. Since the photoresists used by these advanced tools are sometimes too thin for the long dry etching steps, sacrificial intermediate layers are used as hard masks.Deep silicon etching (or bulk micromachining) is challenging in terms of dimension, profile and uniformity control, and the realization of high etching rates. Fluorine based chemistries are commonly used to achieve high etching rates, and the profile is controlled either by cryogenic or switched etching processes. In the former the etched profile sidewall is passivated during the etch process, while in the latter (known also as the ‘Bosch process’), sequential etching and deposition steps are used to achieve the same result. Small dimension, high-aspect-ratio structures are common in advanced MEMS devices. In addition to patterning and etching these challenging structures, void-free deposition of dielectrics and polysilicon (and in some cases metal) is needed. There are numerous ways to deposit theses films, which in many instances are sacrificial. Similar films that have been used in the past in the IC industry for gap-fill, can be used for MEMS fabrication as well. In some cases these layers have to be planarized, requiring a challenging chemical-mechanical-polishing step, with high uniformity and little or no ‘dishing.’ Since some of the structures contain cantilevers or mirrors that have to be flat, film stress control is an absolute must. Structures made of multiple films (e.g. silicon mirrors coated with metal) have to be flat not only after fabrication, but must remain flat after being placed in a system, and are expected to remain flat and function reliably for many years.MEMS release is another challenging aspect of fabrication advanced MEMS, both in terms of avoiding stiction, and avoiding attack of metals that are present during the release process. A number of solutions, using both wet and dry chemistries are available for this process.All of the above issues and ways to address them will be discussed.
4:45 PM - L4.6
Piezoresistance in Strained Silicon and Strained Silicon Germanium.
Jacob Richter 1 , M. Arnoldus 1 , A. Larsen 2 , J. Hansen 2 , O. Hansen 1 , E. Thomsen 1
1 Department of Micro and Nanotechnology, Technical University of Denmark, Kgs. Lyngby Denmark, 2 Institute of Physics and Astronomy, University of Aarhus, Aarhus Denmark
Show AbstractThis paper presents experimental results of the piezoresistance of p-type strained silicon and strained silicon germanium grown on (100) substrates. Today, these strained materials are used in high speed electronic devices. We investigate if the area of use for these strained layers can be expanded to also cover MEMS and NEMS devices. Previous work by the authors have shown that a strained material can obtain a larger piezoresistance compared to the relaxed material. The piezoresistance is measured by four point measurements on resistors oriented in different directions.The fabrication of the chips is done using low temperature processes to avoid relaxation of the strained layers. 150 nm thick strained Si layers are grown on a graded Si1-xGex (0≤x≤0.1) n-type buffer layer by molecular beam epitaxy, MBE. The strained Si0.9Ge0.1 layers are grown on a silicon buffer layer. For reference an unstrained Si layer is grown on a Si substrate. All layers are in situ doped with a boron concentration of NA=1018 cm-3. A preliminary anisotropic etch is performed in order to determine the crystal orientation with an uncertainty of ±0.1°. The resistors are isolated with a reactive ion etch and an oxide is deposited for isolation by plasma enhanced chemical vapor depostion. Ti/Al metal conductors are patterned by a lift off process and finally the chips are defined by an advanced silicon etch.The test chips are placed in a four point bending fixture and thus subjected to a uniaxial and uniform stress in the center region of the chip. In this region the piezoresistors are located.In Si the piezoresistivity tensor consists of three independent coefficients, π11, π12, and π44. In strained Si and strained Si0.9Ge0.1 the number of these independent coefficients rise to six, where the π66 coefficient is comparable to the π44 coefficient for Si. The table lists the experimental values obtained for the π44 coefficient in Si and for the π66 coefficient in strained Si with a buffer layer of Si0.9Ge0.1 and strained Si0.9Ge0.1 with a Si buffer layer. The table shows that the piezoresistance depends on how the material has been pre-strained. Strained Si grown on a relaxed Si0.9Ge0.1 buffer layer experiences a tensile strain in the surface plane whereas strained Si0.9Ge0.1 grown on a Si substrate experiences a compressive strain in the surface plane. The piezoresistance decreases in a tensile strained layer and increases in a compressive strained layer.The results show that one can tune the piezoresistance by tuning the strain in the piezoresistor and thus tailor the performance of the device.
5:00 PM - L4.7
Elastically Strain-Shared Nanomembranes: A New Route to High-Quality Strained Silicon.
Shelley Scott 1 , Michelle Roberts 1 , Donald Savage 1 , Arrielle Opotowsky 1 , Max Lagally 1
1 , University of Wisconsin, Madison, Wisconsin, United States
Show AbstractStrain engineering in Si and SiGe based materials offers the potential to increase electron mobility and tune band offsets, providing an additional parameter available in device design [1]. However, a key challenge lies in achieving a high level of strain control and processing simplicity, while suppressing the generation of dislocation defects. We present a study of elastic strain sharing in Si:SiGe:Si heterostructure membranes. Membranes are grown epitaxially by chemical vapor deposition (CVD) on the Si template layer of a (001) silicon-on-insulator (SOI) substrate. Selective etching of the buried oxide layer releases the heterostructure from the handling substrate, allowing relaxation by elastically transferring strain from compression in the alloy layer, into tensile strain in the Si layers. Using X-ray diffraction (XRD), we show that released membranes with composition 48nm Si : 128nm Si0.84Ge0.16 : 56nm Si, produce strain in the Si layers of 0.3%, corresponding to transfer of 50% of the alloy layer strain into tensile strain in the Si layers. XRD linescans exhibit thickness fringes and narrow peak widths, indicating high-quality (negligible dislocation density) single-crystal strained silicon. By tuning the relative layer thicknesses and alloy layer composition, we can modify the strain in a predictable and reliable manner [2]. In addition, the strain status is preserved after the membranes are transferred to foreign substrates. We have recently expanded this work to strained Si(110) membranes. Hole mobility is known to be significantly higher in Si(110) than it is in Si(001), but is less than the Si(001) electron mobility. Very recently it has been shown that strained Si(110) exhibits a significant improvement over unstrained Si(110) in both electron and hole mobility [3]. Consequently strained Si(110) nanomembranes have the potential to offer substantially increased performance to p-type MOSFET devices. Another option we have begun exploring, is fabrication of membranes with strain patterns, which has potential for local band gap engineering and adding directionality to the strain transfer. In this case, patterns of reduced Si template layer thickness are etched prior to growth, allowing increased strain transfer to these thin regions when the membrane relaxes. Time permitting, the early results of these new studies will also be presented.Research supported by NZ Foundation for Research Science & Technology, DOE, and NSF.[1]F. Schaffler, High-mobility Si and Ge structures. Semicond. Sci. Technol. 12, 1515 (1997)[2]M. M. Roberts, et al., Elastically relaxed free-standing strained-silicon nanomembranes. Nature Materials 5, 388 (2006)[3]T. Mizuno, et al., (110)-surface strained-SOI CMOS devices. IEEE Trans. Electron Devices 52(3), 367 (2005)
5:15 PM - L4.8
Local Strain Measurements on Sub-100nm Strained Si/SiGe CMOS Device Strucutres with Convergent Beam Electron Diffraction and Finite Element Simulation.
Wenjun Zhao 1 , Gerd Duscher 1 2 , Mohammed Zikry 3 , George Roagonyi 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Condensed Matter Division, Oak Ridge National Lab, Oak Ridge , Tennessee, United States, 3 Mechanical and Aerospace, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractConvergent beam electron diffraction technique (CBED) is considered to be the only method of choice for local strain measurement on a nano-meter scale on sub-100nm CMOS device structures due to its high sensitivity and high spatial resolution. However during TEM sample preparation, the initial strain in the bulk starts to relax, especially in the channel region and the interfaces, when the sample is thinned down to a few hundred nano meter for electron transmission. This not only causes the HOLZ line broadening or splitting which makes it hard to detect HOLZ lines, but also raises a question: is the strain we extract from a CBED pattern the same as the initial strain in the bulk, if a clean CBED pattern is obtained? Our objectives are to extract the initial strain state by analyzing HOLZ line splitting aided with finite element simulation, as well as to develop a procedure to suppress the strain deformation during TEM sample preparation so that a clean and sharp CBED pattern can be obtained.Initially, a 2-D model of Si on a Si0.8Ge0.2 substrate was set up to validate the parameters used for finite element simulation. Then an initial strain of 8.2E-3 was introduce by applying a uniform temperature change to the sample. The simulation results indicate that the sample deformation is localized near the interface. The deformation angle was calculated using the electron beam column approximation. A curve of deformation angle against the distance from the interface was obtained. Experimental data was obtained from a blanket Si/Si0.8Ge0.2 structure by converting the measured HOLZ line splitting to a tilt angle at different positions along the interface. The experimental and simulation data matched very well. This consistency not only tells that the parameters used for finite element simulation are valid and the model is correct, but also quantitatively explains the origin of HOLZ line splitting in a lattice-mismatched heterostructure.The 2-D and 3-D models with appropriately chosen parameters were then used to simulate different structures. A correlation of initial strain in the bulk with the measured strain was then carried out. The combination of CBED and finite element simulation was further applied to study the effect of NiSiGe layer on strain on an actual CMOS structure. The preliminary results show that NiSiGe suppresses the relaxation of SiGe thereby reducing the strain in the channel region.
5:30 PM - L4.9
Silicon on Lattice-Engineered Silicon: Fabrication, Thermal Stability, and GaAs Integration
Carl Dohrman 1 , David Isaacson 1 , Kamesh Chilukuri 1 , Minjoo Lee 1 , Eugene Fitzgerald 1
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractWe report the fabrication of a novel substrate platform for the monolithic integration of Si-based CMOS and GaAs-based optoelectronic devices. This platform, which we refer to as Silicon on Lattice-Engineered Silicon (SOLES), consists of a compositionally graded SiGe buffer buried underneath an SOI structure, all fabricated on a Si substrate. The SiGe graded buffer was grown by UHVCVD and was capped with Ge; this buffer provides a threading dislocation density (TDD) of ~10^6 cm^-2. While these SiGe graded buffers have been proven by previous studies to be an effective platform for fabrication of GaAs-based LEDs, lasers, and solar cells on Si substrates, the integration of both Si- and GaAs-based devices on a single chip using this technique is hampered by the large thickness (~10um) of the SiGe graded buffer. SOLES eliminates this drawback by the addition of the SOI structure on top of the Ge-rich cap. This approach provides for a Si device layer in close proximity to the GaAs-based device layer, thereby simplifying the monolithic integration of Si- and GaAs-based devices with this platform. Fabrication consists of layer transfer of Si to an oxide-coated graded buffer using oxide-oxide wafer bonding followed by hydrogen-induced layer exfoliation of the Si layer from its donor wafer. Our results show this layer transfer occurs reliably across the entire wafer, making it amenable to commercial application. We have explored the thermal stability of this structure at temperatures up to 1000 C for a variety of annealing times. In addition, progress in integrating GaAs-based semiconductors with the SOLES platform will be discussed.
5:45 PM - L4.10
Development of a Low Temperature 4 Probe STM and Electrical Conductivity Measurement of One Dimensional Surface Super Structure.
Rei Hobara 1 , Naoka Nagamura 1 , Shinya Yoshimoto 1 , Iwao Matsuda 1 , Shuji Hasegawa 1
1 School of Science, Depertment of physics, The University of Tokyo, Bunkyo-ku, Tokyo, Japan
Show Abstract We have developed two new instruments for measuring electronic transport in microscopic scales[1-6]. One is a four-tip STM[1-3]. This instrument has four STM heads driven independently and special electronics for four-point probe conductivity measurements using the four tips. We can arrange the four tips in arbitrary ways on a sample surface. With this machine, we have found a strong anisotropy in the conductivity of a one dimensional metallic Si(111)-4x1-In surface[2] and vicinal Si(111)-/3x/3-Ag surface[7] by placing the tips in square. We also investigate electrical characteristics of CNTs and CNT tips[8, 9] using tips as small manipulator. But this machine can be operated only at room temperature. The other one is variable-temperature monolithic micro-four-point probe machine[4,5], which have four conductive micro-cantilevers in line with equidistance from each other on a chip. Electrical conductance is measured by touching cantilevers to sample surface directly. We have detected a drastic change in the conductivity at a charge-density-wave transition of Si(111)-4x1-In surface to 8x2 around 130K[5,6]. These two instruments complement to each other successfully, but they are not sufficient. For example, we cannot examine the relation between anisotropic conductance and conductance increase at low temperature of a 4x1-In surface. To investigate phase transitions on surfaces, ballistic conductance in nanometer scales, or other interesting phenomena in microscopic objects, we need to measure the conductivity both at variable temperatures and in various probe arrangements. In addition to these complemental studies, we can also acquire electron propagator called Retarded Green Function[10] if we can detect ballistic current between two tips in tunneling condition. Thereby we has developed a new instrument, Low Temperature Four-Tip STM, which has similar four independent STM scanners as the former one and cooling system using liquid He. It can cool the sample to 8K. We can measure the microscopic conductivity at various temperatures and probe arrangements under SEM in UHV surroundings. In this presentation, we will present the basic design and performance of this new instrument. We will also discuss the temperature dependence of the conductivity and its anisotropy in a Si(111)-4x1-In surface.References1) S. Hasegawa, et al., Curr. App. Phys. 2, 465 (2002).2) T. Kanagawa, et al., Phys. Rev. Lett. 91, 036805 (2003).3) I. Shiraki, et al., Surf. Sci. 493, 633 (2001).4) S. Hasegawa, et al., J. Phys.: Condens. Matter 14, 8379 (2002).5) T. Tanikawa, et al., e-J. Surf. Sci. Nanotech. 1, 50 (2003).6) T. Tanikawa, et al., Phys. Rev. Lett. 93, 016801 (2004).7) I. Matsuda, et al., Phys. Rev. Lett. 93, 236801 (2004).8) R. Hobara, et al., Jpn. J. App. Phys. 43, 1081 (2004).9) S. Yoshimoto, et al., Jpn. J. App. Phys 44, 1563 (2005).10) Q. Niu, et al., Phys. Rev. B 51, 5502 (1995).
Symposium Organizers
Leonid Tsybeskov New Jersey Institute of Technology
David J. Lockwood National Research Council
Christophe Delerue IEMN
Masakazu Ichikawa The University of Tokyo
Anthony W. van Buuren Lawrence Livermore National Laboratory
L5: Group IV Nanowires I
Session Chairs
Wednesday AM, November 29, 2006
Room 207 (Hynes)
9:30 AM - **L5.1
Kinetic Factors Controlling VLS Growth of Si and Ge Nanowires.
Jerry Tersoff 1 , Suneel Kodambaka 1 , Frances Ross 1
1 , IBM Watson Center, Yorktown Heights, New York, United States
Show Abstract10:00 AM - L5.2
Electrical Characteristics and Chemical Stability of Non-Oxidized, Methyl-Terminated Silicon Nanowires.
Hossam Haick 1
1 Division Chemistry and Chemical Engineering, California Institute of Technology, Pasadena, California, United States
Show AbstractThe ability to manipulate the conductivity of nanowires (NWs) through chemical surface modification is important for the realization of NW-based electronics. Surface functionalization is important in constructing molecular electronic tunneling and coupling bridges to link physically, and control electronically, contacts between NWs. Surface functionalization is also important to impart control over the chemical and biological interactions of NWs with their environment and thereby to enable NW molecular sensing applications. Oxide-coating of a Si NW is thought to induce trap states at the Si/Si-oxide interface, and acts as a dielectric which lowers, and ultimately can limit, the effect of the gate voltage on manipulating the transconductance between the source and drain of Si NW-based FETs, as well as the response of oxide-coated Si NW chemical sensors to their environment. We repot herein that Si NWs modified by covalent Si-CH3 functionality, with no intervening oxide, show atmospheric stability, high transconductance values, low surface defect levels, and allow for the formation of air-stable Si NW FET’s having on-off ratios in excess of 105 over a relatively small gate voltage swing (±2 V). The performances of CH3-Si NW FETs were compared to SiO2-coated NW (hereby, SiO2-Si NW) and H-terminated Si NWs (hereby, H-Si NW). For “fresh” samples (i.e., before exposure to air), the average conductance of H-Si NWs and CH3-Si NWs at zero back gate voltage was ca. 4- and 7-folds higher, respectively, than that of the SiO2-Si NWs. Using a cylinder on an infinite plate model yielded estimates for μh of 18±4 cm2 V-1 s-1 for SiO2-Si NW, 123±3 cm2 V-1 s-1 for H-Si NWs, and 140±2 cm2 V-1 s-1 for CH3-Si NWs. Voltage-dependent back-gate voltage measurements as a function of time in air revealed substantial differences between SiO2-Si NWs, H-Si NWs and CH3-Si NWs. The mobility of SiO2-Si NWs did not change, within experimental error, as a function of time in air. In contrast, the mobility of H-Si NWs decreased continuously, dropping from 123 to 87 cm2 V-1 s-1 after 4 weeks (672 h) exposure to air, with the highest rate of degradation during the first 3 h of exposure to air. For CH3-Si NWs, the mobility decreased upon exposure during the first week (=164 h) by ca. 11%, from 140 to 124 cm2 V-1 s-1, after which time it stabilized at a level that was comparable to the initial value of H-Si NW hole mobility (i.e., at t= 0). We conclude that details of the passivation process can completely change the resulting device characteristics, and that surface alkylation through Si-C chemistry can be of utility in forming Si NW electrical devices and Si NW chemical and biological sensors with highly desirable properties under molecular level control.
10:15 AM - L5.3
Laser Annealing of Silicon Nanowires
Nipun Misra 1 , Li Xu 1 , Costas Grigoropoulos 1 , Nathan Cheung 2 , Yaoling Pan 3
1 Mechanical Engineering, University of California, Berkeley, Berkeley, California, United States, 2 Electrical Engineering, University of California,Berkeley, Berkeley, California, United States, 3 , Nanosys Inc., Palo Alto, California, United States
Show Abstract Semiconductor Nanowires are promising building blocks for the next generation of electronic devices, chemical and biological sensors and photonic systems. Dopant activation in these nanowires has commonly been accomplished by thermal annealing. Laser Annealing has emerged as an attractive technique of fabricating ultra-shallow junctions in MOS devices, owing to its low thermal-budget. Rapid heating and cooling of the samples limits the diffusion of dopants, aiding the formation of shallow junctions. Additionally, the damage to underlying layers and substrate tends to be minimal. Furthermore, laser annealing is compatible with flexible plastic substrates since it is a low-temperature process. In this work, we successfully demonstrate non-melt laser annealing of silicon nanowires (SiNWs) as an efficient method for the electrical activation of implanted dopants and restoration of crystalline structure in the wires. The KrF Excimer (248nm) and Nd:YAG (532nm) lasers were employed in this study. By controlling the lasing parameters – fluence and number of pulses, dopant activation levels comparable to Rapid Thermal Annealing (RTA) were achieved. Post annealing structural characterization showed that laser annealing can remove the residual implantation damage. In situ electrical measurements of boron-implanted SiNWs were used to study the dopant activation during the pulsed laser annealing process. Even though the melting threshold of the nanowires in laser annealing process was found to be lower than that for bulk silicon, it was possible to provide sufficient energy for the activation of dopants without melting or damaging the wires. Electrical monitoring data was found to be consistent with structural characterization results.
10:30 AM - L5.4
Band-gap Modulation in Single-crystalline Si1-xGex Nanowires.
Jee-Eun Yang 1 , Chang-Beom Jin 1 , Cheol-Joo Kim 1 , Yosep Yang 1 , Chan-Gyung Park 1 , Moon-Ho Jo 1
1 Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Kyungbuk, Korea (the Republic of)
Show AbstractGroup IV semiconductor Si1-xGex alloys offer a continuously variable system with a wide range of crystal lattices and energy-band gaps, leading to various electrical and optical properties. Of particular interest is the continuous variation in the energy band-gap in Si1-xGex, since it potentially allows enhanced light emission and detection in the wavelength range of optical fiber communication and thus provides challenging opportunities for Si optoelectronics. Here we report growth of single crystalline Si1-xGex nanowires, whose relative composition is controllably tuned over the entire composition range by Au-catalyst assisted chemical vapor syntheses. We then present experimental demonstration of the band-gap modulation from near infrared to ultraviolet regions with alloying of Si and Ge, and their spatial confinement at the nanometer scale. Specifically we show that the optical absorption band-edge shifts to a lower energy in the near infrared range with increasing Ge content, and also show that the band-edge shifts to a higher energy in the ultraviolet range with decreasing diameter of the nanowires below 20 nm. Our finding demonstrates that the energy band-gap of Si1-xGex nanowires can be modulated in a wider energy range, and suggests implications for group IV semiconductor optoelectronics.
10:45 AM - L5.5
Silicon and Germanium Nanowire Synthesis: Catalytic Reactant Decomposition and Solid-Phase Seeding by Nanocrystals in Organic Solvents.
Hsing-Yu Tuan 1 2 3 , Doh Lee 1 2 3 , Brian Korgel 1 2 3
1 Chemical Engineering, University of Texas at Austin, Austin, Texas, United States, 2 Texas Materials Institute, University of Texas at Austin, Austin, Texas, United States, 3 Center for Nano- and Molecular Science and Technology , University of Texas at Austin , Austin, Texas, United States
Show AbstractThe familiar gold-seeded vapor-liquid-solid (VLS) approach to nanowire synthesis gives high yields of single crystalline Si and Ge nanowires at relatively low temperature. However, Au traps electrons and holes in both Si and Ge and Au-seeding nanowire is not desirable for electronic applications. We have examined a range of different nanocrystals for Si and Ge nanowire synthesis, including Co, Ni, CuS, Mn, Ir, MnPt3, Fe2O3, and FePt. Si and Ge nanowires were grown by decomposing organosilanes or organogermanes in supercritical high temperature (500°C) and high pressure (10.3MPa) toluene. Ni and Co nanocrystal were both found to produce high quality Si and Ge nanowires with high yields.Co gave the highest yield and quality of both Si and Ge nanowires, rivaling Au-seeded reactions. Ni nanocrystals also produced crystalline Si and Ge nanowires with good yield. CuS nanocrystals produced straight crystalline Si nanowires but slightly shorter lengths (3-10 µm) and Fe2O3 nanocrystals produced high quality Ge nanowires but not a good catalyst for seeding Si nanowires.Both Co and Ni have eutectic temperatures with Si and Ge that were well above the nanowire growth temperature. Unlike Au nanocrystals, which seed nanowire growth through the formation of a liquid Au:Si (Au:Ge) alloy, Co and Ni appear to seed nanowires by forming solid silicide alloys. The small seed particles diameter (i.e., 5-10 nm) can be saturated by solid-state diffusion which is fast enough to keep up its nanowire growth. We call this solid-phase seeding mechanism “supercritical fluid-solid-solid” (SFSS) growth.Co and Ni nanocrystals were also found to catalyze Si nanowire growth from silanes that do not yield nanoiwres with Au nanocrystals. Both octylsilane and trisilane do not yield nanowires in the presence of Au nanocrystals. Co and Ni catalyze reactant decomposition and then promote nanowire growth by a solid phase seeding mechanism. Using catalytic seed metals to promote nanowire growth could lower growth temperature and might represent a general approach for controlled nanowire growth.
11:00 AM - L5: GroupNano1
BREAK
11:30 AM - L5.6
Deterministic Nanowire Growth.
Jacob Woodruff 1 , Joshua Ratchford 1 , Hemanth Jagannathan 2 , Yoshio Nishi 2 , Christopher Chidsey 1
1 Chemistry Department, Stanford University, Stanford, California, United States, 2 Electrical Engineering, Stanford University, Stanford, California, United States
Show AbstractIn the search for new methods to define active device elements in nanoscale 2D and 3D electronics, solar cells, and sensors, the use of chemical vapor deposition (CVD) grown germanium nanowires shows promise due to their sub 400°C growth temperature and high carrier mobilities. However, in order for CVD grown nanowires to be realized as a viable technology for future applications, the ability to control the nanowire’s position, diameter and orientation is crucial. This type of control during nanowire growth we are designating “deterministic nanowire growth.” In this presentation, a description of some of the key factors influencing deterministic nanowire growth is presented as well as methods that have been used to obtain this goal. These methods include site selective catalyst definition by physical and electrochemical means, hetero-epitaxy of germanium nanowires from silicon substrates, via-directed growth, and control of CVD growth conditions.
11:45 AM - L5.7
Vertical Growth and Characterization of Single Crystalline SiGe Alloy Nanowires.
Han-Kyu Seong 1 , Tae-Eon Park 1 , Hee-Chul Han 1 , Eun-Kyoung Jeon 2 , Jeong-O Lee 2 , Ju-Jin Kim 3 , Heon-Jin Choi 1
1 School of Advanced Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of), 2 Advanced Materials Division, Korea Research Institute of Chemical Technology, Daejeon Korea (the Republic of), 3 Department of Physics, Chon-buk National University, Chon-ju Korea (the Republic of)
Show AbstractSi-Ge alloy system changes the band structure relative to that of pure Si and Ge and, hence, changes the optical and electrical properties, creating the potential for band gap engineering in Si based electronic device. Bulk of works has been carried out to exploit the potential. However, a difficulty in the growth of high-quality Si-Ge alloy acts as a hurdle to achieve the band gap engineering. Meanwhile, semiconductor nanowires have been attracted greatly due to their novel physical and chemical properties as well as uniqueness as building blocks for realizing nanodevices. Importantly, Si and Ge nanowires have a great potential for CMOS compatible nanowires-based electronics and optoelectronics. Herein we report on the growth and characterization of single crystalline Si1-xGex (x: 0 ~ 0.3) alloy nanowires. The nanowires with the diameters of < 100 nm and lengths of several micrometers were vertically grown on the silicon substrates by vapor-liquid-solid (VLS) mechanism. From the measurement of core level spectra of Si 2p and Ge 3d by using synchrotron radiation photoemission spectroscopy (SRPES), the binding energies of the nanowires were not changed with the amount of Ge while the position of the valence band maximum (VBM) were shifted towards a lower energy. The Fourier transformed curves of experimental extended X-ray absorption fine structure (EXAFS) at Ge K-edge indicated that the first peak around 1.99 Å corresponding to the Ge-Ge bond length (first nearest neighbor ions) decreased slightly with the amount of Ge. The electrical properties from the nanowires based transistor structures showed pronounced p-type transport behavior, with on/off ratios as high as 106. Also, strong diode effect observed from the Ni-contacted Si0.7Ge0.3 nanowire, and the break-down did not occur until we increased the reverse bias voltages to -1 V. Based on the experimental results, the possible application as building blocks for high performance nanodevices as well as the growth and properties of SiGe alloy nanowires will be discussed.
12:00 PM - L5.8
Growth Characteristics and Properties of Small Diameter Silicon Nanowires.
Pramod Nimmatoori 1 , Trevor Clark 2 , Elizabeth Dickey 2 , Joan Redwing 2
1 Department of Chemical Engineering, Pennsylvania State University, State College, Pennsylvania, United States, 2 Department of Materials Science and Engineering and Materials Research Institute, Pennsylvania State University, State College, Pennsylvania, United States
Show Abstract12:15 PM - L5.9
Transport Characterization and Device Applications of P-donor Nanowires in Silicon.
Tsung-cheng Shen 1 , S. Robinson 1 2 , J. Tucker 2
1 Department of Physics, Utah State University, Logan, Utah, United States, 2 Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractThree-dimensional carrier transport in doped semiconductors was extensively investigated in the 1980s as models of disordered systems. However, carrier transport in reduced dimensions has not been much studied experimentally because of the difficulty of confining dopant distribution in a crystal. In the past few years, we have successfully embedded single atomic layers of P in Si by exposing Si(100) surfaces to PH3 molecules in ultrahigh vacuum followed by low-temperature epitaxial Si growth. Electron densities in these δ-layers can be as high as 1.5x1014 cm-2, hence it should remain metallic at zero temperature. We find that surface roughness dictates the carrier mobility and activation even though all surfaces are locally ordered. The logarithmic temperature dependence of the resistance down to 0.3 K clearly demonstrates the two-dimensional nature of the δ-layers. Furthermore, we can confine the 2D δ-layers laterally by applying STM e-beam lithography on a single-layer H-resist to define P-donor wires with widths from 200 to 5 nm. At sufficient low temperatures, the width is smaller than the phase coherence length of electrons and the wires are considered to be quasi-1D. The resistance of these wires, however, does not follow the typical T-p behavior as reported in systems of metal wires and narrow Si-MOSFET inversion layers. In this presentation, we will discuss the results of the electrical and magnetoresistance measurements of the P-donor wires as functions of temperatures and their implications. The goal of this research is to use such P-donor patterns as building blocks for nanoscale integrated circuits. This work is supported by NSF-NIRT under Grant No. CCF-0404208.
12:30 PM - L5.10
Synthesis and Optical Properties of Silicon Nanowires
Billel Salhi 1 2 , Bernard Gelloz 3 , Nobuyoshi Koshida 3 , Gilles Patriarche 4 , Rabah Boukherroub 1 2
1 Institut de Recherche Interdisciplinaire (IRI), CNRS, Villeneuve d'Ascq France, 2 Institut d'Electronique, de Microélectronique et de Nanotechnologie, CNRS, Villeneuve d'Ascq France, 3 Graduate School of Engineering, Tokyo University of Agriculture and Technology, Tokyo Japan, 4 Laboratoire de Photonique et de Nanostructures, CNRS, Marcoussis France
Show AbstractSemiconductor nanowires have attracted great attention owing to their submicron ultimate feature size, to the expected original electrical and optical properties and the potential applications in the field of nanoelectronics, high-speed field effect transistors, bio and chemical sensors, and light-emitting devices with low power consumption [1-5]. The aim of the present work is to investigate the optical properties of SiNWs and to study the effects of a high-pressure water vapor annealing (HWA) treatment on the photoluminescence (PL) [6]. The synthesis of SiNWs with controlled and stable optical properties will have potential applications in various fields ranging from optical devices to nanobiosensors with high sensitivity.SiNWs with 20 nm diameter were synthesized on porous silicon substrate using the VLS process [7]. Scanning electron microscopy (SEM) analysis showed silicon nanowires with 20 nm diameter and few microns long. After HWA treatment (1.3 MPa at 260°C), a slight increase of the SiNWs diameter was observed, consistent with surface oxidation of the silicon nanowires. Increasing the pressure during the water vapor annealing to 2.6 MPa at 260°C led to a significant increase of the silicon nanowires diameter.The effects of a treatment based on high-pressure water vapor annealing on (SiNWs) have been investigated in terms of the photoluminescence (PL) efficiency and stability. The HWA treatment at two different pressures (1.3 and 2.6 MPa) and temperature (260°C) was examined. Freshly prepared SiNWs display a weak PL peak and most likely results from silicon nanowires with small diameters (< 10 nm). Surface oxidation of the nanowires using HWA technique at 1.3 MPa led to PL intensity enhancement by a factor 1.5, while the PL peak wavelength remained unchanged (~ 700 nm). HWA treatment at higher pressure (2.6 MPa) caused a PL intensity increase by a factor 10 and a blue shift (~ 20 nm) of the PL emission. The observed blue shift in the PL emission after HWA treatment is related to silicon nanowire oxidation and to decrease of the mean diameter of the SiNWs crystalline core. The high stability of the PL indicates that the SiO2 tissue surrounding the silicon nanowire is of high quality. The HWA technique has several advantages over other techniques for fabrication of luminescent silicon-based nanostructures [8].1. Y. Cui, Z. Zhong, D. Wang, W. U. Wang, C. M. Lieber, Nano Lett. 3, 149 (2003). 2. Y. Cui and C. M. Lieber, Science 291, 851 (2001)3. J.-W. Chung, J.-Y. Yu, and J. R. Heath, Appl. Phys. Lett. 76, 2068 (2000).4. Y. Cui, Q. Wei, H. Park, C. M. Lieber, Science 293, 1289 (2001). 5. J.-in Hahm, C. M. Lieber, Nano Lett. 4, 51 (2004).6. B. Gelloz, A. Kojima, N. Koshida, Appl. Phys. Lett. 87, 031107 (2005).7. B. Salhi, B. Grandidier, R. Boukherroub, J. Electroceram. 16, 15 (2006)8. B. Salhi, B. Gelloz, N. Koshida, G. Patriarche, R. Boukherroub, Phys. Stat. Sol. (C) (2006)
12:45 PM - L5.11
Saw-tooth Faceting in Silicon Nanowires Related to Gold Migration.
Vladimir Sivakov 1 2 , Gudrun Andrae 2 , Samuel Hoffmann 4 , Johann Michler 4 , Roland Scholz 1 , Reinhard Schneider 1 , Ulrich Goesele 1 , Silke Christiansen 1 3
1 , Max Planck Institute of Microstructure Physics, Halle Germany, 2 Laser Technology, Institute of Physical High Technology, Jena Germany, 4 , EMPA, Thun Switzerland, 3 Physics, Martin Luther University Halle-Wittenberg, Halle Germany
Show AbstractL6: Group IV Nanowires II
Session Chairs
Ulrich Goesele
Mark Lundstrom
Wednesday PM, November 29, 2006
Room 207 (Hynes)
2:30 PM - **L6.1
Properties and Fabrication of Silicon Nanostructures.
Ulrich Goesele 1 , Silke Christiansen 1 , Florian Kolb 1 , Alexey Milenin 1 , Manfred Reiche 1 , Volker Schmidt 1 , Roland Scholz 1 , Stephan Senz 1 , Tomohiro Shimizu 1 , Martin Steinhart 1 , Peter Werner 1 , Danilo Zschech 1
1 , Max Planck Institute of Microstructure Physics, Halle Germany
Show Abstract3:00 PM - L6.2
Synthesis and Properties of FeSi and Fe1-xCoxSi alloy Nanowires
Andrew Schmitt 1 , Lei Zhu 1 , Franz Himpsel 2 , Dieter Schmeisser 3 , Song Jin 1
1 Chemistry, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Department of Physics, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 , Lehrstuhl Angewandte Physik, Cottbus Germany
Show AbstractWe report the chemical synthesis of free standing single-crystal nanowires of the silicon based intermetallics FeSi and CoSi, alloys of which form the ferromagnetic semiconductor FexCo1-xSi. Nanowires are produced on silicon substrates covered with a thin layer of silicon oxide through decomposition of the single source organometallic precursors trans-Fe(SiCl3)2(CO)4 and Co(SiCl3)(CO)4, respectively, in a simple chemical vapor deposition process. Unlike typical vapor-liquid-solid nanowire growth, these silicide nanowires form without the addition of metal catalysts, have no catalyst tips, and depend strongly on the surface employed. X-ray spectroscopy verifies the identity and the room temperature state of silicide nanowires, and room temperature and temperature dependent transport properties have been determined. This general approach may lead to practical routes to other silicon based nanomaterials.
3:15 PM - L6.3
Simulating Optical Properties of Silicon Nanowires.
Hugh Wilson 1 , Giulia Galli 1 , Francois Gygi 1 , Sebastien Hamel 2 , Andrew Williamson 2 , Ed Ratner 3 , Dan Wack 3
1 Chemistry, University of California, Davis, Davis, California, United States, 2 , Lawrence Livermore National Laboratory, Livermore, California, United States, 3 , KLA-Tencor , Milpitas, California, United States
Show Abstract3:30 PM - L6.4
Magic structures of H-passivated Silicon nanowires
Cristian Ciobanu 1 , Ning Lu 2 , Tzu-Liang Chan 2 , Cai-Zhuang Wang 2 , Kai-Ming Ho 2
1 Division of Engineering, Colorado School of Mines, GOlden, Colorado, United States, 2 USDOE Ames Laboratory, Physics Department, Iowa State University, Ames, Iowa, United States
Show AbstractRecent experimental work has shown that Si nanowires with surface passivated by hydrogen can be produced by etching of oxide-sheath nanowires in hydrofluoric acid (D.D.D. Ma et al, Science 299, 1874 (2003)). Inspired by these experiments, we have set out to develop methods for finding the most favorable shapes of the crossection of the wires as a function of their diameter and axis orientation. We report here a genetic algorithm approach combined with density functional ab initio calculations to determine the structure of hydrogenated <110> Si nanowires. As the number of atoms per length increases, we find that the cross section of the nanowire evolves from chains of six-atom rings, to fused pairs of such chains, to hexagons bounded by {001} and {111} facets. We also present results on the same procedure applied to the structure of <112> oriented nanowires, and explain the shape transitions that occur in the small diameter range through a quantitative analysis of the repulsion between the wire faces.
3:45 PM - L6.5
Metal Catalysis-free, Direction-controlled Planar Growth ofSingle-crystalline Alfa-Si3N4 Nanowires on Si (100) Substrate.
Xiaoxin Wang 1 , Jifeng Liu 2 , Qiming Wang 1
1 , Institute of Semiconductors, Beijing China, 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambrdige, Massachusetts, United States
Show Abstract4:00 PM - L6: GroupNano2
BREAK
4:30 PM - **L6.6
Nanoscale Transistors: Physics and Materials.
Mark Lundstrom 1
1 Network for Computational Nanotechnology, Purdue University, West Lafayette, Indiana, United States
Show AbstractAfter forty years of advances in integrated circuit technology, microelectronics is undergoing a transformation to nanoelectronics. Modern day MOSFETs now have channel lengths less than 50 nm long, and billion transistor logic chips have arrived. Many researchers are exploring new materials and devices that might extend CMOS scaling, complement ultimate CMOS, or enable entirely new applications. My objective in this talk is to describe an approach to the understanding of nanotransistors that comes from the field of molecular electronics. Using a simple, physical approach, I’ll examine the device physics of 10nm-scale planar, silicon MOSFETs. I’ll address the questions: What is the ideal material for a MOSFET and is a nanowire MOSFET better, in principle, than a planar MOSFET?
5:00 PM - L6.7
Ultra Narrow Channel Accumulated Body Si MOSFET with Extreme Threshold Voltage Tunability
Ali Gokirmak 1
1 Electrical and Computer Engineering , Cornell University, Ithaca, New York, United States
Show AbstractMulti-gate structures have been investigated as possible alternatives to future generation CMOS devices as well as compound, multi-input building blocks for logic and analog applications. Multi-gate FETs combine the flexibility of electrostatic tuning of threshold voltage (Vt) of individual devices with control of leakage pathways at very short gate-lengths. The use of these devices as multi-input building blocks allows alternative circuit approaches, possibly achieving the same functionality with fewer devices, in a smaller area, with reduced interconnect delay and power consumption, and increased versatility. However, multi-input implementations can only be viable with devices with high level of sensitivity to all inputs.Earlier reports on high sensitivity Vt tunable devices predominantly refer to double-gate structures that utilize the effect of the electric field of a back-gate on the front channel of the transistor, with the two gates parallel to each other straddling a thin layer of Si channel. The structure in this work has control gates on the two sides of an ultra narrow fin (Wsi < 10 nm), perpendicular to the main gate. These side-gates are utilized to draw holes from the substrate and the independently controlled top-gate is used to from an inversion layer on the top-interface of this ultra-narrow channel device. Accumulation of the side-interfaces manifests as accumulation of the body of the FET for ultra narrow channel devices. This significantly reduces the depletion depth and results in electrostatic Vt control with a sensitivity far exceeding reports so far.The hole density in the body of a 7 nm wide transistor reaches 1019 cm-3 with application of -2 V on the side-gates. The threshold voltage response to side-gate exhibits a √–Vside behavior, similar to that of dopant density. The threshold voltage can be controlled in a range exceeding 3 V for 0 V > Vside > -2 V. The effect of the accumulated body is very similar to halo doping in short channel CMOS devices with the advantage of achieving much higher density and electrostatic controllability. The depletion depth under the channel, source and drain of the FET are significantly reduced due to accumulation of the body of the device resulting in suppressed short channel effects. Accumulated body devices exhibit off currents below 50 fA, are more immune to random dopant effects and edge effects. These structures are good candidates for low-power high performance CMOS as well as multi-input analog and digital components for alternative circuits approaches.Operation principle, fabrication procedures and details of electrical characteristics of ultra-narrow channel accumulated body FETs build on bulk Si platform will be presented.
5:15 PM - L6.8
Formation of a Thermally Stable NiSi FUSI Gate Electrode by a Novel Integration Process
Shiang Yu Tan 1 , Chin-Lung Sung 2 , Wen-Fa Wu 2 , Hsien-Chia Chiu 3 , Chun-Yen Hu 3
1 Electrical Engineering, Chinese Culture University, Taipei Taiwan, 2 , National Nano Device Laboratories, Hsinchu Taiwan, 3 Materials Science and Manufacturing , Chinese Culture University, Taipei Taiwan
Show Abstract5:30 PM - **L6.9
Terahertz Plasma Excitations in Nanometer Gate Length Silicon Field Effect Transistors.
Wojciech Knap 1
1 GES UMR5650, CNRS & Montpellier Univeresity, Montpellier France
Show AbstractL7: Poster Session: Silicon Nanostructures
Session Chairs
Thursday AM, November 30, 2006
Exhibition Hall D (Hynes)
9:00 PM - L7.1
Pressure - Stimulated Formation of Light-emitting Si Nanostructures in SiO2.
Gregory Kachurin 1 , Andrzej Misiuk 2 , Svetlana Cherkova 1 , Denis Marin 1 , Vladimir Volodin 1 , Zoya Yanovitskaya 1 , Jedrzej Jedrzejewski 3
1 SO RAN, Institute of Semiconductor Physics, Novosibirsk Russian Federation, 2 , Institute of Electron Technology , Warsaw Poland, 3 , Racah Institute of Physics, Hebrew University, Jerusalem Israel
Show Abstract9:00 PM - L7.10
Dielectric Matrix Influence on the Photoluminescence Properties of Silicon Nanocrystals.
Luigi Ferraioli 1 , Pierluigi Bellutti 2 , Nicola Daldosso 1 , Massimo Cazzanelli 1 , Viviana Mulloni 2 , Ugur Serincan 3 , Rasit Turan 3 , Alexey Mikhaylov 4 , David Tetelbaum 4 , Lorenzo Pavesi 1
1 Physics, University of Trento, Trento Italy, 2 , ITC-Irst, Trento Italy, 3 Physics, Middle East Technical University, Ankara Turkey, 4 Physico-Technical Research Institute, University of Nizhny Novgorod, Nizhny Novgorod Russian Federation
Show AbstractSilicon nanocrystals (Si-nc) formed in silicon based dielectrics are an extremely interesting material for opto-electronics. Despite the indirect band gap nature of silicon, the confinement of excitons in a quantum dot has demonstrated a significant enhancement of the luminescence efficiency and the possibility to obtain optical amplification [1-3]. Due to the small size, the surface to volume ratio of Si-nc is very large and their luminescence emission is heavily influenced by the surrounding medium. Despite the large amount of results on the luminescence of silicon nanocrystals the role of the matrix is still unclear. Aluminum oxide is considered an interesting dielectric for C-MOS technology [4] so it can be interesting to look at the formation of Si-nc in this dielectric and to compare their luminescence properties with that of Si-nc in silicon dioxide.We have performed a comparative photoluminescence analysis of Si-nc embedded in five different sets of samples. The first set is composed of PECVD silicon rich oxynitrides (SRON) samples deposited with N2O as precursor gas, this technique naturally incorporates nitrogen into the matrix, a percentage of 15–17% in the samples under evaluation. The second set is composed of silicon rich oxide (SRO) samples obtained by PECVD using O2 as precursor gas, this technique is capable of growing nitrogen free samples. The third group is composed of Si implanted silicon oxides to form SRO. While the fourth and fifth sets are crystalline sapphire and amorphous Al2O3 films implanted with Si ions to form silicon rich aluminum oxide (SRA). CW and time resolved photoluminescence measurements were carried out in order to keep in evidence the differences in the luminescence emission among the different samples.We show that incorporation of nitrogen can limit the Si-nc density and reduces cluster crystallization or matrix reorganization. Matrix reorganization can occur especially in damaged samples, thus impacting on the Si-nc luminescent characteristics. In this case, longer annealing time allows the dielectrics to rearrange which reduces the non-radiative recombination channels and increases the emission from the Si-nc. The role of the dielectrics is even more evident when crystalline or amorphous alumina are used. We haven’t observed luminescence emission due to silicon nanocrystals in crystalline aluminum oxide samples.This work was supported by EC through the project SEMINANO (FP6-505285).References[1]L. Pavesi, L. Dal Negro, C. Mazzoleni, G. Franzò, F. Priolo, Nature 408 (2000) 440.[2]M. Cazzanelli, et al., J. Appl. Phys. 96 (2004)3164.[3]L. Dal Negro, et al., Appl. Phys. Lett. 82 (2003) 4636.
9:00 PM - L7.11
Signal Enhancement and Confined Carrier Absorption in Si-nc: Er3+ Waveguides.
Luigi Ferraioli 1 , Daniel Navarro-Urrios 1 , Nicola Daldosso 1 , Fabrice Gourbilleau 2 , Richard Rizk 2 , Youcef Lebour 3 , Paolo Pellegrino 3 , Blas Garrido 3 , Lorenzo Pavesi 1
1 Physics, University of Trento, Trento Italy, 2 SIFCOM, UMR CNRS 6176, ENSICAEN, CAEN France, 3 Electrònica, Universitat de Barcelona, Barcelona Spain
Show Abstract9:00 PM - L7.12
Fundamental Understanding of Silicon Nanoparticle Growth on Amorphous Silica Surfaces from First Principles Modeling
Chin-Lung Kuo 1 , Gyeong Hwang 1
1 Chemical Engineering, The University of Texas at Austin, Austin, Texas, United States
Show AbstractThis presentation will focus on the structure and chemistry of amorphous silica surfaces as well as the mechanisms of silicon nanoparticle nucleation and growth on the oxides. In particular, we will present some results from our resent first principles studies of: the structure and dynamics of surface defects; changes in surface structure and reactivity associated with defects, impurities, and strain; and mechanisms of silicon diffusion and nucleation. Thermally grown (or deposited) thin oxide films are often highly strained and amorphorized, which may in turn show distinctly different chemical properties associated with strain from their crystalline counterparts. In addition, the chemistry of oxide surfaces may be greatly altered in the presence of defects, adsorbates, and subsurface impurities. However, many fundamental aspects of surface phenomena related to defects, impurities, and local strain are still poorly understood, due mainly to the difficulty of direct characterization arising from sample charging. While current experimental techniques are still limited to providing complementary real space information, the deeper understanding of the complex chemistry of amorphous silica surfaces provides a valuable guide for the rational design and fabrication of future silica supported/embedded silicon nanostructure-based devices for a variety of chemical, electronic, and optoelectronic applications.
9:00 PM - L7.13
Structural, Electronic, and Optical Analysis of Luminescent Si-nanocrystal Systems.
Tyler Roschuk 1 , David Comedi 1 2 3 , Othman Zalloum 1 , Jacek Wojcik 1 , Peter Mascher 1
1 Engineering Physics and Centre for Emerging Device Technologies, McMaster University, Hamilton, Ontario, Canada, 2 , Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) , San Miguel, Tucumán, Argentina, 3 Laboratorio de Física del Sólido, Departamento de Física, FACEyT, Universidad Nacional de Tucumán, San Miguel , Tucumán, Argentina
Show AbstractSilicon nanocrystals (Si-ncs), which display luminescence due to quantum confinement effects, have been the subject of much attention over the past few years due to their potential to serve as a Si-based emitter material for integrated photonics [1]. In this paper, we present results from an extensive characterization effort on Si-ncs formed in silicon-rich silicon oxide thin films grown through plasma based CVD. The nanocrystals have been formed in these films by subjecting them to high temperature post-deposition annealing. Nanocrystal formation and crystallinity have been analyzed through X-ray diffraction (XRD) experiments [2]. By fitting the peak widths from the XRD patterns, Si-nc size data has been obtained. Information on size and density of the nanocrystals has also been obtained through transmission electron microscopy (TEM) techniques, including high resolution TEM and energy filtered TEM, and has been compared with the XRD results. Furthermore, the electronic structure for these materials has been analyzed through the use of synchrotron radiation absorption spectroscopy. Related experiments are currently being conducted using electron energy loss spectroscopy in a TEM system. Results are discussed in relation to the observed photoluminescence and optical properties of the films. PL was obtained by exciting the films with a 325 nm HeCd laser while the optical properties of the films were analyzed through the use of spectroscopic ellipsometry. In particular, nanocrystal size and density information has been used in order to develop ellipsometric models that allow for better extraction of optical constants of these films. Analysis of the annealed films shows that the refractive indices of the samples tend to decrease with nanocrystal formation, however, the extinction coefficients of the films have been observed to increase. The former effect can be explained in terms of the formation of a high quality oxide with nanocrystals contained within, while the latter effect is explained in terms of Si clustering within the films during the anneal process [3]. This work has been supported by the Ontario Research and Development Challenge Fund under the auspices of the Ontario Photonics Consortium and by the Centres for Photonics and Materials and Manufacturing, divisions of Ontario Centres of Excellence Inc.[1] L. Pavesi and D.J. Lockwood, Eds., Silicon Photonics, Springer, Berlin, 2004.[2] D. Comedi et al., J. Appl. Phys. 99, 023518 (2006).[3] T. Roschuk et al. Proc. SPIE 5577, 490 (2004).
9:00 PM - L7.14
Nanometric Chemical Imaging of Strained Silicon Thin Films Using a Combination of Tip Enhanced Raman Spectroscopy & Atomic Force Imaging
Aaron Lewis 2 1 , Hesham Taha 1 2 , Rimma Dekhter 1 , Sophia Kokotov 1 , Galina Fish 1 , David Lewis 1
2 Applied Physics, Hebrew University of Jerusalem, Jerusalem Israel, 1 , Nanonics Imaging, Jerusalem Israel
Show Abstract9:00 PM - L7.15
Investigation of the Structure and Properties of Vacancies in Si Nano-crystals by Ab Initio Methods.
Scott Beckman 1 , James Chelikowsky 1 2 3
1 Center for Computational Materials, Institute for Computational Engineering Sciences, University of Texas at Austin, Austin, Texas, United States, 2 Department of Physics, University of Texas , Austin, Texas, United States, 3 Department of Chemical Engineering, University of Texas , Austin, Texas, United States
Show Abstract9:00 PM - L7.16
Nano-sized Crystals of Silicon Embedded in Silica Glass: Large Scale Models and Aspects of the Electronic Structure.
Peter Kroll 1 , Hendrik Schulte 1
1 Inorganic Chemistry, RWTH Aachen University, Aachen Germany
Show Abstract9:00 PM - L7.17
Laser-induced Phase Transitions in Nanocrystalline Silicon Superlattices.
Leonid Tsybeskov 1
1 ECE, NJIT, Newark, New Jersey, United States
Show Abstract9:00 PM - L7.18
Instability and Transport of Metal Catalyst in the Growth of Silicon Nanostructures.
Jennifer Atchison 1 , Linyou Cao 1 , Bora Garipcan 2 , Chaoying Ni 3 , Bahram Nabet 4
1 Department of Materials Science and Engineering, and the A.J. Drexel Nanotechnology Institute, Drexel University, Philadelphia, Pennsylvania, United States, 2 Department of Chemical Engineering, Bioengineering Division, Hacettepe University, Beytepe, Ankara, Turkey, 3 W.M. Keck Center for Electron Microscopy, University of Delaware, Newark, Delaware, United States, 4 Department of Electrical and Computer Engineering, Drexel University, Philadelphia, Pennsylvania, United States
Show Abstract9:00 PM - L7.2
P doping for Si nanostructures using GaP.
Phillip Thompson 1 , Glenn Jernigan 1 , David Simons 2 , Peter Chi 2
1 Code 6812, Naval Research Laboratory, Washington, District of Columbia, United States, 2 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractWhile B is the proven p-type dopant in Si-based electronic devices, Sb, As, and P have all been used as n-type dopants. Of the n-type dopants, P has only been recently used in solid-source epitaxial growth systems. P doping is of interest for several reasons: The solid solubility of P in Si is approximately 10 times higher than that of Sb in Si, permitting the higher doping concentrations required in sub-micron devices and in tunneling devices. The high vapor pressure of P prevents its use as an elemental dopant in a molecular beam epitaxy (MBE) growth system. There have been previous investigations of the co-evaporation of highly P-doped Si, and reports of the use of GaP in a Knudsen cell. However, there has not been a report of a comprehensive study of P doping of Si using GaP, investigating P surface stability as a function of Si substrate temperature, P segregation during growth, dopant electrical activation, and the effect of P on Si surface morphology. All samples were grown using solid-source MBE employing elemental Si in an e-beam source. The GaP Knudsen dopant cell was specially designed to exploit the differential evaporation of Ga and P. Secondary Ion Mass Spectrometry (SIMS) was used to investigate the atomic P and Ga profiles in Si. Electrical concentrations were measured using four-point probe, Hall measurements, and spreading resistance profiles. X-ray photoelectron spectroscopy (XPS) and scanning tunneling microscopy (STM) were used to investigate dopant surface stability and surface morphology, respectively. The surface segregation ratio, defined as the ratio of P incorporated into the bulk to the P surface concentration (both normalized to the Si atomic surface and bulk concentrations, respectively), has been calculated from SIMS depth profiles of P delta-doped samples, and has been determined to be approximately a factor of 10 less than the values reported using a P-doped Si source. The stability of P on the Si surface during growth at a specific temperature has been established by depositing 1.4x1014atoms/cm2, and integrating the SIMS profile for the total P in a delta doped sample, including P in the delta doped region, the P segregated into the Si, and the P remaining on surface, which was trapped by the growth of a Si cap at room temperature. The total time at temperature for each sample was 6410 s. The “no evaporation” quantity was established by the growth of the delta layer at 380 oC. It was observed that the P does not evaporate from the Si surface for growth temperatures below 663 oC. Other primary results, such as the fact that the n-type doping concentration has a maximum concentration of 6x1019/cm3 and that the P-doped Si surfaces are much more morphologically smooth compared to equivalently Sb-doped Si surfaces, will be presented.
9:00 PM - L7.21
Full Visible Spectrum Emission from Silicon Quantum Dots Synthesized with an All Gas Phase Approach.
Xiaodong Pi 1 , Richard Liptak 2 , Stephen Campbell 2 , Uwe Kortshagen 1
1 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States, 2 Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show Abstract9:00 PM - L7.22
Synthesis and Characterization of Silicon Nanocrystals with High Efficiency Photoluminescence.
Lorenzo Mangolini 1 , Uwe Kortshagen 1
1 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show Abstract9:00 PM - L7.23
Laser Crystallization of Silicon Thin Films Using Photo Absorption Layer Formed by Spin Coating of Carbon Particles.
Naoki Sano 1 2 , Masato Maki 2 , Nobuyuki Andoh 2 , Toshiyuki Sameshima 2
1 , Hightec Systems Corporation, Yokohama, Kanagawa, Japan, 2 , Tokyo University of Agriculture and Technology, Koganei, Tokyo, Japan
Show AbstractWe propose a crystallization method for silicon thin films over-coated with carbon particles as optical-absorption layer [1,2]. 50-nm-thick amorphous silicon films were formed on glass substrates by the plasma enhanced chemical vapor deposition (PECVD) with SiH4 gas. Carbon particles dispersed in water with concentration of 15 mg/l were spin coated on the silicon films at a rotation speed of 5000 rpm. The mean diameter of carbon particle was about 200 nm. Then the annealing by a continuous wave (CW) semiconductor laser was carried out with power of 20 W and wavelength of 940 nm, at which the optical absorbance of the carbon layer was 76.5 %. The laser beam was normally irradiated onto samples of carbon/Si/glass via quartz glass attached to the carbon surface to prevent eruption of the carbon layer. The laser beam had a Gaussian like power distribution with full width at half maximum (FWHM) of 385 μm. Samples were moved at a speed of 0.5 mm/s ~ 1 m/s by a moving stage driven by a linear motor under keeping the laser irradiation condition given above. After removing the carbon-particle layer, Raman scattering spectral measurements were carried out using an argon ion laser with wavelength of 514.5 nm as an excitation light for structural analysis of the silicon films. A high scattering intensity and a sharp crystalline silicon phonon band were observed in the laser irradiated regions. We obtained the crystallinity factor of silicon films by the peak analyses of TO phonon peak of crystalline, nanocrystalline and amorphous silicon around the wave number of 520, 500 and 480 cm -1, respectively. Especially, the silicon films laser irradiated at the scanning speed of 20 cm/s had a peak at 519.2 cm-1 with FWHM of 4.9 cm-1. There was no residual amorphous band and the crystallinity factor was 1. This means that the silicon films were completely crystallized by the present method. The carbon particle layer efficiently absorbed the infrared laser light and was heated to a high temperature. The silicon films were heated and crystallized by heat diffusion from the hot carbon layer. REFERENCES[1] N. Sano, M. Maki, N. Andoh and T. Sameshima, to be published in Mat. Res. Soc. Symp. Proc. 910, A14.2 (San Francisco, CA, 2006)). [2]T. Sameshima and N. Andoh, Mat. Res. Soc. Symp. Proc. 849, 133(2004).
9:00 PM - L7.24
Controlled Growth of Ni Silicide Nanostructures by Chemical Vapor Deposition.
Joondong Kim 1 2 , Moon-Ho Jo 1 , Wayne Anderson 2
1 Materials Science and Engineering, Pohang University of Science and Technology, Pohang Korea (the Republic of), 2 Electrical Engineering, University at Buffalo, Buffalo, New York, United States
Show Abstract9:00 PM - L7.3
Photoluminescence from Er-doped Si-rich SiO2 films: A two-step annealing processes to control efficient light emission from both Er ions and nanostructures
Chenglin Heng 1 , Othman Zalloum 1 , Jacek Wojcik 1 , Peter Mascher 1
1 Department of Engineering Physics and Centre for Emerging Device Technologies, McMaster University, Hamilton, Ontario, Canada
Show AbstractLight emission from Si-rich Si oxide films doped with Er ions has been studied intensively for photonic and photoelectronic applications. In the films, Er excitation is usually regarded as a Si nanocluster-mediated energy transfer process. In this work we have synthesized Er-doped Si-rich Si oxide films by using plasma enhanced chemical vapour deposition. The structure of the films has been characterized by Rutherford backscattering spectroscopy. The excess Si concentration in the films is about 17.9 at%, with Er concentrations of about 1.2 at%. The precipitation of Si nanoclusters after annealing at 875 °C has been observed by energy filtered transmission electron microscopy. The effects of the annealing conditions on the luminescence have been investigated by treating the films under different annealing temperatures and ambients. Compared to single step annealing, the intensities of the photo-luminescent bands of the films can be controlled by selecting double-step annealing processes. It is demonstrated that if the films are annealed in an O2 ambient and then in forming gas (N2+ 5%H2), a visible luminescence (~415 nm) and a near infrared luminescence (~ 820 nm) can be excited more effectively, while the Er luminescence (at ~1540 nm) is still available (but decreases to be about 45% in intensity). If the films are, however, treated in the forming gas and then in the O2 ambient, the near infrared band becomes very weak, while the 1540 nm luminescence band can increase to about 65% in intensity compared to that in the single step annealing case. The three luminescence bands of the films can be utilized in one Si chip for possible use in integrated optics applications.This work has been supported by the Ontario Research and Development Challenge Fund under the auspices of the Ontario Photonics Consortium. We wish to acknowledge valuable technical support by Jim Garrett during the annealing experiments.
9:00 PM - L7.4
Comparison of Laser Beam Annealing with Thermal Annealing of a-SiC Layer in Si-QD LED Structure.
Kyung-Hyun Kim 1 , Jae-Heon Shin 1 , Chul Huh 1 , Kwan-Sik Cho 1 , Jong Cheol Hong 1 , Gun Yong Sung 1
1 , ETRI, Daejeon Korea (the Republic of)
Show Abstract9:00 PM - L7.5
Silicon Nanocrystals Fabricated by Novel Plasma Enhanced Hydrogenation Technique Suitable for Light Emitting Devices.
Mehdi Jamei 1 , Farshid Karbassian 1 , Shamsoddin Mohajerzadeh 1 , Yaser Abdi 1 , Michael Robertson 2
1 Thin Film and Nanoelectronic Lab, University of Tehran, Tehran Iran (the Islamic Republic of), 2 Department of Physics, Acadia University, Wolfvile, Nova Scotia, Canada
Show Abstract9:00 PM - L7.6
Formation of Si and Ge Nanocrystals in Sapphire Marix by Ion Implantation.
Selcuk Yerci 1 , Ilker Yildiz 1 , Ayse Seyhan 1 , Mustafa Kulakci 1 , Michael Shandalov 2 , Ugur Serincan 1 , Yoval Golan 2 , Rasit Turan 1
1 Physics, Middle East Technical University, Ankara Turkey, 2 , Ben-Gurion University of the Negev, Be'er Sheva Israel
Show Abstract9:00 PM - L7.7
Nucleation Process During Excimer Laser Annealing of Amorphous Silicon Thin Films on Glass: A Molecular-dynamics Study.
Shinji Munetoh 1 , Takanori Mitani 1 , Takahide Kuranaga 1 , Teruaki Motooka 1
1 Department of Materials Science and Engineering, Kyushu University, Fukuoka Japan
Show AbstractWe have performed molecular-dynamics simulations of heating, melting and recrystallization processes in amorphous silicon (a-Si) thin films deposited on glass during excimer laser annealing. By partially heating the a-Si surface region with 2 nm depth and removing thermal energy from the bottom of the glass substrate, a steady-state temperature profile was obtained in the a-Si layer with the thickness of 15 nm and only the surface region was melted. It was found that nucleation predominantly occurred in the a-Si region as judged by the coordination numbers and diffusion constants of atoms in the region. The results suggest that nucleation occurs in unmelted residual a-Si region during the laser irradiation and then crystal growth proceeds toward liquid Si region under the near-complete melting condition.
9:00 PM - L7.8
Geometric Effects of Nickel Island on Electrical Characteristics of Low Temperature Poly-Si Thin Film Transistor
Min-Sun Kim 1 , Jang-Sik Lee 2 , Nam-kyu Song 1 , Seung-Ki Joo 1
1 School of Material Science and Engineering, Seoul National University, Seoul, Seoul, Korea (the Republic of), 2 School of Advanced Materials Engineering, Kookmin University, Seoul, Seoul, Korea (the Republic of)
Show AbstractGenerally, carrier mobility of polycrystalline Si thin film transistors (poly-Si TFTs) is several hundred times faster than a-Si TFTs’. Therefore poly-Si TFTs are taken advantage of flat panel displays. Therefore, many studies on poly-Si TFT have focused on advanced electrical performance. As a low temperature annealing method, there are various low temperature crystallization techniques, such as excimer laser annealing (ELA) and metal-induced lateral crystallization (MILC) etc. These days, ELA is used as an industrially annealing process technique, but it suffers from non uniform crystallinity due to the scan overlap which is inevitable and the surface roughness. To solve these problems, therefore, MILC method is suggested. Using MILC method, we can crystallize the a-Si at low temperature (<500°C) and the quality of crystallized poly-Si is superior to ELA poly-Si. However, it has been reported that the leakage current of poly-Si TFT using MILC is higher and mobility is lower than those of poly-Si TFTs using ELA. The MILC method uses thin metal films such as Ni and Pd. In this point, many scientists have indicated that metals (; Ni or Pd) lead degraded electrical characteristics of MILC-TFT than other crystallized poly-Si TFT’s. Therefore, we investigated geometric effects of Ni dot on electrical characteristics of MILC-TFT. We fabricated MILC-TFT to get superior electrical characteristics of poly-TFT using pattered variation Ni islands. A 500Å a-Si thin film was then deposited by low pressure chemical vapor deposition using Si2H6 as a source gas. After the a-Si deposition, the samples were defined by photolithography and conventional dry etch process. After definition a-Si layer, 100Å Ni dots with an area of 0.8, 3.1, 12.5, 28.3, and 78.5 µm2 were formed by a lift-off process respectively. The a-Si was crystallized to poly-Si by MILC (annealing at 550°C in vacuum consistently). The microstructures of MILC poly-Si were scanning electron microscope (SEM). Also p-type poly-Si TFTs were fabricated as follows. A 500 Å a-Si thin film was patterned by lithography process. The gate oxide and gate metal were formed by PECVD and sputtering, respectively. In order to define the source/drain junction, the samples were doped ion mass doping system with B2H6. And the samples were annealed in hydrogen ambient at a temperature of 550 °C for 30 hrs.In conclusion, we observed differences of microstructures of poly-Si depending on variation of the diameters of Ni island for MILC process. It is easily noticed that the narrower the diameter of the Ni island is, MILC poly-Si has poor microstructure has. Also we found that electrical characteristics of MILC-TFT do not proportion to the diameter of Ni island size linearly. The size of Ni island has optimum size to obtain advanced electrical properties. As a result, we could find out the geometric effects of Ni island on electrical characteristics of low temperature poly-Si TFT.
Symposium Organizers
Leonid Tsybeskov New Jersey Institute of Technology
David J. Lockwood National Research Council
Christophe Delerue IEMN
Masakazu Ichikawa The University of Tokyo
Anthony W. van Buuren Lawrence Livermore National Laboratory
L8: Si Nanocrystals
Session Chairs
Christophe Delerue
Jan Linnros
Thursday AM, November 30, 2006
Room 207 (Hynes)
9:30 AM - **L8.1
Probing the Luminescence from a Single Silicon Quantum Dot.
Ilya Sychugov 1 , Robert Juhasz 1 , Jan Valenta 2 , Jan Linnros 1
1 Microelectronics, Royal Institute of Technology, Kista-Stockholm Sweden, 2 Chemical Physics and Optics, Charles University, Prague Czech Republic
Show AbstractThe luminescent properties of porous silicon and silicon nanocrystals have attracted a large interest, and the luminescence mechanism by quantum confinement of excitons in silicon quantum dots seems now to be widely accepted. Although much information has been gained from ensemble studies, the physics of the silicon quantum dot is largely screened by effects such as inhomogeneous line-broadening and by statistical averaging. Thus, effects of size, shape, surface passivation and local environment on the luminescence can not be properly studied by ensemble measurements. For direct bandgap semiconductors, single-dot spectroscopy has been quite successful but for silicon the two main problems have been: the low intensity of the light emission (evidenced by a long lifetime) and findings ways to disperse individual nanocrystals far apart laterally such that optical spectroscopy can be used.We have recently successfully fabricated arrays of single Si quantum dots using electron-beam lithography, plasma etching and a two-stage oxidation process. The luminescence from single dots has been detected, and it showed clearly identifiable dots with a linewidth of ~ 2 meV at low temperature T=35K, i.e. narrower than kT. The luminescence peak energy of different dots vary in the range ~1.4 eV – 2 eV and statistically, they sum up to typical ensemble spectra of oxide passivated Si nanocrystals. Some spectra exhibit a second phonon replica displaced ~60 meV as for optical phonons in Si. The majority, however, seems to be phonon-less which is clearly intriguing considering the indirect bandgap. As observed for II-VI nanocrystals, the time dependence of individual dots show on-off blinking and a statistical analysis suggests that the intermittence may be associated with carrier trapping at the interface.
10:00 AM - L8.2
Pronounced Photonic Effects of High-Pressure Water Vapor Annealing on Nanocrystalline Porous Silicon.
Bernard Gelloz 1 , Nobuyoshi Koshida 1
1 , Tokyo Univ. A&T, Tokyo Japan
Show AbstractNanocrystalline porous silicon (PS) is a promising material for achieving various optoelectronic device applications [1]. Luminescence of PS, however, has been suffering from a limited efficiency and poor stability. Recently [2], the visible photoluminescence (PL) of PS can be very much increased (up to 23% in external quantum efficiency) and stabilized by a post-anodization high-pressure water vapor annealing (HWA) at low temperatures (150-300C). This is caused by complete surface passivation with high-quality thin SiO2 film and significant reduction in interfacial non-radiative defects. The electroluminescence has also been effectively stabilized by HWA. In this paper, the photonic properties of HWA-treated PS are presented in detail.The PS samples, formed on p-type Si wafers, were treated by HWA at 260C at 1.3 to 2.6 MPa for 3 h in water vapor. The refractive index of PS and electrochemically oxidized PS (ECO-PS) with various porosities before and after HWA was estimated in the range of visible to near UV. The index of PS increases as the wavelength diminishes, reflecting the usual behavior of bulk Si. After HWA, it is very much lowered and becomes almost wavelength-independent in the whole spectral range. This is due to heavy oxidation by HWA that has transformed much Si into SiO2. The index of ECO-PS is lower than that of PS due to the partial oxide grown by ECO. After HWA, it is further reduced but still remains higher than that of HWA-PS. Si is more preserved in ECO-PS upon HWA because the ECO treatment provides a kind of passivating oxide layer that limits the further oxidation extent by HWA. The weak light absorption and easily tunable refractive index of HWA-treated PS open a way to Si-based photonics in the visible and near UV optical range.Taking advantage of these characteristics, a distributed Bragg reflector (DBR) whose stop band is located in the near UV (330 nm) has been successfully fabricated. The preliminary data of DBR based on lightly doped PS exhibits a maximum reflectance of 95% at 330 nm with a full width at half maximum (FWHM) of about 90 nm. This DBR is stable even after more than 6 months storage in air. Fabry-Perrot resonators (FPR) have also been fabricated in the visible range. As an example, an HWA-treated FPR consisting of a DBR, a luminescent PS layer, and a thin Ag mirror exhibits PL with very narrow FWHM of 7.5 nm at 827 nm.The stable, highly efficient luminescence, and tunable optical properties observed in HWA-treated PS offer a credible route towards all Si photonic as well as optoelectronic applications and functional integration.[1] B. Gelloz and N. Koshida, Handbook of Electroluminescent Materials, Chap. 10, 393-475, edited by D.R. Vij (Institute of Physics Publishing, Bristol and Philadelphia, 2004).[2] B. Gelloz, A. Kojima, and N. Koshida, Appl. Phys. Lett. 87, 031107 (2005).
10:15 AM - L8.3
Forming Nano- to Micro-Size Pores in Silicon by Wet Process Using Metallic Catalysts.
Kazuya Tsujino 1 , Michio Matsumura 1
1 Research Center for Solar Energy Chemistry, Osaka University, Toyonaka, Osaka, Japan
Show AbstractWe have succeeded in developing new processes for making pores in silicon wafers using a wet-chemical reaction in a mixed solution of hydrogen peroxide and hydrofluoric acid [1, 2]. In these processes, metallic catalysts are used as the catalysts, which sink into the bulk of silicon wafers in the etching solution, boring pores in silicon. The pores were preferentially formed in the direction of <100>. The diameter of the pores can be controlled by the size of the metal particles used as the catalysts; 20 nm to 4 µm. It is also possible to form micrometer-size pores using nano-size catalyst by densely depositing the particles over a micrometer-size area on a silicon wafer. The depth of the pores can be controlled by changing the treatment time, and it is possible to make through-wafer holes in 500-µm-thick wafers. [1] Adv. Mater., 17, 1045 (2005), [2] Electrochem. Solid-State. Lett., 8, C193 (2005).
10:30 AM - L8.4
Hydrogen Desorption from Aerosolized Silicon Nanoparticles.
Jeffrey Roberts 1 , Jason Holm 2
1 Chemistry, University of Minnesota, Minneapolis, Minnesota, United States, 2 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractHydrogen desorption from aerosolized silicon nanoparticles was studied using tandem differential mobility analysis (TDMA), Fourier transform infrared spectroscopy (FTIR), time-of-flight secondary ionization mass spectrometry (TOF-SIMS), and transmission electron microscopy (TEM). The results are important because they provide the first measurement of desorption from the surface of an aerosolized nanoparticle. The results also contribute to a growing body of work on the manipulation and surface modification of aerosolized silicon nanocrystals. In these experiments, free-flowing streams of silicon nanocrystals were extracted from a low-temperature, low-pressure plasma synthesis chamber into an atmospheric pressure flow tube reactor. Using nitrogen and argon as carrier gases, the particle streams were sent through a through a bipolar diffusion charger to establish a known charge distribution on the particles, and then through a differential mobility analyzer (DMA-1). DMA-1 was used to create streams of monodisperse particles; selected mobility diameters were in the 6-20 nm range. The particle streams were swept into a heated zone of variable temperature (25-700 °C) and particle residence time (1-10 s). Particles that exited the reaction zone were analyzed in four ways: (1) for size changes, with a second DMA capable of measuring diameter changes as small as 1%, (2) for the presence of hydrogenated surface groups, using FTIR, (3) for surface composition, with TOF-SIMS, and (4) for nano-structural and nano-morphological changes that are induced by hydrogen desorption, using transmission electron microscopy (TEM).Hydrogen desorbs from the aerosolized nanocrystals in the 400-600 °C range, close to the temperature at which hydrogen desorbs from single-crystal and polycrystalline silicon. FTIR and TOF-SIMS measurements show that freshly sampled particles have high coverages of surface-bound SiH3 groups, and that these groups convert upon heating first to surface SiH2, then to surface SiH, and finally to unsaturated Si. Freshly generated particles are resistant to agglomeration and aggregation, but particles from which hydrogen desorbs readily agglomerate when deposited on a grid. Surface hydrogen also affects the chemical properties of the nanocrystal surfaces. Hydrogen-covered particles are slower to nucleate chemical vapor deposition of metal oxides, for instance, although they are more reactive for the uptake of certain organic adsorbates.
11:00 AM - L8: Nanocrystals
BREAK
11:30 AM - L8.6
Non-linear Optical Properties of Si Nanocrystals.
Rita Spano 1 , Luigi Ferraioli 1 , Massimo Cazzanelli 1 , Nicola Daldosso 1 , Zeno Gaburro 1 , Luca Tartara 2 , Jin Yu 2 , Vittorio Degiorgio 2 , Youcef Lebour 3 , Sergi Hernandez 3 , Paolo Pellegrino 3 , Blas Garrido 3 , Emmanuel Jordana 4 , Jean Fedeli 4 , Lorenzo Pavesi 1
1 Physics, University of Trento, Trento Italy, 2 Electronics, University of Pavia, Pavia Italy, 3 Electrònica, Universitat de Barcelona, Barcelona Spain, 4 , CEA-LETI, Grenoble France
Show AbstractThursday 11/30New Presenter - L8.6 @ 10:30 amNon-linear Optical Properties of Si Nanocrystals. Rita Spano
11:45 AM - L8.7
Er3+ Excited State Absorption and the Low Fraction of Nanocluster-excitable Er3+ in SiOx.
Claudio Oton 1 , Wei Loh 1 , Ijaz Ahmad 2 , Anthony Kenyon 2
1 Optoelectronics Research Centre, University of Southampton, Southampton, Hampshire, United Kingdom, 2 Department of Electronic & Electrical Engineering, University College London, London United Kingdom
Show AbstractSilicon nanoclusters (Si-nc) can be efficient sensitisers of surrounding Er ions, making Er-doped silicon-rich silica material very appealing for 1.5μm amplifiers and lasers pumped by broadband sources. Recent reports of optical signal enhancement in waveguides based in this system have increased the interest even more. However, there is currently a debate on how many surrounding Er ions can one single nanocrystal excite. In fact, there is considerable experimental evidence that the fraction of Er ions excited by Si-nc is actually very low, of the order of few percent. We point out that excited state absorption (ESA) is a major cause for this low fraction of indirectly excitable Er.We base our argument on the fact that in most reports of Si to Er transfer, the emission of the Si-nc was centered at 800nm, and recent works show spectral hole-burning in the Si-nc emission band, attributed to resonant transfer to the 4I9/2 Er level. However, the metastable level of erbium 4I13/2 can also absorb a 800nm photon resonantly to the higher levels (4S3/2, 2H11/2). We have estimated that this process can be almost as efficient as the transfer to an unexcited Er.When there is only one Er per nanocluster, ESA processes would be an energy drain that increases the pump threshold value of an amplifier or laser. However, when there is a large population of Er ions surrounding each Si-nc, ESA can prevent the excitation of most of the ions. This is because the transfer time to each Er ion depends on the distance, and when there are many ions at different distances, the nearest ion is likely to receive a very fast transfer. But ESA processes to that ion will be very fast too, making the transfer to further ions very unlikely. We have modelled different populations of Er ions and Si-nc according to this picture and our results agree with reported curves of photoluminescence versus pump power.Another evidence of this effect is related with the nanocluster luminescence behaviour versus pump power. If ESA processes were not occurring, the transfer time to Er would decrease as long as Er population becomes excited. This would increase the lifetime of the Si-nc emission, leading to a superlinear behaviour with power. Since this behaviour has never been observed, this means that the transfer time to Er is the same regardless of the excitation state of the Er population, which is a consequence of ESA.We conclude that ESA processes must be considered for future material designs that require Er population inversion, and we indicate possible approaches that can potentially avoid this mechanism.
12:00 PM - L8.8
Water-Dispersible Photoluminescent Silicon Nanocrystals for Biophotonic Applications
Folarin Erogbogbo 1 , Seiichi Sato 2 1 , Mark Swihart 1
1 Chemical and Biological Engineering, The University at Buffalo (SUNY), Buffalo, New York, United States, 2 Graduate School of Material Science, University of Hyogo, Hyogo Japan
Show AbstractOver the past several years there has been tremendous research activity in the application of photoluminescent quantum dots in biological applications. Photoluminescent silicon nanocrystals can offer the benefits, such as photostability and narrow emission spectra, of compound semiconductor quantum dots, but with reduced toxicity concerns, greater flexibility and stability of surface functionalization, and other advantages. However, use of silicon quantum dots in bioimaging and other biophotonics applications has been quite limited, due to the lack of simple, reproducible, and widely available methods for preparing uniform, high quality samples of them. It has also proven challenging to modify the surface of silicon nanocrystals to make them water dispersible and to attach biomolecules to them while retaining their photoluminescence. Here, photoinitiated hydrosilylation was used to attach organic molecules to the surface of photoluminescent silicon nanoparticles, ultimately providing a carboxyl-terminated surface. Acrylic acid, butenoic acid, pentenoic acid, and undecenoic acid have all been grafted to the surfaces of nanoparticles of different sizes. The particles maintained their size-dependent photoluminescence after this surface modification. Acrylic-acid treated silicon nanocrystals could be transferred into water or methanol by dialysis without inducing oxidation. They were stably dispersed in acrylic acid, water and methanol, and showed essentially the same optical properties in all three solvents. The approach presented here provides a general means of producing water-dispersible silicon nanocrystals with size-dependent photoluminescence tunable over a wide range of the visible spectrum. The carboxyl termination of the particles allows for their further functionalization with amine-terminated biomolecules via carbodiimide linking chemistry. Preliminary results on the attachment of oligonucleotides, antibodies, and antigens via this chemistry will be presented.
12:15 PM - L8.9
The role of Local Fields in the Optical Properties of Silicon Nanocrystals.
Fabio Trani 1 , Domenico Ninno 1 2 , Giovanni Cantele 2 , Giuseppe Iadonisi 1 2
1 Department of Physics, University "Federico II", Naples Italy, 2 , Coherentia CNR-INFM, Naples Italy
Show AbstractThere are two main differences between the optical properties of semiconductor nanocrystals and bulk structures: 1) the Quantum Confinement Effects (QCE) and 2) the Surface Polarization Effects (SPE). The first effects have been studied in the past, giving a strong support to the Photoluminescence blue-shift observed when decreasing the nanocrystal size. However, the second effects (SPE) have been almost ignored, at least from a theoretical point of view. There is, therefore, a need for a full atomistic quantum mechanical description simply because SPE are extremely important in the interpretation of experimental results. The comparison of the absorption cross section with experimental data is usually done by calculating the optical properties without the SPE in the independent particle random phase approximation (RPA). This approach takes into account the quantum confinement effects but it leaves out SPE. SPE are usually included using a dielectric model, although this may fail either for small structures, or for structures with a not well defined shape. We show that SPE can be included into a linear response quantum mechanical framework inverting the RPA dielectric matrix, which accounts for the inclusion of Local Fields (LF). In our case this is done in a tight binding real space framework (TB), an approach that allows the study of large nanocrystals, far beyond the possibilities of first principles calculations[1]. We show that since LF are dominated by the nanocrystal surface polarizations, they can be described in terms of classical dielectric polarizability and can be directly compared with experimental data[2].After having shown that the RPA+LF TB results agree well with very recent state-of-the-art TDLDA calculations[3], we are able to give new important insights on the behavior of the absorption cross section when the nanocrystal size becomes large. Indeed, in the literature, TDLDA absorption cross sections are often compared to LDA curves and it is not clear whether in the limit of large nanocrystals the TDLDA curves should tend to the bulk limit (as in the case of the LDA curves), or not. With our formalism we are able to clarify this important conceptual point. We show that RPA and RPA+LF absorption cross section are two completely distinct physical quantities. While RPA absorption curves only include the QCE, the RPA+LF also have the SPE included, and in a first approximation they can be obtained from the RPA curves by using the classical formulas.1)Trani F et al, Phys. Rev. B, 72, 075423 (2005)2)Wilcoxon J. P. et al, Phys. Rev. B 60, 2704 (1999)3)Benedict L. X. et al,Phys. Rev. B 68, 085310 (2003)
12:30 PM - L8.10
Understanding of the Synthesis and Structure of Si Nanocrystals in an Oxide Matrix from First Principles Based Atomistic Modeling
Sangheon Lee 1 , Decai Yu 1 , Gyeong Hwang 1
1 Chemical Engineering, The University of Texas at Austin, Austin, Texas, United States
Show AbstractIn this talk, we will present the underlying mechanisms of the synthesis of Si nanocrystals in a Si-rich oxide matrix based on first principles-based multiscale modeling. This theoretical work includes: first principles calculations of the relative energies of suboxide matrices as a function of the Si:O ratio and the formation, structure, and diffusion of single O and Si atoms in the suboxide systems; Metropolis Monte Carlo simulations of amorphous Si suboxide structures using Keating-like potentials; and kinetic Monte Carlo simulations of the formation of embedded Si nanoclusters. These results predict that the formation of oxide embedded Si clusters is primarily attributed to a chemical phase separation to Si and SiO2, which is mainly driven by suboxide penalty, with a minor contribution of strain. The phase separation turns out to be primarily controlled by the diffusion of O atoms rather than Si atoms. From kinetic Monte Carlo simulations based on these fundamental findings we identify two growth characteristics: “coalescence-like” and “pseudo Ostwald ripening”. The former is mainly responsible for fast Si cluster growth at the early stages of annealing where the clusters are close to each other, while the latter becomes important when the density of clusters is low such that they are separated by large distances. We find the pseudo ripening process takes place several orders of magnitude slower than the “coalescence-like” growth. The predominant coalescence-like process in turn causes a big variation in the Si cluster size in terms of the Si:O ratio. The simulation results agree well with experimental observations of strong dependence of the cluster size on the initial Si supersaturation and rapid formation of Si clusters at the early stages of annealing with very slow ripening. Based on this atomistic modeling, we will also discuss how to control the size distributions of embedded Si nanopaticles by varying process conditions, along with their size-dependent shape changes as well as particle-matrix interface structures.
12:45 PM - L8.11
Electronic and Optical Properties of Co-doped Si Nanocrystallites
Luis Ramos 1 , Juergen Furthmueller 1 , Friedhelm Bechstedt 1
1 Institut fuer Festkoerpertheorie und -optik, Friedrich-Schiller-Universitaet Jena, Jena, Thueringen, Germany
Show AbstractQuantum confinement in Si nanocrystallites (NCs) leads to interesting optical and electronic properties that can be useful for opto-electronic applications. Silicon is largely used in device technology but it is an indirect-gap material, which limits its application opto-electronics. In contrast to Si bulk and as a result of the confinement of holes and electrons, luminescence can be observed in Si NCs. Recent experiments confirm that co-doping with group-III and group-V can enhance the intensity of luminescence in Si NCs. The co-doping can in principle prevent radiationless Auger recombinations, which is the main problem in shallow-impurity doping in Si NCs. Since measurements in Si NCs usually correspond to an ensemble of nanoparticles instead of a single Si NC, theoretical investigations become important to understand and interprete the mechanisms of optical transitions.[1] We perform ab initio calculations for doped and co-doped Si NCs, which are based on density-functional theory (DFT) and generalized-gradient approximation (GGA) to investigate their electronic and optical properties. We consider Si NCs with different shapes and sizes to evaluate the influence of these properties on the optical absorption spectra. The co-doping with impurities of the same group (III or V) is also investigated. The DFT-GGA results show an enhancement of the oscillator strengths of some optical transitions for Si NCs single-doped with group-V impurities (N and P) and co-doped with impurities of the same group.[1] L.E. Ramos, J. Furthmuller, and F. Bechstedt,Appl. Phys. Lett. 87, 143113 (2005); Phys. Rev. B 72, 045351 (2005);Phys. Rev. B 70, 033311 (2004).
L9: Diamond Nanoclusters / Novel Si / Si Ge Nanostructures
Session Chairs
Neil Drummond
Anthony van Buuren
Thursday PM, November 30, 2006
Room 207 (Hynes)
2:30 PM - **L9.1
Electronic Structure of Size-selected, Monodisperse Diamond Clusters (Diamondoids).
Christoph Bostedt 1 , Lasse Landt 1 , Konstantin Lenzke 1 , Trevor Willey 2 , Tony van Buuren 2 , Jeremy Dahl 3 , S. Liu 3 , Robert Carlson 3 , Louis Terminello 2 , Thomas Moeller 1
1 , Technische Universitat Berlin, Berlin Germany, 2 , Lawrence Livermore National Laboratory, Livermore, California, United States, 3 , Chevron MolecularDiamond Technologies, Richmond, California, United States
Show Abstract3:00 PM - L9.2
Thiol-Modified Diamondoid Monolayers on Gold Studied with Near-Edge X-ray Absorption Fine Structure Spectroscopy.
Trevor Willey 1 , Jason Fabbri 2 , Jonathan Lee 1 , P. Schreiner 3 , A. Fokin 3 , B. Tkachenko 3 , N. Fokina 3 , J. Dahl 4 , S. Liu 4 , R. Carlson 4 , T. van Buuren 1 , N. Melosh 2
1 Chemistry and Materials Science, Lawrence Livermore National Laboratory, Livermore, California, United States, 2 Materials Science and Engineering, Stanford University, Stanford, California, United States, 3 Department of Chemistry, Justus-Liebig University, Giessen Germany, 4 MolecularDiamond Technologies, , Richmond, California, United States
Show Abstract3:15 PM - L9.3
Mechanical Properties of Solution Grown Diamondoid Single Crystals and Diamondoid LB Films.
Jason Fabbri 1 , Wendelin Wright 1 , William Nix 1 , Arturas Vailionis 1 , Trevor Willey 2 , Jon Lee 2 , Peter Schreiner 3 , Jeremy Dahl 4 , Nicholas Melosh 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Chemistry and Materials Science, Lawrence Livermore National Lab, Livermore, California, United States, 3 Chemistry, Justus-Liebig University of Giessen, Giessen Germany, 4 MolecularDiamond Technologies, Chevron Technolgy Ventures, Richmond, California, United States
Show AbstractThe advantageous mechanical and electrical properties of bulk diamond are well known. The realization of these properties in nanoscale devices could lead to significant improvements in performance and stability. Recently discovered higher diamondoid molecules may be able to provide large area thin films with similar characteristics. With this in mind, we have analyzed the mechanical properties of two dimensional diamondoid films and three dimensional diamondoid molecular crystals. Pressure-area isotherms of Langmuir-Blodgett diamondoid films are used to determine the elastic modulus of these films at the air-water interface. We find a significantly higher modulus for the diamondoid 6-tetramantanol (~0.6 GPa) than for a wide array of other LB molecules. In addition, diamondoid molecular monolayers are used as seed layers for growing diamondoid molecular crystals on the order of a few cubic millimeters in size on Si substrates. We analyze these crystals using XRD and we perform nanoindentation tests on the crystals which gives an elastic modulus of ~11 GPa and a hardness value of ~0.33 GPa.
3:30 PM - **L9.4
Electron Emission from Diamondoids: a DMC Study.
Neil Drummond 1
1 , University of Cambridge, Cambridge United Kingdom
Show AbstractWe describe density-functional theory (DFT) and quantum Monte Carlo (QMC) calculations designed to resolve experimental and theoretical controversies over the optical properties of hydrogen-terminated carbon nanoparticles (diamondoids) [N.D. Drummond et al., Phys. Rev. Lett. 95, 096801 (2005)]. The QMC results follow the trends of well-converged plane-wave DFT calculations for the size dependence of the optical gap, but they predict gaps that are 1-2 eV higher. They confirm that quantum confinement effects disappear in diamondoids larger than 1 nm, which have gaps below that of bulk diamond. Our QMC calculations predict a small exciton binding energy and a negative electron affinity (NEA) for diamondoids up to 1 nm, resulting from the delocalized nature of the lowest unoccupied molecular orbital. The NEA suggests a range of possible applications of diamondoids as low-voltage electron emitters.
4:00 PM - L9: DiamondsEtc
BREAK
4:15 PM - **L9.5
New Tricks with Silicon
Oliver Schmidt 1
1 , Max-Planck-Institute for Solid State Research, Stuttgart Germany
Show AbstractWe release strained Si based thin films from a substrate surface by selective underetching. The strain causes the layers to form into various types of novel micro- and nanostructures, such as all-Si tubes, Si/SiO radial superlattices or novel SiGe-on-Insulator (SGOI) nanochannel networks. The tubes can be exploited as light emitters and ring resonators, which show strictly polarized optical modes in the visible spectral range at room temperature. The nanochannel networks are used to demonstrate nanofluidic transport as well as femto liter filling and emptying of individual channels. Our technique is scalable, integrative, and fully compatible to mainstream Si technology.
4:45 PM - L9.6
Ion-beam Synthesis of Er Doped Ge Nanofoams.
S. Kucheyev 1 , T. Felter 1 , A. Hamza 1 , J. Bradby 2
1 , Lawrence Livermore National Laboratory, Livermore, California, United States, 2 , Australian National University, Canberra, Australian Capital Territory, Australia
Show Abstract5:00 PM - L9.7
Formation of Ge quantum dots by pulsed laser deposition.
Mohammed Hegazy 1 2 , Hani Elsayed-Ali 1 2
1 Electrical and Computer Engineering, Old Dominion University, Norfolk, Virginia, United States, 2 Physical Electronics Research Institute, Old Dominion University, Norfolk, Virginia, United States
Show AbstractGe growth on Si surfaces has been extensively studied by molecular beam epitaxy (MBE), chemical vapor deposition (CVD) and liquid phase epitaxy (LPE). The shape of the initial islands was found to depend on the deposition technique as well as deposition conditions. However, the growth dynamics by pulsed laser deposition (PLD) did not receive enough attention. PLD is a powerful deposition technique with several attractive features that can be particularly useful in the growth of quantum dot (QD) devices. Among its attractive features are the preservation of stoichiometry, the ease to grow multilayered films, the ability to grow thin films out of any material, the highly energetic deposited particles, and its periodic nature that allows for surface relaxation between pulses. We have studied PLD of Ge on Si. The Si substrate, which is chemically cleaned prior to loading, is heated by direct current in a pressure <1x10-9 Torr to form the 2x1 reconstruction. The Ge target is mounted on a rotated holder with a variable rotation speed to minimize the formation of particulates. An Nd-YAG laser (wavelength of 1064 nm, pulse width of 40 ns, and repetition rate of 10 Hz) is used to ablate the Ge target. The laser beam is focused on the rotating target with a spot size of 330 μm (measured at 1/e of the peak value). Reflection high-energy electron diffraction (RHEED) is used to in situ monitor the deposition. Post deposition atomic force microscopy (AFM) is used to study the morphology of the QDs.At low film thicknesses, hut clusters that are faceted by different planes depending on their height, are observed after the completion of the wetting layer (~4 ML). With increasing film thickness, clusters grow in size and gradually lose their facetation and become more rounded. With further thickness increase, the shape of these clusters becomes dome-like with some pyramids observed among the dome majority. The effect of the laser fluence on the morphology of the grown clusters is studied. The cluster density was found to increase dramatically, while the average cluster size decreased with the increase in the laser fluence. For a laser fluence of 70 J/cm2, dome-shaped clusters that are smaller than the large huts formed at 23 J/cm2 were observed. The density of the higher fluence was 20 times that at 23 J/cm2. At a substrate temperature of 150 C, misoriented three-dimensional (3D) clusters are formed producing only a RHEED background. At 400 and 500 C, huts and a lower density of domes are formed, respectively. Above 600 C, 3D clusters are formed on top of a discontinuous textured layer. The early stages of Ge QD formation on Si(111)-7x7 are currently being studied by ultrahigh vacuum STM, which is connected to the PLD growth chamber.
5:15 PM - **L9.8
The Delightful Properties of Silicon Nanomembranes.
Max Lagally 1
1 , University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractVery thin, large, free-standing/floating 2D single-crystal sheets of semiconductor that can variously be flat, rolled into tubes, made into any number of odd shapes, cut into millions of identical wires, used as conformal sheets, or chopped into tiny pieces offer the latest excitement in nano-materials science. In contrast to nanowires and C nanotubes, nanomembranes (NMs) also offer the potential for rapid application. Any material that can be fabricated as a single crystal on a release layer is a NM candidate. Thus Si-on-insulator (SOI) produces SiNMs. Strain engineering allows SiNMs to have unique properties. We introduce strain via heteroepitaxial growth of Ge/Si alloy in alternating layers with Si. We release a layer stack grown on SOI to get a membrane with thicknesses from a few nm to several 100nm.[1] Elastic strain sharing produces dislocation-free, elastically strain relieved flexible Si/Ge multilayer membranes. We transfer the SiNMs easily to new hosts, grow again on them to produce multiple sets of multilayers with increasing strain, introduce dopant layers, form 2DEGs, and fabricate FETs: most features possible on bulk substrates can be introduced into SiNMs. We can fabricate FETs on both sides of an elastically strained SiNM, and stack SiNMs to make Bragg reflectors. Balanced strain makes flat SiNMs; unbalanced strain creates tubes, ribbons, and corkscrews.[2] Using Ge CVD on a SiNM, the well known Ge “huts”[3] grow on both sides of the membrane, in a correlated fashion to create a strain superlattice.[4] With little bulk, dopants in SiNMs may be irrelevant to charge transport because all mobile charges can be trapped at the interfaces.[5] The membrane conductivity now very sensitively depends on the nature of the interfaces. In SOI (001), oxide interface states and H adsorption eliminate the conductivity, while states associated with clean-surface reconstruction dramatically increase the SiNM conductivity: the surface states provide a form of surface doping. Other materials with proper HOMO or LUMO bands should produce the same effect, affording a broad opportunity for membrane based sensors. We have demonstrated high-speed thin-film transistors in strained-SiNMs and transferred them to flexible hosts for flexible electronics.[6] In tubular form[7] SiNMs provide potential interfaces to biology. As ribbons or wires, SiNMs provide scalability that is generally unavailable in other nanowire approaches, as well as routes to creating photonic and thermoelectric devices. Support: DOE,AFOSR,NSF.[1] M Roberts et al. Nature Mats 5, 388 2006; [2] M Huang et al. Adv. Mat. 17, 2860 2005; [3] W Mo et al. PRL 65, 1020 1990; [4] C Ritz, et al. subm; [5] P Zhang et al. Nature 439, 703 2006; [6] H Yuan et al. JAP in press; [7] H Qin, et al. NJP 7, 241 (2005).In conjunction with M Roberts, PP Zhang, HC Yuan, C Ritz, BN Park, C Euaruksakul, D Savage, F Flack, L Klein, H Qin, G Celler, R Blick, ZQ Ma, I Knezevic, P Evans, and M Eriksson.
5:45 PM - L9.9
Front and Back Gated Silicon Nanomembranes for the Formation of Patterned Inversion Layer Quantum Devices.
Eric Nordberg 1 , Weina Peng 1 , Lisa McGuire 1 , Keith Slinker 1 , Mark Eriksson 1
1 Physics, University of Wisconsin, Madison, Wisconsin, United States
Show AbstractSilicon nanomembranes are thin single crystals of silicon that can be bent, strained, and moved to new substrates.1,2 In this work, we demonstrate that such membranes offer a new method for the fabrication of nanostructured gates in silicon. Working with 100 nm thick membranes, we use a uniform MOS gate on the back-side of the membrane to form an electron inversion layer with tunable density in the range 1012 cm-2. On the front side of the membrane we pattern nanoscale Schottky gates. We present data showing that such nanopatterned gates can locally deplete the inversion layer. For 100 nm thick membranes, we find depletion voltages of order -4 V at a temperature T = 2K.1Elastically Relaxed Free-standing Strained-Si Nanomembranes, Michelle M. Roberts, Levente J. Klein, Don E. Savage, Keith A. Slinker, Mark Friesen, George Celler, Mark A. Eriksson, Max G. Lagally, Nature Materials 5, 388 (2006).2E. Menard, R.G. Nuzzo and J.A. Rogers, “Bendable Single Crystal Silicon Thin Film Transistors Formed by Printing on Plastic Substrates,” Applied Physics Letters, 86, 093507 (2005).
L10: Poster Session: Si and SiGe Nanostructures
Session Chairs
Friday AM, December 01, 2006
Exhibition Hall D (Hynes)
9:00 PM - L10.11
Focused Electron Beam Chemical Vapor Deposition of a Periodic Silicon Carbide Nano-pattern.
Lan Sun 1 2 , William White 3 , Konrad Rykaczewski 3 , Gracy Wingkono 4 , Andrei Fedorov 3 , Thomas Orlando 2 1
1 School of Physics, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 School of Chemistry & Biochemistry, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 4 School of Chemical & Biomolecular Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show Abstract9:00 PM - L10.12
Light Emission from Ge/Si and Si Nanostructures Grown on Oxidized Si Surfaces.
Alexander Shklyaev 1 , Yoshiaki Nakamura 1 , Masakazu Ichikawa 1
1 , The University of Tokyo, Tokyo Japan
Show AbstractGrowth of Ge on oxidized Si surfaces proceeds with the formation of three-dimensional Ge islands with an extremely high areal density (2 × 10^12 cm-2) [1]. Layers of the Ge islands covered with Si capping layers of about 100 nm thick exhibit very intense photoluminescence (PL) in the D1 region (around 0.8 eV) after annealing at temperatures as high as 900-1000 °C [2]. In order to reveal the origin of this intense PL, we explore other structures such as layers of Ge hut clusters grown by the Stranski-Krastanov growth mode and Si layers grown on oxidized Si surfaces (that is, without the deposition of the layer of Ge islands). As the result, the PL was associated with structural defects in the Si layers capping the layers of Ge islands. The annealing produces relaxation of the strain existing in our structures due to the Ge/Si lattice mismatch and due to stacking faults appearing in the Si capping layers at the growth stage. It is suggested that the relaxation initiates the formation of defects such as stable interstitial clusters. The rest of the Si oxide film is also an important fraction of the structures, which can be transformed under annealing into optically active oxygen complexes whose combination with the interstitial clusters provides the intense PL in the D1 region.We also found that Si layers grown on oxidized Si surfaces through the formation of Si nanoislands of ultrahigh areal density [3] exhibit intense PL in the D1 region if they were grown at temperatures between 400 and 500 °C and then were annealed [4]. The high-temperature annealing of the structures makes structural defects to be uniform leaving only those producing the D1 line and reducing the number of nonradiative recombination centers. The optical recombination can occur between electrons localized at stable interstitial clusters and holes trapped by 60° dislocations (the formation of the dislocations was observed with TEM). The structures are able to produce PL in the D1 region at room temperatures from thin Si layers. The obtained results show that our technological approach provides the fabrication of thermally stable silicon-based layers with a high density of radiative recombination centers, which are promising as light emitters in the near-infrared spectral region from 1.5 to 1.6 µm. The fabrication of n+-i-p light emission diodes on the base of these structures is in progress.References:[1] A. A. Shklyaev, M. Shibata, and M. Ichikawa, Phys. Rev. B 62, 1540 (2000). [2] A. A. Shklyaev, S. Nobuki, S. Uchida, Y. Nakamura, and M. Ichikawa, Appl. Phys. Lett. 88, 121919 (2006).[3] A. A. Shklyaev and M. Ichikawa, Phys. Rev. B 65, 045307 (2001). [4] A. A. Shklyaev, Y. Nakamura, and M. Ichikawa, J. Appl. Phys. submitted.
9:00 PM - L10.13
Time Evolution of Nano-scale Morphology of Silicon Microstructure Surfaces in the Early Phase of Hydrogen Annealing
Reiko Hiruta 1 , Hitoshi Kuribayashi 1 , Ryosuke Shimizu 2 , Koichi Sudoh 3 , Hiroshi Iwasaki 3
1 Semiconductor Technology Laboratory, Fuji Electric Advanced Technology Co. Ltd., Matsumoto, Nagano, Japan, 2 Material and Science Laboratory, Fuji Electric Advanced Technology Co. Ltd., Hino, Tokyo, Japan, 3 The Institute of Scientific and Industrial Research, Osaka University, Ibaraki, Osaka, Japan
Show AbstractRecently, in the context of development of trench MOS-FETs, the effect of high temperature hydrogen annealing on the nano-scale surface morphology of micron-sized trench sidewalls has been investigated on Si(001) substrates by atomic force microscopy (AFM). Through a series of our investigations [1,2,3], the sidewall flattening phenomenon by high temperature hydrogen annealing has been found to progress to an atomic dimension very effectively. So, hydrogen annealing technology is expected to be applied to fabrication of various nano-scale devices. However, the surface morphology has not yet been observed in the early phase of time evolution so far, notwithstanding the importance for improvement of the controllability of the hydrogen annealing process.An array of 0.7mm wide and 3.0mm deep trenches was formed on Si(100) substrates by a standard silicon process. The longitudinal direction of the trenches was chosen to be parallel to the [0-11] direction, and thus, on the trench sidewalls {011} planes appear. The samples were annealed in hydrogen pressures of 40 and 760 Torr at 1000 degree C for 0.5 to 3 min by a sheet-fed lamp furnace. After cleaving the substrate at the center of a trench along its longitudinal direction, the sidewall nano-scale morphologies of the trenches were observed using an AFM.We have examined how the morphology of the trench sidewall evolves in the early phase of hydrogen annealing under the two conditions of hydrogen pressures. A distinguished result was obtained concerning the sidewall surface morphology after 30 s annealing at 1000 degree C under 760Torr hydrogen ambient. The evanescence of chemical Si dioxide formed by RCA cleaning process was clearly observed to initiate in various places on the surface. And in the area without chemical oxide, the appearance of atomic steps was also observed. In contrast under 40Torr hydrogen ambient, the chemical oxide was completely removed even after 30 s annealing. Our observation shows that not only the rate of trench shape transformation but the rate of removal of oxide films decreases with increasing hydrogen pressure. This effect due to hydrogen pressure is useful for us to study the early phase of time evolution of the surface morphology and to consider the control technology of Si surface atomic steps, and shape and morphology of Si nanostructures. [1] R. Hiruta, H. Kuribayashi, R. Shimizu, K. Sudoh, and H. Iwasaki, Appl. Surf. Sci. 237, 63 (2004), [2] K. Sudoh, H. Iwasaki, H. Kuribayashi, R. Hiruta, and R. Shimizu, Surf. Sci. 600, L67 (2006), [3] R. Hiruta, H. Kuribayashi, R. Shimizu, K. Sudoh, and H. Iwasaki, Appl. Surf. Sci. in press (2006).
9:00 PM - L10.15
Ultra Long Uniform Silicon Nanocables and its Application in MOSFETs
Mingliang Zhang 1
1 Biology and Chemistry, City University of Hong Kong, Hong Kong Hong Kong
Show AbstractSi-based nanostructures always attract considerable research interests because of their key roles in modern semiconductor industry. Si nanowires (SiNWs) are particularly important for the miniaturization of Si-based integrated circuits due to their compatibility with existing semiconductor technology. They could be employed as both interconnects and functional units in electronic, optoelectronic, electrochemical, and electromechanical devices. Many nanodevices with SiNWs have been demonstrated, such as biological sensors, field-effect transistors (FETs), and integrated logic circuits. Normally, nanodevices were constructed with a single SiNW, or several dispersed SiNWs by means of photolithography, or electron beam lithography.A good many methods have been developed for preparing SiNWs, such as laser ablation, thermal evaporation, chemical vapor deposition, molecular beam epitaxy, chemical etching, solution growth, etc. Generally, metal particles are required as seeds in the VLS growth. Therefore, the diameters and distributions of prepared SiNWs could be controlled to some extent by the metal catalyst dots. The OAG growth is a self-catalyst process and large amount of SiNWs could be obtained without any metal contamination. It is advantageous to combine these two approaches for qualitative and quantitative growth of SiNWs. Among many metal catalysts in synthesizing SiNWs, Au was most extensively utilized. However, Sn could also guide the growth of SiNWs or SiOx nanowires because Si–Sn alloy could be formed at relative low temperature. A few results including morphologies and growth mechanisms of the Sn-catalyzed SiNWs were reported.Herein, a kind of Si nanocable was prepared by simple thermal evaporation of SiO mixed tiny (0.5 w%) Sn powder. The nanocable is uniform in diameter of about 680 nm and millimeters in length. The average diameter of the core is about 160 nm and the cladding composed of compact amorphous SiOx with uniform thickness of about 260 nm. The amorphous cladding layer could emit strong cathodluminescence with wide wavelength covered UV-visible region. From HRTEM analysis, the core of the nanocable is single crystal Si and the main growth direction is [11-1]. Every nanocables have droplet heads of Si–Sn eutectic and cores at the tails were bare from the wrapped layer. This nanocable structure is obviously distinctive from the previous results. According to the structure features, the nanocables were directly used to fabricate metal-oxide-semiconductor field-effect transistors (MOSFETs) through one single thermal evaporation Au coating. The typical I-V curves were obtained and the performance of MOSFET revealed that the as-grown nanocables are p-type. The VLS and OAG growth mechanisms were proposed to illustrate the formation of this nanostructure.
9:00 PM - L10.16
Probing Monolayer Stability through Chemical Reactions on Functionalized Porous Silicon.
Lon Porter 1 , Steven Rhodes 1 , Syud Ahmed 1 , Wassim Labaki 1
1 Chemistry, Wabash College, Crawfordsville, Indiana, United States
Show Abstract9:00 PM - L10.17
Structural and Mechanical Properties of Si/Ge Nanowire Heterostructures.
Yuichi Yano 1 , Takaaki Nakajima 1 , Kazuhito Shintani 1
1 Department of Mechanical Engineering and Intelligent Systems, University of Electro-Communications, Chofu, Tokyo, Japan
Show Abstract9:00 PM - L10.18
Single Crystalline Silicon Nanoribbons.
Tae-Eon Park 1 , Han-Kyu Seong 1 , Ryong Ha 1 , Hanah Jeong 1 , Jea-Heon Shin 2 , Gun Yong Sung 2 , Heon-Jin Choi 1
1 School of Advanced Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of), 2 IT Convergence & Components Lab., Electronics and Telecommunications Research Institute (ETRI), Daejeon Korea (the Republic of)
Show AbstractOne dimensional nanostructures, such as nanowires, nanotubes and nanoribbons have been greatly attracted due to their novel physical and chemical properties as well as uniqueness as building blocks for nanoscale devices. Among these, nanoribbons have been attracted due to their quasi-one dimensional geometry on a nanometer scale. A limited study on the III-V semiconductor nanoribbons has already shown unique properties such as a great mechanical flexibility and wave-guided stimulated emission. Herein, we report on the fabrication and structure of single crystalline silicon nanoribbons. The nanoribbons were synthesized on the silicon substrate by the vapor-liquid-solid (VLS) mechanism with aid of catalyst. The nanoribbons with a thickness of 5-10 nm, width up to 1 micron, and length of hundreds of microns have a diamond structure. Based on the transmission electron microscopy observations, the growth mechanism could be suggested as follows: First, the nanowires with a diameter of less than 10 nm grow along [110] and then saw-like edges are partially formed at the side (111) surfaces of the nanowires. These saw-like edges with [111] direction leads a preferential growth of edges with diamond structure, and finally results in the formation nanoribbons. The growth of silicon nanoribbons is based on the VLS mechanism and thus can be rationally controlled by the catalyst, as in the case of silicon nanowires. Based on the experimental results, the possible application as well as the fundamental aspects of this new class of quasi-one dimensional nanostructures, the silicon nanoribbons, will be discussed.
9:00 PM - L10.19
Biological Properties of Nanocrystalline Silicon Particles for Biomedical Applications
Keisuke Sato 1 , Satoshi Yanagisawa 1 , Kenji Hirakuri 1
1 Dep.of Electronic and Computer Engineering, Tokyo Denki University, Hikigun, Saitama, Japan
Show AbstractLuminescent semiconductor nanoparticles such as cadmium sulfide (CdS) and cadmium selenide (CdSe) have been widely studied for application to biomedical engineering fields. These nanoparticle materials, however, have some problems for safety to living organism, production cost, resource, environmental influence and particle size. Therefore, it is expected to develop the new nanoparticle materials with some features of unharmfulness, moderate price, resourcefulness, low environmental influence and minimal particle size. We have been fabricated the nanocrystalline silicon (nc-Si) particles, which continuously emits from red light up to blue light by reducing the particle size. In our previous study, we did not report the biomedical research of nc-Si particles in detail. In this paper, the luminescent property and flow condition of nc-Si particles in the vein of animal will be discussed.The nc-Si particles with a size of 2.5 nm embedding in an oxidized layer were formed on a Si substrate by cosputtering of Si chips/silica targets and post-annealing at high temperature. The oxidized layer surrounding nc-Si particles, then, was etched in hydrofluoric (HF) acid steam to effectively extract the many particles onto the substrate surface. After etching treatment, the sample was rinsed in the pure water, because the HF acid particle adsorbed on the surface of nc-Si particle have the high toxicity for the living organism. The nc-Si particles after rinse treatment were shaken off from the substrate into the normal saline solution (NSS) by supersonic vibration treatment. After that, the NSS containing the nc-Si particles was injected into the vein of animal such as rat and lamb. The luminescent property of nc-Si particles in the vein was detected by using a photonic multichannel analyzer. A Xenon lamp was used as the light source with an optical bandpass filter of 313 nm.When the nc-Si particles were injected at the particle concentration of 1.3 mg in the vein, they stably and smoothly flowed. Moreover, they exhibited strong red luminescence with a peak at 720 nm under the flow condition of nc-Si particles in the vein. The good flow and red luminescence was also confirmed for the various positions such as a coronary artery and wall of the small intestine in the living organism. These phenomenons were achieved by the formation of particle with the small size of 2.5 nm and existence of stable passivation film on the particle surface.
9:00 PM - L10.20
Study on the Memory Effect of Si Nanostructures Self-embedded Silicon Nitride Thin Film
Zingway Pei 1 , Huey Hwang 2
1 Department of Electrical Engineering, National Chung Hsing University, Taichung Taiwan, 2 Department of Electrial Engineering, National Tsing Hua University, Hsinchu Taiwan
Show Abstract9:00 PM - L10.21
SOI-based Silicon Quantum Dots Contacted by Self-aligned Nano-electrodes.
Conrad Wolf 1 , Andreas Ladenburger 1 , Rainer Enchelmaier 1 , Klaus Thonke 1 , Rolf Sauer 1
1 Institut für Halbleiterphysik, Universität Ulm, Ulm Germany
Show AbstractWe present a technique to contact individual silicon quantum dots (QDs) by nano-electrodes making use of a self-alignment effect. Starting from an ultra thin silicon on insulator (SOI) substrate we employ self-assembled gold colloidal particles as an etch mask. These particles are deposited onto the substrate using aminosilane [3-(2-aminoethylamino)propyltrimethoxysilane] as an adhesion agent yielding a sub-monolayer sample coverage. The QDs are then fabricated by applying a CF4 reactive ion etch (RIE) process to remove the silicon layer everywhere except below the gold colloids. Subsequently, the colloidal mask is removed by a wet chemical etch and 100-200 nm wide metal wires are patterned by electron beam lithography (EBL) onto the QD-covered samples. A nanometer-sized gap is created in these wires by a controlled electromigration process. The metal wires will preferentially break at the positions of the QDs, because the metal layer is dilated there resulting in a locally higher current density. This leads to a self-alignment effect of the evolving nano-electrodes with respect to the QDs. The native oxide of the silicon QDs is used as a tunneling barrier leading to a single-electron device. The oxide thickness can be increased in a controlled manner by self-limiting thermal oxidation to adjust the tunneling resistance. Finally, I(V)-traces of these devices are collected at liquid helium temperature. They show clear Coulomb blockade behavior as well as Coulomb staircase features.
9:00 PM - L10.22
Effect of Surface Passivation on Stability of Luminescence from Nanocrystalline Silicon Particles in Pure Water.
Masaki Hiruoka 1 , Tetsuya Matsumoto 1 , Takahiro Oku 1 , Keisuke Sato 1 , Kenji Hirakuri 1
1 Dep.of Electronic and Computer Engineering, Tokyo Denki University, Hikigun, Saitama, Japan
Show Abstract9:00 PM - L10.23
Optical Properties of Multiple, Delta-doped Si:B/Si Layers.
Han-Yun Chang 1 , Eun-Kyu Lee 1 , Boris Kamenev 1 , Jean-Marc Baribeau 2 , David Lockwood 2 , Leonid Tsybeskov 1
1 Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, New Jersey, United States, 2 Institute for Microstructural Sciences, National Research Council, Ottawa, Ontario, Canada
Show Abstract9:00 PM - L10.24
Morphology of Pores Formed in Silicon by Wet EtchingUsing Different Kinds of Metal Particles with Different Sizes.
Kazuya Tsujino 1 , Michio Matsumura 1
1 Research Center for Solar Energy Chemistry, Osaka University, Toyonaka, Osaka, Japan
Show AbstractWe found that deep cylindrical pores were formed in silicon by wet chemical etching in a solution containing hydrofluoric acid (HF) and hydrogen peroxide (H2O2) using silver nanoparticles loaded on a silicon surface as catalyst (Adv. Mater., 17, 1045 (2005)). During the etching process, the silver particles gradually sank into silicon in the <100> direction, forming cylindrical pores with diameters ranging from 30 nm to 100 nm, which were comparable to those of silver particles. The depth reached 500 µm when the etching continued for 10 h. We have also found that helical pores were formed in silicon by etching using platinum particles as the catalyst (Electrochem. Solid-State. Lett., 8, C193 (2005)). These pores are expected to have potential applications in practical microstructuring processes of silicon substrates. However, in order to utilize the pores in practical applications, improvement in controllability of the morphology of the pores based on an understanding of the pore formation processes is necessary. Here, we report the morphologies of pores bored in silicon by particulate metal catalysts made of gold, silver, platinum and palladium.
9:00 PM - L10.25
Theory of Multi-exponential Photoluminescence Decay of Indirect Gap Semiconductor Nanocrystals.
Christophe Delerue 1 , Guy Allan 1 , Cecile Reynaud 2 , Olivier Guillois 2 , Gilles Ledoux 3 , Friedrich Huisken 4
1 ISEN, IEMN (UMR CNRS 8520), LILLE France, 2 Service des Photons, Atomes et Molécules, Laboratoire Francis Perrin (URA CEA-CNRS 2453),
DSM/DRECAM CEA-Saclay, F-91191 Gif/Yvette Cedex France, 3 , LPCML, UMR CNRS 5620, Université Claude Bernard Lyon I, Villeurbanne France, 4 Institute of Solid State Physics, University of Jena and MPI for Astronomy, Heidelberg Germany
Show Abstract9:00 PM - L10.26
Stress Effects on Gate/Active Interface by Volume Shrinkage during Metal-Induced Lateral Crystallization Process
Min-Kyu Lee 1 , Nam-Kyu Song 1 , Young-Su Kim 1 , Min-Sun Kim 1 , Seung-Ki Joo 1
1 School of Materials Science and Engineering, College of Engineering, Seoul National University, Seoul, Seoul, Korea (the Republic of)
Show AbstractLow temperature polycrystalline silicon thin film transistors (LTPS TFTs) are very attractive technology for flat panel display devices. Eximer laser annealing is currently regarded to be the most preferable method for the fabrication of LTPS TFTs. However, many problems remain to be solved. Although solid phase crystallization is a relatively inexpensive process, its processing temperature limit of inexpensive glass substrates. Metal-induced lateral crystallization (MILC) process allows amorphous silicon (a-Si) thin films to be crystallized below 500°C. Although the resulting TFTs have shown excellent carrier mobility, they are still needed low leakage current property. When the a-Si film is crystallized into poly-Si, volume of the Si film is shirked. Therefore the MILC process (after gate process) causes gate/active interface stress. We suggest new MILC-TFT fabrication step. So far, MILC-TFTs are crystallized after finishing TFT fabrication, but it can lead the extra stress between gate oxide layer and crystallized Si layer. Since gate oxide layer was formed when the active layer was a-Si phase, the volume shrinkage which brings phase transformation from a-Si to poly-Si cause extra stress between gate oxide layer and crystallized Si layer. Therefore, we modified MILC-TFT fabrication step to release stress between gate layer and active layer. A 600Åa-Si thin film was deposited on the glass by low pressure chemical vapor deposition using Si2H6 as a source gas. After the a-Si deposition, active layer was defined by photolithography and conventional dry etch process. After dry etch process, the samples were divided into two processes. The samples were named as sample (1) and sample (2). The fabrication step of sample (1) is as below. 700Å SiO2 gate oxide and 2000Å MoW gate were formed by PECVD and sputtering, respectively. After definition of the gate, 100Å Ni patterns were formed by a lift-off process. The a-Si was crystallized to poly-Si by MILC (annealing at 550°C in hydrogen ambient). The fabrication step of sample (2) is as below. After a-Si dry etch, 100Å Ni patterns were formed by a lift-off process. The a-Si was crystallized into poly-Si by MILC method and then, 700Å SiO2 gate oxide and 2000Å MoW gate were formed by PECVD and sputtering, respectively. Every thin films process conditions and annealing process condition are same both sample (1) and sample (2). The former process (sample (1)) causes gate/active interface stress, and the latter process prevents gate/active interface stress.We measure electrical characteristics of these MILC-TFTs. Also, we observe the microstructures to understand of gate/active interface stress caused by Si volume shrinkage. The properties of MILC-TFT were depended on the gate/active interface stress caused Si volume shrinkage during MILC annealing process. In conclusion, electrical characteristics of sample (2) are superior to those of sample (1) because the interface stress is suspended.
9:00 PM - L10.27
A Study on Dopants Effect on the Metal-Induced Lateral Crystallization Behaviors
Tae-Young Hwang 1 , Min-Sun Kim 1 , Seung-Ki Joo 1
1 School of Materials Science and Engineering, College of Engineering, Seoul National University, Seoul, Seoul, Korea (the Republic of)
Show AbstractIt is well known source/drain junctions with low sheet resistivity are needed for good electrical performance to apply poly-Si TFT. As fabrication process temperature of poly-Si TFT is lower, activation temperature of dopants, which are injected into source and drain regions also should be lowered. However, dopants activation energy is a function of activation temperature, so low activation temperature is able to cause high sheet resistivity at source/drain region. Also it leads degraded electrical performance of TFTs. Therefore, it's necessary to understand the mechanism of dopants activation in MILC process to obtain superior MILC-TFT to other crystallized poly-Si TFTs. A 500- Å-thick a-Si thin film was deposited by low pressure chemical vapor deposition using Si2H6 as a source gas. After photolithography process, 100-Å-thick Ni island pattern was formed by a lift-off process. In order to define the doped areas, the samples were doped by ion mass doping system (IMDS) with B2H6. Dopant injection time is controlled from 1 to 10min. Also acceleration voltage and plasma power are changed. The a-Si layer was crystallized into poly-Si layer by MILC method (annealing at 550°C in vacuum consistently) and the samples were observed every 2 hr by optical microscope. We investigated the sheet resistivity accordance, MILC growth rate, and microstructure of MILC region as dopants concentration change while the other conditions fixed. It is easily noticed that the MILC growth rate of B2H6-doped poly-Si thin film by IMDS is faster than that of undoped a-Si. The sheet resistivity is getting lower as dopants concentration is higher. Microstructures of MILC at undoped and B2H6-doped MILC region are shown by TEM images. The microstructure of the MILC region of B2H6-doped sample is far different from that of undoped region. Its microstructure consists of unidirectional crystallized silicon grains without any branch. It is thought that this unidirectional crystallization induces the faster MILC growth rate. In summary, the poly-Si in the MILC region of B2H6-doped sample contains more vacancies or free carriers due to presence of boron than that undoped sample, and it is already reported that the lattice constant of poly-Si is larger by about 0.4% than that of NiSi2.Thus it is also thought that boron atoms can reduce the lattice constant of poly-Si. Therefore it could be inferred that the high vacancy concentration and/or the reduction of lattice mismatch reduce the strain at the poly-Si/ NiSi2 interface and inhibit the break up of Ni silicide. These also make MILC rate fast.
9:00 PM - L10.28
Optical Properties of Ge Nanocrystals in Silicon Oxide Matrix.
Shin-ichiro Uekusa 1 , Atsuhiko Kushida 1
1 School of Science and Technology, Meiji University, Kawasaki, Kanagawa, Japan
Show Abstract9:00 PM - L10.29
Spectroscopic Characterization of Alkyl-Passivated Si Nanoparticles Synthesized by Solution Route.
Akinori Tanaka 1 , Tadafumi Kamikake 1 , Masaki Imamura 1 , Yoshiaki Murase 1 , Hidehiro Yasuda 1
1 Department of Mechanical Engineering, Kobe University, Kobe Japan
Show AbstractWe have systematically synthesized the alkyl-passivated Si nanoparticles with various sizes and surface-passivants by a solution route, and have carried out the various spectroscopic studies in order to investigate their intrinsic optical properties and electronic structures. Alkyl-passivated Si nanoparticles used in this work were synthesized by the oxidation of magnesium silicide with bromine and subsequent surface-termination of the nanoparticles by means of an alkyllithium reagent. Photoluminescence spectra of thus synthesized alkyl-passivated Si nanoparticles with mean diameter less than 2 nm exhibit the strong ultraviolet-blue emission. In order to investigate the detailed electronic structures of these alkyl-passivated Si nanoparticles and to clarify the physical origin of their strong photoluminescence, we have carried out the valence-band photoemission measurements using synchrotron radiation. In the valence-band photoemission spectra, we observed the spectral features originated from the Si 3p- and 3s-derived states and those originated from the C 2p-derived states in Si-C σ bonds and alkyl surface-passivants. Moreover, we have directly estimated the valence-band maximum (VBM) from the photoemission spectrum in the vicinity of Fermi level. It is found that these VBM energies correspond to the experimental absorption edges in the extinction spectra and resonance features in photoluminescence excitation spectra. Therefore, the photoluminescence from the present alkyl-passivated Si nanoparticles is considered to originate from the electron-hole pair recombination between the modified valence-band and conduction-band due to the quantum size effect. In addition, we performed the Si 2p core-level photoemission measurements using synchrotron radiation and characterized the interfacial electronic structures. From these results, we discuss the detailed optical properties and the electronic structures of alkyl-passivated Si nanoparticles.
9:00 PM - L10.30
Broad Band Anti-reflection Properties of 6-inch Arrayed Silicon Nanotip Wafer.
Yi-Fan Huang 1 , Surojit Chattopadhyay 2 , Hung-Chun Lo 3 , Chih-Hsun Hsu 5 , Yi-Jun Jen 1 , Cheng-Yu Peng 1 , Jih-Shang Hwang 4 , Chii-Ruey Lin 1 , Chia-Fu Chen 3 , Kuei-Hsien Chen 2 , Li-Chyong Chen 5
1 , National Taipei University of Technology, Taipei Taiwan, 2 , Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei Taiwan, 3 , National Chiao Tung University, Hsinchu Taiwan, 5 , National Taiwan University, Taipei Taiwan, 4 , National Taiwan Ocean University, Keelun Taiwan
Show AbstractA wide angle and broadband antireflection is observed on a 6-inch silicon nanotip array (SiNTs) substrate fabricated using a single step electron cyclotron resonance plasma etching technique. This subwavelength structure consists of the SiNTs with apex and bottom diameter of ~ 5 nm and ~ 200 nm, respectively, length of ~ 2000 nm and density of 109/cm2. The SiNTs possess antireflection properties characterized by the average hemispherical reflectance of less than 1% in the 250-700 nm range, and about ~ 20 % in the near infrared region, when measured with incident angles varying from 15-60°. The specular reflectance levels remained below 1% even for the s- and p- polarized 632 nm light over a wide incident angle range of 25-70°. These results could be simulated by the ‘gradient refractive index’ theory.
9:00 PM - L10.31
Si Nanostructures Formation in SiOx/SiO2 Superlattice.
Branko Pivac 1 3 , Pavo Dubcek 1 , Ivana Capan 1 , Nikola Radic 1 , Sigrid Bernstorff 2 , Branislav Vlahovic 3
1 Materials Physics, R. Boskovic Institute, Zagreb Croatia, 3 Physics Department, North Carolina Central University, Durham, North Carolina, United States, 2 , Sincrotrone Trieste, Basovizza (TS) Italy
Show Abstract9:00 PM - L10.32
Characterization of Coherent Si1-xGex Island Superlattices on Si(100)
Jean-Marc Baribeau 1 , Xiaohua Wu 1 , David Lockwood 1
1 Institute for Microstructural Sciences, National Research Council Canada, Ottawa, Ontario, Canada
Show AbstractGrowth of Si1-xGex on (001) Si at high temperature and large germanium concentration can lead to the formation of periodic surface undulations. If the amplitude, wavelength and long-range order of the ripples can be controlled, these could form a natural template pattern for the growth of self-organized Ge dots [1]. Here we examine the microstructural properties of Si/Si1-xGex island superlattices grown by molecular beam epitaxy for a fixed growth temperature but for different Ge concentrations x in the range 0.37–0.56. A combined analytical transmission electron microscope (TEM), high-resolution x-ray diffraction, and Raman spectroscopy characterization of the samples show that during growth the Ge atoms migrate towards the center of the large islands to maintain epitaxial growth and that the most uniform structures are obtained at higher Ge composition when the built-in strain is also higher. X-ray reciprocal space mapping shows that these heterostructures remain pseudomorphic and that the undulations are predominantly aligned along [100] directions and exhibit a long-range coherence and vertical correlation as revealed by the presence of strong satellites in a wave vector direction parallel to the surface. Raman spectra of these samples exhibit the usual Ge-Ge, Si-Ge and Si-Si vibrational modes. When compared with planar Si/Si1-xGex superlattices these Raman peaks are shifted to lower frequencies indicating an alloy composition that is approximately x = 0.1 less than the nominal values, which is in general agreement with analytical TEM.
9:00 PM - L10.33
PS-Cu Composite Nanostructures: Fabrication, Properties and Application
Hanna Bandarenka 1
1 Microelectronics, Belarussian State University of Informatics and Radioelectronics, Minsk Belarus
Show Abstract9:00 PM - L10.34
3D Atom Probe Tomography Analysis of Patterned, Ultra-Shallow Junctions
Keith Thompson 1 , Roger Alvis 1 , Robert Ulfig 1 , David Larson 1
1 , Imago Scientific Instruments, Madison, Wisconsin, United States
Show AbstractHigh-speed laser pulsing and a Local Electrode™ geometry have combined to transform the 3D atom probe into a characterization tool capable of analyzing Si-based nanostructures atom by atom. Shallow-implanted blanket and patterned Si and SiGe structures were successfully analyzed in three dimensions with the laser-assisted Local Electrode Atom Probe (LEAP®).microscope. The results correlate favorably to secondary-ion mass spectrometry (SIMS) and TEM. Advantages and unique compositional and 3D capabilities of the atom probe for these applications are described. Several applications for which the laser atom probe provides superior compositional analysis will be shown.A SiGe/Si/SiGe multilayer stack was analyzed in the laser-pulsed LEAP and compared to SIMS. Both SIMS and LEAP successfully quantified the Ge concentration in each layer (6% and 9%) and accurately measured the thickness of the Si layer between the SiGe layers. The LEAP offers superior depth resolution, with a slope roll-off at the interface of 1 nm/decade, compared to 7 nm/decade with the SIMS analysis. Additionally, the atom probe provides greater sensitivity for quantifying the small amount of Ge accumulation at the first Si/SiGe interface. A poly-Si gate structure which consists of poly-Si lines deposited on a 1.4 nm thick gate dielectric, patterned/etched, implanted with As at 3 keV and annealed at 950oC for 1 second was analyzed. The As atoms are found on either side of the poly-Si line with a small amount of lateral distribution beneath the poly-Si line as expected. The As atoms were implanted through the gate dielectric, which acted as a screen oxide and the resulting redistribution and mixing of oxygen atoms into the underlying Si is apparent.A shallow implant of B and As dopants into a <100> Si wafer was analyzed and it is evident that the As implant depth is limited to the top ~10 nm while the B implant extends to ~25 nm into the wafer.A thin HfO, high-k dielectric sandwiched between a <100> crystalline Si substrate and an amorphous Si top layer was analyzed. The oxygen atoms and hafnium atoms are distinctly dissociated in a thin region between the Si substrate and the HfO layer. This may indicate some residual SiO layer before the high-k layer begins. The laser-pulsed LEAP system has successfully provided 3D compositional analysis of Si nanostructures. The spatial resolution in the analysis direction (0.2 nm) is on the order of the atomic spacing. Additionally, the interfaces can be visualized individually, thereby enabling calculation of surface roughness and examination of impurity distributions along these buried interfaces. These advantages, along with atom-probe fundamentals, will be discussed in detail.
9:00 PM - L10.35
Synthesis of Visible-photoluminescent Nanomaterials by Pulsed Laser Ablation of C60-containing SiO Target.
Wataru Tsuji 1 , Wakana Hara 1 , Sei Otaka 1 , Akifumi Matsuda 1 , Takao Kobayashi 1 , Yoshiki Takagi 2 , Yuki Shiraishi 3 , Kensuke Akiyama 4 , Mamoru Yoshimoto 1
1 Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama, Kanagawa, Japan, 2 , Teikyo Univ. of Sci. and Tech., Uenohara Japan, 3 , Osaka Inst. of Tech., Osaka Japan, 4 , Kanagawa Industrial Technology Center, Ebina Japan
Show Abstract9:00 PM - L10.36
Time Resolved Photoconduction Studies of Uniformly Doped and p-n Junction Si Nanowires.
Loucas Tsakalakos 1 , Darryl Michael 1 , Jody Fronheiser 1 , Joleyn Balch 1 , Robert Wortman 1 2 , Paul Wilson 1 , David White 1 , Rolf Boone 1 , Steven LeBoeuf 1
1 , General Electric - Global Research Center, Niskayuna, New York, United States, 2 , Purdue University, West Lafayette, Indiana, United States
Show Abstract One dimensional semiconducting nanowires have generated intense scientific and technological interest in the past few years due to their potential for enhanced or novel device characteristics, including small size, high mobility, and quantum effects. The electrical characteristics of Si nanowire field effect transistors (FET) have been extensively studied. However, the optoelectronic response of Si nanowire FETs has been generally overlooked. Ahn et al. [Nano Lett. 5, 1367 (2005)] and Gu et al. [Appl. Phys. Lett 87, 043111 (2005)] recently studied the photoconduction characteristics of Si nanowire FETs using high resolution optical microscopes that reveal the impact of the contacts on the overall device photoresponse. Here we describe studies on single Si nanowire devices with broad area illumination aimed at further elucidating the response of such devices to light of varying wavelength. Field effect transistors (FET) are fabricated by a simple liquid dispersion onto silicon nitride or oxide-coated silicon back gates, followed by deposition of top Ti/Au, Al/Ti/Pd, or Ni/Ti/Au contacts. Unoptimized devices lead to mobilities ranging from 70-300 cm2/V-s. We have performed photoconduction experiments on the Si nanowires as a function wavelength (500-800 nm). A change in current (at constant drain voltage) of ~10% has been observed for unoptimized devices when exposed to green light. Time resolved measurements show transient phenomena associated with carrier recombination processes in the nanowires with time constants ranging from 5-200 μsec. In some samples bi- and tri- exponential fits are observed showing the existence of multiple recombination mechanisms. Samples with more Ohmic contacts in general show a longer lifetime, implying charge separation and recombination process at Shottky barriers obscure the measurement of true recombination characteristics in the wires. Photoconduction characteristics as a function of wavelength, contact annealing, and defect passivation will be presented.
9:00 PM - L10.38
Fabrication and Performance of Si Nanocrystal Micro-Light-Emitting Diode Arrays
Chul Huh 1 , Jae-Heon Shin 1 , Kyung-Hyun Kim 1 , Kwan Sik Cho 1 , Jongcheol Hong 1 , Gun Yong Sung 1
1 , Electronics and Telecommunications Research Institute, Daejeon Korea (the Republic of)
Show AbstractRecently, lots of investigations on Si nanocrystals (nc-si) have attracted a great interest due to their potential for applications in silicon-based microphotonics. When the size of nc-Si is comparable or smaller than the exciton Bohr radius (~ 4.3 nm) of bulk silicon, the radiative emission efficiency could be enhanced due to a quantum confinement. In our previous result [1], well-organized silicon nanocrystals embedded in silicon nitride films were successfully grown by a plasma enhanced chemical vapor deposition. In addition, we fabricated the nc-Si light-emitting diodes (LEDs) by employing an n-SiC film and an ITO transparent current spreading layer [2][3].Arrays of microsize LEDs based on III-nitride semiconductors have been demonstrated to be flexible and efficient light sources with a wide range of potential applications in areas including microdisplay, chemical sensing, and biosensing [4]. So far, no one else has ever tried to fabricate micro-LED arrays by using nc-Si. In the present work, we fabricated Si nanocrystal microsize LED arrays. SOI (silicon on insulator) wafers were used as substrates. The nc-Si with a thickness of 50 nm was grown, followed by the growth of a phosphorus-doped SiC layer with a thickness of 200 nm by a plasma enhanced chemical vapor deposition. The argon-diluted 10 % silane, ammonia, methane, and tri-methyl-phosphite metalorganic sources were used as the reactant gases and a doping source, respectively. After the growth, the 8x8 micro-LED arrays were fabricated by using a standard photolithography, an inductively coupled plasma etching, and a thermal evaporation. The array devices turn on at 12 V and at a typical operation current of 20 mA, the forward voltage for the arrays is around 18 V. The peak position of electroluminescence spectra of the array device was measured to be around 620 nm. A detailed investigation of the performance of nc-Si micro-LED arrays will be discussed.[1] T.-Y. Kim et al., Appl. Phys. Lett. 85, 5355 (2004).[2] K. S. Cho et al., Appl. Phys. Lett. 86, 071909 (2005).[3] C. Huh et al., Appl. Phys. Lett. 88, 131913 (2006).[4] H. X. Jiang et al., Appl. Phys. Lett. 78, 1303 (2001).
9:00 PM - L10.4
A Micro-Raman Scattering Study of Single-crystalline Si1-xGexNanowire.
Cheol-Joo Kim 1 , Won-Hwa Park 2 , Jee-Eun Yang 1 , Chang-Beom Jin 1 , Hyun Jang 1 , Zee Hwan Kim 2 , Moon-Ho Jo 1
1 Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Kyungbuk, Korea (the Republic of), 2 Department of Chemistry, Korea University, Seoul Korea (the Republic of)
Show Abstract9:00 PM - L10.5
Scanning Tunneling Microscopy Study and ab-initio Calculations of Nanorods Formed by Ho Deposition on the Ge(111) Surface
Steven Tear 1 , Chris Eames 1 , Chris Bonet 1 , Matt Probert 1 , Ed Perkins 2
1 Physics, University of York, York United Kingdom, 2 Physics and Astronomy, University of Nottingham, Nottingham United Kingdom
Show Abstract9:00 PM - L10.7
Gas Cluster Ge Infusion for Si(1-x)Ge(x) Strained-Layer Applications.
Thomas Tetreault 1 , Yan Shao 1 , Mengbing Huang 2 , John Hautala 1
1 , Epion Corporation, Billerica, Massachusetts, United States, 2 College of Nanoscale Science and Engineering, University at Albany - SUNY, Albany, New York, United States
Show AbstractMaterials processing with a gas cluster ion beam (GCIB) is an emerging technology that has been shown to produce novel material properties in the very near-surface (<40nm) regime. We have termed the process of doping with GCIB, infusion. Its principal characteristic enables room temperature shallow processing with high concentration gradients and no sharp interfaces. Results are presented from an investigation of GCIB GeH4 infusion into Si(100) substrates for the purpose of producing a strained Si(1-x)Ge(x) layer relative to the Si substrate. The as-infused samples exhibit surface Ge concentrations up to 100%, with a steep concentration gradient over 25 nm. Specifically, a broad series of post-processing tube-furnace anneals was investigated over a temperature range of 400 °C to 1200 °C and the samples were analyzed by channeling/RBS to look at quality of recrystallization and for evidence of strain in the lattice. Some anneal schedules included a VLTA (very low temperature anneal) first step followed by subsequent HTA (high temperature anneal). Significant differences in crystallinity were noted between single and multiple-step anneals. Many single-step anneals resulted in significant Ge loss. Evidence is shown for improved results with no Ge loss using two-step anneals. Channeling analysis was performed along the <100> and <110> directions relative to the Si(100) substrate. Measurements of the Xmin for both the Ge and Si were made to assess crystal quality and to determine the degree of alignment with the Si lattice. High-resolution axial scans along <110> were performed on selected samples to look for strain relative to the Si lattice. SIMS analysis of higher temperature anneals showed significant diffusion of Ge. Some samples were also examined by cross-sectional TEM. The best samples show crystal quality comparable to a commercially available epi-grown SiGe control sample.
9:00 PM - L10.8
Predicting the Structure of Tubular Materials via Genetic Algorithms
Teresa Davies 1 , Cristian Ciobanu 1
1 Division of Engineering, Colorado School of Mines, GOlden, Colorado, United States
Show AbstractThe structure of carbon nanotubes has long been understood starting from the single graphite sheet which can be cut and rolled up into cylindrical structures with various chiralities. While this understanding might in principle be extended to many free standing 1-dimensional nanostructures made of other materials, the problem is that we do not usually have at our disposal a nearly inert single-layer material to roll up. The problem becomes even more acute if we consider the case of multiple species composing the nanotube. Therefore, different approaches to the structure of arbitrary nanotubes are necessary to complement the current methods to find the structure of tubular materials. Here we propose such an approach based on the global structural optimization via a genetic algorithm. We show that a particular set of cross-over operations is particularly efficient for finding the atomic structure, and demonstrate the versatility of this algorithm by showing that it retrieves known structures such as carbon nanotubes of various chiralities and gold helical nanowires. We then apply the algorithm for determining the structure of silicon nanotubes, whose atomic configuration has been under debate for quite some time but whose experimental realization has only recently been demonstrated (De Crescenzi et al., Appl. Phy. Lett. 86, 231901, 2005). We show that this methodology also works well for multispecies nanotubes, thus proving its strong potential for polymorph search in tubular materials.
9:00 PM - L10.9
Uniaxially Strained Silicon on Wafer Level by Wafer Bonding and Layer Transfer.
Silke Christiansen 2 1 , Cameliu Himcinschi 2 , Rajendra Singh 2 , Ulrich Gösele 2 , Frank Muster 3 , Manfred Reiche 2 , Mathias Petzold 2
2 Exp II, Max Plank Institute of Microstructures Physics, Halle Germany, 1 Physics, Martin Luther Universität Halle, Halle Germany, 3 , Fraunhofer Institute for Mechanics of Materials, Halle Germany
Show Abstract