Symposium Organizers
Madhu Chinthavali, Oak Ridge National Laboratory
Robert Kaplar, Sandia National Laboratories
Martin Kuball, University of Bristol
Tetsuya Takeuchi, Meijo University
RR2: GaN Power Devices II
Session Chairs
Debdeep Jena
Siddharth Rajan
Monday PM, November 30, 2015
Hynes, Level 3, Room 306
2:30 AM - *RR2.01
Near-Junction Microfluidic Cooling for Wide Bandgap Devices
Avram Bar-Cohen 1 J. Maurer 1 Abirami Sivananthan 1
1DARPA-MTO Arlington United States
Show AbstractWide-bandgap materials are poised to revolutionize power electronics, offering higher efficiency, reliability, and output power in a broad range of energy conversion and RF power amplifier (PA) devices. Gallium nitride (GaN) is emerging as the wide bandgap material of choice for both industrial and defense applications but thermal impediments present a significant bottleneck to realization of the full potential enabled by the GaN material properties. Traditional “remote cooling” solutions, which rely on thermal conduction and spreading through low thermal conductivity substrates and across multiple interfaces, are incapable of limiting device junction temperature rise. Recent “embedded cooling” efforts, largely funded by Defense Advanced Research Projects Agency Microsystems Technology Office (DARPA-MTO), have focused on reduction of the near-junction thermal resistance through the use of diamond substrates and efficient removal of the dissipated power with convective and evaporative microfluidics.
This paper will first motivate the need for advanced thermal management in GaN PAs. Attention will then turn to the accomplishments of the DARPA Near-Junction Thermal Transport (NJTT) program, including the thermal and electrical characteristics of GaN epitaxial layers bonded to bulk diamond, GaN with directly grown polycrystalline diamond as a replacement for the native substrate, and GaN on a native substrate with diamond-filled vias. The paper will then turn to on-going research in the DARPA ICECool program, which further enhances the performance of GaN PAs through intra-chip microfluidic cooling and the thermal and electrical co-design necessary to design high power GaN PAs.
3:00 AM - *RR2.02
Power Switching Transistors Based on GaN and AlGaN Channels
Siddharth Rajan 1 Ting-Hsiang Hung 1 Saurabh Bajaj 1 Fatih Akyol 1 Sriram Krishnamoorthy 1
1The Ohio State University Columbus United States
Show AbstractThe III-Nitride material system has the potential to create a new generation of highly efficient compact power electronics. In this work we will describe our work towards understanding the device physics of metal-insulator-semiconductor III-Nitride transistors with enhancement mode operation. Fundamental properties of III-Nitride materials, such as polarization and doping, make it challenging to achieve enhancement mode devices with good performance. We will first present our work on AlGaN/GaN metal-insulator high electron mobility field effect transistors, focusing on our theoretical and experimental work to understand the effect of interface states on electrostatics[1], transport[2], and threshold voltage stability in such devices. We will discuss our demonstration of interface charge density engineering [3] leading to the demonstration of normally off transistors [4] with high current density. We will then show that high threshold voltage and efficient device performance can be simultaneously achieved using ultra wide band gap AlGaN as the channel material [5]. A calculation of theoretical figures of merit for such devices taking into account the effects of electron scattering in the channel and breakdown field [5] will be presented to compare resistance and switching losses in ultra wide band gap AlGaN devices with those in GaN. Finally, we will discuss our work on experimentally realizing device structures based on ultra wide band gap materials, and our approach to improving electron transport and contacts in such devices.
[1] Esposto M, et al, Appl. Phys. Lett. , 99, 133503 (2011).
[2] Hung, TH, et al, Appl. Phys. Lett. 102, 072105 (2013)
[3] Hung TH, et al, Appl. Phys. Lett. , 162104 (2011).
[4] Hung, TH, et al, IEEE Elec. Dev. Lett., 35 (3), 99. 312-314 (2014)
[5] Bajaj, S, et al, Appl. Phys. Lett. 105.26, 263503, (2014)
3:30 AM - RR2.03
Polarization and Two Dimensional Electron Gas Visualization in AlGaN/GaN Heterostructure
Kotaro Hirose 1 Norimichi Chinone 1 Yasunori Goto 2 Yasuo Cho 1
1Tohoku Univ Sendai Japan2Toyota Motor Corporation Toyota Japan
Show AbstractApplication of AlGaN/GaN high electron mobility transistor (HEMT) to power controlling devices is highly expected. AlGaN/GaN HEMT has excellent features for managing large electrical power: high breakdown voltage, high electron mobility, low on-state resistance, low switching losses and high thermal conductivity. It is now generally recognized that two dimensional electron gas (2DEG) is one of the key factor which determine the electrical property of AlGaN/GaN HEMT. The polarization in AlGaN/GaN heterostructure plays important roles in forming 2DEG. Thus, 2DEG and polarization are crucial factors of evaluation.
Techniques for device evaluation are important for effective development of high performance device at low cost. Scanning nonlinear dielectric microscopy (SNDM)[1] is one of candidates of evaluation techniques for analyzing carrier distribution and polarization. SNDM measures the variation of capacitance between sample and conductive tip responding to the applied ac voltage and can detect carrier polarity, density, and polarity of polarization. SNDM has such high capacitance variation sensitivity of 10minus;22 F that the detailed profile of carrier and polarization distribution can be measured.
2DEG is observed by measuring the variation of depletion layer thickness of Schottky contact formed between tip and sample. Moreover, polarization can be observed by measuring the variation of capacitance due to nonlinear dielectric response related to the nonlinear dielectric constant whose sign is determined from polarization polarity[1].
AlGaN, GaN, and buffer layer thicknesses of the sample were 30nm, 1.6mu;m, and 2.4mu;m, respectively. The Al composition ratio in AlGaN was 30%. These layers were epitaxially grown on Si substrate. AlGaN layer and GaN layer have their own spontaneous polarization Psp. In addition, the lattice mismatch between GaN layer and AlGaN layer causes piezo-induced polarization in AlGaN layer [2]. The acquired SNDM data showed the direction of AlGaN polarization and that of the GaN polarization were same and the magnitude of polarization of AlGaN was larger than that of GaN. At the GaN layer, the signal value near the AlGaN/GaN interface went down from minus;50 Hz/V to minus;300 Hz/V. The difference of these signal values 250 Hz/V was caused by 2DEG. The half width of the 2DEG profile was about 10 nm, which means that the range of signal influenced by 2DEG was 10 nm. When the tip is near 2DEG, an electric field arrive at 2DEG from the tip because there are no carriers that block electric field in undoped AlGaN layer and undoped GaN layer. It is reasonable to assume that the half width is slightly thicker than real 2DEG thickness. Thus, we concluded that SNDM has a useful tool for evaluating the 2DEG and polarization distribution in AlGaN/GaN heterostructure.
[1] Y. Cho, A. Kirihara, and T. Saeki: Rev. Sci. Instrum., vol. 67, p. 2297, 1996.
[2] O. Ambacher et al.: J. Appl. Phys., vol. 85, p. 3222, 1999.
4:30 AM - *RR2.05
Oh Thatrsquo;s SiC, Yes We GaN!
Shashank Krishnamurthy 1
1United Technologies Research Center East Hartford United States
Show AbstractThe emergence of wide band gap semiconductor devices has pushed the boundaries of power converter operation. The devices enable the user to increase the switching frequency of power converters while maintaining high efficiency. Potential operation at higher temperatures allows the user to further reduce the size and weight of such conversion systems. The talk will attempt to present the state of the art in wide band gap devices, the challenges associated with designing with such devices and its adoption in power conversion equipment.
5:00 AM - RR2.06
Lowering Contact Resistances on AlGaN/GaN HEMT Structures by Introducing Uneven AlGaN Layers: Effects of Configuration and Size of Lateral Patterns
Yusuke Takei 1 Tomohiro Shimoda 1 Wataru Saito 2 Kuniyuki Kakushima 1 Hitoshi Wakabayashi 1 Kazuo Tsutsui 1 Hiroshi Iwai 1
1Tokyo Institute of Technology Yokohama-shi Japan2Toshiba Corporation Kawasaki-shi Japan
Show AbstractAlGaN/GaN HEMTs attract lots of attention for high frequency and power applications owing to high mobility of two-dimensional electron gas (2DEG). However, one important issue concerning these devices is the formation of ohmic contacts with low contact resistance. Since contact metal layers are usually deposited on an insulating AlGaN layer, beneath which a 2DEG is induced, current pathways need to be formed through the AlGaN layer. On the other hand, thinning the AlGaN layer leads to a decrease in 2DEG concentration induced by the large polarization in the AlGaN layer. These properties result in an inherent tradeoff involving the AlGaN layer thickness. Recently, we proposed a new technique to reduce contact resistance overcoming the inherent tradeoff, in which uneven AlGaN layer structures were intentionally introduced [1]. In this technique, fringing effects at the edges of lateral patterns of the uneven structure are expected to play an important role. In this study, effects of scaling down of various lateral patterns on reduction of contact resistances are discussed.
An AlGaN/GaN heterostructure grown on a Si(111) wafer for HEMT applications was used as a substrate. The thickness and composition of the AlGaN layer was 30 nm and Al0.25Ga0.75N. Contact resistances were evaluated by the TLM method. The uneven structures were formed by laterally partial etching of the AlGaN layer, in which thin AlGaN regions (5 nm in thickness) and thick AlGaN regions (30 nm in thickness) coexist with particular periodic lateral patterns. The thin AlGaN regions were formed by Cl2/BCl3/Ar RIE. The uneven structures were formed under contact metal layers composed of Mo/Al/Ti (35/60/15nm). The lateral patterns, such as parallel line/space stripe configurations or dot matrix configurations, whose feature size was from a few hundreds nm to 5 µm were formed by electron beam lithography or photo lithography. Finally, annealing was carried out in N2 ambient.
In the case of flat AlGaN structures (not uneven structures), the lowest contact resistance was obtained at an AlGaN thickness of around 10 nm. For the uneven structures with stripe configuration parallel to current flow, contact resistances were much smaller than the reference value for the 10 nm thick flat AlGaN structure. In particular pattern size regions, the resistance was fond to be inversely proportional to pattern density per unit area, indicating fringing effects. In the case of the stripe with 700 nm width, the contact resistance was reduced to 30% of the reference. The mechanism of contact resistance reduction is discussed along with the effects of pattern configuration and pattern size.
[1] Y. Takei et al., Physica Status Solidi A, DOI 10.1002/pssa.201431645, (2015).
5:15 AM - RR2.07
Study of Temperature Dependent Electrical Characteristics of Graphene/AlGaN/GaN Schottky Contacts
Rajendra Singh 1 Ashutosh Kumar 1 R. Khashid 2 Arindham Ghosh 2 Vikram Kumar 1
1IIT-Delhi New Delhi India2IISc Bangalore India
Show AbstractGraphene has received lot of attention in the last few years due to its extraordinary electrical, optical, mechanical and thermal properties, opening the gate for potential applications in electronic and optoelectronic devices. Hence it is important to investigate the properties of graphene/semiconductor interfaces in order to understand their nature and functionality.
In the present work, electrical properties of graphene/AlGaN/GaN systems have been investigated in the temperature range 80-300 K. AlGaN/GaN heterostructures grown on Si by metal organic chemical vapor deposition (MOCVD) are used for the present study. 2DEG is formed at the interface of 24 nm Al0.25.GaN0.75 barrier layer and 500 nm GaN layer. Low surface roughness (RMS=0.5 nm) revealed good surface quality of the heterostructures. Sheet resistance and 2DEG sheet carrier concentration are found to be 384 ohm/sq and 1.1 × 1013 cm-2, respectively. Four layer Ti/Al/Ti/Au contacts pads deposited onto this structure using e-beam evaporation served as Ohmic contacts. Another pad of SiO2/Cr/Au (50/5/50 nm) is sputtered for graphene transfer, as the contact from graphene is taken via Au. Single layer grapheme (SLG) is transferred in such a way that one side of graphene is in contact with AlGaN/GaN surface while other side is in contact with Au pad. Self-adaptive contacts are established between graphene-AlGaN/GaN and graphene-Au. Raman measurements are performed on selected graphene layers prior to its transfer. The intensity ratio of G and 2D peaks revealed the presence of single layer graphene (SLG). Rectifying nature of I-V characteristics at each temperature indicates that graphene act as Schottky contact on AlGaN/GaN. Due to difference in work function of graphene (4.6 eV) and electron affinity of AlXGaN1-X/GaN (2.7 eV for x=0.25), a Schottky contact with barrier height equal to 1.9 eV should be formed theoretically as predicted by the Schottky-Mott model. However, experimental values of SBHs are always lower than the predicted values due to existence of interface states, barrier inhomogeneities, and surface modifications during device processing. In the present case, ideality factor (#414;) and Schottky barrier height (SBH) are calculated at 300 K using thermionic emission theory and found to be equal to 1.7 and 0.70 eV, respectively. On lowering the temperature to 80 K, ideality factor increases to 4.5 while SBH decreases to 0.2 eV. The increase in ideality factor and decrease in SBH on lowering the temperatures are attributed to the existence of barrier inhomogeneities as well as presence of other current transport mechanisms apart from the thermionic emission process. This kind of study can potentially be useful for electronic and optoelectronic devices for energy efficiency applications.
5:30 AM - *RR2.08
Polarization-Engineered Wide-Bandgap Power Electronic Devices
Debdeep Jena 1 2
1Cornell University Ithaca United States2University of Notre Dame Notre Dame United States
Show AbstractIntroduction: Since 1990s, increasing the energy bandgaps of semiconductor materials from ~1eV in Si and GaAs to ~3.4 eV in GaN and SiC has created new revolutionary applications arenas in high-speed and high-power RF electronics and in solid-state lighting and lasers. Much of the current device technologies exploit the large energy bandgaps of GaN and SiC. We present a few preliminary structures that exploit electronic polarization to exceed the conventional power electronics figures of merit and initial experimental demonstrations of the new physics in action.
Prior and current work: The strong spontaneous and piezoelectric polarization fields in III-nitride semiconductor heterostructures is central to the realization of Al(Ga)N/GaN high-electron mobility transistors (HEMTs) on Silicon, which is currently being intensively investigated for ~600 Volt power electronics. Looking beyond, a pertinent question is - can one exceed the breakdown voltage - on resistance limits of GaN by combining heterostructures with polarization? To that end, we have investigated several vertical heterostructures on bulk GaN substrates with very low dislocation densities to exploit polarization effects1,2 to exceed conventional figures of merit of breakdown and on-resistance. The results achieved are highly revealing not just about the effects of polarization, but of bulk GaN itself. For example, p-n junctions with ideality factors close to unity are realized for the first time in GaN, and leakage currents at ~ nA/cm2 levels are measured due to the exponential dependence of leakage currents on the energy bandgap. P-i-n diodes with breakdown voltages in the 1-2 kV range are realized, and non-destructive avalanche breakdown is observed. When the traditional impurity-doped p-n junction is replaced by polarization-induced doping using graded AlGaN, enhanced performance with fundamental changes in transport mechanism is observed. The impurity and polarization doped high-voltage devices have distinct spectral emission, which helps probe the high-field transport mechanisms in these power devices, something that is difficult in Silicon and SiC due to their indirect bandgaps.
RR1: GaN Power Devices I
Session Chairs
Martin Kuball
Robert Kaplar
Avram Bar-Cohen
Monday AM, November 30, 2015
Hynes, Level 3, Room 306
9:30 AM - *RR1.01
GaN Power Devices for Vehicles
Tetsu Kachi 1
1Toyota Central Ramp;D Laboratories Aichi Japan
Show AbstractRecently, power conversion systems in vehicles are of increasing importance with the development of hybrid or electric vehicles (HV/EVs). Higher efficiency in these systems will contribute to energy-saving society in future. Wide-bandgap semiconductors such as GaN are expected as material of new-generation power devices for HV/EVs. There are mainly two major classes of power conversion system in vehicles, such as high-power modules and medium-power modules. A boost converter which is connected to a high voltage battery and a 3-phase inverter for motor driving are classified as high-power modules. GaN vertical power devices are strong candidates for these modules. There are following requirements of performance of the devices in this module: the breakdown voltage of 1.2 kV, the current capability of more than 200 A per device and the specific on resistance of less than 2 m#8486;middot;cm2 beyond the performance of Si-IGBTs. To satisfy these demands, large diameter of high-quality GaN wafer and low carrier concentration control by epitaxial growth are desired. Recently, quality of GaN substrate is improving and pn-diodes over 3kV breakdown voltage and MOSFETs over 1.5kV breakdown voltage have been reported. Lower carrier concentration than 1×1016 cm-3 is also required for 1kV breakdown voltage. For this requirement, reduction of residual carbons in the epitaxial layer which compensate Si donors and deteriorate electron mobility is a large issue of MOCVD growth. Other issues to be solved still remain in the fabrication process of the GaN vertical device. On the other hand, down converters for a low voltage source and a charging system are classified as medium-power modules. High-frequency operation over several hundred kHz and high current density are required as performance of devices though desired breakdown voltage is lower than 600V. GaN lateral power devices are suitable for this category. AlGaN/GaN HFETs on Si substrates are the main stream of the GaN lateral devices. Normally-off operation and current collapse free operation are achieved and they are applied to inverters or converters in industrial systems. For automotive applications, reliability of the device is strongly required, which is under investigation now. At the meeting, the GaN vertical device for high-power modules and issues in the fabrication process will be presented mainly.
10:00 AM - RR1.02
P-Channel AlGaN/GaN MOSFETs for Normally-Off Operation
Shunsuke Kubota 1 Rei Kayanuma 1 Akira Nakajima 2 Shin-ichi Nishizawa 2 Hiromichi Ohashi 1 Kuniyuki Kakushima 1 Hitoshi Wakabayashi 1 Kazuo Tsutsui 1
1Tokyo Institute of Technology Yokohama-shi Japan2National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba-shi Japan
Show AbstractGaN-based N-channel (N-ch) devices, especially heterojunction field effect transistors (HFETs) utilizing 2D electron gas (2DEG), was demonstrated to have a merit of significant footprint reduction compere to that of Si-based lateral devices [1]. This property is useful for integrated circuit applications. In addition, P-channel (P-ch) HFETs using 2D hole gas (2DHG) are also needed to realize gate drive circuits, hence, to integrate power converter system on one GaN chip [2]. In previous work [3], N-ch and P-ch HFETs with normally-on operation were developed on one GaN chip. A problem was that normally-off operation of P-ch HFETs, desirable for the gate drive applications, was not realized so far. The normally-off operation was reported only for MESFETs [4], however, MOSFETs are desirable due to their low gate leakage. In this abstract, we report GaN-based P-ch MOSFETs for the first time.
The polarization-junction wafers with p-GaN/i-GaN/AlGaN/i-GaN structure grown on sapphire substrates were used for the fabrication. To realize them, we have developed polarization-junction wafers with a GaN/AlGaN/GaN double-hetero structure [5] grown on sapphire substrate. The upper GaN (000-1)/AlGaN (0001) and the bottom AlGaN (000-1)/GaN (0001) interface have negative and positive polarization charges, which automatically induce high-density 2DHG and 2DEG (~1013 cm-2). The MOS gates were fabricated between source and drain electrodes by recess etching of the top p-GaN layer by RIE and the following deposition of SiO2 by PECVD and gate electrodes of TiN/Ti by sputtering. Source and drain electrodes made of Au/Ni were formed to contact to the 2DHG. The devices with 30-nm-thick SiO2 gate insulator, channel recess length of 10 µm and channel width of 100 mu;m were fabricated.
The fabricated MOSFETs exhibited negative threshold voltage (Vth) of -0.8 V, in which transconductance (gm) of -0.2 mS/mm was obtained. The normally-off operations were observed on the P-ch MOSFETs probably due to positive fixed charge in SiO2 and smaller work function of Ti than that of GaN. Furthermore, gate leakage current was reduced to less than 3.2×10-4 mA/mm at ±5 V of gate voltage. These results indicate a potential of applications to power ICs made of GaN-based CMOS.
[1] N.-Q. Zhang et al., IEEE Electron Device Lett., vol.20, no. 9, pp. 421-423, 2000.
[2] T. Zimmermann et al., IEEE Electron Device Lett., vol. 25, no. 7, pp. 450-452, 2004.
[3] A. Nakajima et al., in Proc. ISPSD, pp.241-244, 2014.
[4] H. Hahn et al., IEEE Trans. Electron Dev., vol.60, no.10, pp.3005-3011, 2013.
[5] A. Nakajima et al., Appl. Phys. Express, vol. 3, no. 12, p. 121004, 2010.
10:15 AM - RR1.03
Performance Enhancement in AlGaN/GaN HEMT Characteristics with the Implementation of Dynamic Body Bias Technique
Isra Mahaboob 1 Jeffrey Leathersich 1 Jonathan Marini 1 John Bulmer 1 Neil Newman 1 Fatemeh Shahedipour-Sandvik 1
1SUNY Polytechnic Institute Albany United States
Show AbstractIn the last 2 decades, AlGaN/GaN based high electron mobility transistors (HEMTs) have emerged as one of the most promising technology platform for high power/high frequency applications due their superior material properties such as large bandgap energy, high breakdown electric field, high saturation drift velocity, excellent electron mobility and large sheet carrier concentrations. In addition to this, AlGaN/GaN heterostructures have excellent interface properties due to the presence of large polarization fields and conduction band offset which enable great performance of these devices. Despite these advantages, there exist several performance challenges which make these devices non-ideal power switches. In the ON-state, a commonly seen issue is the degradation of the saturation drain current and in the OFF-state, a major source of power loss is the subthreshold leakage current. To counter these issues, and to utilize the full potential of this technology, we have investigated the implementation of a device design technique which can dynamically mitigate the performance challenges during both ON and OFF states of the device operation. In this technique, the body terminal is connected to the GaN channel layer of the AlGaN/GaN HEMT structure to control the body potential of the device. A similar technique has been successfully implemented in silicon technology and is popularly known as “dynamic body bias technique". In CMOS technology, the device performance challenges which emerged with technology scaling, active/stand-by power losses, speed and reliability concerns were successfully addressed with the implementation of this technique.
In our work, we report the implementation of dynamic body bias technique in AlGaN/GaN HEMTs for the first time. The role of the body potential in controlling the performance characteristics of HEMTs is been experimentally and theoretically studied in both ON and OFF states of the device. The HEMT structure used for fabrication is epitaxially grown in our lab using metal organic chemical vapor deposition technique. To incorporate the body terminal, additional mask layers are added to the conventional HEMT design. The current - voltage characteristics of the fabricated HEMTs show modulation of the drain current with the change in the magnitude and polarity of the applied body bias. In the ON-state, application of negative body bias is shown to improve the saturation drain current and in the OFF-state, application of positive body bias is shown to improve the subthreshold drain-leakage current. The modulation in the drain current is attributed to the resultant conduction band and electric field profile across the GaN channel region with the applied body bias. We will further discuss a comprehensive study of the experimental results, mechanism involved and a physics-based modelling of the HEMT device with body bias using Synopsys Sentaurus TCAD.
10:30 AM - RR1.04
High-Resistance GaN-Based Buffer Layer Grown by the Polarization Doping Method
Lian Zhang 1 2 Yun Zhang 1 2 Xuecheng Wei 1 2 Ning Zhang 1 2 Junxi Wang 1 2 Jinmin Li 1 2
1Research and Development Center for Semiconductor Lighting, Chinese Academy of Sciences Beijing China2Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractA high-resistance (HR) buffer layer is critical for GaN-based HEMTs to suppress the drain current leakage. A typical method to obtain the HR buffer layers is the acceptor impurity doping that is able to compensate the background electrons in the buffer layers. However, the intentional acceptor impurity doping may result in current collapse in GaN-based HEMTs. To address this issue, we used the polarization doping method to achieve high resistance without introducing any acceptor impurity in the GaN-based buffer layer. The polarization doping is realized in aluminum-composition-graded AlGaN layer structures that can create holes by the polarization field. This polarization doping method paves a way for achieving HR buffer layer without impurities for high performance GaN-based HEMTs.
In this work, three samples of 3-mu;m-thick unintentionally doped (UD) GaN layers are deposited on c-plane sapphire substrates by MOCVD. One is left as a GaN buffer layer, named Sample A. On the other two GaN layers, 200-nm-thick UD AlGaN layers with Al composition grading from 0.04 to 0 and 0.08 to 0, respectively, are regrown, named Sample B and Sample C. The Al composition grading is realized through reducing the TMAl molar flow linearly, while the TMGa molar flow was increased linearly.
The mechanism of the polarization doping is explained as follows. For the Ga-face Alx2Ga1-x2N layer grown on Alx1Ga1-x1N layer (x1>x2), there is 2DHG at the interface due to different polarization charge in the two layers. Consequently, when the interface of the abrupt hetero-junction is instead of a layer with Al composition grading from x1to x2, 2DHG will spread to the whole graded layer, and form 3DHG. According to the non-linear formulas from Fiorentini, the concentration of the polarization-induced 3DHG is
ρ=4.169E13*Δx /d cm-3 (1)
Where Δx =x1-x2, d is the thickness of the graded AlGaN layer. The ΔxAl of the Sample B is 4%, so the polarization-induced 3DHG density is 0.82E17/cm3. When ΔxAl is increased to 8%, for the Sample C, the concentration of the polarization-induced 3DHG is increased to 1.65E17/cm3. These 3DHG are expected to compensate the background electrons in the buffer layers.
The square resistance (Rsh) of the Sample A is 3.94×103 Omega;/#9633;. While for the Sample B, the Rsh is remarkably enhanced to 1.23×104 Omega;/#9633;, three times higher than the Rsh of the Sample A. We ascribe this improvement to the 3DHG induced by the polarization field in the graded AlGaN layer. Part of background electrons are compensated by the polarization-induced 3DHG, hence the resistance is increased. When the Al composition degree is further increased to 8% (Sample C), Rsh is further increased to 2.89×104 Omega;/#9633;. The likely reason is that the concentration of the polarization-induced 3DHG is increased with the Al composition degree increasing, more background electrons are compensated.
10:45 AM - RR1.05
Experimental Characterization of Inverse Piezoelectric Strain in GaN HEMTs
Kevin Robert Bagnall 1 Sameer Joglekar 1 Tomas Palacios 1 Evelyn Wang 1
1MIT Cambridge United States
Show AbstractDue to the wide bandgap and high electron mobility of gallium nitride (GaN), GaN-based high electron mobility transistors (HEMTs) are one of the most exciting technologies for radio-frequency (RF) and power electronics applications. However, the high power densities and high operating voltages of GaN HEMTs often lead to reliability concerns due to elevated channel temperatures and mechanical degradation. As suggested by modeling and experimental studies, the inverse piezoelectric (IPE) effect may induce stresses and strains in the device barrier and buffer that result in cracking and pit formation. Despite the importance of the inverse piezoelectric effect in the reliability of GaN HEMTs, there are only a few experimental studies in literature that report stress and strain measurements on devices under bias. In these previous studies, the application of an off-state bias positively shifted the E2 high and negatively shifted the A1 (LO) Raman peaks of GaN in the gate-drain region. However, varying assumptions about how the Raman peaks shifts are correlated to the stress and strain components resulted in inconsistent conclusions about the stress/strain state.
In this study, we determined the nature of the IPE stress/strain state in GaN HEMTs under bias with high precision micro-Raman spectroscopy, simple physical arguments, and electro-mechanical modeling. Micro-Raman spectroscopy measurements were conducted on GaN HEMTs with a confocal micro-Raman system (LabRAM HR800, Horiba Jobin-Yavon) capable of a spatial and spectral resolution as low as 1 µm and 0.02 cm-1, respectively. Using the phonon deformation potentials to relate the change in Raman peak positions and strain results in overestimation of the normal strains (~10-3) and corresponding electric field component along the z-axis (~1 MV/cm). Based on group theoretical arguments and first principles calculations in the literature for other III-V semiconductors, we believe that the high electric field in the GaN buffer could be negatively shifting the A1 (LO) Raman peak apart from the inverse piezoelectric effect. Assuming a simple linear relationship between the change in A1 (LO) peak position and the electric field due to this effect allows one to recover normal strains of ~10-4 and an electric field of 0.3 MV/cm as expected for this device at a particular bias. This explanation yields strain values consistent with measurements of the E2 high and E2 low Raman peaks, which are not affected by the electric field apart from the inverse piezoelectric effect. We believe these results provide important physical insights into the inverse piezoelectric stress/strain state in GaN HEMTs under bias and provide a consistent explanation for some of the discrepancies in the literature. This will help to enrich our understanding of the use of the pinched-off state as a reference in micro-Raman thermography and to validate complex electro-mechanical models for reliability prediction.
11:30 AM - *RR1.06
Dependencies of Dynamic On-State Resistance Increase in GaN Power Switching Transistors
Joachim Wurfl 1 Oliver Hilt 1 Eldad Bahat-Treidel 1 Nasser Badawi 2 Jan Boecker 2 Sibylle Dieckerhoff 2
1Ferdinand-Braun-Institut, Leibniz-Institut fuuml;r Houml;chstfrequenztechnik Berlin Germany2Technical University of Berlin Berlin Germany
Show AbstractAlGaN/GaN HEMTs are promising candidates for switching power transistors because of their high off-state breakdown strength combined with excellent channel conductivity at on-state. These features relate to the particular physical properties of GaN in combination with its heterostructure material AlGaN. GaN power switching transistors are known to outperform competing Si devices in terms of the static on-state resistance (Ron) at a given breakdown voltage by about two orders of magnitude. If the device are turned on the remaining on-state resistance Ron determines device losses to a large extend. Devices having low Ron values should therefor show better switching efficiencies. However, a low static on-state resistance is of practical interest only if this value is maintained also upon dynamic switching. Any increase of Ron significantly compromises power switching performance. In GaN devices the on-state resistance can deviate from its static (DC) value upon operating the devices in a dynamic mode. This effect is referred to as a “dynamic on-state resistance increase”. It is caused either by dynamic switching of the devices or by single event switching from one bias level to another, for example from an off-state bias condition to on-state. Physically, this effect can be interpreted as temporary charging of regions close to the device channel (trapping) during device operation at a certain bias level. If the bias level changes rapidly, these temporary charges cannot respond that fast and, due to the request for overall charge neutrality in the device, lead to a temporary change of the channel electron density. In consequence, electron transport in the channel region immediately after switching from one condition to another condition is impeded as long as the trap population is adjusting.
The dynamic on-state resistance (Ron_dyn) usually increases with operation voltage and can exceed its static value by more than two orders of magnitude. Recent investigations showed that the dynamic performance of GaN power switching devices has a quite complex dependency on device biasing and timing of switching events. These dependencies have now been analyzed in more detail and will be presented in this invited paper.
It has been found that operating the devices at off-state for longer time intervals may gradually increase internal charge trapping which in-turn increases dynamic on-state resistance immediately after the switching event. In contrast, device operation at on-state usually leads to de-trapping and to a reduction of adverse dynamic effects. Thus, during continuous switching an effective dynamic on-state resistance appears which relates to the superposition of off-state trapping and on-state de-trapping mechanisms.
12:00 PM - RR1.07
Trapping Effect Analysis of AlGaN/InGaN/GaN Heterostructure by Conductance-Frequency Measurement
Apurba Chakraborty 2 Saptarsi Ghosh 1 Partha Mukhopadhyay 1 Syed Mukullika Dinara 1 Ankush Bag 1 Mihir Kumar Mahata 1 Rahul Kumar 1 Subhashis Das 1 Sanjay K Jana 1 Shubhankar Majumdar 1 Dhrubes Biswas 1 2
1IIT Kharagpur Kharagpur India2Indian Institute of Technology Kharagpur India
Show AbstractFor last two decade, different kind of device structures like AlGaN/GaN, AlGaN/GaN/AlGaN or AlGaN/InGaN/GaN are studied extensively to find out superior performance. Various reliability issues like current collapse, self-heating effect and converge electric field, are main obstacle in successful commercialization of these devices. AlGaN/InGaN/GaN HEMT has shown superior electron confinement and current collapse characteristics. But, no further study has been made so far to see the trapping effect in this structure. Here in this brief, we have shown that the AlGaN/InGaN/GaN is more immune to trapping effect owing to Fermi level elevation than conventional AlGaN/GaN structure. We found that the InGaN based structure is free from interface trapping effect, whereas the conventional single heterostructure suffers from two kinds of trap states with density ~ 1012 .
AlGaN/GaN and AlGaN/InGaN/GaN structures are grown by Plasma assisted molecular beam epitaxy (PA-MBE). The conventional four probe Hall measurement has yielded 2DEG of 2.09x1013 cm-2 for AlGaN/InGaN/GaN structure and that for single heterostructure is 5.62x1012 cm-2. The HRXRD scan of (002) plane shows the In content in InGaN layer is 2%.
The trap energy state at the interface and at the surface of structure can be analysed by conductance measurement in depletion region and accumulation region voltage biases respectively. The extracted values from measured conductance curve of AlGaN/InGaN/GaN does not show any interface trapping effect as the time constant is very large (>100 s). But, the AlGaN/GaN structure suffers from two kinds of trap energy levels in -3.2 V to -4.8 V in depletion region. The interface trapping effect elimination for AlGaN/InGaN/GaN is mainly found due to the Fermi level shift from the conduction band minimum which can be seen in conduction band diagram. The higher 2DEG in the interface elevates the Fermi position and hence the trap energy states become dipper. The same effect is also expected to reduce the surface trapping effect in AlGaN/InGaN/GaN compare to AlGaN/GaN. The extracted values of surface trap states from the accumulation conductance behaviour of AlGaN/InGaN/GaN are found to be (5.87-4.39) in -0.8 V - 0 V which is at least two order less than that of AlGaN/GaN structure.
12:15 PM - RR1.08
Role of Bulk Traps on Intermodulation Distortion of AlGaN/GaN HEMT
Ankush Bag 1 Partha Mukhopadhyay 1 Shubhankar Majumdar 1 Dhrubes Biswas 1
1Indian Institute of Technology Kharagpur Kharagpur India
Show AbstractTraps in AlGaN/GaN HEMT is one of the most researched area due to its severe effect on performance degradations of high power electronic devices like distortions, noise generations, current collapse etc. The trapping of electrons occurred in three regions of the devices mainly: surface, barrier (AlGaN) and bulk (GaN). Most of the literatures have tried to focus on the effect of interface traps on the device performance considering both AlGaN and GaN together. However, the AlGaN and GaN traps have contributed individually on two-dimensional electron gas (2DEG) confined in triangular potential well at the heterointerface. Linearity of a device is defined through modulation efficiency of 2DEG by gate bias at certain drain voltage. Suppression of third order Intermodulation Modulation Distortion (IMD-3) is necessary as it signifies the nonlinearity of the devices at microwave frequency. In this work, effect of both AlGaN and GaN traps has been studied in view of spectrum of IMD-3 for AlGaN/GaN HEMT on both Sapphire and Si (111) substrate for the first time. The results indicate effect of traps in GaN epilayer profoundly for HEMT on Si as compared HEMT on Sapphire substrates.
Al0.3Ga0.7N (20 nm)/GaN HEMT has been grown on Sapphire and Si(111) substrate by PAMBE. Drain current can be represented by power series expansion of gate bias by different gain factors (go,g1, g2.. ) for low frequencies. A two-tone source signal consisting of frequencies f1 and f2 produces third-order products at frequencies 2f2-f1 and 2f1-f2 which occurs adjacent to fundamental frequency f1 and f2. Amplification term describes the generated harmonics from IMD-3. The normalized indicates the generated IMD-3 for the device signifying its inherent non-linearity.
The CV characteristic provides information of the AlGaN and GaN barrier of the potential well by its accumulation and depletion regions respectively.Biasing range of the IMD3 spectrum has been determined considering the CV profile for both heterostructures. The normalized spectrum of IMD-3 delineates more distorted terms at depletion region with negative gate bias in case of HEMT on Si as compared to HEMT on Sapphire. Due to both high lattice and thermal expansion coefficient mismatch growth of GaN on Si, there is more effect of threading dislocations as compared to GaN on Sapphire. These dislocations cause for more traps and related distortion for HEMT on Si(111). Additionally, the 2DEG at the heterojunction is function of electron wave function in QW as per Schrodinger&’s equations. The wave functions generally penetrates more into comparatively low energy GaN epilayers than AlGaN. Therefore, effects of trapped electrons on confined 2DEG are more for GaN side of the triangular QW than AlGaN barrier. Spectrum of IMD-3 and CV profile confirmed more effects of trapped electron from GaN bulk side in case of GaN on Si than GaN on Sapphire.
12:30 PM - RR1.09
Electrical Degradation Mechanism of GaN High Electron Mobility Transistors on Silicon and Sapphire under OFF-state Stress
Saptarsi Ghosh 1 Subhashis Das 1 Partha Mukhopadhyay 1 Ankush Bag 1 Shubhankar Majumdar 1 Dhrubes Biswas 1
1IIT Kharagpur Khargapur India
Show AbstractThe characteristic wide bandgap (3.4 to 6.1 eV), high saturation velocity and moderate mobility along with low sheet resistivity of polarization induced charge confinement in heterostructures ensure that even in non-vertical architecture, AlGaN/GaN HEMTs are prime contenders for energy conversion as well as amplification in power electronics sector. Hence, with the efforts in improving epitaxial quality of the device layers, considerable cause-and-effect studies are also being conducted to scrutiny the degradation mechanisms of these transistors.
On account of the non availability of native substrates, III-nitride heterostructures are grown on either SiC, or sapphire, or silicon. Now, though reliability studies of GaN HEMTs on SiC are relatively well documented, degradation modes of these same HEMTs fabricated on sapphire or silicon remains much less investigated and understood. Yet, considering the superior cost feasibility of devices fabricated on the latter, these voids need to be addressed for achieving mass commercial adaptation AlGaN/GaN HEMTs on non-native substrates.
In this study, we investigate the electrical degradation of GaN HEMTs on Si/sapphire, biased in the off-state (VDS=0). Scribed groups of HEMTs are subjected to on-wafer step-stress where the gate voltage (VGS) is continually decreased in -5V steps upto -100V or catastrophic device failure, with 300s holding time in each step. During the steps stresses, the temporal responses of gate, source, and drain current are continuously monitored whereas maximum drain current (IDMAX at VGS=1V, VDS=8V), off-state gate current (VG at VGS=-6V, VDS= 6V), and current collapse are measured after each step as a measure of degradation. To isolate the effects of apparent device degradation in the form of trapping from permanent degradation, stress recovery tests are also carried out with either UV assisted detrapping or evaluation of the said parameters at intervals of 6 or 24 hours. From the completely differing degradation patterns of off-state gate current and on-state drain current, the plausibility of assigning a ‘critical voltage of device degradation&’ is considered in detail. Finally, the influence of inverse piezoelectric effect induced strain relaxation on the observed degradation behaviour is analyzed from the epitaxial perspective.
12:45 PM - RR1.10
Spectroscopic Photo IV Analysis of Sub-Bandgap Defects in AlGaN/GaN HEMT Structures on Si Substrates
Burcu Ozden 1 Min P. Khanal 1 Vahid Mirkhani 1 Kosala Yapabandara 1 Suhyeon Youn 1 Sangjong Ko 1 Chungman Yang 1 Mobbassar Sk 2 Ayayi Claude Ahyi 1 Minseo Park 1
1Auburn Univ Auburn United States2Qatar University Doha Qatar
Show AbstractInfluence of the sub-bandgap defects were investigated using the spectroscopic photo current-voltage (IV), the depth-resolved ultra-violet (UV) spectroscopic photo current voltage (IV) (DR-UV-SPIV), and time-resolved photocurrent (TRPC) spectroscopy measurements. Investigating the defects in large area AlGaN/GaN HEMTs wafers is of great importance since they limit device performance due to undesirable effects including current collapses. For device fabrication, the AlGaN/GaN HEMT layers grown on the Si wafer via metal-organic chemical vapor deposition (MOCVD) were diced into individual pieces and two pieces were chosen from different locations of the AlGaN/HEMTs wafer. Semi-transparent Ni Schottky contacts were illuminated with a light from a Xenon lamp and photo IV measurements were performed. Spectroscopic photo IV measurements revealed the existence of sub-badgap defects as well as their nonhomogeneous distribution across the wafer. After confirming the presence of the defects, we discovered variations in the depth dependent distribution of electrically active defects across the wafer via DR-UV-SPIV measurement. It was found that while one sample had predominant defects close to the interface of the AlGaN/GaN HEMTs structure the other one had more defects deeper into the bulk. Finally, TRPC spectroscopy with variable-wavelength sub-bandgap light excitations was performed to understand the different origins of the defects. Even though two samples exhibited the same characteristics for wavelength-dependency on photocurrent generation, dissimilar TRPC spectra were observed for the samples. We have shown that TRPC spectroscopy can be utilized to differentiate the traps that originate for different reasons but display similar de-trapping energy. In conclusion, it was demonstrated that a combination of these three spectroscopic measurements can be a very useful diagnostic tool for the quick evaluation of the nature and distributions of surface defects in AlGaN/GaN HEMTs wafer.
Symposium Organizers
Madhu Chinthavali, Oak Ridge National Laboratory
Robert Kaplar, Sandia National Laboratories
Martin Kuball, University of Bristol
Tetsuya Takeuchi, Meijo University
RR4/DD8: Joint Session: Diamond and GaN High Power Devices
Session Chairs
Martin Kuball
Yasuo Koide
Tuesday PM, December 01, 2015
Hynes, Level 3, Room 306
2:30 AM - *RR4.01/DD8.01
GaN Cooling by Microwave Plasma Chemical Vapor Deposition Diamond
Daniel Francis 1 Daniel Twitchen 1 Firooz Faili 1
1Element Six Technologies Santa Clara United States
Show AbstractCommercial GaN-based RF power amplifiers already offer greatly increased output power densities with respect to GaAs technology, reducing die size and circuit complexity. Further increasing the power density of GaN transistors will ultimately require new thermal management approaches in order to efficiently spread 10&’s of Watts of waste heat and ensure that transistor channel temperatures are kept within safe operating limits.
Since GaN devices are fabricated on foreign substrates, the close proximity of the substrate to the transistor channel (< 1 µm) offers the potential for a high thermal conductivity substrate to efficiently cool the channel. Given the importance of cooling through the substrate, SiC is currently the most commonly used substrate material for high power GaN devices, because SiC has a relatively high thermal conductivity (κSiC) of ~420 W/mK. SiC compares favorably with alternative substrate materials used in low cost applications, e.g. silicon which has a thermal conductivity (κSi) of 130 W/mK. However, at higher power dissipations (Pdiss) the SiC substrate becomes a thermal bottleneck. Replacing the foreign substrate with diamond, the highest thermal conductivity material available (bulk CVD diamond has thermal conductivity (κdia) up to 2000 W/mK), is a highly attractive option for reducing the thermal resistance of GaN transistors - potentially increasing their power handling capability manifold.
This paper will review and summarize recent approaches to enhanced cooling solutions of GaN devices using diamond grown by microwave plasma enhanced CVD processes. The GaN to diamond integration methods discussed range from heat spreaders with a novel metal scheme that reduces semiconductor to diamond thermal resistance to our integrated GaN-on-Diamond platform. In all cases emphasis will be placed on measuring the thermal resistance and finding ways to minimize it. The paper will also discuss recent progress in the use of micro-channels to dissipate 10 kw/cm^2 heat flux.
3:00 AM - RR4.02/DD8.02
Seeking of the Best Diamond Schottky Diode Performance
David Eon 1 Aboulaye Traore 2 Etienne Gheeraert 1 Julien Pernot 1
1Univ. Grenoble Alpes/CNRS Grenoble France2AIST Tsukuba Japan
Show AbstractDiamond has a relatively wide bandgap but it can be made into a semiconductor, or even a metal, by doping it with impurity atoms. Semiconducting diamond layers, grown epitaxially on diamond substrates, have outstanding electrical and thermal properties in view of high power applications. Diamond high power devices are now being intensively investigated. In particular, Schottky diodes based on a metal/diamond junction appear very promising.
Zr metal deposited on oxygen terminated p-type boron doped diamond has been demonstrated to be a Schottky contact. This interface allows us to fabricate pseudo-vertical Schottky diode having large current density in forward regime (1000 A/cm2 at 6V) and high breakdown voltage in reverse regime (larger than 1000 V). We have also investigated the reverse current induced by low barrier height and doping level due to thermionic emission (TE) and thermionic-field emission (TFE), taken into account the barrier lowering (BL). These two mechanisms can induce a high leakage current in the reverse regime which are also voltage-dependent. Consequently, for practical application, a fine trade off on the barrier height, doping level, contact size values must be found in order to obtain a low specific resistance in ON state and a low reverse current in OFF state, while keeping high breakdown voltage. Thermal effects are also very important for power devices and joint measurement of temperature,voltage current in order to observe thermal runaway and its effect on devices performance have been also investigated. Based on the analysis of the experimental data measured on annealed Schottky contacts, optimal structure (doping, thickness and diode geometry) will be proposed in order to fabrication high performance Schottky diodes based on Zr/p-doped diamond interface with taking into account thermal limitation.
3:15 AM - RR4.03/DD8.03
High Resolution Temperature Measurement of GaN HEMTs via Thermoreflectance Thermography
Banafsheh Barabadi 1 Kevin Robert Bagnall 1 Yuhao Zang 1 Tomas Palacios 1 Evelyn Wang 1
1Massachusetts Institute of Technology Cambridge United States
Show AbstractGallium nitride (GaN) high electron mobility transistors (HEMTs) have gained significant interest over the last few years for their excellent electrical properties, high efficiencies, and high power densities for both power electronics and radio-frequency applications. However, these high power densities result in high channel temperatures and temperature gradients that induce thermo-elastic stresses and the formation of defect sites and may accelerate many other degradation mechanisms. The highly localized temperature profile in a GaN HEMT peaks over a narrow region (~0.5 to 1 µm) in which the heat flux is very high (~1010 W/m2) near the edge of the gate contact towards the drain. Thus, it is extremely challenging to measure the peak channel temperature in GaN HEMTs due to the small length scales involved and the close proximity of semiconducting layers and metal contacts. Many thermal metrology techniques, such as infrared thermography and even micro-Raman spectroscopy, are limited in their ability to measure the peak channel temperature because of a diffraction-limited lateral spatial resolution or depth-averaging throughout the GaN buffer.
In this study, we have developed a robust technique for measuring the channel temperature of GaN HEMTs with sub-500 nm lateral spatial resolution under various input powers that can significantly affect the performance of GaN-based devices. To obtain a comprehensive understanding of thermal management in GaN devices, we have utilized thermoreflectance thermography, a well-established technique that is fully optical and noncontact and has several advantages over the currently available high resolution techniques: it provides a thermal map of the device, and it also provides surface measurements, which is crucial due to the unique geometries of transistors. We have focused on the temperature measurement at the interface of AlGaN/GaN interface adjacent to the gate where power densities are significantly high. By choosing the appropriate illumination wavelength, we have been able to measure the junction temperature with a spatial resolution of 300 nm for gated and ungated GaN HEMTs on different substrates. These devices were biased at voltages ranging from 0 to 25 V. To validate the temperature measurements obtained from thermoreflectance thermography, we have utilized micro-Raman thermography with a spatial and temperature resolutions of ~3 µm and 5 °C, respectively. A good agreement was achieved between temperature profiles from both techniques on transmission line method (TLM) pads on GaN-on-GaN devices. In addition, the effect of drain and gate voltage on the electroluminescence (EL) intensity in gated GaN HEMTs were investigated. This work helps to better understand the structural and thermal changes in GaN HEMTs, the formation of defect cites, and their relation to temperature through high-resolution thermal imaging.
3:30 AM - RR4.04/DD8.04
Investigation into the Efficiency and Stability of Surface-Transfer Doped Hydrogen-Terminated Diamond Using MoO3
Kevin George Crawford 1 Dongchen Qi 2 Alexandre Tallaire 3 Claudio Verona 4 Ernesto Limiti 4 David A.J. Moran 1
1The University of Glasgow Glasgow United Kingdom2Latrobe University Melbourne Australia3Universiteacute; Paris 13 Paris France4Universitagrave; di Roma Tor Vergata Rome Italy
Show AbstractThe surface transfer doping process allows for diamond to be used as an active semiconductor for the production of diamond based electronic devices and components. The lack of stability of this doping mechanism due to its typical reliance on environmental operating conditions however has limited its practical application in diamond device technology. A particular focus for this technology is the development of high power, high frequency transistors [1] which are required to operate in "hostile" or "extreme" environments. Development of a temperature stable and operating-atmosphere independent doping mechanism for diamond is therefore of significant interest.
Recent work has identified MoO3 as a potential surface acceptor material that when used to encapsulate the hydrogen-terminated diamond surface dramatically improves both the doping efficiency and stability [2]. Optimisation of the processes used to integrate this material into diamond electronics technology however must be developed to maximise potential benefits to performance.
In this work we discuss the latest developments utilising MoO3 for the doping of H-diamond, including time and temperature dependent stability trials and the potential to integrate these new doping processes into diamond electronic devices such as field effect transistors.
[1] Stephen A. O. Russell et al,IEEE Electron Device Letters, Vol. 33, No. 10, October. 2012 p. 1471 - 1473
[2] Stephen A. O. Russell et al, Applied Physics Letters, Volume 103, Issue 22, 202112, November 2013
3:45 AM - RR4.05/DD8.05
Trench-Channel Vertical MOSFET Using C-H Diamond Surface
Toshiki Saito 1 Mikinori Kobayashi 1 Yuya Kitabayashi 1 Daisuke Matsumura 1 Masafumi Inaba 1 Atsushi Hiraiwa 1 2 Hiroshi Kawarada 1 2 3
1Waseda University Tokyo Japan2Institute of Nano-Science and Nano-Engineering, Waseda University Tokyo Japan3Kagami Memorial Laboratory for Material Science and Technology, Waseda University Tokyo Japan
Show AbstractPower devices made of diamond have remarkable potentials based on the highest breakdown field and thermal conductivity. We have reported high-blocking voltage planar diamond MOSFETs [1-3]. The surface of channels in our devices are covered with C-H bonds. A thermally stable Al2O3 passivation film was used as gate insulator inducing the additional conduction layer beneath the diamond surface. The planar FETs have well controlled the source-drain current. To obtain the higher current density, however, it is inevitable to form vertical-shaped devices to avoid large planar drift area. In this study, we fabricated the test structure for the vertical diamond power FET by forming the trenches in the source-drain channels to estimate the conduction of C-H diamond sidewall.
In this study, the MOSFETs were built on C-H diamond surface by using atomic layer deposition (ALD), as a passivation layer and a gate insulator sheet, which produce and control two-dimensional hole gas (2DHG), GaN-HEMT is known as FET applying two-dimensional electron gas (2DEG) on its interface. In case of vertical structure, however, 2DEG is not formed on the sidewall of GaN because the 2DEG appears on a special surface having spontaneous and piezo polarization. In this point, the 2DHG on C-H diamond covered by Al2O3 can be formed on the sidewall easily regardless of crystal orientation. That is why diamond trench-channel vertical MOSFET with 2DHG is superior to AlGaN/GaN devices.
In this paper, we have fabricated a vertical-shaped diamond MOSFET. The process is almost same to that of planer FETs which we have reported. At first, the un-doped layer is grown about 2 um on P+ diamond substrate (single crystalline diamond doped with boron concentration of 1x1019cm-3). This substrate has high p-type conductivity so that the hole current is able to run through the substrate. Second, the homo epitaxial layer was etched to the bottom to form a trench by using inductively coupled plasma ion etching (ICP-RIE), and regrown the un-doped layer by CVD to form 2DHG on the sidewall of trench. The un-doped layer must be re-grown after trench structure is fabricated by plasma etching to get damage free side wall conduction. We covered inside the trench. Ti/Au were put as a source electrode on the surface and as a drain at the bottom, Al as a gate and Al2O3 used ALD as a gate insulator sheet. The hole current run from the source electrode and is controlled by the trench gate on the sidewall and inside the P+ substrate. They suggest that 2DHG at side wall is available as a FET channel with trench gate. We have confirmed that side wall FET can modulated hole current as well as planar FET.
This study was supported by Grant in Aid for Fundamental Research S of JSPS.
References
[1] A. Daicho, H. Kawarada, et al., J. Appl. Phys. 115, 223711 (2014)
[2] H. Kawarada, et al., Appl. Phys. Lett. 105, 013510 (2014)
[3] H. Kawarada et al. IEDM 2014 11.02 (2014)
4:30 AM - *RR4.06/DD8.06
Schottky and Merged Schottky/PN-Junction Vertical Diamond Diodes for High Voltage and High Current
Timothy A. Grotjohn 1 2 Steven Zajac 1 Nutthamon Suwanmonka 1 Ayan Bhattacharya 1 Jes Asmussen 1 Timothy P. Hogan 1 Robert Rechenberg 2 Aaron Hardy 2 Michael Becker 2 Thomas Schuelke 2
1Michigan State Univ East Lansing United States2Fraunhofer Center for Coatings and Diamond Technologies East Lansing United States
Show AbstractDiamond has strong potential as a semiconductor material for high power electronics due to its material properties including high thermal conductivity, high electric field breakdown strength, and high carrier mobilities. In this paper we will report on our work to produce vertical diamond diodes with characteristics that include a reverse bias breakdown voltage exceeding 1000 V and a forward current exceeding 10 A. Two diode architectures are being studied including a Schottky vertical diode and a merged Schottky/pn-junction vertical diode. The Schottky diode consists of an ohmic contact, thick heavily-doped p-type layer, lightly-doped drift p-type layer and a metal Schottky contact. The merged Schottky/pn-junction diode has localized heavily-doped n-type regions in the drift layer in contact with the Schottky metal contact. The lightly-doped p-type layer and heavily-doped p-type layer are deposited in microwave plasma-assisted CVD reactors using boron as the dopant. The n-type diamond is deposited using phosphorus as the dopant.
Diodes have been fabricated with both small Schottky contact areas of 150 micrometer diameter and larger Schottky contact areas of 1 mm X 2 mm. Diodes with the smaller contacts have been fabricated with breakdown voltages of 1000V and forward current flow densities of 500 A/cm2. Diodes with the larger contacts have been fabricated with current flow up to 18 A and current density of 900 A/cm2. Arrays of the smaller contact area diodes have been fabricated across single crystal diamond substrates to study the spatial variation in the diode characteristics and correlate these variations to defects in the diamond. Diode characteristics are measured from 300-600 K and comparisons are made to device simulations using the MEDICI semiconductor device simulator. This paper will also discuss diamond power electronics in comparison to other wide bandgap semiconductor materials.
This work is supported by US Department of Energy: ARPA-E SWITCHES program.
5:00 AM - RR4.07/DD8.07
High Current Density p-i-n Diode Enabled by Homoepitaxial Phosphorus Doped Diamond
Franz A. Koeck 1 Maitreya Dutta 2 Srabanti Chowdhury 2 Robert J. Nemanich 1
1Arizona State University Tempe United States2Arizona State University Tempe United States
Show AbstractWith its wide bandgap (5.45eV) and high breakdown field (5.6MV/cm) diamond presents itself as an ideal candidate for power electronics. As p-type material is readily achieved through boron doping recent advances in phosphorus doping established a suitable process for the preparation of n-type diamond. Furthermore, economical availability of single crystal (100) diamond substrates provides a cornerstone for diamond power devices. We report on the preparation and characterization of a high current density p-i-n diode synthesized by plasma enhanced chemical vapor deposition on a (100) type IIa CVD diamond substrate. A wet-chemical cleaning process was utilized to prepare the p-type boron doped diamond layer for successive intrinsic diamond deposition where a pure hydrogen plasma exposure initiated the intrinsic diamond deposition. Phosphorus doped diamond was deposited on the i-layer using a 200ppm trimethylphosphine/hydrogen gas mixture (10sccm) under the addition of methane (2sccm) and hydrogen (388sccm). With a microwave power of 2500W and a chamber pressure of 80Torr a pyrometer recorded a temperature of about 900°C. In a final deposition step growth parameter adjustments established a temperature >1000°C to realize a highly doped contact layer. The experimental growth configuration exploited plasma focusing effects to enhance dopant incorporation. Employing a SiO2 hardmask reactive ion etching was used to expose the p-layer. Electrical contacts comprised of Ti/Pt/Au/Ni and Ti/Pt/Au to the n and p-layer, respectively, were patterned using standard bi-layer photolithography. Electrical characterization at room temperature demonstrated a repeatable forward current density >500A/cm2. This was attributed to the low contact resistance of 1.6 Omega;.mm at the n-type diamond layer. Due to a reduced i-layer thickness and moderate impurity incorporation a breakdown field of 1.25MV/cm was observed. Device performance will be discussed in terms of material preparation and corresponding material properties.
This research was funded by the Advanced Research Projects Agency - Energy (arpa-e).
5:15 AM - *RR4.08/DD8.08
GaN-on-Diamond HEMTs with 11W/mm Output Power at 10GHz
Pane C Chao 1
1BAE Systems Nashua United States
Show AbstractDue to the exceptional thermal conductivity of diamond, GaN devices fabricated on diamond substrates are gaining more interest due to diamond&’s ability to extract heat very efficiently, compared to those fabricated on Si, sapphire or SiC substrates. Great progress has been made on the development of the conventional GaN-on-Diamond HEMT since the first demonstration in 2005 [1]. In this technology, GaN-on-Diamond wafers are prepared from epitaxial GaN-on-Si wafers. During the preparation, the host Si substrate and the growth-defect-containing transition layers are removed and a polycrystalline diamond layer is grown at high temperature on the GaN layer [2]. With this diamond growth approach, Tyhach, et al. reported an RF output power density of 5.9W/mm with 50% power added efficiency (PAE) at 10GHz operating CW at a VDS of 28V [3]. Dumka, et al. also demonstrated a GaN-on-Diamond HEMT with an output power density of 7.9W/mm and PAE of 46% when operated at 10GHz, 40V VDS [4].
In this paper, we describe a new GaN-on-Diamond device approach involving the lifting off an industry-standard GaN-on-SiC HEMT from the host SiC substrate and transfer onto a polycrystalline CVD diamond substrate through a low-temperature bonding technology. This innovative approach allows the placement of very high thermal conductivity diamond within 1µm of the hot spot in a high-performance high-power GaN HEMT. The thermal resistance of the GaN-on-Diamond device is significantly reduced when compared to that of a GaN-on-SiC HEMT, allowing a smaller gate pitch in the device channel to provide higher power capability (i.e., RF output power per active area of the device) without impacting device junction temperature and reliability. Device drain current reached a record 1.2A/mm with transconductance of 390mS/mm. Measured maximum microwave power density was 11.0W/mm CW at 10GHz with a PAE of 51%, representing the highest microwave power density from a GaN-on-diamond transistor reported to date. Thermal measurements and analysis were also performed on the GaN-on-diamond HEMTs. Based on IR imaging and drain current transient results, the GaN-on-diamond HEMT is demonstrated to possess 3.6 times higher power capability than the industry-standard GaN-on-SiC device. The measured results - consistent with the device electrical and thermal analysis - clearly demonstrate GaN-on-diamond HEMTs fabricated by low-temperature device-transfer technology exhibit superior electrical and thermal performance than the standard GaN-on-SiC HEMTs.
This work was supported by DARPA NJTT program under the guidance of Drs. A. Bar-Cohen, J. Maurer, A. Kane and J. Felbinger.
1. G. Jessen, IEEE Compound Semicond. Integr. Circuit Symp., San Antonio, TX, 2006, p. 271.
2. F. Ejeckam, Lester Eastman Conf., Cornell University, Ithaca, NY, 2014, p. 1.
3. M. Tyhach, Lester Eastman Conf., Cornell University, Ithaca, NY, 2014, p. 6.
4. D. Dumka, Lester Eastman Conf., Cornell University, Ithaca, NY, 2014.
5:45 AM - RR4.09/DD8.09
C-H Diamond MOSFETs with 1.7 kV Breakdown Voltage and >190mA/mm Current Density
Yuya Kitabayashi 1 Tetsuya Yamada 1 Dechen Xu 1 Toshiki Saito 1 Daisuke Matsumura 1 Atsushi Hiraiwa 2 Hiroshi Kawarada 1 2 3
1Faculty of Science and Engineering, Waseda University Tokyo Japan2Institute of Nano-Science and Nano-Engineering, Waseda University Tokyo Japan3Kagami Memorial Laboratory for Material Science and Technology, Waseda University Tokyo Japan
Show AbstractWide band gap semiconductor, SiC, GaN and Diamond, are expected to next generation power device applications. Especially, diamond has wide band gap energy (5.5 eV), high thermal conductivity (20 W/cm#12539;K) and high breakdown field (10 MV/cm). It&’s important for power MOSFETs to rise breakdown voltage. We fabricated MOSFETs using the hole accumulation layer (2DHG) induced by coating the hydrogen-terminated (C-H) diamond surface with Al2O3 insulator by high temperature ALD method. We have reported 1 kV breakdown voltage characteristics [1] and 10 K~673 K operations [2].
In this paper, we fabricated hydrogen-terminated (C-H) diamond MOSFETs using Al2O3 insulator by high temperature ALD method. C-H MOSFETs showed over breakdown voltage of 1.6 kV at room temperature and 1.5 kV at 200#8451;. In addition, the highest breakdown voltage of 1.7 kV and high current density (over 190 mA/mm) has been obtained with thicker Al2O3 (400nm) on channel and drift region.
C-H diamond MOSFETs was prepared in the following method. First, undoped layer was deposited on 1b (001) diamond substrate by chemical vapor deposition (CVD) and Ti/Au (30 nm/100 nm) were deposited as source and drain electrode. Second, the diamond surface was hydrogen-terminated by remote plasma and isolation by O-terminated. Third, Al2O3 film as insulator and passivation were deposited by high-temperature ALD method (Oxidation; H2O, Temperature; 450#8451;). Fourth, Al2O3 on the channel region etched by NMD-3 to make thicker oxide structure and the second Al2O3 film was deposited. Finally, Al was deposited as gate electrode.
Nearly 1 kV breakdown voltage VB was achieved at LGD of 9 µm and the average electric field strength (VB/ LGD) were 1 MV/cm. The highest breakdown voltage of 1646 V was obtained at LGD of 22 µm. Moreover the average electric field strength reached 3.7 MV/cm at LGD of 1 µm. It&’s over SiC and GaN properties limit.
Higher temperature (@200#8451;) off stage showed similar high breakdown voltage as that of room temperature. It exceeded the maximum breakdown voltage of diamond FETs at high temperature. The maximum current drain density was 82.0 mA/mm is also as high. Low off current (10-11 ~ 10-6 A) was maintained even at 200#8451; until breakdown.
Thicker oxide structure with 400nm Al2O3 layer has been introduced in the present C-H diamond MOSFET. This device showed the highest breakdown voltage 1708 V. The length of device were LGD = 16 µm. 1708 V was the highest breakdown voltage of diamond FETs ever reported. The VB/ LGD tendency could keep 1 MV/cm up to 1700V. The maximum current drain density was 97.2 mA/mm comparable to lateral SiC or AlGaN/GaN device.
[1] H. Kawarada et al. IEEE IEDM 2014 11.02 (San Francisco, 2014).
[2] H. Kawarada, et al., Appl. Phys. Lett. 105 (2014) 013510.
RR3: Oxide Semiconductors
Session Chairs
Robert Kaplar
Daniel Francis
Tuesday AM, December 01, 2015
Hynes, Level 3, Room 306
9:45 AM - RR3.01
Homoepitaxial Growth of Si-Doped Thick (001) beta;-Ga2O3 Layers by Halide Vapor Phase Epitaxy
Hisashi Murakami 1 Kazushiro Nomura 1 Ken Goto 1 2 Kohei Sasaki 2 3 Quang Tu Thieu 1 Rie Togashi 1 Yoshinao Kumagai 1 Keita Konishi 3 Masataka Higashiwaki 3 Akito Kuramata 2 Shigenobu Yamakoshi 2 Bo A. Monemar 1 4 Akinori Koukitu 1
1Tokyo University of Agriculture and Technology Koganei, Tokyo Japan2Tamura Corporation Sayama Japan3National Institute of Information and Communications Technology Koganei Japan4Linkouml;ping University Linkouml;ping Sweden
Show Abstractβ-Ga2O3 is an attractive material for deep ultraviolet sensors and next-generation power device applications due to its large band gap energy of 4.5-4.8 eV [1]. It is advantageous in comparison with SiC and III-nitrides that single crystal substrates with large-diameter and high quality can be fabricated by melt growth. Recently, our group has thermodynamically analyzed the HVPE growth of Ga2O3 and determined that the use of gallium chloride (GaCl) and oxygen (O2) precursors together with an inert carrier gas is suitable for Ga2O3 growth by HVPE [2]. Actually, high-speed growth of high-purity β-Ga2O3 layers with low effective donor concentration (Nd - Na < 1013 cm-3) has been achieved by HVPE, which was fabricated on the basis of thermodynamic analysis [3]. These results indicated the possibility to control the carrier concentration of β-Ga2O3 above 1013 cm-3 by the use of various doping techniques. In this study, we report homoepitaxial n-type β-Ga2O3 thick layer growth by HVPE using tetrachlorosilane (SiCl4) as a dopant material.
Si-doped β-Ga2O3 thick layers were grown on semi-insulating (001) β-Ga2O3 substrates by HVPE using GaCl and O2 precursors, and SiCl4 dopant gas with N2 carrier gas. GaCl was generated in the upstream region of the reactor by the reaction between high-purity Ga metal and chlorine (Cl2) gas at 8500C. A GaCl partial pressure of 5.0x10-4 or 1.0x10-3 atm was used with a fixed input VI/III ratio (2O2/GaCl) of 10. The SiCl4 supply during the growth was varied by using the input partial pressure ratio (RSi) of SiCl4 to GaCl (RSi=PoSiCl4/(PoSiCl4+PoGaCl)) as an index. The growth rates of the Ga2O3 epitaxial layers were 5 - 10 mu;m/h depending on PoGaCl.
It was revealed that the electron concentration of β-Ga2O3 homoepitaxial layer was almost the same as the Si concentration measured by SIMS, and linearly increased from the orders of 1015 to 1018 cm-3 by increasing the RSi, while the Hall mobility at room temperature decreased from 150 to 90 cm2/Vs. Thus, a precise control of n-type conductivity of the β-Ga2O3 layer is possible by the change of RSi. The Ga2O3 vertical Schottky barrier diodes (SBDs) using the epitaxial wafers with Si-doped nminus;-Ga2O3 drift layers grown on n+-Ga2O3 (001) substrates by HVPE [4] will be also shown.
Acknowledgment
Part of this work was supported by Council for Science, Technology and Innovation (CSTI), Cross-ministerial Strategic Innovation Promotion Program (SIP), “Next-generation power electronics” (funding agency: NEDO).
[1] M. Higashiwaki et al., Appl. Phys. Lett. 100 (2012) 013504.
[2] K. Nomura et al., J. Cryst. Growth 405 (2014) 19.
[3] H. Murakami et al., Appl. Phys. Express 8 (2015) 015503.
[4] K. Sasaki et al., 2015 Int. Conf. Solid State Devices and Materials.
10:00 AM - RR3.02
Solid-Phase Epitaxial Crystallization of Ga2O3 Thin Films by Pulsed KrF Excimer Laser Annealing towards Low-Temperature Device Fabrication
Daishi Shiojiri 1 Daiji Fukuda 1 Nobuo Tsuchimine 2 Koji Koyama 3 Satoru Kaneko 4 1 Akifumi Matsuda 1 Mamoru Yoshimoto 1
1Tokyo Inst. of Tech. Yokohama-shi Japan2TOSHIMA Manufacturing Co., Ltd. Higashimatsuyama-shi Japan3Namiki Precision Jewel Co., Ltd. Adachi-ku Japan4Kanagawa Ind. Tech. Center Ebina-shi Japan
Show AbstractIn this study, we present the results on the room-temperature (RT) epitaxial solid-phase crystallization of a β-Ga2O3 thin film, which was obtained by pulsed laser annealing of an amorphous Ga2O3 thin film on NiO-buffered α-Al2O3 (0001) substrates. The β-type Ga2O3 is a wide band gap, and deep-UV transparent semiconductor with an Eg of 4.9 eV; β-type Ga2O3 crystalline thin film is a promising material for a wide variety of UV optoelectronic applications and future high-power devices. Until now, crystalline β-Ga2O3 thin films have been prepared by pulsed laser deposition (PLD), metal-organic vapor phase epitaxy, molecular beam epitaxy, sputtering, and other relatively high-temperature (>4000C) processes. On the other hand, further smooth surfaces and interfaces as well as the good crystallinity and high-orientation would advance the device applications of these functional thin films. In this view point, lower temperature material processing would suppress the mutual interdiffusion of the elements and thermal surface roughening. However, there are few reports on RT fabrication techniques for Ga2O3 crystalline thin films. We have previously reported about RT oriented carystallization of Ga2O3 thin films by the combination of pulsed laser deposition (PLD) and KrF excimer laser annealing (ELA) [1]. In this study, epitaxial crystallization of β-Ga2O3 thin films was obtained by RT ELA of amorphous Ga2O3 thin films grown on epitaxial NiO buffer layer also prepared at RT. An epitaxial NiO (111) buffer layer with a thickness of ~2 nm was grown on α-Al2O3 (0001) substrates at RT by the PLD using a focused KrF excimer laser (~1.5 J/cm2) and a sintered NiO target. An amorphous Ga2O3 thin film was subsequently grown on the NiO buffered substrate at the same deposition condition using a sintered target of β-Ga2O3. After deposition of the layer and film, the KrF excimer laser (250 mJ/cm2) was irradiated on the amorphous thin film in air at RT. The results of x-ray diffraction measurements indicated that epitaxial β-Ga2O3 (-201) thin films were obtained after ELA at RT owing to the epitaxial NiO buffer. The optical bandgaps of the crystalline thin films was determined as 4.9 eV from the UV/Vis transmittance. The film after laser annealing revealed the ultra-flat surface that the root mean square roughness was 1.9 Å. Furthermore, the influence of the laser intensity, annealing time and the film thickness on crystallinity, surface morphology and optical property of Ga2O3 thin films were investigated to discuss the mechanisms of the present excimer laser crystallization at RT.
[1] D. Shiojiri et al., Journal of Crystal Growth 424, 38 (2015).
10:15 AM - RR3.03
Tin Doped Gallium Oxide Wide Band Gap Semiconductors
Lauren Garten 1 Kipil Lim 2 Laura Theresa Schelhas 2 Michael F. Toney 2 Sin Cheng Siah 3 Riley E Brandt 3 Tonio Buonassisi 3 Paul F. Ndione 1 Andriy Zakutayev 1 David S. Ginley 1
1National Renewable Energy Laboratory Golden United States2SLAC National Accelerator Laboratory Menlo United States3Massachusetts Institute of Technology Cambridge United States
Show AbstractGallium oxide has the potential for application in wide band gap power electronics potentially transforming the optoelectonic and power electronic markets. This requires a more complete knowledge about the nature and importance of defects, dopants and processing before gallium oxide based technologies can reach the market. This work investigates the impacts of tin doping on the electrical properties of pulsed laser deposited Ga2O3 thin films. The films were deposited from a 2% Sn doped Ga2O3 target onto (0001) sapphire substrates from 350-550 °C. The conductivity and transparency were found to be dependent on the processing temperature, with films processed below 450 °C exhibiting decreased transparency and increased conductivity. There was a corresponding change in the oxidation state of the tin, determined from the tin edge in XAS, from 2+ to 4+ upon increasing the processing temperature above 450 °C. Further post annealing in a reducing atmosphere up to 1 x 10-3 Torr was shown to increase the conductivity approximately an order of magnitude. Linear MESFET test structures were deposited onto doped gallium oxide films to further investigate the impacts of doping on the transconductance and breakdown voltage.
10:30 AM - RR3.04
Defects and Doping in Wide-Bandgap Oxides SnO2, In2O3 and Ga2O3 Studied with Positron Annihilation Spectroscopy
Filip Tuomisto 1 Esa Korhonen 1 Vera Prozheeva 1 Ilja Makkonen 1
1Aalto Univ Aalto Finland
Show AbstractPositron annihilation spectroscopy is a powerful method for detection, quantification and identification of open-volume defects in solids [1]. On one hand, positrons are sensitive to vacancy defects where they easily get trapped at due to reduced repulsion of positive nuclei, but on the other hand, other point defects, extended defects or impurities do not complicate measurements. The sensitivity range for vacancies is in the technologically relevant regime of 1015 - 1019 cm-3. One can study both thin films and bulk crystals, and the samples can equally well be insulating as conducting. Measuring positron lifetimes gives an indication on the sizes of open volume defects within the sample, whereas the most powerful technique for detailed defect identification is based on measuring the momenta of annihilating electron-positron pairs via the Doppler broadening of the 511 keV annihilation line. This method can also can reveal dopant or impurity atoms around vacancies. Recently [2], the combination of experiments and computational modeling has enabled also the investigation of even more subtle effects in the measured spectra, for instance due to clustering of small O vacancies with metal vacancies in metal oxides as shown in Fig. 1.
In this work we present recent results obtained with positron annihilation spectroscopy in SnO2, In2O3 and Ga2O3 [3-5]. We show that metal vacancy defects act as dominant trapping centers for positrons in these materials. Interestingly, their importance in electrical compensation of n-type conductivity differs from one material to another. Complexes with O vacancies can be identified, demonstrating the abundance of these defects.
[1] F. Tuomisto and I. Makkonen, Rev. Mod. Phys. 85, 1583 (2013).
[2] I. Makkonen, E. Korhonen, V. Prozheeva, and F. Tuomisto, submitted to J. Phys.: Cond. Matt.
[3] E. Korhonen, F. Tuomisto, O. Bierwagen, J. S. Speck, and Z. Ga#322;#261;zka, Phys. Rev. B 90, 245307 (2014).
[4] E. Korhonen, V. Prozheeva, F. Tuomisto, O. Bierwagen., J. S. Speck, M. E. White, Z. Ga#322;#261;zka, H. Liu, N. Izyumskaya, U. Özgür, H. Morkoccedil;, Semicond. Sci. Tech. 30, 024011 (2015).
[5] E. Korhonen, F. Tuomisto, D. Gogova, G. Wagner, M. Baldini, Z. Galazka, R. Schewski, and M. Albrecht, Appl. Phys. Lett., in press.
10:45 AM - RR3.05
Electronic States of beta;-Ga2O3 Single Crystals Studied by Hard X-Ray Photoelectron Spectroscopy
Takahiro Nagata 1 Shigenori Ueda 2 3 Yoshiyuki Yamashita 1 2 Masataka Higashiwaki 4 Akito Kuramata 5 Norihiro Ikeno 1 6 Yoshihisa Suzuki 1 6 Toyohiro Chikyow 1
1National Institute for Materials Science (NIMS) Tsukuba Japan2NIMS Sayo Japan3NIMS Tsukuba Japan4National Institute of Information and Communications Technology Koganei Japan5Tamura Corporation Sayama Japan6Meiji University Kawasaki Japan
Show AbstractBeta gallium oxide (β-Ga2O3) is a candidate material for the next generation compound semiconductor based power devices. β-Ga2O3 has wider band gap, higher breakdown voltage, and lower on resistance than those of SiC and GaN. Furthermore, the cost of bulk crystal growth of β-Ga2O3 is low, although β-Ga2O3 has difficulty in the carrier doping. To enhance the device performance of β-Ga2O3 based power devices, understanding the carrier doping effects on the electronic states is essential. In this work, we investigated the electronic states of β-Ga2O3 single crystals by hard x-ray photoelectron spectroscopy (HAXPES, hnu;= 5.95 keV), which can probe the bulk semiconducting properties due to the longer mean free path of photoelectron than that of conventional PES using Al Kα light source (hnu;= 1486.6 eV).
An unintentionally doped (UID-Ga2O3) and a Sn doped (Sn-Ga2O3) (010) β- Ga2O3 single crystals grown by edge-defined film-fed growth method were measured with HAXPES at the SPring-8 BL15XU undulator beamline. The incident x-ray energy and the total energy resolution were set to 5.95 keV and 240 meV, respectively. Carrier densities (Nd-Na) of UID- and Sn-Ga2O3 measured by capacitance-voltage method were 1.4×1017 and 3.4×1018 cm-3, respectively. The valence band spectrum of Sn-Ga2O3 shifted to the higher binding energy side in comparison with that of UID-Ga2O3, although there were no difference in the in-gap states. The Ga 2p and O 1s core-level spectra for Sn-Ga2O3 also shifted to the higher energy side as well as the valence band spectrum, meaning that the Fermi level of Sn-Ga2O3 shifted toward the conduction band minimum by the Sn doping. Furthermore, by using reflection electron energy loss spectroscopy of the O1s core spectra, the band gap of Sn-Ga2O3 decreased by approximately 0.2 eV. These results suggest the Sn acts as a donor and narrows the band gap slightly. The details of the temperature dependence of HAXPES will be discussed.
The authors are grateful to HiSOR, Hiroshima Univ. and JAEA/SPring-8 for the development of HAXPES at BL15XU of SPring-8. The HAXPES measurements were performed under the approval of the NIMS Beamline Station (Proposal No. 2014A4600, 2015A4601).
11:30 AM - RR3.06
Schottky Barrier Height of Different Metals on Wide Band Gap Semiconductor beta;-Ga2O3
Ganegama Asanka Jayawardena 1 Ayayi Claude Ahyi 1 Sarit Dhar 1
1Auburn University Auburn United States
Show AbstractGallium oxide (β-Ga2O3) is recently getting more attention due to the availability of commercial high quality single crystal wafers1. The wide band-gap of 4.9 eV and its transparency from visible to sim;260 nm makes this material attractive for opto- and power electronics2. The electron mobility and breakdown electric field of β-Ga2O3 is estimated to be 300 cm2/ (Vmiddot;s) and 8 MV/cm 3-4, respectively. These properties make this material, in theory, superior for power electronics5, compared to available wide band gap semiconductors such as SiC and GaN.
In this work, we report the characteristics of Schottky barrier diodes (SBDs) fabricated on commercial (-201) orientated n-type β-Ga2O3 single crystals using different metals (Ni, Au and mo). Fitting the thermo-ionic emission model to the current-voltage (J-V) characteristics, the ideality factor n and barrier height Phi;bn for Ni SBDs were determined to be 1.08 and 1.01 eV, respectively, which consistent with previous reports 6. Accordingly, barrier heights values for β-Ga2O3 SBDs with Au and Mo contacts were determined to be 1.12 eV 7-8 and 0.66 eV respectively. In addition, capacitance-voltage (C-V) measurements were used to extract the substrate doping concentration and thereby the barrier heights. Using C-V, Phi;bn was determined to be 1.12 eV and 1.22 eV Phi;bn for Ni and Au respectively. Furthermore, assuming that the effective Richardson constant (A*) and barrier heights to be temperature-independent for limited range of temperature (e.g. 273 K < T < 373 K), the slope of the of ln (J/T2) vs 1/T plot, for a given value of forward voltage yields the Schottky barrier height 9. Using this method, qPhi;bn was determined to be 1.02 eV, for Ni/β-Ga2O3 interfaces, in close agreement with the other two methods. These values agree closely with theoretical barrier heights calculated by using Schottky-mott rule and electron affinity (qChi; = 4.0 eV 8) of β-Ga2O3. This, along with ideality factors close to unity, is encouraging for the fabrication of gallium oxide power devices for future applications.
1H. Aida et al. , Jpn. J. Appl. Phys, vol. 47, p. 8506, 2008.
2N. Ueda et al. Appl.Phys.Lett., vol. 70, p. 3561, 1997.
3M. Higashiwaki et al., ,Appl.Phys.Lett., vol. 100, p. 013504, 2012.
4K. Sasaki et al., Appl. Phys. Exp., vol. 5, p. 035502, 2012.
5B. Jayant Baliga, IEEE Electron Device Lett., vol. 10, p. 455, 1989.
6K. Irmscher et al., J.Appl.Phys., vol. 110, p. 063720, 2011.
7R. Suzuki et al., Appl.Phys.Lett., vol. 94, p. 222102, 2009.
8M. Mohamed et al., Appl.Phys.Lett., vol. 101, p. 132106, 2012.
9S. Sze, Physics of Semicondutor Devices, 2nd ed., John Wiley & Sons,Inc., pp. 245 - 311.
11:45 AM - RR3.07
Thermal Properties of beta;-Ga2O3 from First Principles
Marco Santia 1 Nandan Tandon 1 John D Albrecht 1
1Michigan State University East Lansing United States
Show AbstractBulk β-Ga2O3 has recently drawn great interest as a wide band gap substrate and device material. It features a large band gap (high breakdown field), lattice compatibility with other wide band gap semiconductors, and the potential for highly scalable bulk crystal growth. The thermal properties, which are critical for devices and for epitaxial control, have begun appearing in the literature as material quality and availability have improved making measurements more accessible. Comparatively little has been done theoretically with regard to the lattice dynamics in this monoclinic crystal. We present thermal properties for bulk β-Ga2O3 as computed from the linearized phonon Boltzmann transport equation using up to third-order interatomic potential interactions determined by first-principles methods. Interatomic Force constants are computed with a real-space finite-displacement approach. Phonon band structures as well as anharmonic properties are then computed and used to calculate scattering rates for phonon-phonon interactions, and subsequently the bulk thermal conductivity. Additionally, mode-dependent Gruneisen parameters are determined and used to analyze thermal expansion. The calculated conductivity tensor components and analytic fits to their temperature dependences will be shown. We compare our results with experimental data and show good agreement with measured values. Decomposing thermal conductivity into phonon mode contributions reveals that optical phonon modes contribute significantly to the overall thermal conductivity, as much as 44% at 300 K in the [010] direction, which contributes to the experimentally observed thermal conductivity tensor anisotropy. Additionally, we predict that some of the mode-dependent Gruneisen parameters are found to be negative for low frequency optical modes and we discuss their implications.
12:00 PM - RR3.08
Influence of Al, In Codoping in Enhancing the Figure of Merit of ZnO Thin Films for TCO Applications
Vinoth Kumar Jayaraman 1 Arturo Maldonado Alvarez 1 Maria de la luz Olvera Amador 1
1Centro de Investigacion y de Estudios Avanzados del Instituto Politecnico Nacional Mexico DF Mexico
Show AbstractEnhanced figure of merit of zinc oxide (ZnO) thin films can be achieved by codoping with aluminium (Al) and indium (In) by cost effective ultrasonic spray pyrolysis technique. Structural, optical, electrical and morphological characterizations were studied with respect to the variations in dopants percentage. Changes in the dopants concentration influenced on the crystallinity, morphology, transmittance, and sheet resistance. X-ray diffraction patterns showed that all films were grown along (002) plane, c-direction. Different morphologies such as towers, hexagonal slices, rice like structures, and pyramidal structures were grown on the films and confirmed from scanning electron microscopy. All the films exhibited transmittance between 80-90% and the sheet resistance between 30-100 ohms/square. Figure of merit in the order of 10-3/ohm, which is favorable for transparent conductive oxide (TCO) applications, were estimated.
12:15 PM - RR3.09
ZnO Luminescence and Scintillation Studied by Photo-/X-ray- Excitation and Gamma Induced Positron Spectroscopy
Farida Selim 1 Jianfeng Ji 1 Lynn A. Boatner 2 Andreas Wagner 3
1Bowling Green State Univ Bowling Green United States2Oak Ridge National Laboratory Oak Ridge United States3Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Dresden Germany
Show AbstractLuminescence and scintillation in ZnO single crystals were measured by photoluminescence and X-ray-induced luminescence (XRIL). XRIL allowed a direct comparison to be made between the near-band emission (NBE) and trap emissions providing insight into the carrier recombination efficiency in the ZnO crystals. The origin of green emission, the dominant trap emission in ZnO, was investigated by gamma-induced positron spectroscopy (GIPS) - a unique defect spectroscopy method that enables positron lifetime measurements to be made for a sample without contributions from positron annihilation in the source materials or the surroundings. The measurements showed a single positron decay curve with the same lifetime component for all samples despite the difference in their luminescence confirming that Zn vacancies do not contribute to the green luminescence. By combining scintillation measurements with XRIL, the fast scintillation in ZnO crystals was found to be strongly correlated with the ratio between the defect luminescence and NBE.
12:30 PM - RR3.10
A Hybrid DFT Study on the Morphological Features and Band Bending at the (10-10) and (11-20) ZnO Surfaces
David Mora-Fonz 1 John Buckeridge 1 Andrew Logsdail 1 David Oliver Scanlon 1 Alexey A. Sokol 1 Scott M. Woodley 1 C. Richard A. Catlow 1
1University College London London United Kingdom
Show AbstractDue to its interesting chemical and physical properties, zinc oxide has been studied in depth over the last few decades. Recently, ZnO wurtzite films with non-polar surfaces have attracted attention as new materials which show higher emission efficiency for blue or ultra-violet LEDs.
We made use of interatomic potential (IP) methods and density functional theory (DFT) to analyse the structure and stability of the (10-10) and (11-20) ZnO surfaces, confirming the relative stability of the two surfaces. Besides structure and stability, we studied morphological features on the (10-10) surface, including steps, dimer vacancies and grooves. Our calculations provide the first DFT computational rationale for the extensive stepping on the (10-10) surface even at room temperature, as seen in experiment.
A correct positioning of the band edges of ZnO is essential to calculate a great variety of physicochemical properties, which are crucial in the design of electronic devices. The surface structure stablished was used to obtain the definitive ionisation potential (taking into account surface polarisation effects) and electron affinity of ZnO in a good agreement with experiment. For hybrid functionals, the ionisation potential was calculated as ca. 7.6 eV, compared to the experimental value of 7.82 eV. Taking into account surface polarisation effects in the calculation of the ionisation potential improves by ca. 1 eV the widely used “slab alignment” method.
The surface electronic properties are shown to converge much slower with the slab model thickness. Using hybrid functionals, the band gap at the (101#773;0) surface is still smaller (ca. 2.9 eV) than in the bulk (ca. 3.13 eV). For the (112#773;0) surface, a slab with 15 layers was not enough to represent the band gap correctly, which could be attributed to quantum confinement. It is expected that with a thicker slab, the band gap of the surface will become closer to the bulk band gap, as confirmed by our GGA calculations.
The band bending across the surface is analysed by the decomposition of the density of states for each atomic layer. The upwards surface band bending at the (10-10) surface affects mostly the valence band by 0.32 eV, which results in the surface band gap closing of 0.31 eV; at the (11-20) surface, the valence band remains flat and the conduction band bends up by 0.18 eV opening the surface band gap by 0.12 eV. Further work on the polar (0001) and (000-1) ZnO surfaces is in progress.
12:45 PM - RR3.11
High-Temperature Electric-Insulation Characteristics of High-Temperature-ALD-Grown Al2O3 Films
Daisuke Matsumura 1 Atsushi Hiraiwa 1 Hiroshi Kawarada 1
1Waseda Univ. Tokyo Japan
Show AbstractGate-insulator integrity is the key to the performance and reliability of MOSFETs, and thermally grown SiO2 has been established as the best gate insulator. Because the SiO2 cannot be formed by thermally oxidizing substrates, other methods, such as CVD, ALD and PVD, are needed in wide-bandgap semiconductor devices. The SiO2 thus formed, however, is inferior to the thermal one due to impurity incorporation from reactant gasses, plasma damage, non-stoichiometry, etc. Hence, instead of SiO2, Al2O3 is attracting attention from wide-bandgap-semiconductor device/process engineers because of its relatively high dielectric constant (~9), wide bandgap (~9 eV), thermal stability, etc. We recently confirmed that high-temperature (4500C) ALD is effective in forming high-reliability Al2O3 films [1] and, using this method, achieved a successful operation of diamond surface-conduction MOSFETs at a high temperature of 4000C [2]. Here we report on high-temperature insulation properties of the Al2O3 films in order to further promote the stable operation of wide-bandgap MOSFETs at high temperatures.
We first formed 32-nm-thick Al2O3 films on (100)-oriented p-type Si substrates using the ALD method at 4500C with TMA as Al precursor and H2O as oxidant, and subsequently formed gate electrodes thermally evaporating Au through a metal mask, hence completing MOS capacitors. The current-voltage (IV) characteristics of the samples were all measured in vacuum applying negative biases to the gate electrodes, under which polarity we mostly expect the same IV characteristics irrespective of substrate materials.
The leakage current of the Al2O3 films increases and the breakdown voltage decreases with measurement temperature in qualitative agreement with those of conventional insulators. Intriguingly, we found that the leakage current after cooling in vacuum down to room temperature (RT) is still larger than those before heating but that the RT leakage current decreases with time toward the original value when exposed to air. We repeated these tests changing the environments where the samples were kept after cooling and found that the degraded insulation characteristics are only recovered in an H2O-containg environment. We model these results as follows. Positive charges are produced in the Al2O3 films near the gate, being heated at high temperatures, and remain there even after cooling hence enhancing the leakage current at RT. In an H2O-containing environment, they are passivated with time by some H2O-related species that are possibly diffusing from gate edges, and the leakage current reverts to the original level accordingly. We need to reduce these charges to further promote a stable high-temperature operation of devices that have the ALD Al2O3 films.
[1] A. Hiraiwa, H. Kawarada, et al., J. Appl. Phys. 112 (2012) 124504, J. Appl. Phys. 117 (2015) 215304.
[2] H. Kawarada, et al., Appl. Phys. Lett. 105 (2014) 013510.
Symposium Organizers
Madhu Chinthavali, Oak Ridge National Laboratory
Robert Kaplar, Sandia National Laboratories
Martin Kuball, University of Bristol
Tetsuya Takeuchi, Meijo University
RR6: UV Optoelectronics
Session Chairs
Mitsuru Funato
Hideto Miyake
Wednesday PM, December 02, 2015
Hynes, Level 3, Room 306
2:30 AM - *RR6.01
Preparation of High-Quality AlN on Sapphire for Deep UV Light Source
Hideto Miyake 1 2 Chia-Hung Lin 2 Kazumasa Hiramatsu 2 Hiroyuki Fukuyama 3 Noriyuki Kuwano 4
1Mie University Tsu, Mie Japan2Mie University Tsu, Mie Japan3Tohoku University Sendai, Miyagi Japan4Universiti Teknologi Malaysia Malaysia Japan
Show AbstractAlGaN with high Al content has attracted attention for light sources for deep ultraviolet region because of its wide direct band gap and excellent thermal conductivity. However, high-density threading dislocations exist in AlGaN epitaxial films owing to the large lattice and thermal mismatches between underlying AlN layer and sapphire substrate [1,2]. To prevent the generation of threading dislocations in the AlGaN epitaxial layer, growth of the high-quality AlN underlying layer has been attempted by annealing technique of the AlN buffer layer on sapphire. We focused on phase equilibrium condition of AlN-Al2O3 in a carbon-saturated N2-CO gas mixture [3]. In this work, we studied the control of the AlN buffer layer by annealing in a carbon-saturated N2-CO mixture for AlN growth on a sapphire substrate. We fabricated high-quality AlN on sapphire using the AlN buffer layer annealed in the N2-CO.
AlN epilayers were grown on c-plane sapphire substrates by MOVPE[4]. Prior to the growth, substrates were cleaned in H2 ambient at 900-1350 oC for 10 min. (i)AlN buffer layers with thicknesses of tBuf = 100-1000 nm were grown at TBuf = 1000-1250 oC under a pressure of 30 Torr. (ii)Subsequently, the AlN buffer layers were thermally annealed in a carbon-saturated N2-CO gas mixture at TAn = 1500-1750 oC. The annealing time was fixed at 2 h. (iii)Finally, high-temperature (HT) AlN layers with a thickness of tHT = 2 mu;m were grown on the AlN buffer layers in a H2-N2 mixture at THT = 1450 oC under 30 Torr#65294;
The effects of annealing in a carbon-saturated N2-CO mixture on AlN buffer layers grown on sapphire substrates were systematically studied. By annealing in a carbon-saturated N2-CO gas mixture at temperature over 1600 oC, the surface morphology and crystal quality of the AlN buffer layer were markedly improved. This indicates that the annealing promoted the atomic rearrangement in AlN buffer layers. Annealing of AlN buffer layer at 1700 oC is effective for fabrication of high-quality AlN on a sapphire substrate. The FWHMs of (0002) and (101(_)2) XRCs for the HT-AlN on AlN buffer layer with the thermally annealing are 16 and 154 arcsec, respectively. It indicates that most of dislocations in the HT-AlN on the annealed AlN buffer layer are edge type, and screw-component dislocations are markedly reduced by the thermally annealing.
ACKNOWLEDGMENT
This work was partially supported by Grant-in-Aid for Specially Promoted Research (No.25000011) of the Ministry of Education, Science, Sports and Culture.
REFERENCES:
H. Hirayama, S. Fujikawa, N. Noguchi, J. Norimatsu, T. Takano, K. Tsubaki, and N. Kamata, Phys. Status Solidi A 206, 1176 (2009).
Y. Shimahara, H. Miyake, K. Hiramatsu, F. Fukuyo, T. Okada, H. Takaoka, and H. Yoshida, Appl. Phys. Express 4, 042103 (2011).
H. Fukuyama, S. Kusunoki, A. Kakomori, and K. Hiraga, J. Appl. Phys. 100, 024905 (2006).
Y. Kida, T. Shibata, H. Naoi, H. Miyake, K. Hiramatsu, and M. Tanaka, Phys. Status Solidi A 194, 498 (2002).
3:00 AM - RR6.02
High Performance AlGaN Based 200-270 nm UV Hetero-Field-Effect-Transistor-Type Photosensor
Akira Yoshikawa 2 1 Yuma Yamamoto 2 Takuya Murase 2 Motoaki Iwaya 2 Tetsuya Takeuchi 2 Satoshi Kamiyama 2 Isamu Akasaki 2 3
1Asahi-Kasei Corporation Fuji Japan2Meijo University Nagoya Japan3Akasaki Research Center, Nagoya university Nagoya Japan
Show AbstractIntroduction
Recently, the UV light-emitting diodes (UV-LEDs) are developed for sterilize and medical application. In addition, the photosensors are indispensable to make practicable these UV-LEDs. The required specification of the photosensor is small-sized, durability, low cost, solar blind, high sensitivity and low S/N ratio. An AlGaN alloy is candidate material for photosensor because of satisfied above specification and direct wide band gap ranging from 3.4 to 6.0 eV. We have been reported high sensitivity AlGaN/GaN hetero-structure-filed-effect transistors (HFET) type photo sensor with a p-type GaN optical gate for the detection of visible light [1]. These photosensors employ a two-dimensional electron gas induced at the hetero-interface between AlGaN and GaN as a highly conductive channel. In this study, we fabricated high-performance AlGaN/AlN HFET photosensor with a p-GaN optical gate for the only detection of 200-275 nm.
Experiments and Results
The device was grown by metal-organic vapor phase epitaxy on a sapphire (0001) substrate. First, after growth a 3 mu;m-thick AlN layer, a 300 nm-thick u-Al0.59Ga0.41N layer, a 20 nm-thick u-Al0.65Ga0.35N barrier layer, and a 100 nm-thick Mg doped p-GaN layer were grown. Second, after the activation of Mg acceptors by thermal annealing, mesa isolation was performed by Cl2 ICP etching. Third, the p-GaN optical gate was etched by ICP etching, except in the light-receiving area. Finally, a Ti/Al/Ti/Au was deposited as the source and drain electrodes on the u-Al0.65Ga0.35N layer.
Monochromatic photosensitivity of HFET photosensor was measured with applied 4V of source-drain voltage. Xe lump and spectrometer were used as light source. The photo sensor can achieve a high external photosensitivity, close to 104 A/W. This photosensitivity greatly surpassed those of commercially available Si pin, Si avalanche, AlGaN-based pin photodiodes, and was comparable to those of photomultiplier tubes. Furthermore, a dark current density of approximately 6 pA were obtained at 4 V of source-drain voltage. The S/N ratio was on the order of 105. The absorption edge wavelength was approximately 275 nm (Solar-blind).
We attempted to use this sensor for flame detector utilizing high S/N and solar-blind characteristics. As a result, we can detect the flame directly.
These results suggest that the wavelength range of photosensitivity of the HFET photosensor can be controlled by controlling the AlN molar fraction in the u-AlGaN layer, and that very promising for the development of high-performance photo sensor with high photosensitivity and complete solar-blind.
Reference:
[1] M. Iwaya, S. Miura, T. Fujii, S. Kamiyama, H. Amano, and I. Akasaki, Phys. Status Solidi C 6, No. S2, S972 (2009)
3:15 AM - RR6.03
Physical Properties of II-IV Nitrides
Mikael Rasander 1 Michelle Moram 1
1Imperial College London London United Kingdom
Show Abstract
Ultraviolet light-emitting diodes (UV-LEDs) based on wurtzite structure III-nitrides currently have low efficiencies and lifetimes. The light-emitting quantum well (QW) regions of most UV-LEDs are based on AlGaN alloys, but unfortunately it is not possible to achieve lattice-matched and polarisation-matched QW heterostructures with appropriate band gaps and band offsets using this alloy system. Therefore it is motivated to search for alternative wide band gap nitride materials that could introduce additional degrees of freedom for UV-LED device design, either to assist in the lattice-matching or in the polarisation-matching within the active light-emitting region.
The Group II-IV nitride semiconductors are emerging as promising alternatives for these applications. These materials have wurtzite-like orthorhombic crystal structures and can be derived from Group III nitrides by substituting pairs of Group III (e.g. Al, Ga or In) atoms for a single Group II (Be, Mg, Ca or Zn) atom and a single Group IV (C, Si, Ge or Sn) atom. We have recently focused on the II-IV nitride MgSiN2 both from theory and experiment. On the theoretical side, density functional theory has been used to obtain accurate structural properties, elastic constants and piezoelectric properties of MgSiN2. For example, the band structure of MgSiN2 is shown to have a large indirect band gap of 5.6 eV of similar size as the direct band gap of wurtzite AlN [1]. In this presentation, we will present our results regarding II-IV nitride systems and compare them to other systems relevant for the use in UV-LEDs.
[1] J. B. Quirk, M. Raring;sander, C. M. McGilvery, R. Palgrave and M. A. Moram, Appl. Phys. Lett. 105 112108 (2014).
4:30 AM - *RR6.04
Radiative and Nonradiative Recombination Processes in AlN-Based Deep Ultraviolet Emitters
Mitsuru Funato 1 Yoichi Kawakami 1
1Kyoto Univ Kyoto Japan
Show AbstractAlN is a promising material for optoelectronics devices in the deep ultraviolet (DUV) spectral range. However, despite extensive studies, the external quantum efficiency (EQE) of AlGaN light-emitting diodes is at most ~15% in the range of 260-300 nm and decreases at shorter wavelengths. To further improve the EQE, we are interested in the internal quantum efficiency, which is determined by radiative and nonradiative recombination processes.
Very recently, we have performed time resolved and time integrated photoluminescence (PL) spectroscopy on Al-rich AlGaN/AlN quantum wells (QWs) grown on sapphire (0001) by metalorganic vapor phase epitaxy. The detailed analyses based on rate equations have demonstrated the presence of nonradiative processes even at low temperatures [1]. Therefore, it is important to enhance the radiative recombination probability and to suppress nonradiative recombination probability. For the former, we have established the growth procedure of (10-12) semipolar AlGaN/AlN QWs on AlN substrates, and demonstrated that their radiative recombination probability is about two orders of magnitude higher than that of the conventional (0001) QWs [2]. Consequently, the emission intensity at elevated temperatures is drastically improved [2]. For the latter, we have been investigating cathodoluminescence of homoepitaxially grown AlN layers and QWs. Interestingly, dark spots due to threading dislocations are visible only at low temperatures, which suggests that point defects dominate the recombination processes at room temperature [3]. Therefore simply reducing threading dislocations is not sufficient to improve the emission efficiency, and reducing (even eliminating) point defects is the most critical issue in future studies.
[1] Y. Iwata, R. G. Banal, S. Ichikawa, M. Funato, and Y. Kawakami, J. Appl. Phys. 117, 075701 (2015).
[2] S. Ichikawa, Y. Iwata, M. Funato, S. Nagata, and Y. Kawakami, Appl. Phys. Lett. 104, 252102 (2014).
[3] S. Ichikawa, M. Funato, Y. Iwasaki, and Y. Kawakami, to be presented at ICNS-11 (2015).
5:00 AM - RR6.05
Epitaxial Growth of AlN Templates with Smooth Surfaces on Sapphire
Syouta Katsuno 1 Koudai Hagiwara 1 Toshiki Yasuda 1 Norikatsu Koide 1 Motoaki Iwaya 1 Tetsuya Takeuchi 1 Satoshi Kamiyama 1 Isamu Akasaki 1 2 Hiroshi Amano 2 3
1Meijo University Nagoya-shi Japan2Akasaki Research Center Nagoya-shi Japan3Nagoya University Nagoya-shi Japan
Show AbstractAlN layers and AlGaN layers on sapphire substrates are used as templates for nitride-based deep ultraviolet (DUV) LEDs. Al(Ga)N templates with low dislocation densities and smooth surfaces are required to obtain highly efficient DUV-LEDs. As a method for low dislocation densities, a nitridation of sapphire substrates with NH3 has been reported [1]. At the same time, it has been reported that such a method resulted in undesirable column crystal growths originating at inversion domains (IDs) [2, 3]. So far we have investigated AlN intermediate layers in order for eliminating the column crystals [4], resulting in a reasonably smooth surfaces, but further improvements are still required. Recently it was reported that a Ga surfactant during AlN growth contributed to smooth surfaces of the AlN layer. In this study, we investigated a combination of the AlN intermediate layer and the Ga surfactant for smoother surfaces of the templates.
All the samples were grown on c-plane sapphire substrates with nitridation. The first sample simply consists of a 50 nm AlN buffer layer and a 2 mu;m AlN layer. The second sample is based on the first sample but with an 80 nm AlN intermediate layer, inserted between the buffer layer and the 2 mu;m AlN layer. The intermediate layer was grown under V/III ratio, 124. Finally, the third sample contains the same structure of the second one but with a Ga supply as a surfactant during the 2 mu;m AlN layer. A Ga/III supply ratio used for the growth was 0.1. The surface morphologies in 10×10 mu;m2 of all the samples were observed by AFM. Some column crystals were clearly observed on the first sample. The height of the column crystals is about 40 nm. We carried out a KOH etching to the sample surface and confirmed that these column crystals had N-polarity, meaning that the column crystals originated at the IDs. In the second sample, the column crystals disappeared but wavy features were observed on the surface, resulting in 0.93 nm as a RMS value measured by AFM. On the other hand, the third sample showed a drastic improvement of the surface smoothness, resulting in 0.18 nm as the RMS value. In conclusion, the combination of the AlN intermediate layers and the Ga surfactant provided very smooth Al(Ga)N templates on sapphire for DUV-LEDs.
Acknowledgements:
This work was supported by MEXT-Grants-in-Aid for Scientific Research Specially Promoted Research (#25000011), Grant-in-Aid for Scientific Research (B) (#26286045), and the Ministry of Education, Culture, Sports, Science and Technology Supported Program for the Strategic Re-search Foundation at Private Universities, 2012-2016.
References:
[1] R. G. Banal, et al., Jpn. J. Appl. Phys. 52 (2013) 08JB21
[2] S. Nikishin, et al., Appl. Phys. Lett. 95 (2009) 054101
[3] J. Jasinski, et al., Appl. Phys. Lett. 83 (2003) 2811
[4] S. Katsuno, et al., LEDIA&’15, LEDp2-13 (2015)
[5] T. Altahtamouni, et al.: Appl. Phys. 45 (2012) 285103
5:15 AM - RR6.06
Controlling the Compositional Inhomogeneities in AlxGa1-xN/AlyGa1-yN MQWs Grown by Molecular Beam Epitaxy
Pallabi Pramanik 1 Sayantani Sen 1 Chirantan Singha 1 Abhra Shankar Roy 1 Alakananda Das 2 Susanta Sen 2 Anirban Bhattacharyya 2
1University of Calcutta Calcutta India2University of Calcutta Calcutta India
Show AbstractUltraviolet LEDs are potential candidates for solid state lighting, through the use of high efficiency phosphors designed for current generation fluorescent tubes. While there are a number of advantages for this route, including a potentially higher color rendering index and an emission spectrum that is invariant with changing current injection, UV-LEDs still significantly lag behind their visible counterparts in efficiency. An important reason is low internal quantum efficiency (IQE) for AlGaN Quantum Wells, especially for growth on to low cost substrates as the large number of dislocations and related point defects act as non-radiative recombination centers. This is avoided in InGaN QWs through localization of carriers away from dislocations, thereby enhancing the IQE and brightness of the LED devices. In this paper we investigate methods to introduce a controlled degree of compositional inhomogeneity in AlGaN MQWs through modification of growth conditions.
Growth of AlGaN bulk films and quantum wells were carried out in a Gen 930 MBE system using a RF source for nitrogen activation. The films were characterized by XRD, HR-TEM, FESEM, CL and temperature dependent PL measurements. A three step growth process was carried out, using a Nitridation step and a high temperature AlN buffer layer. The initial nucleation steps were carried out using a modified migration enhanced epitaxy process, leading to streaky RHEED patterns with 2x2 surface reconstruction. A series of Al0.35Ga0.65N/Al0.55Ga0.45N MQW samples were grown with group III to group V ratio ranging from unity, where the RHEED pattern observed was streaky and bright, to a value as high as 1.12 where the pattern was observed to be dim and diffuse indicating the presence of a metallic layer on the growth surface. The effect of this variation of growth kinetics on the structural and optical properties of the MQWs has been studied in this work.
Our results indicate that even for structurally identical samples, small changes of group III/V ratio during deposition drastically modify the nature of room temperature photoluminescence. The nature and magnitude of the fluctuations of the AlGaN alloys show two distinct regimes depending on the deposition condition. Under moderate group III-rich conditions, compositional inhomogeneity is present but weak, and a single peak can be observed at room temperature. Higher group III, however, leads to an enhancement of the fluctuation amplitude, and multiple distinct peaks can be observed at significantly longer wavelengths. An Indium flux during growth reduces this effect by modifying the diffusion lengths of Ga and Al, and a single peak is obtained. The ability to control these phenomena and make it relatively insensitive to the group III to V flux ratio, and thus the substrate temperature, is important for improving the yield of LED structures grown onto large substrates in commercial systems where temperature variation is inevitable across the wafer surface.
5:30 AM - RR6.07
Lifetime and Failure Reduction of Pseudomorphic UVC LEDs on AlN Substrates at Accelerated Conditions
Craig Moe 1 James Grandusky 1 Mark Mendrick 1 Ken Kitamura 1 2 Masato Toita 1 2 Leo Schowalter 1
1Crystal IS Green Island United States2Asahi Kasei Fuji Japan
Show AbstractLight-emitting diodes (LEDs) in the mid-ultraviolet wavelength range of 250 to 285 nm have demonstrated reliability and lifetime suitable to enter the commercial markets of instrumentation, water purification, and biodetection. Through the pseudomorphic growth of AlGaN heterostructures on AlN substrates, low-defect density LEDs have been achieved with improved external quantum efficiencies and increased reliability. Current obtainable lifetimes have necessitated the investigation of acceleration parameters for faster identification of potential reliability issues as the performance of the device continues to improve.
Accelerated lifetime testing has been carried out as a function of the common acceleration factors of temperature and current. High current testing has been carried out with currents up to 400 mA with case temperatures from -40 °C to 85 °C. Degradation is found to depend primarily on the semiconductor junction temperature, which is maintained by limiting the input power and designing the device package for optimal thermal resistance. Two packages are investigated, the higher resistant Optan® TO-39 package currently available and lower resistant SMD packages awaiting introduction. An acceleration in light output degradation is observed for junction temperatures higher than approximately 115 °C.
Two primary degradation mechanisms were identified from these tests. The first is an exponential degradation commonly seen in LEDs. At higher temperatures, the rate of degradation is found to be much higher in the early stages of operation and slowing with increased time suggesting possible changes in degradation mechanism. In addition to the exponential degradation, sudden sharp changes in output power were seen, accompanied by a dramatic increase in the reverse[Masato1] leakage current of the diode as well. Infrared emission microscopy was used to identify the areas of increased leakage current, from which transmission electron microscopy of the locations was performed to gain insight into the cause of the increased leakage current. This analysis showed areas that were completely destroyed due to an extremely localized high current density, while other areas that had not seen the catastrophic degradation showed dislocations beginning in the AlN substrate. These dislocations generate locally high dislocation densities in the epitaxial layers, affecting the device structure and providing other paths for carrier recombination. Details on the acceleration factors and effect of defects on the lifetime and reliability will be discussed.
RR5: SiC Power Devices
Session Chairs
Robert Kaplar
Michael Dudley
Wednesday AM, December 02, 2015
Hynes, Level 3, Room 306
9:30 AM - *RR5.01
Performance and Reliability of SiC Power MOSFETs
Daniel J. Lichtenwalner 1 Brett Hull 1 Vipindas Pala 1 Edward Van Brunt 1 Sei-Hyung Ryu 1 Joseph Sumakeris 1 Michael O'Loughlin 1 Albert Burk 1 Scott Allen 1 John Palmour 1
1CREE, Inc. Durham United States
Show AbstractSilicon carbide power MOSFET development has progressed rapidly since the market release of Cree&’s 1200V 4H-SiC power MOSFET in 2011. This is due to continued advancements in SiC substrate quality, epitaxial growth capabilities, and device processing. For example, high-quality epitaxial growth of thick, low-doped SiC has enabled the fabrication of SiC MOSFETs capable of blocking extremely high voltages (up to 15kV); while dopant control for highly-doped epitaxial layers has helped enable our low-resistivity 900V SiC MOSFET production. Device processing improvements have resulted in the lowering of the MOSFET specific on-resistance for each successive device generation. As the technology remains relatively new, advancements in materials and device processing are expected to result in continual performance improvements in the near future.
In this presentation, key issues regarding SiC materials processing and power MOSFET device processing will be discussed. The key electrical properties which make SiC MOSFETs advantageous for a range of power applications will be presented. Accelerated lifetime test results of SiC MOSFETs, such as high-temperature reverse-bias stress and time-dependent dielectric breakdown tests demonstrate the device reliability.
10:00 AM - *RR5.02
Current Status of the Quality of 4H-SiC Substrates and Epilayers for Power Device Applications
Michael Dudley 1
1Stony Brook University Stony Brook United States
Show AbstractA review will be presented of recent monochromatic and white beam synchrotron topography based studies of defects in SiC substrates, epilayers and devices. Details of the evolution of the three-dimensional defect configurations in the PVT-grown substrate boules will be presented. Analysis of the distribution, character and origins of grown-in c-axis screw dislocations (both hollow and closed-core), deformation induced basal plane dislocations (BPDs), and grown-in threading edge dislocations will be discussed. Detailed topography analysis will also be presented of the deflection onto the basal plane of c-axis threading dislocations of Burgers vector 1/3<11-20>, 1/3<11-23>, and [0001] which produces new types of dislocation sources as well as some novel faulted defect configurations. In addition, a review will be presented of Synchrotron X-ray Topography and KOH etching studies carried out on n type 4H-SiC offcut substrates before and after n- homo-epitaxial growth to study defect replication and strain relaxation processes and identify the nucleation sources of both interfacial dislocations (IDs) and half-loop arrays (HLAs) which are known to have a deleterious effect on device performance. We show that these types of defects can nucleate during epilayer growth from five different types of source: (1) from short segments of BPD in the substrate which are drawn into the epilayer; (2) from segments of half loops of BPD that are attached to the substrate surface prior to growth which glide into the epilayer; (3) from BPD half-loops created at 3C-SiC inclusions in the epilayer; (4) from BPD half- loops associated with micropipes; and (5) from BPD half-loop nucleation occurring at the growth surface. The influence of the defects in the epilayers on subsequent device performance will be discussed as well as some strategies which can be designed to potentially mitigate their deleterious effects.
10:30 AM - RR5.03
Probing the Nature of Interfacial States in NO Passivated 4H-SiC/SiO2 Structures Using TEM-EELS and XPS
Joshua Aaron Taillon 1 Gang Liu 2 Sarit Dhar 3 Leonard C. Feldman 2 Karen Gaskell 4 Tsvetanka Zheleva 5 Aivars Lelis 5 Lourdes G. Salamanca-Riba 1
1University of Maryland College Park United States2Rutgers University New Brunswick United States3Auburn University Auburn United States4University of Maryland College Park United States5U.S. Army Research Laboratory Adelphi United States
Show AbstractThe interface between 4H-SiC and SiO2 in metal oxide semiconductor field effect transistor (MOSFET) devices contains a high density of electrically active defects, which adversely affect the performance of SiC-based microelectronic devices by lowering the electron mobility. The electrical properties of these devices can be improved by a number of treatments, the most prevalent of which is a nitric oxide (NO) post-anneal. In addition to this, devices fabricated on different crystallographic faces of SiC, or with varying miscut at the interface show markedly different electronic performance. Our previous work on NO annealed devices has shown an inverse relationship between anneal time and the width of the transition layer (wTL) at this interface, which is correlated with improved channel mobility, increased N interfacial density, and decreased charged interface trap density. More recent work analyzing wTL at interfaces of varying orientation has revealed much narrower interfaces that do not appear to decrease in thickness when subject to an NO post-oxidation anneal, contradicting the expected trend.
To further explore the characteristics of these interfaces, high resolution transmission electron microscopy (HRTEM), high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM), and spatially resolved electron energy-loss spectroscopy (EELS) have been used. In addition, angle resolved X-ray photoemission spectroscopy (AR-XPS) measurements were taken near and at the interface utilizing chemical depth-profiling. Using TEM, we have investigated SiC/SiO2 interfaces fabricated on the Si-face, with and without miscut, as well as on the a-face. Transition layer information was obtained using EELS at the Si-L2,3 and Si-L1 edges. Advanced EELS analysis via machine learning techniques has enabled spectrum image decomposition. Previously obscured bonding states at the interfaces are revealed and their nature is discussed. Interface states observed at the various interfaces are presented and compared, and the effect of both substrate orientation and NO post-oxidation annealing is explored. Comparisons are also made to the ARXPS measurements that have been obtained from similar samples.
Our results explore the chemical and electronic structure of the 4H-SiC/SiO2 interface, and demonstrate the importance of controlling the quality of the interface in SiC power electronics and our methods provide a framework for analyzing devices processed under a range of various conditions.
*Supported by ARL under Grants No. W911NF-11-2-0044 and W911NF-07-2-0046, and NSF GRFP Grant No. DGE 1322106
10:45 AM - RR5.04
Local and Nondestructive Evaluation of SiO2/SiC Interface Using Super-Higher-Order Scanning Nonlinear Dielectric Microscopy
Norimichi Chinone 1 Ryoji Kosugi 2 Yasuonori Tanaka 3 Shinsuke Harada 2 Hajime Okumura 2 Yasuo Cho 1
1Tohoku Univ Sendai Japan2National Institute of Advanced Industrial Science and Technology Tsukuba Japan3Council for Science, Technology and Innovation Policy, Cabinet Office, Government of Japan Tokyo Japan
Show AbstractSilicon carbide (SiC) is a highly attractive semiconductor material for power device application, since its band-gap is very large. The band-gap of SiC is about three times wider than silicon. Although SiC-MOSFETs, which are key device for high efficient electric power conversion, became commercially available, SiC-MOSFETs still have serious problems which may be caused by the insufficient quality of SiO2/SiC interface. It is known that post oxidation annealing (POA) in NO ambient is very effective to improve the interface quality [1].
For further improvement of interface quality, it is important to clarify the origin of poor interface quality. Previous study using macroscopic capacitive method such as high-low method or DLTS revealed that POA in NO reduces the interface state density [1][2]. Microscopic approaches using electrical scanning probe technique have also performed, which revealed that the electrically activated nitrides exist near the interface [3]. Although microscopic approach is powerful, there are still a few reports about microscopic investigation of the interface and the origin of insufficient quality of SiO2/SiC interface has still been unknown.
In this study, SiO2/SiC interface was nondestructively investigated with high spatial resolution using super-higher-order scanning nonlinear dielectric microscopy (SHO-SNDM)[4][5].
At first, we investigated the oxidation time dependence on the SiO2/SiC interface quality. Comparison of non-oxidized and thermally oxidized epitaxial n-type 4° off 4H-SiC wafers revealed that only a 5-min oxidation made the interface quality spatially inhomogeneous. Moreover, the longer the oxidation time was, the bigger the size of dark and bright spots was.
Next SiC wafers with and without the POA were also compared, which showed that the spatial deviation of interface quality was reduced and the SNDM signal level drastically increased by the POA treatment. In addition, using SHO-SNDM, local CV curves were two dimensionally mapped and were compared for the four SiC wafers treated under different POA conditions (three “with” and one “without” POA). The local CV curves obtained in sample with stronger POA treatment was closer to ideal CV curve compared to the CV curves obtained in sample without POA. This result is consistent with the previous macroscopic report.
Finally, a voltage dependence of SNDM image was calculated using reconstructed CV curves at each pixel. This analysis shows that inhomogeneous in SNDM image is reduced with the increase of voltage (i.e., larger depletion layer), which might be related with interface state.
Thus, we conclude SHO-SNDM is a promising method for investing SiO2/SiC interface quality.
[1] G. Y. Chung et al., Appl. Phys. Lett. 76, 1713 (2000).
[2] J. Hasegawa et al., Jpn. J. Appl. Phys. 54, 04DP05 (2015).
[3] P. Fiorenza et al., Beilstein J. Nanotechnol. 4, 249 (2013).
[4] Y. Cho et al., Rev. Sci. Instrum. 67, 2297 (1996).
[5] N. Chinone et al., J. Appl. Phys. 116, 084509 (2014).
11:30 AM - *RR5.05
SiC Power MOSFETs: The Best is Yet to Come!
James A. Cooper 1
1Purdue University West Lafayette United States
Show AbstractSilicon carbide power MOSFETs are still in their adolescence, and years of development and optimization lie ahead. This talk will consider the design options, performance parameters, and ultimate limits of the three main types of SiC MOSFETs: vertical planar, vertical trench, and lateral planar.
SiC vertical DMOSFETs entered commercial production in 2010. SiC trench UMOSFETs are just now entering production, and promise lower on-resistance than currently available DMOSFETs. SiC lateral MOSFETs are of interest for smart-power applications where monolithic integration with control circuitry is desired. For all these devices, the critical figure of merit is the specific on-resistance Ron,sp at the designated blocking voltage VB. Given a required total current and blocking voltage, the die cost scales as the square root of Ron,sp, and even a small advantage in cost for the same performance enables a product to dominate the market.
Several factors determine Ron,sp. At blocking voltages around 1200 V, the Ron,sp of vertical devices is limited by channel, drift, and substrate resistances. Substrate resistance is minimized by thinning, but it remains an important limitation. Channel resistance is high due to the poor mobility of electrons at the SiO2/4H-SiC interface, but the specific channel resistance tends to be lower in UMOSFETs because of the higher mobility on the a-plane and the smaller cell area of a trench design.
Channel and substrate resistances are independent of VB, but drift resistance increases as the square of VB and becomes the dominant resistance above about 2 kV. Efforts are now underway to incorporate superjunction (SJ) drift regions in SiC MOSFETs. This would be beneficial because the Ron,sp of an ideal SJ drift region increases only linearly with VB. If SiC superjunction MOSFETs can be developed, they could eventually displace silicon IGBTs and dominate the market.
SiC lateral MOSFETs are used for smart power applications where the power transistor is monolithically integrated with control circuitry on the same die. Lateral devices with RESURF drift regions have a theoretical Ron,sp about one-third that of vertical devices, but their cell area increases with VB, in contrast to vertical devices where cell area is independent of VB. Because of their larger cell area, lateral MOSFETs are not competitive with vertical MOSFETs as discrete power devices.
Even though SiC DMOSFETs and UMOSFETs are now in commercial production, neither are fully optimized and both are still far from their fundamental limits. This is good news, since it opens the opportunity for continued innovation in structure, design, and processing. The next several years will see higher performance, lower costs, and increasing production volume. The future is bright indeed!
12:00 PM - *RR5.06
Wide Bandgap Devices for Electric Vehicle Drive Systems
Burak Ozpineci 1
1Oak Ridge National Laboratory Knoxville United States
Show AbstractWide bandgap devices will enable highly efficient and power dense power conversion units for future power electric vehicles. Oak Ridge National Laboratory&’s (ORNL) Power Electronics and Electric Machinery Research Center (PEEMRC) has been doing extensive research on wide bandgap (WBG) devices for more than a decade and a half. Some of the work includes characterization, analysis, and modeling of the WBG devices as well as packaging, developing system level simulations and building WBG device based prototype dc-dc converters, (wired and wireless) chargers, and inverters (including the 3D printed inverters). This talk will share the recent research developments at ORNL on WBG research.
12:30 PM - RR5.07
Combining Experiments and Calculations to Characterize Nanometric Helium-Filled Bubbles in Silicon Carbide
Marie-Laure David 1 Kevin Alix 1 Julien Deres 1 Frederic Pailloux 1 Marie-France Beaufort 1 Laurent Pizzagalli 1
1Institut Pprime Chasseneuil France
Show AbstractCavities (filled or not) are a major type of extended defects usually resulting from the
incorporation of inert gas or hydrogen in solids by high fluence implantation or transmutation
reactions in nuclear reactors. While these defects are of major interest in several domains,
from materials for microelectronics and energy, to more fundamental fields (study of plasmon
excitations or nanofluidics), our understanding of the mechanisms of formation and evolution
under thermal annealing remains severely limited. To improve the current state of the art, it is
required to better characterize the properties of these bubbles. In particular, the internal
pressure is a key property, and is a required input in all models.
In our investigations, we have combined spatially-resolved Electron Energy Loss
Spectroscopy (EELS) in the Transmission Electron Microscope (TEM) with large scale
molecular dynamics to determine the properties of He-filled bubbles in silicon carbide.
Experiments were performed on 5 to 20 nm in diameter bubbles, synthesized by high fluence
helium implantation. An Energy-Filtered TEM quantitative approach was also developed to
determine the properties of single bubbles over several bubbles simultaneously [1]. This
method prevents He detrapping under electron beam, a potential issue of the more common
spatially-resolved EELS approach [2,3]. We measured the internal helium density, in addition
with the diameter and morphology of each bubble. Very high internal pressure, much larger
than predicted by the Laplace equation, were estimated. Numerical simulations were
performed using an home-made inter-atomic potential, especially fitted to reproduce the
properties of small He-vacancy complexes in SiC, as well as the behavior or helium under
very high pressure. These investigations allow for the determination of the density and
internal pressure in a 7 nm diameter spherical bubble. The calculations confirmed the very
high internal pressure of these bubbles.
[1] Kévin Alix, Marie-Laure David, Guillaume Lucas, Duncan T.L. Alexander, Frédéric
Pailloux, Cécile Hébert, and Laurent Pizzagalli, Micron, in press (2015)
[2] M.-L. David, F. Pailloux, V. Mauchamp, L. Pizzagalli, Appl. Phys. Letters 98, 171903
(2011)
[3] M.-L. David, K. Alix, F. Pailloux, V. Mauchamp, M. Couillard, G.A. Botton and L.
Pizzagalli, J. Appl. Phys. 115, 123508 (2014)
Symposium Organizers
Madhu Chinthavali, Oak Ridge National Laboratory
Robert Kaplar, Sandia National Laboratories
Martin Kuball, University of Bristol
Tetsuya Takeuchi, Meijo University
RR8: Visible Optoelectronics II
Session Chairs
Hiroshi Fujioka
Piotr Perlin
Thursday PM, December 03, 2015
Hynes, Level 3, Room 306
2:45 AM - *RR8.01
Development of the Nitride Laser Diode Arrays for Video and Movie Projectors
Piotr Perlin 1 2 Anna Kafar 1 Szymon Stanczyk 1 Agata Bojarska 1 Lucja Marona 1 2 Mike Leszczynski 1 2 Tadek Suski 1
1Institute of High Pressure Physics "Unipress" Warsaw Poland2TopGaN Ltd. Warsaw Poland
Show AbstractThe advent of video, multicolor projectors with the light engine built of the set of blue nitride laser diodes clearly marks the possibility of replacing mercury and xenon lamp in yet another application. But this is not a simple replacement; the laser light is bringing here unbeatable colors and excellent energetic economy. However, as these video and movie projectors requires very high optical power, from 20 up to 200 W, the laser source of the light must meet strong requirements concerning the optical power, beam quality, light polarization and economy of production and use. For optical projectors, which make use of Digital Light Processing (DLP) technology the ideal sources of light, characterized by a very high optical power, are laser diode arrays, which combine compact construction, strong, linearly polarized light, and economy. However, the optimum design of such devices must take into account specific features of GaN based devices, like from one side relatively high contact resistance and from the other side very high GaN substrate thermal conductivity. Within this presentation we will discuss the issues related with the optimization of such leading to fabrication of the devices with the optical power exceeding 10 W.
3:15 AM - RR8.02
Light Emitting Diodes with Conductive AlInN/GaN Distributed Bragg Reflectors
Kazuki Ikeyama 1 Yugo Kozuka 1 Kenjo Matsui 1 Masataka Ino 1 Takanobu Akagi 1 Sho Iwayama 1 Norikatsu Koide 1 Tetsuya Takeuchi 1 Satoshi Kamiyama 1 Motoaki Iwaya 1 Isamu Akasaki 1 2
1Meijo University Nagoya Japan2Akasaki Research Center Nagoya Japan
Show AbstractGaN-based vertical cavity surface emitting lasers (VCSELs) have been reported from several research groups[1],[2], and all the VCSELs have contained intra-cavity structures with non-conductive distributed Bragg reflectors (DBRs), resulting in a small optical confinement factor due to the longer cavity length. As already demonstrated in infrared VCSELs, a conductive DBR is expected for further improvements in the GaN-based VCSELs. So far a conductive n-type AlGaN/GaN DBR has been reported[3], but no investigation on conductivity of lattice-matched AlInN/GaN DBRs has been performed. Thus we investigated a vertical conductivity of Si-doped AlInN/GaN DBRs and obtained a current injection into a micro LED through the conductive DBR, showing a reasonably low device resistance.
First, we investigated a vertical conductivity of Si-doped AlInN/GaN DBRs. All the samples were grown on n-GaN templates with LT-buffer layers on c-plane sapphire substrates by MOVPE. We prepared two 10-period Si-doped 45nm Al0.83In0.17N/40nm GaN DBRs. The Si concentration in an n-AlInN layer was 1.5×1019 or 6×1019cm-3, while that in an n-GaN layer was 7×1018cm-3. Note that these Si concentrations were measured by SIMS, calibrated with standard Si-doped AlN samples. In order to evaluate vertical conductivity of the DBRs, 50 µm diameter mesas of the n-DBRs were formed by ICP etching. A top and a bottom n-electrodes, Ti/Al/Ti/Au, were deposited. For comparison, the same mesa structure consisting of just a 1-µm-thick n-GaN layer was also prepared. I-V characteristics of the sample with 1.5×1019 cm-3 showed a Schottky behavior with a large voltage drop (~5V at 100mA). On the other hand, the sample with 6×1019 cm-3 resulted in an ohmic characteristic, which resistance is almost equal to that of the 1-µm-thick n-GaN layer (approximately 10Omega;). The requirement of such a high Si doping could be due to not just a large band offset but also large polarization charges at the GaN/AlInN interfaces.
Finally, we fabricated a 10-µm-diameter micro LED with the low-resistive 10-period n-type AlInN/GaN DBR. Compared with a conventional LED without the DBR, a light output power was twice and a device resistance was comparable. These results indicate that GaN-based VCSELs with the conductive n-type AlInN/GaN DBR are largely expected.
References
[1]T. C. Lu et al. Appl. Phys. Lett. 97, 071114 (2010)
[2]Y. Kozuka et al. MRS Fall Meeting, Boston Massachusetts, T13.07 (2014)
[3]M. Arita et al. Phys. Stat. Sol. (a) 194, No.2 403-406 (2002)
Acknowledgment
This study was supported by MEXT-Supported Program for the Strategic Research Foundation at Private Universities, 2012-2016.
3:30 AM - RR8.03
Nitride-Based VCSELs Using a Periodic Gain Structures Consisting of Two GaInN 5 QWs
Kenjo Matsui 1 Yugo Kozuka 1 Kazuki Ikeyama 1 Kosuke Horikawa 1 Takashi Furuta 1 Takanobu Akagi 1 Sho Iwayama 1 Norikatsu Koide 1 Tetsuya Takeuchi 1 Satoshi Kamiyama 1 Motoaki Iwaya 1 Isamu Akasaki 1 2
1Meijo University Nagoya Japan2Akasaki Research Center Nagoya Japan
Show AbstractSo far nitride-based vertical-cavity surface emitting lasers (VCSELs) have been achieved [1]. In order to further improve device characteristics, it is conceivable to utilize a periodic gain structure (PGS) for a higher optical confinement factor. At the same time, it is required to establish uniform carrier injections into the PGS. We have found that Mg concentration in an intermediate layer inserted between two active regions in the PGS controlled carrier distributions in the PGS [2]. We also obtained uniform carrier injections into the PGS in which two active regions are identical consisting of GaInN 3QWs [3]. In this study, we investigated and determined Mg concentration at the intermediate layer resulting in a well-balanced light output power from a PGS with two identical 5QWs. We then demonstrated a VCSELs with the PGS.
We first prepared two different geometries of LED test structures (“normal” and “reverse”) with two different active regions consisting of 3 nm GaInN 5 QWs in order to investigate Mg concentration dependence on an intensity ratio from the two active regions. The normal geometries contained a blue (430 nm) active region at p-side and a violet (400 nm) active region at n-side. Then the reverse geometries contained the violet active region at p-side and the blue active region at n-side. The 47-nm-thick Mg-doped intermediate layer was located between the two active regions. Total six different devices were prepared in which various Mg concentrations were doped in the intermediate layers for the normal and reverse geometries. The normal and reverse LEDs showed different Mg concentrations (8 x 1018 cm-3 and 4 x 1018 cm-3, respectively) which led to well-balanced intensities. This is because the two LEDs had different geometries affecting carrier injections. We then estimated a Mg concentration which resulted in a well-balanced intensity of the two identical active regions in the PGS by considering the above-mentioned results. We believe that an average of the two Mg concentrations should be the concentration resulting in the well-balanced intensity in the identical PGS, that is 6 x 1018 cm-3. This value is similar to our previous case of 2.5 nm GaInN 3 QWs [3].
Finally the PGS-VCSELs with current apertures of 8 and 10 mu;m were fabricated. The 8 and 10 mu;m PGS-VCSELs showed threshold current densities of 20 and 25 kA/cm2 and very narrow spectra (0.3 nm FWHM) at 417.1 and 416.6 nm, respectively. A differential external quantum efficiencies are also similar in the two PGS-VCSELs. These results encourage the use of the PGS in nitride-based VCSELs.
[1] D. Kasahara, et al., Appl. Phys. Exp. 4(2011)072103.
[2] K. Matsui et al., Jpn. J. Appl. Phys. 52(2013)08JG02.
[3] K. Matsui et al., 2014 MRS fall meeting proc. 1736(2014).
This study was supported by MEXT-Supported Program for the Strategic Research Foundation at Private Universities, 2012-2016.
3:45 AM - RR8.04
Electronic and Optical Properties of Ultrathin Nitride Quantum Wells from First-Principles Calculations
Emmanouil Kioupakis 1 Dylan Bayerl 1
1University of Michigan Ann Arbor United States
Show AbstractGroup-III-nitrides are important wide-band-gap materials for solid-state light emitters in the visible and ultraviolet. Ultrathin nitride quantum wells are especially promising for semiconductor laser and light-emitting diodes (LEDs), circumventing many of the challenges faced by current technology. We use atomistic first-principles calculations to investigate the electronic and optical properties of ultrathin (1-4 cation monolayers) GaN and InN quantum wells. We examine the interplay between quantum confinement, polarization fields, exciton formation, and screening by free carriers on the luminescence properties. We show that the strong quantum confinement in polar ultrathin quantum wells significantly increases the lowest exciton energy compared to bulk materials, leading to deep-UV emission from monolayer GaN and visible emission from monolayer InN. Excitons are strongly bound and stable at room temperature in these ultrathin layers with binding energies in the 100-200 meV range. Both the strong quantum confinement and the formation of excitons increase the overlap of electron and hole wave functions and reduce the radiative lifetime of carriers, which is promising for increasing the LED efficiency. Our results reveal the relationships between the structure, emission wavelength, and radiative lifetime in these ultrathin materials. We demonstrate that ultrathin GaN quantum wells are especially promising for tunable deep-ultraviolet light emission, with applications in sterilization, photolithography, and optical data storage.
4:30 AM - *RR8.05
Feasibility of Nitride Flexible Devices Prepared by Sputtering
Hiroshi Fujioka 1 2 Kohei Ueno 1 Atsushi Kobayashi 1 Jitsuo Ohta 1
1Univ of Tokyo Tokyo Japan2ACCEL-JST Chiyoda-ku Japan
Show AbstractA new PVD epitaxial growth technique called PSD (pulsed sputtering deposition), which allows us to obtain high quality group III nitride films even at low substrate temperatures with high productivity, has been developed. In this presentation, we will discuss feasibility of the low temperature PSD technique for fabrication of flexible nitride devices on various low cost large area substrates such as metals or graphite foils.
Recently, large area flexible devices have attracted much attention and their potential has been tested mainly with the use of organic materials. However, organic devices suffer from poor reliability because they are vulnerable to water, oxygen, and heat. If we fabricate large area flexible devices with inorganic crystals such as group III nitrides, this weakness is largely alleviated. Group III nitride semiconductors have, indeed, been widely used for high performance optical and electron devices, but the applications are limited in small size devices because of their high fabrication cost. This limitation stems mainly from two problems: the use of expensive MOCVD process and the use of small single crystalline substrates.
To solve the former, we have to develop a new low cost epitaxial growth technique named pulsed sputtering deposition (PSD). PSD should attract much attention of industry engineers because its productivity is much higher than that of conventional MOCVD. In this technique, surface migration of the film precursors is enhanced due to the pulsed supply of high energy atoms and, therefore, the temperature for epitaxial growth is dramatically reduced. We have confirmed that PSD allows us to obtain device quality III nitride films even at room temperature and to fabricate a 632 nm LED at a maximum process temperature of as low as 480C. The successful operation of this long wavelength LED can be ascribed to the suppression of phase separation during the growth of the high In content InGaN due to the reduced growth temperature.
To solve the latter, we have utilized various large area low cost substrates such as metal or graphite foils that have never been used for growth of semiconductors due to their chemical vulnerability. It should be noted that successful growth of epitaxial nitride materials on these substrates can be also ascribed to the dramatic reduction in growth temperature by the use of PSD. In this presentation, we will show successful operation of InGaN multi-quantum-well RGB full color LEDs on low-cost flexible substrates by the use of PSD.
1 K. Sato et al., Applied Physics Express 2, 011003 (2009).
2 E. Nakamura et al., Appl. Phys. Lett. 104, 051121 (2014).
3 T. Watanabe et al., Appl. Phys. Lett. 104, 182111 (2014).
4 M. Oseki et al., Scientific Reports 4, 3951 (2014).
5 J.W. Shon et al., Scientific Reports 4, 5325 (2014).
5:00 AM - RR8.06
Epitaxial GaN Based Devices on Metal Foil Substrates
Vladimir Matias 1 Chris Yung 1 Daniel D Koleske 2
1iBeam Materials Santa Fe United States2Sandia National Laboratories Albuquerque United States
Show AbstractWe have developed an ion beam assisted deposition (IBAD) texturing process for biaxially aligned films as templates for GaN epitaxy. The IBAD process enables low-cost, large-area flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for electronic devices. Epitaxial GaN films are grown by the MOCVD process on these engineered flexible substrates.We have achieved GaN films of several microns on polycrystalline metal foilsthat have in-plane and out-of-plane alignment of less than 1
We use the epitaxial GaN films on polycrystalline metal foil as a base layer to make multi-quantum well light emitting diode (LED) structures and have successfully demonstrated electro-luminescence. These are the first LED devices fabricated directly on metal foil. We will present data on performance of such devices and how these LED devices could be printed using a roll-to-roll process.
This work was supported by the Department of Energy ARPA-E agency.
5:15 AM - RR8.07
Progress towards a Monolithic III-Nitride Device for Polarized White Light Emission
Stacy Kowsz 1 Christopher Donald Pynn 1 Tal Margalith 1 Robert Farrell 1 James S. Speck 1 Steven DenBaars 1 Shuji Nakamura 1
1University of CA, Santa Barbara Santa Barbara United States
Show AbstractDespite the advantages of growing III-nitrides on semipolar planes, challenges still remain for achieving long visible wavelength emission from InGaN layers. We report a novel semipolar III-nitride device design in which an electrically injected blue LED optically pumps long wavelength emitting quantum wells (QWs). High indium content InGaN layers for long wavelength emission are optically pumped, which eliminates the high temperature steps that degrade high indium content InGaN layers but are required after InGaN growth when growing p-GaN for an LED structure. Additionally, by eliminating electrical injection, the doping profile can be engineered for the purpose of affecting the emission wavelength. Lastly, because the high indium content InGaN QWs do not have to be confined within the depletion width of a p-n junction or electrically injected, thick GaN barriers can be incorporated between InGaN QWs. A superlattice structure can be used to manage the stress that arises in growing coherently strained InGaN on GaN due to the large lattice mismatch between InN and GaN.
Using this novel design to combine yellow photoluminescence (PL) and blue electroluminescence, a single device can emit white light. This is an alternative to white light created using blue or violet III-nitride LEDs or LDs to pump powdered phosphors that emit yellow or red wavelengths. White light created by semipolar InGaN QWs with varying bandgaps offers the benefit that the emitted light is partially polarized, compared to the unpolarized emission that results from powdered phosphors and scattered light. This is of significant interest because polarized light has unique applications, for example, in backlit liquid-crystal displays.
We demonstrate a device with polarized white emission created by combining yellow and blue emission peaks from optically pumped and electrically injected QWs, respectively. The blue LED was grown on the (20-2-1) face of a double side polished GaN substrate, while the yellow QWs were subsequently grown on the (20-21) face. Devices were fabricated by depositing a 0.1mm2 Pt/Ag/Ni/Au mirror contact on the p-side of the LED and making contact to the n-side. We will discuss ongoing work that is focused on improving the polarized white light emission by engineering the light extraction of the device and optimizing the long wavelength emitting QWs. In particular, we consider both metal organic chemical vapor deposition (MOCVD) growth conditions and epitaxial device design to achieve red PL emission from (20-21) QWs.
5:30 AM - RR8.08
Effect of Indium Incorporation on the Optical Properties of InGaN/GaN Multiple Quantum Wells Grown on M-Plane Bulk GaN Substrates
Fengzai Tang 1 Tongtong Zhu 1 Fabrice Oehler 1 Wai Yuen Fu 1 Jonathan Simon Barnard 1 Siyuan Zhang 1 James T. Griffiths 1 Menno Kappers 1 Rachel Oliver 1 Tomas Martin 2 Daniel Haley 2 Paul A.J. Bagot 2 Michael P. Moody 2 Danny Sutherland 3 Matt Davies 3 Phil Dawson 3 Stefan Schulz 4 Miguel A. Caro 4 5 6 Daniel Tanner 4 5 Eoin P. Orsquo;Reilly 4 5
1University of Cambridge Cambridge United Kingdom2University of Oxford Oxford United Kingdom3The University of Manchester Manchester United Kingdom4Tyndall National Institute Cork Ireland5University College Cork Cork Ireland6Aalto University Espoo Finland
Show AbstractOptoelectronic devices based on non-polar m-plane InGaN/GaN quantum well (QW) structures have the potential for improved performance compared to those grown on the polar c-plane structures, due to the reduced polarization-induced electric fields along the growth direction. The reduction of the fields leads to greater overlap of the electron and hole wavefunctions increasing the radiative recombination rate. Anisotropic in-plane biaxial strains on the m-plane QWs also cause the valence bands to be split, enabling the emission of linearly polarized light. The growth of m-plane QW structure on heterosubstrates, however, is normally plagued with high density crystalline defects which can effect device performance. To achieve good performance, devices based on the m-plane orientation are thus often grown on bulk GaN substrates with much lower densities of defects, despite the high costs of native substrates.
We have investigated the impact of the microstructure on the optical properties of InxGa1-xN/GaN (x = 0.14, 0.17 and 0.25) multiple QW structures grown on ammonothermal bulk m-plane GaN substrates with a 2° miscut angle using metal-organic vapor-phase epitaxy (MOVPE). Surface step bunches are observed which accommodate the miscut of the substrate. Cathodoluminescence (CL) analysis revealed a broad spectrum. With increasing indium fraction, a red-shift is observed in the peak emission wavelength from 409 nm to 425 nm and 475 nm. Both the CL and related photoluminescence spectra have shown large linewidths, which increase with indium content, from 16 nm (for x = 0.14) to 30 nm (for x = 0.25) in terms of CL spectra. The spectra also exhibit long wavelength tails. For the sample (x = 0.25), atomic force microscopy, scanning electron microscopy - CL and transmission electron microscopy have all been used to image the same set of step bunches, allowing direct correlation of their structure and properties. This provides direct evidence that the surface step bunches are responsible for the low energy tail in the macroscopic luminescence spectrum due to the different local microstructures and related variation in the indium fraction. Atom probe tomography (APT) analysis of the three samples reveals various features which may help explain the large linewidth of the optical spectra: the APT measurements reveal a rougher upper interface in comparison to the lower interface for the first three QWs (nearest to the substrates), in line with the high resolution high-angle annular dark-field images. These roughness variations lead to well width fluctuations which can be responsible for the broadening of the spectra. Furthermore, APT reveals a statistical non-randomness of the indium distribution in the QWs with an indium fraction of 0.25, but a random distribution in the QWs with lower In fractions. The effect of In clustering in the sample on the observed optical properties will be discussed in terms of carrier localization mechanisms.
5:45 AM - RR8.09
Polar and Nonpolar InGaN Quantum Wells: Influence of Random Alloy Fluctuations on the Electronic and Optical Properties
Stefan Schulz 1 Miguel A. Caro 1 3 2 Daniel Tanner 1 3 Eoin P. Orsquo;Reilly 1 3 Danny Sutherland 4 Matt Davies 4 Phil Dawson 4 Fabrice Oehler 5 James T Griffiths 5 Fengzai Tang 5 Menno Kappers 5 Colin Humphreys 5 Rachel Oliver 5
1Tyndall National Institute Cork Ireland2Aalto University Espoo Finland3University College Cork Cork Ireland4The University of Manchester Manchester United Kingdom5University of Cambridge Cambridge United Kingdom
Show AbstractInGaN-based quantum wells (QWs), grown along the c-axis, are a key building block for nitride-based optoelectronic devices. However, their electronic and optical properties are significantly affected by the presence of strong electrostatic built-in fields perpendicular to the QWs, resulting in a spatial separation of electron and hole wave functions and thus to increased radiative lifetimes. Not only are the radiative lifetimes in c-plane materials much longer than more conventional III-V quantum well systems, e.g. GaAs/AlGaAs. Other effects associated with the local microstructure of the QWs can dominate the optical properties, e.g., the effects of carrier localization are clearly seen in the “S-shaped” temperature dependence of the photoluminescence (PL) peak energies. To eliminate these built-in fields, InGaN QWs have been grown along nonpolar crystallographic directions. Time resolved PL studies on nonpolar InGaN QWs indicate single exponential decay transients, a behavior compatible with the effects of exciton localization. Previous theoretical studies of these systems have focused mainly on continuum-based descriptions, failing thus to account for carrier localization effects arising from the underlying microstructure of the QWs. In this work we have studied the electronic and optical properties of both polar and nonpolar InGaN/GaN QWs in the framework of an atomistic tight-binding model, including strain and built-in potential variations due to random alloy fluctuations.
We have studied in a first step the electronic and optical properties of c-plane InGaN QWs with varying In content. Our results reveal strong hole wave function localization effects arising from random alloy fluctuations. The electron wave functions are less sensitive to the presence of these alloy fluctuations but might be strongly affected by well width fluctuations. The observed localization effects lead to a broadening of the ground state transition energies.
We have also studied the electronic and optical properties of nonpolar InGaN QWs and compared our theoretical results with experimental data. The low temperature PL linewidths of the samples are typically >100 meV wide with a Stokes shift of around 180 meV from the peak of the PL spectrum to the energy of the lowest lying exciton transition observed in PL excitation spectroscopy. These characteristics are strongly suggestive of emission involving localized carriers. This view is supported by our theoretical results, showing again strong hole localization effects while the electron wave functions are only slightly affected by the random alloy fluctuations. When Coulomb effects are taken into account we find that the electrons localize about the hole wave functions, leading to localized excitons. This observation is consistent with our experimentally observed single exponential PL decay transients and is in contrast to the radiative decay dynamics in polar InGaN QW structures.
RR9: Poster Session I
Session Chairs
Thursday PM, December 03, 2015
Hynes, Level 1, Hall B
9:00 AM - RR9.01
Combined TLM - CTLM Structure for Measuring Specific Contact Resistance
Geoffrey K. Reeves 1 Pan Yue 1 Anthony S Holland 1 Patrick W. Leech 1
1RMIT University Melbourne Australia
Show AbstractThe linear transmission line model (TLM) [1] and the circular transmission line model (CTLM) [2] have been widely used in the measurement of specific contact resistance (ρc) in metal/ semiconductor contacts. Although the CTLM test structure has the advantage of eliminating the need for mesa etching of the semiconductor, the simplified method of fabrication has come at the expense of more complex analytical calculations due to the circular geometry. We present a new test structure which has combined the simple calculations of a linear contact geometry with elimination of the mesa etch; an advantage in hard to etch semiconductors such as SiC. The combined TLM - CTLM structure has comprised two separated halves of a circular CTLM pattern linked together by a linear TLM element. The function of the two halves of the CTLM pattern was to confine the fringing fields at the end terminations of the linear TLM. Analytical equations for determining ρc have been developed on the basis of the combined TLM - CTLM pattern. The analytical equations have shown good agreement with a finite element model (FEM) of the modified TLM test structure using typical parameters for metal/ SiC ohmic contacts. The scaling behaviour of the test structure is also discussed in this paper.
[1] G.K. Reeves, H.B. Harrison, Electron Devices Letters 3(5), 11 (1982). [2] G.K. Reeves, Solid State Electronics 23, 487 (1980).
9:00 AM - RR9.03
Cathodoluminescence of High Indium Content InGaN/GaN Quantum Well Heterostructures
Zhibo Zhao 1 Eric A. Stach 2 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge United States2Brookhaven National Laboratory Upton United States
Show AbstractInGaN/GaN quantum well (QW) heterostructures with high indium content (>30 at%) are an attractive candidate for closing the green gap in current LED technologies. Although the microstructure of InGaN QWs strongly influences their optical properties, the exact nature of the relationship remains unclear. In particular, electron beam irradiation at high doses can introduce imaging artifacts during transmission electron microscopy (TEM) structural and chemical analysis. Here, we employ low-dose advanced electron microscopy techniques to provide an artifact-free correlation between optical properties and atomic microstructure in commercial-grade single and multiple InGaN QWs grown by metal-organic chemical vapor deposition.
First, we compare focused ion beam (FIB) milling and mechanical polishing as viable approaches for the preparation of high-quality cross-sectional TEM samples. We identify higher sample quality in polished samples and the need for an additional low-voltage argon ion milling step in FIB-prepared samples. Next, we use cathodoluminescence in scanning transmission electron microscopy (CL-STEM) and scanning electron microscopy (SEM-CL) to directly probe optical emission within these heterostructures. In cross-sectional CL-STEM, we show the ability to spatially resolve optical emission from distinct regions of the heterostructure including the superlattice and QW active region. Line scans taken along the QW reveal little variation in the emission wavelength and intensity at the compositions of interest. Further, in plan-view SEM-CL, QW emission wavelength and intensity remain likewise uniform. These results suggest uniform carrier recombination within the well and a distinct lack of strong carrier localization. Finally, we use aberration-corrected transmission electron microscopy (Cs-STEM) to directly observe the atomic microstructure of individual QWs and correlate variations in QW CL intensity to the presence of threading dislocations and other microstructural inhomogeneities.
These data suggest that commercial-grade InGaN-based LED material quality can remain high even within the green gap and maintain high quantum efficiency. Our results demonstrate a path to assessing the impacts of microstructural features on optoelectronic properties and will provide insights into the future development of InGaN-based LEDs.
9:00 AM - RR9.04
A Comparative Study of Sharp Visible Emissions from Ho3+ and Sm3+ Doped ZnO Nanoparticles
Fabitha K 1 Ramachandra Rao M.S 1
1Indian Institute of Technology Madras Chennai India
Show AbstractZnO is a very promising material for a plethora of semiconductor device applications. It has a direct and wide bandgap of 3.37 eV at room temperature and a free exciton binding energy ~ 60 meV so that the excitonic emission process can persist at or even above room temperature. Recent progress in optical devices, such as lasers and optical amplifiers based on electronic transitions of rare earth (RE) ions, has inspired a lot of work in different materials doped with RE ions. Since the 4f electrons of RE elements are shielded from external fields by two electronic shells with larger radial extension (5s25p6), the 4f electrons are only weakly perturbed by the changes of the surrounding atoms, thus (i) the wavelengths of the emission and absorption transitions are relatively insensitive to the host material, (ii) the life times of the metastable states are long and (iii) the quantum efficiencies tend to be very high.
We synthesized polycrystalline compounds of Z1minus;x(Ho/Sm)xO (x = 0.00, 0.0025, 0.005, 0.0075, 0.01) by sol-gel route. X-ray diffraction, Raman scattering and scanning electron microscopy studies indicate that phase pure spherical and ellipsoidal nanoparticles are formed with size 60-80 nm. Diffuse reflectance spectroscopy (DRS) shows the absorptions corresponding to the transitions between 4f levels of Ho3+ or Sm3+ in the case of doped ZnO nanoparticles. The results of photoluminescence studies with an excitation wavelength of 325 nm are also consistent with DRS studies, indicating the peaks corresponding to the inter band transitions along with the band to band transition of ZnO in the case of doped samples. We observed a very sharp (FWHM < 1 nm) red emission at around 666.5 nm and 645.5 nm when the Ho3+ doped ZnO nanoparticles and Sm3+ doped ZnO nanoparticles were excited with 488 nm laser, which are attributed to 5F5 → 5I8 transition of Ho3+ and 5F5/2 → 6H9/2 transition of Sm3+, respectively. We have studied the effect of laser excitation power as well as temperature (from -180 oC to 400 oC) on these red emissions and found that these emissions are happening at very low laser threshold of 0.4 mW at room temperature. Interestingly, these emissions are found to persist at high temperatures, even at 200 oC the emissions are quite sharp (FWHM ~ 1 nm) when excited with laser power of 1.5 mW. We will present our studies on Ho3+ and Sm3+ doped ZnO nanoparticles which can be used for high temperature lasing applications in detail.
9:00 AM - RR9.05
Homo-Epitaxial Growth of Beta Gallium Oxide Films by Mist Chemical Vapor Deposition
Lee Samdong 1 Shizuo Fujita 1
1Kyoto University Kyoto Japan
Show AbstractIn recent years, beta-gallium oxide (β-Ga2O3) has been considered as one of the promising candidate in solid-state power devices. In comparison SiC and GaN, which are well known as power device materials, β-Ga2O3 has higher wide band gap of 4.7-4.9 eV and higher estimated breakdown electric field of 8 MV/cm than them at room temperature. Furthermore, the estimated Baliga&’s figure of merit for β-Ga2O3, which is derived for power semiconductor devices operating, is several times greater of 3444 εmu;EC3 than those for SiC and GaN. In regard to homo-epitaxial growth of β-Ga2O3 thin films, there are several reports for growth method such as MBE, MOCVD, HVPE, PLD, etc. However, the above methods have expensive and energy consuming processes for mass production of devices.
In the presentation, we will report growth of homo-epitaxial β-Ga2O3 films as a function of growth temperature using the mist chemical vapor deposition method, which is very simple and cost-effective process. It was found that the crystallinity of films grown depends on growth temperature and the films were grown with high quality almost as well as β-Ga2O3 substrate. In addition, we will report the fabrication of electrical conductive Sn-doped β-Ga2O3 films on Fe-doped β-Ga2O3 substrates. The carrier concentration can be controlled by changing the concentration of source solution. The Sn-doped β-Ga2O3 films grown with carrier density between ~5.0 x 1017 to ~5.0 x 1020 cm-3 were synthesized. The film grown with Sn concentration of 1018 cm-3 showed n-type conductivity with the hall mobility of 45 cm2V-1s-1. The structure and electrical properties of homo-epitaxial β-Ga2O3 films will be presented as a function of the growth temperature and amount of Sn in source solution.
9:00 AM - RR9.06
Screw Dislocation Properties in Several Wide Band Gap Compounds from First Principles Calculations
Laurent Pizzagalli 1 Masahiko Matsubara 2 Julien Godet 1 Enrico Bellotti 2
1Institut Pprime Chasseneuil France2Boston University Boston United States
Show Abstract
The plastic deformation of many materials are usually governed by screw dislocations, because of their generally low mobility. Several experiments probing mechanical deformation at low temperature confirmed this point for covalent systems. Furthermore, screw dislocations which can form during the growth of covalent compounds have been shown to have often a non negligible influence on their electronic properties. It is then surprising that in most of the cases, little is know about the properties of these dislocations. Most of the available knowledge concerns silicon [1], but that these results remain valid for other materials has to be proved.
We have performed first principles calculations, in order to determine the properties of screw dislocations in several covalent compounds. Our first works aimed at finding the most stable structure for a screw dislocation in cubic III-V and IV-IV compounds. Then we focus on two wide band gap materials, both extremely important for applications in power electronics and solid state lightning. Hence, we have investigated screw dislocation properties in most common polytypes of silicon carbide. Our results suggest a very weak influence of polytypism on the stability and mobility (estimated from the computed Peierls stress) of these dislocations. Finally, the structure and stability of prismatic screw dislocations in GaN has been studied, in order to better understand the electrical inactivity of these dislocations, forming during the GaN growth in specific conditions. Using hybrid functional to deal with exchange-correlation contributions in our calculations, we show that a new core configuration allows to explain experimental results [2].
[1] J. Rabier, L. Pizzagalli, et J.-L. Demenet, in Dislocations in Solids, Vol 16, 47 (2010)
[2] M. Matsubara, J. Godet, L. Pizzagalli, et E. Bellotti, Appl. Phys. Lett. 103, 262107 (2013)
9:00 AM - RR9.07
Gallium Diffusion in Zinc Oxide
Thomas Neset Sky 1 Klaus Magnus Johansen 1 Heine Nygard Riise 1 Bengt Gunnar Svensson 1 Lasse Vines 1
1University of Oslo Oslo Norway
Show AbstractTransparent and semiconducting Zinc Oxide (ZnO) has proven to be a desirable material in several areas. In particular, highly conductive n-type ZnO films have been realized via doping by Aluminium (Al) or Gallium (Ga) for the use as a transparent conductive oxide (TCO). However, it has been shown that self-compensation occurs in highly doped ZnO [1], thereby limiting its conductivity and applicability as TCO. For Al-doping, the self-compensation has been explained by the formation of the zinc vacancy (VZn) and a complex between VZn and Al at zinc-site (AlZn)[2]. In order to elucidate any corresponding interaction between GaZn and VZn, and to gain a broader insight of the compensating effect in TCO&’s, we here study the diffusion of Ga in ZnO.
In this study, Secondary Ion Mass Spectrometry (SIMS) has been used to investigate the diffusion behavior of Ga in ZnO. A thin film of Ga-doped ZnO was deposited onto hydrothermally grown single crystalline bulk ZnO by the use of magnetron sputtering of Ga-doped ZnO target. The sample was then sequentially heat-treated for 30 min at 900oC up to 1150oC to realize diffusion of Ga from the deposited film into the bulk. From the SIMS measurements it is found that these treatments produce a Ga distribution into the bulk ZnO with increasing concentration and depth as a function of temperature. At 1150oC Ga migrates 10 mu;m into the bulk with a concentration of about 6×1019 cm-3 within the first 8 mu;m. In an earlier experimental study, a diffusivity with an activation energy of Ea=3.75 eV and a pre-exponential factor of D0=3.6×104 cm2s-1 was found [3]. On the other hand, a recent first-principles study of Ga in ZnO predicted an activation energy for diffusion of Ea=2.45 eV [4]. In this study, an activation energy of Ea=1.98 eV with a pre-factor D0=1.1×10-3 cm2s-1 have been extracted from the measured Ga diffusion profiles by assuming semi-infinite source condition. However, the Ga diffusion characteristic shows an evident deviation from any free diffusion as underlies the above Fickian model. Nevertheless, a previous study of Al diffusion in ZnO [5] reveal that the diffusion parameters as obtained using a semi-infinite source assumption show a close correspondence to the values obtained when using a more adequate reactive-diffusion model. The above values for Ga diffusion in ZnO represent the effective diffusivity; however, the diffusion mechanisms will further be elaborated in the framework of the recently developed reactive-diffusion model in [5] and compared to our recent results from Al diffusion.
[1] D. C. Look et al., Phys. Rev. B, 84, 115202 (2011).
[2] J. E. Stehr et al., Phys. Rev. Applied, 2, 021001 (2014).
[3] V. Norman, Aust. J. Chem. 22, 325 (1969).
[4] D. Steiauf et al., APL Mat. 2, 096101 (2014).
[5] K.M. Johansen et al., Phys. Rev. Applied, 3, 024003 (2015).
9:00 AM - RR9.08
Nanopipe Formation Mechanism in Gallium Nitride Crystal
Taishi Kimura 1 Daisuke Nakamura 1 Yuko Aoki 1 Kayo Horibuchi 1
1Toyota Central Ramp;D Labs Inc Nagakute Japan
Show AbstractGallium nitride (GaN) power devices are promising for next-generation power electronic devices. High-quality GaN crystal growth is one of a key issues for power device application. In particular, elimination of “device-killer-defects” such as micropipe in silicon carbide is important. GaN also has a similar hollow defect, called nanopipe, reported by some research groups [1-3]. However, the question for formation mechanism of nanopipe is still open. We will report that the nanopipes do not correlate with dislocations based on crystallographic analysis.
We prepared GaN crystals with Halogen-free vapor phase epitaxy (HF-VPE) method. We utilized MOCVD grown 1.3mu;m-thick GaN template on sapphire substrate as a seed crystal (MOCVD-template). HF-VPE GaN was obtained by direct reaction of evaporated Ga and NH3. HF-VPE GaN crystals (20-3000nm-thick) were grown at growth temperatures from 900 to 1100°C (growth rate was about 10µm/h).
It&’s confirmed that HF-VPE GaN was a single crystal by X-ray diffraction and TEM measurements. Interestingly, 1010-1011 [cm-2] nanopipes were detected in the HF-VPE GaN, of which densities were much higher than those of MOCVD crystals [1]. The full width at half maximum (FWHM) values of X-ray rocking curve measurements for the GaN (002) and (112) plane were 400 and 800 [arcsec], respectively. These values were comparable to those of MOCVD GaN. Therefore, dislocation density do not increase at the HF-VPE-GaN/MOCVD-GaN interface. Thus, we conclude that the dislocation has no correlation with nanopipe formation mechanism.
In this talk, we will show details of TEM, STEM analyses and discuss validity of nanopipe diameters based on Frank&’s theory.
[1] Z. Liliental -Weber, et. al., Phys. Rev. Lett, 79, 2835 (1997)., [2] W. Qian, et. al. Appl. Phys Lett, 67, 2284 (1995)., [3] M. E. Hawkridge, et. al. Appl. Phys. Lett,87, 221903 (2005).
9:00 AM - RR9.09
Estimation of Background Carrier Concentration in Fully Depleted GaN Films
Hareesh Chandrasekar 1 Manikant Singh 1 Srinivasan Raghavan 1 Navakanta Bhat 1
1Indian Inst of Science Bangalore India
Show AbstractBuffer leakage is an important parasitic loss mechanism in AlGaN/GaN HEMTs and hence various methods are employed to grow semi-insulating buffer layers. Doping of GaN buffers using iron and carbon is commonly employed to obtain high-resistivity layers which suppress parasitic buffer leakage. The semi-insulating nature of these buffers renders them fully depleted of charge carriers. The trend to reduce the thickness of the entire device stack to form ultra-thin devices also leads to the formation of fully depleted layers. Mercury probe based capacitance-voltage measurements have long been used a quick, reliable and non-destructive test of the electrical properties of both the epitaxial layers individually and the complete HEMT stack. While capacitance-voltage profiling of semiconducting films biased in the depletion region offers a simple way to extract carrier concentrations, the fully depleted nature of semi-insulating buffers gives rise to insignificant changes in capacitance with voltage. As a result, quantification of carrier concentration in such buffers using conventional capacitance based profiling techniques is challenging. Therefore facile and high throughput methods to extract doping concentration from simple C-V measurements even in case of fully depleted layers are desirable.
We provide a simple and effective model to extract carrier concentrations in fully depleted GaN films using capacitance-voltage (C-V) measurements. Extensive mercury probe C-V profiling has been performed on GaN films of differing thicknesses and doping levels in order to validate this model. Carrier concentrations as extracted from both the conventional C-V technique for partially depleted films having the same doping concentration, and Hall measurements show good agreement with those predicted by the proposed model thus establishing the utility of this technique. This model can be readily extended to estimate background carrier concentrations from the depletion region capacitances of HEMT structures and fully depleted films of any class of semiconductor materials.
9:00 AM - RR9.10
Recombination Dynamics of InGaN/GaN Multiple Quantum Wells with Different Well Thickness
Xuecheng Wei 1 2 Lian Zhang 1 2 Ning Zhang 1 2 Junxi Wang 1 2 Jinmin Li 1 2
1Research and Development Center for Semiconductor Lighting, Chinese Academy of Sciences Beijing China2Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractRecombination dynamics of InGaN/GaN multiple quantum wells (MQWs) with different well thickness have been studied. In this work, the QWs were grown under N2 ambient after a 1.5-µm-thick undoped GaN buffer layer and a 2.5-µm-thick Si-doped GaN layer. In order to improve the crystal quality, the active region of our samples include three parts: 1) First, 2 pre-wells with 2-nm-thick InGaN wells and 40-nm-thick GaN barriers in order to decrease the strain between quantum well and n-type GaN, improve the MQW crystal quality and reduce the Indium fraction localization; 2) Then, 5 MQWs with 2-nm-thick InGaN wells and 14-nm-thick GaN barriers in order to reduce the electron overflow; 3) Finally, 6 MQWs with different width InGaN wells (from 1 to 5nm) and 14-nm-thick GaN barriers. During the sample growth, we kept the flow of trimethylindium and temperature the same, and did not change the In contents. The indium content of the active region is about 13%. The samples with different width InGaN wells were named Sample A, Sample B, Sample C, Sample D, and Sample E. Excitation power and temperature dependence of the photoluminescence (PL) spectra are studied. From the behavior of temperature dependent photoluminescence, we can see that the activation energy decreases with the well thickness increasing. In addition, with temperature changing from 10K to room temperature, the “W” shape of full width of half maximum is also thickness related, and becomes more obvious with the well thickness increasing. These results indicate that the dominant recombination dynamics change from exciton localization to quantum confined stark effect with well thickness increasing. From our measurement, the InGaN/GaN MQWs with 3nm thickness seems a turning point, which shows the best optimized optical and structural properties. The dependences of the emission energy and FWHMs as a function of the photoluminescence temperature show that the carrier transports and recombination mechanism change with the well thickness increasing. When the thickness is less than 3nm, the exciton localization is the dominant effect. While with the thickness increasing, and strain effect becomes larger and the QCSE start to be dominant for carrier recombination. This hypothesis is also consistent with the time resolved photoluminescence results.
9:00 AM - RR9.11
Electronic Structures of Polar Semiconductors of ZnO and GaN Studied by Polarization Dependent Hard X-Ray Photoemission Spectroscopy
Shigenori Ueda 1 2 Takeo Ohsawa 3 Naoki Ohashi 3
1NIMS Sayo Japan2NIMS Tsukuba Japan3NIMS Tsukuba Japan
Show AbstractSince wurtzite-type semiconductors such as ZnO and GaN are polar semiconductors, their junction properties have to be considered in the relation to the polarization. In our previous work, we found that the valence band spectra of single crystalline ZnO and GaN obtained by hard X-ray photoemission spectroscopy (HAXPES) depend on the polarity of the surface [1,2,3], although HAXPES technique is a bulk-sensitive probe [4]. It is known that the atomic orbital cross-section depends on the polarization of photons [5]. Furthermore, the angular distribution of photoelectron strongly depends on its orbital and magnetic quantum numbers. In this work, we discuss the difference in the valence band HAXPES spectra due to the crystalline polarity of ZnO and GaN by the analysis of X-ray polarization dependent HAXPES and the photoelectron angular distribution analysis of the atomic orbitals, which are resolved into their orbital and magnetic quantum numbers. The HAXPES measurements at room temperature were performed at the undulator beamline BL15XU of SPring-8 [6,7]. Total energy resolution was set to 150 meV at the photon energy of 5.95 keV. The valence band spectra near the valence band maximum are consist of the p orbital character and depend on the crystalline polarity of ZnO and GaN. Analyzing the angular distribution of photoelectrons excited from the p orbitals, we see that the photoemission intensity from the pz orbital is emphasized in our experimental geometry. We discuss the difference in the valence band due to the crystalline polarity of ZnO and GaN on the basis of the angular distribution of photoelectron excited from the s and p orbitals with considering the X-ray polarization.
References
[1] T. Ohsawa et al., Appl. Phys. Lett. 92 (2008) 232108.
[2] N. Ohashi et al., Appl. Phys. Lett. 94 (2009) 624.
[3] T. Ohsawa et al., submitted to Appl. Phys. Lett.
[4] Y. Takata et al., Nucl. Instru. Methods Phys. Res., Sect. A547 (2005) 50.
[5] J. J. Yeh and I. Lindau, At. Data Nucl. Data 32 (1985) 1.
[6] S. Ueda et al., AIP Conf. Proc. 1234 (2010) 403.
[7] S. Ueda, J. Electron Spectrosc. Rel. Phenom. 190 (2013) 235.
9:00 AM - RR9.12
Nature and Origin of Interface States at Dielectric/III-N Heterojunction Interfaces
Maciej Matys 1 Boguslawa Adamowicz 2 Roman Stoklas 1 3 Masamichi Akazawa 1 Zenji Yatabe 1 Tamotsu Hashizume 1
1Research Center for Integrated Quantum Electronics (RCIQE) and Graduate School of Information Science and Technology, Hokkaido University Sapporo Japan2Institute of Physics - CSE, Silesian University of Technology Gliwice Poland3Institute of Electrical Engineering, Slovak Academy of Sciences Bratislava Slovakia
Show AbstractAlGaN and InAlN high electron mobility transistors (HEMTs) surpass Si ones for high voltage and high speed switching due to exceptional physical properties of nitrides, e. g. their wide band gaps. It was largely demonstrated that HEMTs with an insulated gate (IG) exhibited many advantages over Schottky-gate ones such as lower gate leakage current, higher breakdown voltage and better thermal stability. However, there is still a lack of deeper understanding of the origin and nature of electronic states at dielectric/III-N heterojunction interfaces, in particular the energy distribution of the interface state density Dit(E) and their charge type (donors or acceptors). The resolving of this problem is a key issue to further technological optimization of GaN-HEMTs. In this work, we clarify the origin and nature of interface states at dielectric/III-N heterojunction interfaces using AlGaN/GaN metal-insulator-semiconductor heterostructures (MISHs) with Al2O3, SiO2 and SiNX as insulator, after different AlGaN surface treatments, with different AlGaN layer thickness and composition as well as using an Al2O3/InAlN/GaN MISH structure. In this order we developed novel photo-electric methods using the measurement and theoretical analysis of the photocapacitance versus temperature and light intensity with a photon energy larger [1] and less [2] than the bandgap of AlGaN and InAlN. This methods allowed us to determine Dit(E) and charge type of interface states in the entire band gap of AlGaN and InAlN as well as the charge-neutrality level ECNL (with a high precision of 0.02 eV), at which the states change their type versus energy, from donor- to acceptor-like ones. We found U-shaped Dit(E) distributions at the examined Al2O3/AlGaN, SiO2/AlGaN, SiNx/AlGaN and Al2O3/InAlN interfaces and ECNL position independent on the insulator, surface treatment and AlGaN layer thickness. On the other hand, ECNL changed linearly with Al content in AlGaN. Furthermore, we revealed that the determined ECNL value differs markedly from an average energy of the native defects, known as the Fermi level stabilization energy, for both AlGaN and InAlN, and it was consistent with the theoretical EHO value calculated from the Disorder Induced Gap State (DIGS) model as an average of sp3-orbital energies of semiconductors in a simple tight-binding approximation. In addition, we characterized the chemical composition of the interface region from the Auger electron spectroscopy in order to gain information on the origin of interface states. On this basis, we concluded that the electronic states at the dielectric/III-N heterojunction interfaces are mainly caused, according to the DIGS model, by the fluctuations of bond length and angle due to stress and to interface irregularity but not by dangling bonds.
The work was partially performed within the NCN project NN515606339.
[1] M. Matys, et al., Appl. Phys. Lett. 103 (2013) 021603
[2] C. Mizue, et al., Jpn. J. Appl. Phys. 50 (2011) 021001
9:00 AM - RR9.13
Optical and Electrical Properties of Cobalt Doped ZnO Films
Christian Davesnne 1 Cedric Frilay 1 Philippe Marie 1 Christophe Labbe 1 Nicolas Chery 1 Jacques Perriere 2 Xavier Portier 1 Florian Ehre 1
1CIMAP ENSICAEN Caen France2INSP Paris France
Show AbstractZinc oxide (ZnO) is a II-VI semiconductor material possessing remarkable electronic and optical properties for optoelectronic applications. ZnO has a wide band gap (3.37 eV) and a binding energy of about 60 meV. It is a transparent material in the visible spectral range and is an environmentally friendly and chemically stable material. Furthermore, it is also a suitable host material for the doping of luminescence centers. Lanthanide-doped ZnO materials may represent a new class of luminescent materials for advanced display and lighting applications, such as full color flat panel display and light emitting diodes. For instance, the green light can be produced by terbium ions (Tb3+) doping and the red emission can be achieved by europium ions doping (Eu3+) through their corresponding 5D0agrave;7F2 and 5D4agrave;7F5 optical transitions, respectively. The contributions of these two rare earth emissions in addition to that of ZnO host matrix lead to a white light [1, 2].
Instead of Eu3+, another way to achieve a red luminescence from a ZnO matrix relies on the use of cobalt doping owing to its most intense transition from Co2+ ions giving rise to an emission at 650 nm. Cobalt doping in ZnO is mainly known for its magnetic properties with a ferromagnetic behaviour above 300 K [3]. However, Co:ZnO materials have also interesting optical properties but so far, only a few papers have been published on this matter [4].
In this work, we present a study of Co:ZnO films grown by radiofrequency magnetron sputtering with a Co2+ concentration of about 1%at. They were grown on (100) silicon substrates using a pure ZnO target. Doping was obtained by arranging calibrated Co oxides (CoO) pellets on the surface of the ZnO target. Using characterization techniques such as X-ray diffraction, X-ray photoemission spectroscopy, transmission electron microscopy, spectroscopic ellipsometry and photoluminescence spectroscopy, the present work aims at studying the effect of post anneal treatments on the film structure and its photoluminescence properties. The goal is also to confirm the presence of Co2+ ions in the films and to show their activation by optical excitation. In addition, electrical properties and their evolution with anneal treatments are reported.
1. Kumar V. et al. Chemical Engineering Journal255, 541 (2014).
2. Ren X. et al. Adv. Funct. Mater.25, 2182 (2015).
3. Ueda K. et al. Appl. Phys. Lett.79, 988 (2001).
4. Han T.P.J. et al. Chemical Physics Letters488, 173 (2010).
9:00 AM - RR9.14
Chemical Ordering in ZnO-InN Pseudo Binary Alloys with Tunable Bandgap
Koichi Matsushima 1 Tomoaki Ide 1 Daisuke Yamashita 1 Hyunwoong Seo 1 Kazunori Koga 1 Masaharu Shiratani 1 Naho Itagaki 1
1Kyushu Univ Fukuoka Japan
Show AbstractMaterials with tunable band gap are required for optoelectronics applications such as solar cells and light emitting devices. Recently, we have reported a new semiconducting material, ZnInON (ZION), which is a pseudo-binary alloy of wurtzite ZnO and wurtzite InN [1, 2]. Optical measurement revealed that ZION has a tunable band gap over the entire visible spectrum and a high optical absorption coefficient of 105 cm-1, making ZION a promising material for solar cells and light emitting devices. Since the lattice mismatch between ZnO and InN is large of 8.9%, the films are expected to have strain-induced ordering structure. Here we report the observation of chemical ordering in ZION films that are epitaxially grown on [0001] oriented ZnO templates.
ZION films were deposited by RF magnetron sputtering on single crystalline ZnO templates [3]. O2, N2 and Ar gases were used and the total pressure was 0.3 Pa. The gas flow rate of O2, N2 and Ar were 3.0, 33.3, and 6.6 sccm, respectively. ZnO and In targets with a purity of 99.99% were used. The substrate temperature was RT-360oC. The film thickness was 30 nm. The chemical composition ratio of ZION films was (ZnO)0.92(InN)0.08. The crystal structure of ZION films was examined by X-ray diffraction (XRD).
XRD patterns of ZION films show the (0001) diffraction peak at 17.05o, which is a forbidden reflection for wurtzite structures, in addition to the (0002) diffraction peak. Because the appearance of the (0001) peak is the result of the atoms occupying certain sites in the lattice preferentially, the chemical ordering probably takes place in ZION films along the [0001] direction, which is driven by strain due to the mixing of the two lattice mismatched components of ZnO-InN alloy system. This result implies that ZION films consist of alternating ZnO rich and InN rich bilayers with 3 stable In-N bonds formed around each of the N site, making N atoms exist stably in ZION crystal structure.
This work was partially supported by Grant-in-Aid for JSPS Fellows 26#65381;5011, and JSPS KAKENHI Grant Number 15H05431.
[1] N. Itagaki, et al., “Metal oxynitride semiconductor containing zinc”, U.S. Patent No. 8274078 (2008).
[2] N. Itagaki, et. al.,Mater. Res. Express 1, 036405 (2014).
[3] K. Kuwahara, et. al., Thin Solid Films 520, 4674-4677 (2012).
9:00 AM - RR9.15
Eu3+ Doped alpha;-Ag2WO4 Nanostructures for Solid-State Lighting
Ivo Mateus Pinatti 1 Paula F. S. Pereira 2 Jose A Varela 2 Elson Longo 2 Ieda L. V. Rosa 1
1Federal Univ of Sao Carlos Sao Carlos SP Brazil2UNESP Araraquara Brazil
Show AbstractVarious metal tungstates are semiconductors materials and have been used extensively as host lattices for Rare Earth (RE) ions. As a self-activating phosphor, tungstates possess many advantages such as high chemical stability, high X-ray absorption coefficient, and high light yield. Silver tungstate (α-Ag2WO4) has a wide-bandgap and has received significant attention due to their potential application in various fields, especially as photoluminescence materials, oxidative cleavage of organic compounds, photocatalytic and antibacterial properties. Eu3+ doped materials can be used in many applications such as high-efficiency fluorescent lamps, cathode rays tubes (CRT), plasma display panels (PDP), lasers, LEDs, OLEDs, W-LEDs, pc-WLEDs, magnets, bio-medicine, data storage, radiation detection, and others luminescence nanodevices. Majority of these materials has poor color rendering index (CRI) due to lack of red component in white light emission and still remain a longstanding challenge. Solid state lighting using inorganic compounds doped with rare earth appears as an alternative to traditional lighting (incandescent and fluorescent lamps) because of its low energy consumption, longer lifetime, high reliability, higher energy efficiency and environment-friendly characteristics. α-Ag2-3xEuxWO4 (x = 0, 0.25, 0.75 and 1.0 mol%) powders were synthesized by the coprecipitation method at 90 °C for 20 minutes using Sodium tungstate dehydrate (Na2WO4.2H2O), Silver nitrate (AgNO3) and Europium Oxide (Eu2O3) as precursors. Trivalent rare earth ions presenting in different molar ratio concentrations were introduced into the α-Ag2WO4 lattice aiming to study their structural, optical and luminescence properties. This methodology was used because it is simple, cheap, does not need high production temperatures, is industrially favorable, as well as yields materials with homogeneous shape and size without deleterious phases. X-ray diffraction presented a single phase indexed as orthorhombic structure with space group Pn2n (ICSD n° 4165), showing crystallinity at long-range. Micro-Raman revealed the presence of at least 14 high intensity Raman-active vibrational modes. The optical properties of these nanocrystals were investigated by UVminus;vis spectroscopy and showed the creation of new intermediary levels within the band gap. The emission spectra excited at 393 nm (Xe lamp) and 350.7 nm (Kr+ laser) showed a broad band related to [WO6] group and characteristic narrow peaks due to the f-f transitions from Eu3+ as a result of efficient energy transfer from the matrix. The SEM images revealed a wire-like morphology with average diameters of 40-60 nm. These results show novel and interesting properties for these new materials.
9:00 AM - RR9.16
Sb2Ox(x=3,4) Polymorphic Thin Films Using Pulsed Lased Deposition
James Earl Spotts Haggerty 1 Bethany Matthews 1 Janet Tate 1 Stephan Lany 2 Vladan Stevanovic 3
1Oregon State University Corvallis United States2National Renewable Energy Laboratory Golden United States3Colorado School of Mines Golden United States
Show AbstractPolymorphism is the ability of a material to take on different crystal structures while maintaining the same stoichiometry. This change in crystal structure can be accompanied by changes in the properties of the material. For example, the polymorphs of carbon (graphite and diamond) exhibit vastly different optical and electrical properties. In the Sb-O system theory predicts the band gaps of Sb2O3 and Sbshy;2O4 to change with structure and this change is drastic for Sb2O3 (from 4.7 eV for cubic α-Sb2O3 to 3.4 eV for orthorhombic β-Sb2O3) while it is not so drastic for Sbshy;2O4 (from 4.1 eV for orthorhombic α-Sb2O4 to 3.9 eV for monoclinic β-Sb2O4). Furthermore, theory predicts that both β- polymorphs have relatively small hole effective masses (1.1me for β-Sb2O3 and 1.7me for β-Sb2O4) suggesting the possibility that these materials may be made into p-type transparent conducting oxides. In this study we used pulsed laser deposition to synthesize thin films of polymorphic Sbshy;2O3 and Sbshy;2O4. Films were grown on heated glass, and fused SiO2 slides in an oxygen atmosphere and ex-situ processed using rapid thermal annealing. Crystal structure was investigated using X-Ray diffraction to determine the optimal deposition conditions for creating the different polymorphs. Optical absorption was investigated using transmission/reflection spectroscopy and shows a band gap shift between α- and β-Sb2O3 and that α- and β-Sbshy;2O4 have similar band gaps.
9:00 AM - RR9.17
Effect of Gamma-Ray Irradiation on ZnO Transistors
Vahid Mirkhani 1 Shiqiang Wang 2 Kosala Yapabandara 1 Burcu Ozden 1 Min P. Khanal 1 Muhammad Shehzad Sultan 1 Suhyeon Youn 1 Sangjong Ko 1 Chungman Yang 1 Mobbassar Hassan Sk 3 Yoosung Chung 4 Michael C. Hamilton 2 Minseo Park 1
1Auburn University Auburn United States2Auburn University Auburn United States3Qatar University Doha Qatar4Auburn University Auburn United States
Show AbstractZinc oxide (ZnO) based thin-film transistors were fabricated and the effect of gamma-ray irradiation on these devices was studied. ZnO is a wide band gap semiconductor that has promise for application in radiation-hard electronics. However, little research has been performed to study the effect of gamma-ray on the electrical characteristics of ZnO based transistors. In this research, we have fabricated back-gated metal-insulator-semiconductor field effect transistors (MISFETs) based on ZnO channel layers grown by sol-gel processing. Two different ohmic source and drain contact metallization schemes were used (Ti/Pd and Ti/Al). The ZnO channel layers were studied by Raman spectroscopy, photoluminescence spectroscopy (PL), optical microscopy, scanning electron microscopy (SEM), and energy dispersive spectroscopy (EDS) before and after 10MRad gamma-ray irradiation. The MISFET devices were analyzed with current-voltage (IV) measurement, and their transistor characteristics were studied. It was revealed that there was a slight degradation in transistor output characteristics after irradiation. It was also found that transconductance was reduced after gamma-ray irradiation. Detailed analysis to make a correlation between microstructural/materials and device characteristics are in progress and will be presented.
9:00 AM - RR9.18
Study of Nitridation Conditions of Al Layer for GaN Growth by RF-MBE
Yuya Hoshikawa 1 Takeyoshi Onuma 1 Tomohiro Yamaguchi 1 Tohru Honda 1
1Kogakuin Univ. Tokyo Japan
Show AbstractWe have proposed the use of Al buffer layer in the GaN growth on sapphire substrate, because it is expected to applicate for LEDs with vertical carrier injection [1]. However, preferential incorporation of nitrogen atoms to the Al rather than Ga [2] induced transformation of all the Al layer to AlN during the growth of GaN. To overcome the problem, we have employed the use of surface nitridation of the Al layer prior to the GaN epitaxy. Sapphire substrate nitridation prior to epitaxy was found to improve the quality of nitride films, although the surface is roughened by excessive nitridation [3]. In this paper, effects nitridation conditions of Al layer on the GaN crystalline qualities are studied.
Al layer was grown on (0001) sapphire substrate by MBE. The substrates were cleaned by organic solvent. The Al layer was grown at 250°C. The nitridation temperature of Al layer was 350°C using RF-nitrogen source at 200W. The nitridation time was varied between 0 min. and 5 min. The reflection high energy electron diffraction (RHEED), atomic force microscopy (AFM) and X-ray diffraction (XRD) were used as evaluation methods.
The transformation of surface Al layer to AlN was confirmed by RHEED. As indicated in the background, the AlN layer is effective for the reduction of nitrogen incorporation to the Al underlayer. The AlN layer is expected to reduce the lattice mismatch during the GaN growth; the order of in-plane lattice spacings is GaN < AlN < Al. To study appropriate condition of the AlN layer, effect of the nitridation time on the surface flatness was studied. The surface flatness was maintained up to nitridation time of 3 min. However, further nitridation induced detrition of the flatness. The results indicate the excessive nitridation induced surface roughening, as has been observed in the sapphire substrate nitridation [3]. Details of the GaN crystalline quality will be discussed at the conference.
This work was partly supported by JSPS KAKENHI Grant Numbers #25420341, #25390071 and #25706020, ALCA project of JST, “Energy Conversion Ecomaterials Center” project of Kogakuin University and Inter-university Cooperative Research Program of the Institute for Materials Research, Tohoku University (Proposal No.13K0041).
[1] T. Honda et al., Phys. Stat. Solidi (c) 10, 385 (2013).
[2] E. lliopoulos and T. D. Moustakas, Appl. Phys. Lett. 81, 295 (2002).
[3] C. Heinlein, J. Grepstad, T. Berge and H. Riechert, Appl. Phys. Lett. 71, 341 (1997).
9:00 AM - RR9.19
Bandgap Energies of Cubic AlxGa1-xNyAs1-yCalculated by the Dielectric Method
Hiroyuki Naoi 1
1Natl Inst of Tech, Wakayama College Gobo Japan
Show AbstractGroup III-V quaternary alloys are attractive materials due to their capabilities of not only covering a relatively wide wavelength range by varying their bandgap energies at least within those of their constituent binary compounds, but also changing their bandgap energies even under a fixed lattice constant [1]. The latter furthermore gives a possibility of fabricating high-quality layers of these alloys lattice-matched to an underlying layer or a substrate, leading to high-quality devices with low densities of crystal defects.
Among a number of group III-V quaternary alloys, we focused on cubic AlxGa1-xNyAs1-y in terms of a wide range of its usable light wavelengths covering from ultraviolet to infrared regions and its lattice matching ability to Si and GaAs substrates. We also considered that this alloy system can be grown in the cubic phase over entire composition range.
In this study, direct and indirect bandgap energies of cubic AlxGa1-xNyAs1-y were calculated by means of the dielectric method [2-6]. We referred to review articles for the lattice constant and bandgap values of the constituent binary compounds [7, 8]. The transition types were also determined by comparing the calculated, direct and indirect bandgap energies. It should be noted that even though bandgap energies for a number of group III - V quaternary alloys were calculated by the dielectric method, those of cubic AlxGa1-xNyAs1-y have not been calculated by either this or other methods to the best of authors&’ knowledge [5].
The calculation results showed that cubic AlxGa1-xNyAs1-y could convert its band structure from direct to indirect transition types depending on x and y values. The bandgap range in the direct transition regime was between -1.146[eV] and 4.739[eV], indicating that cubic AlxGa1-xNyAs1-y can be applicable for optical devices over a wide wavelength range longer than 261.7 [nm]. AlxGa1-xNyAs1-y lattice-matched to Si resulted in having indirect transition type band structure at any x and y values.
1. Sadao Adachi, Properties of Semiconductor Alloys: Group-IV, III-V, and II-VI Semiconductors (WILEY, West Sussex, 2009).
2. J. A. Van Vechten, Phys. Rev. 182 891 (1969).
3. J. A. Van Vechten, Phys. Rev. 187 1007 (1969).
4. J. A. Van Vechten, Phys. Rev. B1 3351 (1970).
5. S. Sakai, Y. Ueta, and Y. Terauchi, Jpn. J. Appl. 32 4413 (1993).
6. Y. Ueta, Doctoral Dissertation, The University of Tokushima, March 1995.
7. I. Vurgaftman and J. R. Meyer : J. Appl. Phys. 89 5815 (2001).
8. I. Vurgaftman and J. R. Meyer : J. Appl. Phys. 94 3675 (2003).
9:00 AM - RR9.20
Emitting Materials for Thermally Activated Delayed Fluorescent Organic Light-Emitting Diodes: Effect of Structural Isomers
Jin Woo Jun 1 Seung Suk Baek 1 Yeun-kyong Cho 1 Seok-ho Hwang 1
1Dankook Univ. Yongin-si Korea (the Republic of)
Show AbstractAfter Forrest et al. introduced phosphorescent organic light emitting diodes (OLEDs) exhibiting nearly 100% of internal quantum efficiency, there have been many researches having extraordinarily high efficiency. Phosphorescent OLEDs can achieve 100% of internal quantum efficiency compare to 25% of normal fluorescent OLEDs due to its use of both 25% of singlet excitons and 75% of triplet excitons. However, Phosphorescent OLEDs have demerits like high cost rare metals and limited material design. Recently, to overcome these problems, thermally activated delayed fluorescent OLEDs aroused great attention of many researchers. Thermally activated delayed fluorescence (TADF) OLEDs convert 75% of non-radiative triplet excitons into radiative singlet excitons by reverse intersystem crossing (RISC). Generally light-emitting processes of fluorescence, phosphorescence and delayed fluorescence. To be efficient TADF emitting materials, the energy gap between singlet excited state and triplet excited state should be small enough to occur RISC at room temperature. Therefore, distribution of highest occupied molecular orbital (HOMO) and lowest unoccupied molecular orbital (LUMO) should be highly separated by donor-acceptor structure to reduce the singlet excited state energy of molecules. As a donors of TADF emitters, some moieties such as carbazole, phenoxazine and triphenylamine were introduced. However, further development about donor moieties is required in order to enhance performances of TADF emitters.
In this work, TADF emitting materials were synthesized to study the effect of isomeric structure on photophysical properties and device performances through controlling of donor structure. We synthesized 2-(2-(9,9-dimethylacridin-10(9H)-yl)phenyl)benzo[d]thiazole and 2-(4-(9,9-dimethylacridin-10(9H)-yl)phenyl)benzo[d]thiazole, respectively.
The chemical structure of the synthesized compounds was confirmed by sup1;H-NMR, sup1;sup3;C-NMR, Mass spectroscopy. Electro-optical characteristics were confirmed by UV-vis spectroscopy, cyclic-voltammetry and Photoluminescence. Using two isomeric materials, we have tried to improve quantum efficiency of TADF devices.
RR7: Visible Optoelectronics I
Session Chairs
Christian Wetzel
Katsumi Kishino
Thursday AM, December 03, 2015
Hynes, Level 3, Room 306
9:45 AM - *RR7.01
Regularly-Arrayed GaN Nanocolumns on Si for Application to Visible Nanocolumn Emitters
Katsumi Kishino 1 2 Hiroaki Hayashi 1 Shunsuke Ishizawa 1 Koji Yamano 1 Daishi Fukushima 1
1Sophia Univ Tokyo Japan2Sophia Nanotechnology Research Center Tokyo Japan
Show AbstractInGaN-based emitters on Si are attractive for cost-effective manufacturing on large-area substrates. Si substrates are easily removed from InGaN/GaN heterojunction crystals grown on them, which has contributed to the fabrication of visible InGaN-based LEDs without light absorption of Si and with efficient light extraction 1). However, the large mismatches in the lattice constant and thermal expansion coefficient between GaN and Si result in the introduction of cracks in a thick epitaxial GaN film on Si. GaN nanocolumns, which are one-dimensional nanocrystals upstanding on Si, have been used to overcome difficulties in the heteroepitaxial growth of GaN on Si, fabricating InGaN-based nanocolumn LEDs 1-3).
In this study, we fabricated InGaN-based triangular lattice nanocolumn arrays on sputter-deposited AlN/Si templates with AlN/GaN superlattice buffer 1) and rf-plasma assisted molecular beam epitaxy (rf-MBE)-grown GaN/Si templates 3) employing Ti-mask selective-area-growth (SAG) of rf-MBE 4). Both AlN and GaN templates included a large number of dislocations with dislocation density of ~1011 cm-2, but for thin nanocolumns, the dislocations were effectively filtered at the bottom of nanocolumns. For regularly-arrayed GaN nanocolumns on GaN/Si templates, the dislocation filtering effect as a function of nanocolumn diameter was systematically investigated with the TEM observation 3). At the same time, regularly arrayed GaN nanocolumns were grown by nanotemplate SAG using nanoimprint-patterned 2-inch AlN/Si substrate.
Based on the GaN nanocolumn arrays on GaN/Si template, red-light InGaN-based nanocolumn LEDs were fabricated. The 652 nm wavelength emission was observed with vertical conduction through the AlN/GaN SL buffer to the Si substrate; the same LED chip operated with the lateral conduction scheme exhibiting a little bit lower voltage. Next, the InGaN/GaN pn-junction nanocolumn arrays on sputter-deposited AlN/Si (111) were processed by flip-chip (FC) bonding assembly through the removal of the Si substrate. The FC process involving careful wafer removal by wet etching resulted in an optically damage-free nanocolumn array. The developed LED achieved single-peak emission at 570 nm in the middle of visible range. The thermal properties of a nanocolumn LED on a sapphire substrate and an FC nanocolumn LED on a CuW supportive carrier were analyzed by the three-dimensional finite element method (3D FEM). It was clarified that the FC configuration of nanocolumn LED effectively suppresses the thermal resistance as film LEDs on GaN substrate.
Acknowledgement: This research was supported by a Grant-in-Aid for Specially Promoted Research (24000013) from Japan Society for the Promotion of Science.
References
1) H. Hayashi, hellip; , K. Kishino, Phys. Status Solidi A 212, 992 (2015).
2) K. Kishino et al., Proc. SPIE 6473, 64730T.1 (2007).
3) K. Kishino and S. Ishizawa, Nanotechnology 26, 225602 (2015).
4) K. Kishino et al., J. Cryst.Growth 311, 2063 (2009).
10:15 AM - RR7.02
Structural, and Optical Properties of High Indium Content Single Crystalline InGaN Nanocolumns Grown at High Temperatures for Visible Range Applications
Kiran Dasari 1 Wright Jason 2 JingZhou Wang 2 S. Kaya 2 Jadwisienczak M Wojciech 2 Ratnakar Palai 1
1University of Puerto Rico San juan United States2Ohio University Athens United States
Show AbstractHigh indium content InGaN materials are of great interest because of their potential applications in optoelectronics, spintronics, light emitting diode, and lasers due to their bandgap in visible spectrum. Because of the complex growth thermodynamics, growth of high quality InGaN required post annealing at higher temperatures to avoid the formation of In droplets, phase sagegation. A significant reduction in the In content has been observed due to the post annealing at higher temperatures. In the present work, high indium content InGaN thin films (180 nm) have been successfully grown at the growth temperature between 650 - 800 #8304;C directly on the c-sapphire substrate without any buffer layer by molecular beam epitaxy (MBE). The x-ray diffraction (XRD) patterns showed c-axis orientation with high degree of crystallinity. The elemental analysis of the films by x-ray photoelectron spectroscopy (XPS) showed all the fundamental states of Ga (2p1/2, 2p3/2, 3s, 3p1/2, 3p3/2, and 3d), In (3s, 3p1/2, 3p3/2, 3d3/2, 3d5/2, 4s, and 4p), N (1s). The real time-reflection high energy electron diffraction (RHEED) of the films revealed the Stranskey-Krasthanov growth mode of the films and confirms the highly crystalline nature of the films.
The photoluminescence (PL) studies of all these samples have shown the visible emission centered at 550 nm with no defect related band emissions. The visible emission in PL showed that the indium content is around >30 % irrespective of the growth temperatures. The defect free luminescence has been observed in the temperature dependent photoluminescence. A very weak S-shaped behavior of the emission position has been observed from 11 K to 300 K with a weak intensity quenching of the visible emission from the InGaN grown in 800 #8304;C. The Raman spectra revealed the domination of A1 (LO) mode of GaN which is consistent with the growth temperature. The A1 longitudinal phonon mode has been observed at around 800 cm-1 which showed the red shift. The peak shifting in E2(high) mode towards higher wave number (~600 cm-1) indicates the presence of compressive strain in the films. The surface optical (SO) phonon mode has been observed at around 670-702 cm-1 which has been assigned to the combination of SO modes. The ellipsometry results of these thin films showed the refractive index of 2.6 to 2.64 for different growth temperatures. The refractive index of InGaN is increasing with the substrate temperature till 750 #8304;C. In addition to the change in peak maximum refractive index, it is also observed that there is a shift in the peak location toward higher wavelengths as the substrate temperature increases.
10:30 AM - RR7.03
Study of Electrical Transport and Optical Properties of Nanoscale Schottky Barrier Diode Fabricated on a Vertically Standing GaN Nanorod
Ashutosh Kumar 1 4 Martin Heilmann 2 Michael Latzel 2 3 Manuela Gobelt 2 Silke Christiansen 2 5 Vikram Kumar 1 4 Rajendra Singh 1 4
1Indian Institute of Technology Delhi Delhi India2Max Plank Institute for Science of Light Erlangen Germany3Friedrich-Alexander-Universitauml;t Erlangen-Nuuml;rnberg (FAU Erlangen Germany4Indian Institute of Technology Delhi Delhi India5Helmholtz Center Berlin for Materials and Energy Berlin Germany
Show AbstractTo realize a nano-device, electrical contacts play an important role. Electrical transport in thin films is well understood.[1] However, various studies revealed that models which are used for explaining electrical transport in thin films are not applicable to nano-devices because of small dimensions.[2] Researchers have used various metals and techniques for the fabrication of Ohmic as well as Schottky contacts on GaN nanostructures and found ideality factor lying from 6 to 18.[3-5] From technological point of view, values of ideality factor and Schottky barrier height need to be significantly improved if one wants to fabricate a good quality Schottky barrier diode of nanoscale dimensions.
In the present work, a top-down approach using Ni nanomasking and reactive ion etching is employed to fabricate vertically aligned GaN nanorods. A single GaN nanorod is contacted with a tungsten tip using a nano-prober assembly inside a scanning electron microscope to form a Schottky contact. The values of ideality factor and Schottky barrier height (SBH) at room temperature for nano-Schottky diode are found to be 3.3 and 0.68 eV, respectively. Schottky barrier height is found to be in agreement with theoretical value predicted by the Schottky-Mott model. Strain relaxation in nanorods is examined using room temperature micro-Raman spectroscopic measurements and Photoluminescence measurements. Characteristics E2(high) peak for nanorods is shifted by 2.3 cm-1 to lower wavenumber as compared to as-grown GaN which suggests that compressive stress is relaxed by 0.94 GPa. From PL measurements, strain relaxation of 0.90 GPa is observed. Amount of stress is directly linked to the dislocation density, so reduced stress in GaN nanorods should result in reduced dislocation density which can improve the characteristics of GaN based nano-devices. Cathodoluminescence (CL) measurements are performed on a single GaN nanorod at three different positions (top, centre and bottom) and also at underlying GaN epitaxial layer. Yellow-band luminescence which arises due to the defects in GaN is compared at various positions in a single nanorod and underlying epitaxial layer. It is found that top of the rod is less defective in comparison to centre and bottom of the rod and, epitaxial layer. For comparing the value of ideality factor and barrier height, W/GaN thin film Schottky diodes are also fabricated where ideality factors lying between 1.6-1.9. Higher ideality factor for nanoscale Schottky barrier diode as compared to thin film diode is attributed to tunnelling due to nano-size effects, interface states and formation of electric dipole layer at metal-semiconductor interface.
References
1. S. M. Sze and K. K. Ng Physics of Semiconductor Devices; Wiley, 2006.
2. Smit et. al. Applied Physics Letters 2002, 81, 3852-3854.
3. Kim et al. Nanotechnology 2002, 13, 701.
4. Motayed et al. Journal of Applied Physics 2006, 100, 024306.
5. Deb et al. Nano Letters 2006, 6, 2893-2898.
10:45 AM - RR7.04
A Comparative Analysis of Silver Nanowire Network, Indium Tin Oxide and Traditional Metal Contacts for Nitride Light Emitting Diodes
Bilge Imer 1 Doga Doganay 1 Sahin Coskun 1 Husnu Emrah Unalan 1
1Middle East Technical University Ankara Turkey
Show AbstractTransparent conductors are essential for improving the energy efficiency of nitride light emitting diodes (LED) as well as other optoelectronic devices such as photovoltaics and photodiodes. One of the most commonly used and commercially available transparent contact is indium tin oxide (ITO). However, ITO based contacts have some limitations including complex fabrication and post-annealing processes. Also, volatile indium prices, high mechanical rigidity and low chemical stability remain as other problems to be solved. Hence, investigation of alternative transparent conducting materials is needed. Among alternative transparent conducting materials, silver (Ag) nanowire networks is to be one of the most promising candidate due to its comparable transparency and sheet resistance values to ITO, chemical stability, ease of application and reproducibility. In this study, various transparency and sheet resistance values comparable with sputtered ITO are determined for different Ag nanowire diameters and Ag nanowire network densities, then these Ag nanowire networks are formed on top of a conductive gold (Au) layer evaporated on mica substrates. The work functions of sputtered ITO, Ag nanowires with different wire diameters and Ag nanowire networks with different densities are determined by Kelvin Probe Force Microscopy (KPFM) and Ultraviolet Photoelectron Spectroscopy (UPS). Experimentally defined work functions are used in the electronic band structure calculations of p+-GaN/Ag nanowire and p+-GaN/ITO contacts, while calculation of p+-GaN/traditional metal contacts (Au/Ni) is made according to defined work functions in the literature. A comparative electronic band calculation of traditional metal, ITO and alternative Ag nanowire contacts is simulated with “Mathematica” software based on Hydrogenic model.
11:30 AM - *RR7.05
Mechanisms of High Current Efficiency Loss in III-Nitride LEDs and the Mitigation Strategies to Realize Increasingly High Power Devices
Parijat Deb 1 Erik Nelson 1 Isaac Wildeson 1
1Lumileds San Jose United States
Show AbstractOver the past decade, the wall plug efficiency (WPE) of InGaN LEDs has improved tremendously. The industry has reached a critical WPE threshold, beyond which additional improvement in efficiency results in minimal energy savings. This has led to a shift where the market driver is no longer WPE, but rather luminous flux and cost, or lumens per dollar. Furthermore, there are many rapidly growing application spaces, such as automotive front lighting and light-guide based architectural and automotive lighting, which are enabled only by high flux per unit area, i.e. High Power LEDs with small form factors. For these reasons, the clear direction in the SSL industry is a shift toward increasingly higher drive current. High drive current (>1A/mm2) requires improvements in all aspects of the LED: die, phosphors, encapsulant and packaging must be able to withstand both high temperature and high optical flux, and of course the epi must have improved high current and temperature efficiency.
Two fundamental paths to improving high current efficiency by reducing the Auger recombination in InGaN LEDs will be discussed: reducing the Auger recombination rate within each quantum well, and reducing the carrier density within each quantum well. Auger recombination is controlled by fundamental material constants and the carrier density; however we will discuss how the MQW design also plays an important role in influencing the Auger recombination rate in III-Nitride LEDs. We will also detail how understanding and controlling the physics of carrier transport in the MQW plays a critical role in reducing the carrier density in each QW. The fundamental tradeoffs between carrier transport, phosphor conversion efficiency and light extraction efficiency in high power die architectures will also be discussed. Finally, radiative recombination rates in III-Nitrides are strongly affected by the polarization-induced electric fields within the MQW. We will discuss how the MQW design can be used to influence radiative recombination rates, and will discuss the future potential and drawbacks of semi- and non-polar GaN LEDs in high lumen applications.
12:00 PM - *RR7.06
Direct Emission Green - Addressing a Fundamental Need
Christian M. Wetzel 1
1Rensselaer Polytechnic Inst Troy United States
Show AbstractShifting the bandgap up to the blue and the UV should solve all our problems. Who would have thought that trouble lies in the middle? Deep trap states challenge the hoped-for blocking voltages in Schottky diodes and transistors. Yellow luminescence shunts out GaN bandedge emission. And even GaInN loses luster when stretched from blue to green to red. Not controlling a semiconductor's bandgap in the 2.0 to 2.4 eV range is a severe technology risk to solid-state lighting and power electronics - both hall marks to address next generation energy efficiency needs.
Predicting and inventing new materials is not likely to solve those issues. Understanding and improving upon those proven materials is the only prudent route. Developed over decades, Si, SiC and group-III nitride GaN, AlGaN, and GaInN will likely fill the bill of breakthrough advance and a production worthy technology base. Addressing the issue of the light direct green emitters we report on advances merging Si and GaInN technology in the cubic crystal phase.
12:30 PM - RR7.07
Temporal and Optical Investigation of Bright Blue (InGaN/GaN) Multi-Quantum-Well LEDs
Idris Ajia 1 Jianchang Yan 2 Zhiqiang Liu 2 Iman S Roqan 1
1KAUST Thuwal Saudi Arabia2Chinese Academy of Science Beijing China
Show AbstractA detailed report on the optical characterization of InGaN/GaN MQW LEDs with considerably longer carrier lifetimes (~248 ns) than similar structures in previous literatures will be presented. The LED structures were grown by metal-organic chemical vapor deposition (MOCVD). The sample consists of a low temperature GaN buffer layer grown over sapphire substrate. This was followed by a u-GaN and then an n-GaN layer. Pre-well InGaN/GaN pairs were subsequently grown, followed by InGaN/GaN multi-quantum wells (MQWs). An AlGaN blocking layer was then grown to cap the MQWs. The optical properties of the LEDs were estimated by temperature dependent photoluminescence (PL) using He-Cd continuous wave laser with emission line at 325 nm. Temperature dependent Time resolved photoluminescence (TRPL) was carried out using frequency doubled and tripled Ti;Sapphire laser with second and third harmonic lines at 400 nm and 266 nm respectively. TRPL analysis provides useful insights into the carrier recombination dynamics of these devices. PL results show a main peak at around 463 nm (blue) with a shoulder peak around 480 nm. Another lower wavelength broad peak can clearly be seen next to the main peak at 395 nm. It is believed that these peaks are occasioned by the well-known immiscibility characteristic of InN and GaN in InGaN alloys. Indium atoms tend to migrate away from dislocations, thereby causing the In/Ga ratio to reduce around dislocations. The lifetimes were extracted from biexponential fitting. The decay lifetime at low temperature was 248 ns using the 266 nm line, which is uncharacteristically high. This value dropped to 76 ns when excited with the 400 nm line, with clear distinctions between the high wavelength and low wavelength regions&’ lifetimes. In this work we investigate the various mechanisms that influence excitonic recombination process, and offer an explanation for the unusually long lifetime of the carriers when excited with the 266 nm line, as well as the observed distinctions between lifetimes of high and low wavelength regions, by studying selective optical excitation above and below the bandgap of the barrier at different temperatures.
12:45 PM - RR7.08
Nitride-Based Buried Tunnel Junctions for Current Confinement in Blue VCSEL
Masataka Ino 1 Daiki Takasuka 1 Kohei Iwase 1 Tetsuya Takeuchi 1 Satoshi Kamiyama 1 Motoaki Iwaya 1 Norikatsu Koide 1 Isamu Akasaki 1 2
1Fac.Sci.amp;Eng., Meijo Univ. Nagoya Japan2Akasaki Research Center, Nagoya Univ. Nagoya Japan
Show AbstractBuried tunnel junctions have been utilized as current confinement in infrared vertical cavity surface emitting lasers (VCSELs) since current injections from n-layers to p-layers are allowed only at the tunnel junction areas. The first nitride-based current confinement structure with the buried tunnel junction had been reported, but a large leak current (#65374;2kA/cm2) was observed[1]. On the other hand, we have obtained low resistive GaInN-based tunnel junctions[2] and buried tunnel junctions without a leakage current[3]. In this study we clarified a correlation between the leakage current and an etching process to form tunnel junctionmesas (TJ mesas) for current confinement in the nitride-based VCSELs.
The samples were grown on c-plane sapphire by metalorganic vapor phase epitaxy. After growing a standard blue LED with 170nm p-layers, a 2nm heavily Mg-doped Ga0.6In0.4N/15nm heavily Si-doped GaN tunnel junction and a 60nm n-GaN layer were successively grown. Note that a total thickness above an active region is about 250nm. Then 10mu;m diameter TJ mesas corresponding to current injection areas were formed by ICP etching. We prepared four different samples by changing thicknesses of the etched layers, resulting in different remaining p-GaN with various thicknesses, 65,100,130, and 155nm above the active regions. Then a 160nm n-GaN was simultaneously regrown on the four samples to bury the TJ mesas. AFM measurements reveal that the regrown surfaces were smooth, less than 0.4nm as RMS values. Finally two ring n-contacts were simultaneously deposited after forming 100mu;m diameter device mesas.
All the samples showed that under sufficient current injections the blue light was emitting only from the regions of the buried tunnel junction areas. However j-V-L characteristics of the samples were very different among the samples. The samples with thick remaining p-layers (130 and 155nm) showed no leakage current and immediate light emissions with current injections. On the other hand, the samples with thin remaining p-layers (65 and 100nm) showed leak behavior in j-V characteristics and no light emissions at low current injection regions. Actually the sample with the 60nm remaining p-layers showed the largest leakage current (#65374;2kA/cm2) among the samples. This results clearly indicates that the thickness of the remaining p-layers is correlated to the degree of the leakage current, suggesting that a dry etching process to form TJ mesas could lead to an adverse effect on the current blocking characteristics in the buried tunnel junction structures.
References
[1] S-R.Jeon,et.al.,Appl.Phys.Lett.,80,1922(2002).
[2] D.Minamikawa, et.al.,Phys.Stauts Solidi B,1-5(2015).
[3] M.Ino, et.al.,LEDIA&’14,24p-LEDp6-23,Japan(2014).
Acknowledgements
This work was supported by Grant-in-Aid for Scientific Research (B) (#26286045), and the Ministry of Education, Culture, Sports, Science and Technology Supported Program for the Strategic Re-search Foundation at Private Universities, 2012-2016.
Symposium Organizers
Madhu Chinthavali, Oak Ridge National Laboratory
Robert Kaplar, Sandia National Laboratories
Martin Kuball, University of Bristol
Tetsuya Takeuchi, Meijo University
RR10: Growth of WBG Materials
Session Chairs
Friday AM, December 04, 2015
Hynes, Level 3, Room 306
9:00 AM - RR10.01
Reactive Sputtering of III-N Materials for Applications in Electronic Devices
Sameer Joglekar 1 2 Mohamed Azize 2 Tomas Palacios 2
1Massachusetts Institute of Technology Cambridge United States2Massachusetts Institute of Technology Cambridge United States
Show AbstractGallium Nitride (GaN) and other III-N semiconductors are rapidly gaining importance in high power and high frequency electronic applications. III-N material based devices are fabricated on heterostructures that are usually grown by high vacuum techniques such as metal-organic chemical vapor deposition (MOCVD) or molecular beam epitaxy (MBE). However, in many applications, it is necessary to re-grow thin cap layers of III-N materials during device fabrication. This becomes difficult because of the high costs and lack of availability of high vacuum techniques in most clean room facilities. Reactive sputtering provides a more ubiquitous and cost effective alternative for the growth of thin cap layers on parent MOCVD III-N heterostructures.
In this work, III-N films of indium nitride (InN), GaN and indium-aluminum nitride (InAlN) were grown by reactive sputtering on silicon or MOCVD GaN substrates. The growth was done in an argon-nitrogen (Ar/N2) atmosphere. Sputter conditions such as gas flux ratio, substrate temperature and deposition power are found to strongly influence the properties of the as-deposited films such as conductivity, surface roughness and indium composition (in the case of InAlN films). The sputter grown films are poly-crystalline, and typically metal rich and more conductive than their MOCVD counterparts. As a result, we have used some of these films to fabricate ohmic contacts to GaN based devices. InN was chosen for this application because of its inherent low band gap (~0.7 eV) and high conductivity.
As an example, InN films were sputtered on Si doped MOCVD GaN templates. The as-deposited films show a Schottky behavior because of the conduction band-discontinuity between InN and GaN. However, annealing at 400oC converts this interface into an ohmic one. This is probably because of indium diffusion into the GaN and possible formation of InGaN at the interface.
In summary, we have studied the influence of deposition conditions on the quality and properties of sputter grown III-N films. We have also investigated applications for these films in GaN based devices, thus demonstrating the potential of reactive sputtering as a cheaper alternative for deposition of III-N materials on devices.
Acknowledgements. - This project has been partially funded by the ONR PECASE program, monitored by Dr. Paul Maki.
9:15 AM - RR10.02
In-Situ X-Ray Studies of AlN Nucleation on Sapphire (0001) during Reactive Sputtering
Guangxu Ju 1 Matthew J Highland 1 Rebecca Sichel Tissot 1 Jeffrey A. Eastman 1 Peter M Baldo 1 Peter Zapol 1 Carol Thompson 2 Paul Henry Fuoss 1
1Argonne National lab Argonne United States2Northern Illinois University DeKalb United States
Show AbstractAlN is often used as the initial growth layer for wide-bandgap semiconductor device structures. Thus, the properties of the initial AlN nucleation layer are extremely important in determining and controlling the defect concentration in the subsequent layers. Currently, the achievable density of structural defects for layers grown on large lattice mismatch substrates such as sapphire or silicon limits the performance of nitride semiconductors. In this talk, we report on our x-ray scattering studies of the growth of AlN nucleation layers on c-plane sapphire via reactive radiofrequency (RF)-magnetron sputtering. A sputtering gun with a 1” aluminum (Al) target mounted in an on-axis geometry on the top of the chamber designed for use at Sector 12ID-D of the Advanced Photon Source. A mixture of Ar/N2 process gas provided the required reactive nitrogen species during growth. In-situ surface x-ray scattering was used to observe the evolution of strain and roughness in the AlN layer from the initial nucleation stage to a fully relaxed state. The sensitivity of these x-ray scattering experiments allowed us to observe the properties of extremely thin nucleation layers. Our results indicate that the quality and properties of the resulting films have strong dependence not only on the growth conditions, but also the initial growth surface.
9:45 AM - RR10.04
Metallic beta;-Nb2N Films Epitaxially Grown by MBE on Hexagonal SiC Substrates
D. Scott Katzer 1 Neeraj Nepal 2 David J. Meyer 1 Brian P. Downey 1 Virginia Wheeler 1 David F. Storm 1 Matthew T. Hardy 3
1US Naval Research Laboratory Washington United States2Sotera Defense Solutions Herndon United States3National Research Council Washington United States
Show AbstractThe advantages of epitaxial metal layers in devices like metal-base transistors, including low base resistance and small base transit times, were predicted as early as the 1960s.[1] However, the successful realization of high-quality epitaxial metals on semiconductors, and semiconductors on metals, has remained elusive.
The transition metal nitride β-Nb2N has many properties that make it particularly attractive for epitaxial growth and potential electronic and optoelectronic device applications. β-Nb2N is one of several stable phases of niobium-nitrogen compounds, is a member of the P63/mmc space group, and is nearly lattice-matched to the hexagonal structure of 6H-SiC. Hexagonal SiC substrates are often used for heteroepitaxial growth of high-performance III-N materials owing to their high thermal conductivity.
We have used RF-plasma MBE to grow 4 - 100 nm-thick metallic β-Nb2N thin films epitaxially on hexagonal SiC substrates.[2] When the active N / Nb flux ratios exceed unity, the most critical parameter for high quality β-Nb2N is the substrate temperature. X-ray characterization of films grown between 775 and 850 °C demonstrates β-Nb2N phase formation. (0002) and (21-31) X-ray diffraction measurements of a β-Nb2N film grown at 850 °C reveals a 0.7% in-plane lattice mismatch to the 6H-SiC substrate. β-Nb2N thin-film resistivities as low as 40 µOmega;-cm have been obtained for 100 nm-thick films. This suggests that β-Nb2N can be used for high quality metal / semiconductor heterostructures that cannot be fabricated at present. We will discuss these and recent results on this promising epitaxial material at the conference.
Acknowledgments: This work was supported by the Office of Naval Research, and by the Defense Advanced Research Projects Agency (D. Green).
References:
[1] S. M. Sze and H. K. Gummel, Solid-State Electronics 9, 751 (1966).
[2] D. S. Katzer et al., accepted for publication in Applied Physics Express.
10:00 AM - RR10.05
Incorporation of Mg in Thick Free-Standing GaN Grown by Hydride Vapor Phase Epitaxy
Mary Ellen Zvanut 1 J Dashdorj 1 W R Willoughby 1 J H Leach 2 K Udwary 2
1Univ of Alabama-Birmingham Birmingham United States2Kyma Technologies Raliegh United States
Show AbstractMg, the only effective p-type dopant for nitrides, is well-studied in um-thick GaN films due to its important role in light emitting diodes and high power electronics. However, there are few reports of Mg in thick free-standing GaN substrates, yet the availability of such substrates would increase flexibility in device design. Here we demonstrate successful incorporation of Mg into GaN substrates grown by hydride vapor phase epitaxy (HVPE). GaN was grown by HVPE in custom-designed reactors at Kyma Technologies on AlN buffer layers on sapphire substrates and was self-separated from the sapphire upon cooldown from the growth temperature. Three separate growth runs produced 1-1.5-mm thick crystals with Mg concentrations of 7x1016, 4x1017, and 6x1018 cm-3, as measured by SIMS. Structural quality was characterized by Raman spectroscopy and X-ray diffraction (XRD), and the point defects were studied by electron paramagnetic resonance (EPR) spectroscopy. All measurements indicate Mg incorporation did not alter the crystalline quality compared to that of undoped HVPE substrates.
The Raman measurements show a shift of 569 cm-1 on all three samples. This value is approximately the same as the theoretical value and is identical to undoped substrates. The results indicate that doping did not induce additional stress and that minimal compressive stress is retained from the original heteroepitaxial growth. That Mg incorporation did not affect the crystalline structure is demonstrated by the FWHM of x-ray rocking curves which did not vary significantly among the samples. EPR measurements reveal the presence of two centers: one typical of a defect seen in Mg-doped nitride films, and a second referred to as a “shallow acceptor”. Since the latter reflects interaction of the defect with the valence band, detection in free-standing HVPE GaN implies that the valence band is minimally perturbed by as-grown strain, extended defects, or a high concentration of impurities as often occurs in thin films. The concentration of the other center, which resembles a defect seen in films, scales with the Mg concentration. Based on the spectroscopic features, we suggest that it is the Mg-related acceptor responsible for p-type conductivity.
In summary, the work shows that up to 6x1018 cm-3 Mg may be incorporated into 1.5 mm thick HVPE grown GaN without affecting the material properties. In addition, two point defects are observed. One, thought to be the Mg-related acceptor, demonstrates that Mg is incorporated with the same local structure and symmetry as is found in CVD or MBE films. The presence of the second, a “shallow acceptor”, reinforces the Raman results showing that the as-grown stress typical of heteroepitaxial growth is significantly reduced in the free-standing HVPE GaN substrates, independent of Mg concentration.
This work at UAB is supported by NSF/DMR 1308446.
10:15 AM - RR10.06
Optimization of hBN Bulk Crystal Growth by the Ni-Cr Flux Method Using Response Surface Methodology
Tim Hoffman 1 Yichao Zhang 1 Bret Flanders 2 James H Edgar 1
1Kansas State Univ Manhattan United States2Kansas State Univ Manhattan United States
Show AbstractSingle crystal hexagonal boron nitride (hBN) shows promise for deep UV LEDs, insulating substrates for 2-D materials, solid state neutron detectors, and nanophotonics, due to its wide energy bandgap (5.8 eV), smooth, planar surfaces, the high thermal neutron capture cross-section of 10B, and possession of both positive and negative principal components in its permittivity tensor. While techniques to grow bulk hBN crystals are still in their infancy, the Ni-Cr flux method has proven most successful for the production of bulk hBN crystals, as it allows for crystal growth near atmospheric pressure (850 torr) and can producing crystals >100 microns in width and thickness. In this method, hBN is dissolved in the molten metal flux at high temperatures (>1400°C), and crystals are formed by precipitation during slow cooling. Producing large area crystals is the main challenge remaining with this technique, which would allow for fabrication of hBN devices.
Here, the hBN crystal growth process conditions are optimized using response surface methodology and a designed set of experiments to improve crystal size and quality. Five experimental parameters were explored: dwell temperature, dwell time, cooling rate, cooling temperature and quench rate. Measured responses for modeling this system were crystal grain width, x-ray diffraction patterns and Raman spectra. The defect densities and types present in the hBN crystals were identified by etch pit densities and shape produced by etching in molten NaOH/KOH at 450°C for one minute.
Experiments for this study were split into two stages. First, a short set of screening experiments were conducted using all five parameters using two level (high and low) values as well as center points to develop a simple linear model and identify the parameters impacting crystal size and quality. Dwell temperature, cooling rate and cooling temperature were observed to significantly affect the crystal grain size. For these parameters, the average grain size changed from 100mu;m to 410mu;m for dwell temperatures of 1400°C to 1500°C, from 190mu;m to 320mu;m for cooling rates of 9°C/hr to 4°C/hr and from 210mu;m to 290mu;m for cooling temperatures of 1050°C to 1250°C. The remaining parameters were eliminated from consideration for the next stage of analysis: developing a more comprehensive second-order model.
These second set of experiments were designed using a central composite design. Results from this second order model of the Ni-Cr process parameters are anticipated to have two main impacts. First, the significance of each parameter will be quantified and an optimal process region will be established for further crystal growth and experimentation. Additionally, this model will help to explicate the physical phenomena of the crystal growth process, which can be inferred based on the significant effects and interactions demonstrated by the model and help to better understand the growth mechanism and rate-limiting steps of the Ni-Cr flux method.
RR11: Doping and Defect Physics
Session Chairs
Friday AM, December 04, 2015
Hynes, Level 3, Room 306
10:45 AM - *RR11.01
Impact of Defects on Efficiency of Nitride Devices
Chris G. Van de Walle 1 John L Lyons 2
1Univ of California Santa Barbara United States2Brookhaven National Laboratory Upton United States
Show AbstractNitride semiconductors are the key materials for solid-state lighting and also increasingly for power electronics. In both bulk crystals and epitaxial layers, point defects may act as compensating centers, charge traps, or radiative or nonradiative recombination centers. Unintentional impurities often play an equally important role; for instance, carbon that is unavoidably incorporated during metal-organic chemical vapor deposition (MOCVD) can act as a source of yellow luminescence [1]. Theoretical advances now enable us to calculate the energetics as well as electronic and optical properties of point defects with unprecedented accuracy [2]. In AlN, we have identified the prevailing defects that lead to characteristic luminescence and absorption lines [3]. Both point defects and impurities can affect the radiative efficiency of light emitters. We have developed a first-principles methodology [4] to determine nonradiative carrier capture coefficients. Accurate calculations of electron-phonon coupling, combined with results for defect formation energies and charge-state transition levels, enable the calculation of nonradiative capture rates for electrons and holes and the evaluation of Shockley-Read-Hall coefficients. This approach allows us to identify specific defects that play a key role in limiting the efficiency of nitride semiconductor devices.
Work performed in collaboration with A. Alkauskas, C. Dreyer, A. Janotti, J. Lyons, L. Gordon, and Q. Yan, and supported by DOE and NSF.
[1] J. L. Lyons, A. Janotti, and C. G. Van de Walle, Phys. Rev. B 89, 035204 (2014).
[2] C. Freysoldt, B. Grabowski, T. Hickel, J. Neugebauer, G. Kresse, A. Janotti, and C. G. Van de Walle, Rev. Mod. Phys. 86, 253 (2014).
[3] Q. Yan, A. Janotti, M. Scheffler, and C. G. Van de Walle, Appl. Phys. Lett. 105, 111104 (2014).
[4] A. Alkauskas, Q. Yan, and C. G. Van de Walle, Phys. Rev. B 90, 075202 (2014).
11:15 AM - RR11.02
Understanding the Role of Point Defects on the Optoelectronic Properties of Gallium Nitride from First-Principles
David Kirk Lewis 1 Masahiko Matsubara 1 Enrico Bellotti 1 Sahar Sharifzadeh 1
1Boston University Boston United States
Show AbstractIII-Nitrides form a class of wide bandgap semiconductors that have broad applications in optoelectronics technology due to their relatively large band gap, high carrier drift velocity and high breakdown voltage. In particular, GaN and its alloys are promising as component materials in solid-state lighting, radio-frequency, and power electronic devices. However, these materials generally grow with high defect densities, which can substantially degrade their electronic properties. An accurate and detailed knowledge of the influence of defects on their electronic structure will be central to the design of new high-performance materials.
Here, we take a density functional theory (DFT) and many-body perturbation theory (MBPT) approach to understanding the influence of defects on the electronic and optical properties of GaN. We confirm that the existence of either an N or Ga vacancy results in several energetically favorable charged states within bulk GaN. Moreover, MBPT calculations of a select set of representative with-defect structures, reveal that the quasiparticle bandstructure and excitonic properties of GaN can be strongly influenced by the existence of vacancies. The implication of these results for electron transport and photoluminescence properties of GaN will be discussed.
11:30 AM - RR11.03
Gallium Vacancies and Their Complexes with Donors in GaN
John L Lyons 1 Audrius Alkauskas 3 Anderson Janotti 2 Chris G. Van de Walle 2
1Brookhaven National Laboratory Upton United States2University of California, Santa Barbara Santa Barbara United States3Center for Physical Sciences and Technology Vilnius Lithuania
Show AbstractGallium vacancies (VGa) and their complexes with donor impurities (such as H and O) are the dominant native acceptors in Ga, and have been linked to deep-level luminescence, carrier trapping, and carrier compensation. A quantitative theoretical description of these centers has been challenging due to shortcomings in density functional theory, in particular, its inability to describe the properties of deep defect centers.
In this work, we employ hybrid density functional calculations to investigate the electrical and optical properties VGa and VGa complexes in GaN. As predicted previously, our results indicate that both H and O donors strongly bind to VGa. We further find that the formation of such complexes causes large changes in both the electrical and optical transition levels of VGa [1]. Finally, we compare these results to the experimental observations which have been linked to these centers, focusing on how the formation of complexes affects acceptors transition levels and the properties of luminescence bands associated with these centers.
[1] J. L. Lyons, A. Alkauskas, A. Janotti, and C. G. Van de Walle,
Phys. Stat. Sol. B252, 845 (2015).
Research done in part at the Center for Functional Nanomaterials, which is a U.S. DOE Office of Science Facility, at Brookhaven National Laboratory under Contract No. DE-SC0012704. Research done at UC Santa Barbara supported by LEAST.
11:45 AM - RR11.04
Role of Carbon Complexes in GaN Studied by Density Functional Theory Using Heyd-Scuseria-Ernzerhof Hybrid Functionals
Masahiko Matsubara 1 Enrico Bellotti 1
1ECE, Boston University Boston United States
Show AbstractCarbon is a common impurity in GaN. It is incorporated during growth process unintentionally. On the other hand, intentional carbon doping is also used to obtain semi-insulating layer of GaN that are critical for the fabrication of high electron mobility transistors (HEMTs). However, increasing the carbon concentration may lead to increase deep level traps in the band gap, which are detrimental to the electronic properties of GaN and affect the performance of HEMT. Therefore in order to understand the effect of carbon on the device operation and to improve their performance it is important to identify the physical origins of C-related deep level traps.
Theoretical calculations based on density functional theory (DFT) suggested that carbon substituting nitrogen (CN) is a dominant form in n-type GaN and behaves as an acceptor [1,2]. However, recent secondary ion mass spectroscopy (SIMS) experiment on GaN has shown that the carbon concentration exceeds the carrier concentration, which implies not all of the carbon atoms substitute nitrogen or act as acceptors [3]. Indeed, in a number of experiments such as deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS), multiple carbon related deep level traps are reported [4,5,6]. But the physical origins of these traps are still unknown.
In this study, we will show a comprehensive study of the carbon related defects in GaN using Heyd-Scuseria-Ernzerhof hybrid functionals within DFT formalism. Our goal is to identify the origins of carbon related trap levels by assigning calculated trap levels with given configurations. Specifically, we focus on the role of carbon complexes about which very little is known so far. The complexes studied here include CN with other forms of carbon (e.g. interstitial carbon), with native defects (e.g. vacancies) and with other impurities (e.g. oxygens). The transition level positions, which are obtained from the defect formation energies, of these complexes are systematically obtained and directly compared with the experimentally observed trap levels.
[1] A. F. Wright: Journal of Applied Physics 92, 2575 (2002).
[2] J. L. Lyons et al.: Applied Physics Letters 97, 152108 (2010).
[3] R. P. Tompkins et al.: Journal of Materials Research 26, 2985 (2011).
[4] A. Armstrong et al.: Journal of Applied Physics 98, 053704 (2005).
[5] P. B. Shah et al.: Solid-State Electronics 78, 121 (2012).
[6] A. Y. Polyakov et al.: Journal of Vacuum Science & Technology B 31, 051208 (2013).
12:00 PM - RR11.05
Low Temperature Volumetric Acceptor Activation of Bulk Mg-Doped GaN by Microwave Irradiation
Marc L Olsson 1 Yoshio Honda 1 Hiroshi Amano 1
1Nagoya University Osaka-fu Japan
Show AbstractThe high ionization thresholds and low activation efficiencies of acceptor-type dopants in group III-Nitrides remain significant constraints on device design and performance for these semiconductor materials[1,2,3]. Commonly applied activation methods incur considerable thermal strain on the materials[4], the reduction of which may improve device performance and enable more refined designs[5]. The efficacy of microwave irradiation for acceptor-type activation has been previously described[6,7]. This paper examines microwave power and dwell time correlations to peak temperature reading and free carrier conduction.
An investigation of 5.8 GHz microwave irradiation of bulk Mg-doped GaN for p-type dopant activation was carried out over a matrix of microwave field power intensities and dwell times listed in figure 1. An identically prepared sample was subjected to RTA treatment at 700 oC for 5 minutes in 20% O2 concentration for comparison with microwave irradiated samples. Following treatments, Hall devices were fabricated using standard Van der Pauw geometry and measured to determine free carrier concentration. A subset of the samples were measured by electro-chemical capacitance-voltage depth evaluation to quantify carrier depth dependencies.
All samples were MOVPE grown samples of Mg-doped GaN of 1 um thickness on un-doped GaN of 1 um thickness nucleated to c-plane sapphire. Atomic concentration of Mg was confirmed by SIMS at 5x1019 cm-3. 5.8 GHz microwave irradiation was performed using an Axom 300 microwave anneal furnace manufactured by DSG Technologies. Temperature readings were performed in realtime by 3,5 um pyro with set depth of focus in close proximity to the product sample.
Hall results summarized in figures 2 and 3 confirmed "p-type" conduction achieved within 30 seconds and at peak temperatures less than 150 oC. Also, correlation of free hole concentration with both time and microwave power intensity was shown. ECV measurement results indicated negligible depth dependency between free carriers suggesting "volumetric" profile with no apparent "skin depth" limitations. Further investigations are underrway to quantify MWA efficacy for p-layer of InGaN-based LEDs.
1) W. Götz, N.M. Johnson, J. Walker, and D.P. Bour, Appl. Phys. Lett. 68,(24) (1996)
2) L.T. Romano, M. Kneissl, J.E. Northrup, C.G. Van de Walle and D.W. Treat, Appl. Phys. Lett. 79 (17), 2001.
3) S. Brochen, J. Brault, S. Chernot, A. Dussaigne, m. Leroux and B. Damilano, Appl. Phys. Lett. 193, 032102 2013.
4) S. Nakamura, N. Iwasa, M. Senoh and T. Mukai, Jpn. J. Appl. Phys. 31 (5a) 1992.
5) G.T. Thaler, D.D. Koleske, S.R. Lee, K.H.A Bogard and M.H. Crawford, J. Cryst. Growth 312 (11) 2010.
6) S.J. Chang and Y.K Su, Appl. Phys. Lett. 78 (3) 2001.
7) S.G. Sundaresan et al, Semicond. Sci. Technol. 22, 2007
12:15 PM - RR11.06
Probing Point Defects in GaN by Time-Resolved Photoluminescence
Joy Dorene McNamara 1 Michael A. Reshchikov 1
1Virginia Commonwealth University Richmond United States
Show AbstractTime-resolved photoluminescence (PL) provides valuable information about point defects in GaN, such as determining the electron-capture coefficients for various defect-related PL bands. This information can be used to determine the concentration of free electrons in the material by a contactless method. By employing time-resolved PL methods, PL bands that are obscured by other bands in the steady-state PL spectra can be accurately resolved. We investigated the main defect-related PL bands in a large number of GaN samples grown by various methods, including conductive n- and p-type samples, as well as high-resistivity GaN.
In high-purity GaN samples, grown by hydride vapor phase epitaxy (HVPE), the green luminescence (GL) band with a maximum at 2.4 eV is observed in the steady-state PL spectra only at high excitation intensity, Pexc, since its intensity increases as the square of Pexc. It is much easier to detect the GL band in time-resolved PL experiments, because it is very intense at short time delays after the excitation pulse. The decay of the GL band is exponential for temperatures between 30 and 100 K, with a characteristic PL lifetime of ~2 mu;s. For time delays longer than 10-5 s, the GL band disappears, and reveals the YL band with a maximum at 2.1 - 2.2 eV. The YL band decays much slower, with a typical PL lifetime of several milliseconds. The YL and GL bands in high-purity HVPE GaN samples are attributed to transitions of electrons from the conduction band to the -/0 and 0/+ levels of the isolated CN defect [1].
For high-resistivity or p-type conductive GaN:Mg samples, grown by molecular beam epitaxy (MBE), we investigated the dominant defect-related PL bands. These are the ultraviolet luminescence (UVL) band with a main peak at 3.26 eV and the green luminescence (GL2) band with a maximum at 2.35 eV. The UVL and GL2 bands in these samples were attributed to the MgGa acceptor and the VN donor, respectively [2]. From the analysis of the decay, the origin of the PL is attributed to an internal transition. The PL spectra in GaN:Mg samples grown by MBE differ from those grown by metal-organic chemical vapor deposition. In the latter, a blue luminescence band with a maximum at about 2.7 - 2.9 eV is the dominant PL band, presumably caused by electron transitions from a deep donor to the shallow MgGa acceptor.
[1] M. A. Reshchikov, D. O. Demchenko, A. Usikov, H. Helava, and Yu. Makarov, Phys. Rev. B90, 235209 (2014).
[2] M. A. Reshchikov, D. O. Demchenko, J. D. McNamara, S. Fernandez-Garrido, and R. Calarco, Phys. Rev. B90, 035207 (2014).
12:30 PM - RR11.07
Cathodoluminescence Study of Defects in Ammonothermal GaN Crystals
Vanesa Hortelano 1 2 Oscar Martinez 1 Juan Jimenez 1 Buguo Wang 3
1Univ de Valladolid Valladolid Spain2Humboltd University Berlin Germany3Wright State University Dayton United States
Show AbstractWide band gap semiconductors, such as GaN, present a high potential for optoelectronics and microelectronics. However, the actually available GaN devices are based on epitaxial layers grown on foreign substrates, which results in imperfection material with dislocation concentration typically >106 cm-2, which limits the performance and reliability of the devices. In particular, devices operating at high power, e.g. lasers and high electron mobility transistors, demand low defect density materials. Significant material improvements are necessary for the future commercialization of the next generation of light emitting diodes (LEDs), blue laser diodes (LDs), and high power electronics. The cost effective fabrication of crystals suitable for substrate availability at large scale, is essential for the high quality homoepitaxial GaN, required for those devices. The ammonothermal crystal synthesis (solvothermal process using supercritical ammonia as a solvent) appears as a cost effective method for growing crystals with size and crystalline quality suitable for the fabrication of high quality native substrates, in both polar and non-polar faces, for homoepitaxy. However, the ammonothermal growth presents still some challenges regarding the crystal quality. Presence of macro and microdefects and the incorporation of impurities are major issues. Typical macrodefects are inversion domains, twins, hexagonal pits, as well as parallel growth in the N-face, and columnar growth and hillocks in the Ga-face. The formation of the macrodefects is associated not only with the poor quality GaN seeds but also with impurities, as revealed by hyper-spectral CL images permitting to assign the distribution of impurities around the macrodefects, extracting useful information about preferential impurity incorporation sites. CL results about the di-hexagonal pyramids revealed by phosphoric acid etching, in the N-face, and the columnar structure in the Ga -face are presented, and discussed in terms of the incorporation of the impurities.