Symposium Organizers
Frank Grosse, Paul-Drude-Int fur Festkorperelektronik
Dhananjay Kumar, North Carolina A amp; T State University
Florencio Sanchez, ICMAB CSIC
Tetsuya Yamamoto, Kochi University of Technology
Symposium Support
North Carolina Aamp;T Univ
SS3: Complex Oxidesmdash;Towards Applications
Session Chairs
Tetsuya Yamamoto
Maryline Guilloux-Viry
Tuesday PM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
2:30 AM - SS3.01
Functional Spinel Oxide Heterostructures on Silicon
Romain Bachelet 1 2 Patricia de Coux 3 Benedicte Warot-Fonrose 3 Vassil Skumryev 4 Gang Niu 1 Bertrand Vilquin 1 Guillaume Saint-Girons 1 Florencio Sanchez 2
1INL-CNRS Ecully France2ICMAB-CSIC Bellaterra Spain3CEMES-CNRS Toulouse France4ICREA Bellaterra Spain
Show AbstractAmong the wide range of functional oxides, spinel oxides presenting a rich variety of physical properties are of increasing interest for fabrication of new devices in the field of electronics and communications. However, its integration on silicon is challenging because of huge (structural, chemical and thermal) dissimilarities. The films have to be epitaxially grown with controlled structural properties (orientations, interfaces, etc), that requires the use of proper (structurally-compatible, chemically and thermally stable) oxide buffer-layers on silicon.
Here, we show that flat CFO layers with magnetization close to the bulk value can be epitaxially grown on silicon with (111) orientation and reasonably low mismatch using epitaxial gamma-Al2O3 (AO) ultrathin buffer-layer of spinel structure. Less than two nanometers thick AO layers grown by oxide molecular beam epitaxy (MBE) allows an epitaxial growth of CFO layers by pulsed laser deposition (PLD) monitored by reflection high-energy electron diffraction (RHEED) [1]. X-ray diffraction (XRD) and transmission electron microscopy (TEM) reveal that CFO replicates the crystalline orientations of the underlying iso-structural AO layer. We also show that flat (001)-oriented CFO layers can be epitaxially grown with single domain on SrTiO3-buffered Si(001) by kinetic limitations. These results extend the possibility of using spinel oxides on silicon for new monolithic devices.
[1] R. Bachelet et al., CrystEngComm., 2014, DOI: 10.1039/C4CE01817F.
2:45 AM - SS3.02
Epitaxial High-K Dielectrics: Ternary Rare-Earth Based Oxides Grown on Semiconducting Substrates
Martina Luysberg 1 3 Fabian Wendt 2 4 Anna Schaefer 2 4 Siegfried Mantl 2 4 Steffi Lenk 2 4 Gang Niu 5 Thomas Schroeder 5 Martin Mikulics 2 4 Andreas Winden 2 4 Hilde Hardtdegen 2 4 Thomas-Ulrich Tromm 2 3 Juergen Schubert 2 4
1Research Centre Juelich Juelich Germany2Research Centre Juelich Juelich Germany3Research Centre Juuml;lich Juelich Germany4JARA, Fundamentals of Future Information Technology Juelich and Aachen Germany5IHP Microelectronics Frankfurt (Oder) Germany
Show AbstractTernary rare-earth based oxides are promising candidates for gate insulators in e.g. high electron mobility transistors due to their wide band gap (> 5 eV) and large permittivity (>24). Here we report on oxides, such as GdScO3 or LaLuO3, for which epitaxial growth could be achieved on GaN (0001) and on templates for GaN growth - i.e. epitaxial Y2O3 on Si (111). Such crystalline, high-k epitaxial layers potentially enable epitaxial overgrowth and hence offer new pathways towards 3D integration. Therefore a careful determination of the layers` structural properties including interface abruptness and dielectric properties is essential.
The ternary rare-earth based oxides were deposited by pulsed laser deposition (PLD) using a KrF excimer laser (wavelength 248nm, pulse width 20 ns, fluence 2.5 J/cm2) at suitable substrate temperatures at which crystalline growth occurs. X-Ray diffraction (XRD) and electron diffraction (ED) experiments were carried out to determine the structure of the crystalline phases. Atomic scale structural and chemical properties of the interfaces were investigated by use of aberration corrected FEI Titan 80-300 and FEI Titan 80-200 electron microscopes equipped with a Gatan imaging filter and a Super-X detector. The dielectric properties of the films are determined by capacitance-voltage measurements.
Most surprisingly the studies revealed novel hexagonal structures opposed to the well-known orthorhombic phases for both, GdScO3 and LaLuO3. All experimental findings comply with P63/mmc space group, which has been reported for La2O3, where Sc and Gd (or La and Lu) are statistically distributed on the La positions. Despite the large mismatch of up to 17 % for the case of LaLuO3 grown onto GaN well defined, atomically flat interfaces are observed. In addition to the hexagonal phase, cubic, orthorhombic, and amorphous films can be realised depending on the substrate and growth temperature chosen. All of these phases exhibit permittivities between 14 and 50, where a value of about 27 is observed for the hexagonal phases. Hence, different crystalline phases of the rare earth oxides can be achieved offering new possibilities for implementing oxide films on semiconducting substrates.
3:00 AM - *SS3.03
Understanding Growth Mechanisms in Bottom-Up Approach to Epitaxial Functional Oxide Nanostructures and Nanocomposite Thin Films
Xavier Obradors 1 Teresa Puig 1 Mariona Coll 1 Jaume Gazquez 1 Anna Palau 1 Susagna Ricart 1 Narcis Mestres 1 Jordi Arbiol 1 Alberto Queralto 1 Pablo Cayado Llosa 1 V. Rouco 1 Roger Guzman 1 J.C. Gonzalez 1 Maria de la Mata 1 Bernat Mundet 1 J. Jareno 1 A. Perez del Pino 1
1Institut de Ciegrave;ncia de Materials de Barcelona-CSIC Bellaterra Spain
Show AbstractGeneration of large area arrays of self-organized oxide nanostructures (nanodots, nanowires) and large area thin films and nanocomposites provides unique opportunities for the development of novel functionalities with a wide range of potential applications (superconducting, electronic, magnetic, etc.). Bottom-up approach based on chemical solution deposition (CSD) offers a high throughput and cost-efficient route for the generation of complex oxide thin films, multilayers and nanocomposite films while Atomic Layer Deposition (ALD) is very effective in modifying the interfacial properties and .
In this talk I will review recent developments in the understanding of the growth mechanisms of epitaxial oxides derived from chemical solutions when Conventional Thermal Annealing, Rapid Thermal Annealing or Pulsed Laser Annealing are used. I will also show that CSD and ALD can be combined to prepare oxide multilayers with modified functionalities. The systems investigated include the ionic conductor Ce1-xGdxO2, ferromagnetic-metallic perovskites (La1-xSrxMnO3), perovskites with metal-insulator transitions (RENiO3) or YBa2Cu3O7 - derived nanocomposite high temperature superconductors. Some outstanding properties of these complex oxides are found to be linked to the nanoscale strain. I will stress that to fully develop the strong potential of bottom-up approaches to complex functional materials a detailed understanding of the growth mechanisms is required.
3:30 AM - SS3.04
On the Giant Electrostrictive Response in Ce0.8Gd0.2O2-x
Mahmoud Hadad 2 Husnain Ashraf 1 Ayat Karimi 3 Paul Muralt 2
1Ecole Polytechnique Federale de Lausanne (EPFL) Lausanne Switzerland2EPFL Lausanne Switzerland3Ecole Polytechnique Federale de Lausanne Lausanne Switzerland
Show AbstractPiezoelectric and ferroelectrics thin films are being widely investigated for electromechanical MEMS applications: Aluminum nitride (AlN) for its high mechanical quality in the microwave frequency range, and lead zirconate titanate (PZT) for its large piezoelectric effect in the actuator mode. Recently it was reported that (111)-textured CGO thin films with additional oxygen vacancies showed a giant electrostrictive behavior[1]. Compressive stress of 70 or even 500 MPa could be generated with a field of 60 kV/cm in a parallel plate capacitor structure. Such a value could make CGO compete with PZT. Best PZT films need 35 to 250 kV/cm for the same stress values. Attractive is also the fact that CGO produces a compressive stress, while AlN and PZT a tensile stress. It was proposed that defect dipoles align at high fields, eventually supported by residual compressive stress in the as-deposited film, and so give rise to the giant electrostriction effect.
We studied the effect at 0.4 to 3 µm thick, (111)-textured Ce0.8Gd0.2Ox thin films sputter deposited from a ceramics target onto Al and Pt electrode layers on silicon wafers. Cantilever structures with patterned top electrodes were fabricated. The bending of the cantilevers during application of an electric field was measured with an interferometer, and the effective stress created by the CGO layer was derived. The best value was obtained with a 2.5 µm thick film on an Al electrode, deposited at room temperature: 28 MPa under an electric field of 125 kV/cm. The Young&’s modulus was determined by nano-indentation experiments as 180 GPa (nu; = 0.33). The resulting electrostriction coefficient M (σ=Y. Me. E2) was calculated to be 1.1E-18 m2V-2. High temperature processed films, as used for solid ionic applications, yielded a Me of 3E-20 m2V-2, so roughly a factor 40 smaller. Films with larger electrostrictive coefficients exhibited a better (111) texture, and a lower Young&’s modulus. Both trends support the model of oxygen vacancy dipole formation along the [111] direction, as described in Korobko et. al[1].
[1] R. Korobko, A. Patlolla, A. Kossoy, et. al., “Giant electrostriction in Gd-doepd Ceria” Adv. Materials, 2010
3:45 AM - SS3.05
Monolithic Integration of Functional Oxides on Silicon by Chemical Solution Deposition
Carretero-Genevrier Adrian 2 Marti Gich 4 Jose Vila-Fungueirino 5 Glenna Drisko 6 Laura Picas 7 Jaume Gazquez 1 Beatriz Rivas-Murias 5 Romain Bachelet 2 Guillaume Saint-Girons 8 Juan Rodriguez-Carvajal 9 Fran Rivadulla 5 Clement Sanchez 3
1ICMAB Bellaterra Spain2INL-CNRS Ecully France3UPMC UMR7574 LCMCP Paris France4ICMAB Barcelona Spain5CIQUS Santiago Spain6LCMCP Paris France7Curie Institute Paris France8INL Lyon France9ILL Grenoble France
Show AbstractIn the past years, great efforts have been devoted to combine the functionality of oxides with the performances of semiconductor platforms for the development of novel and more efficient device applications. However, further incorporation of functional oxide nanostructures as active materials in electronics critically depends on the ability to integrate crystalline metal oxides into silicon structures [1]. In this regard, the presented work takes advantage of all the benefits of soft chemistry to overcome the main challenges for the monolithic integration of novel nanostructured functional oxide materials on silicon including (i) epitaxial piezoelectric α-quartz thin films with tunable textures on silicon wafers [2] and (ii) ferromagnetic La0.7Sr0.3MnO3 (LSMO) thin films epitaxially grown on (100)-silicon at low temperature.
Importantly, piezoelectric quartz growth mechanism is governed by a thermally activated devitrification of the native amorphous silica surface layer assisted by a heterogeneous catalysis under atmospheric conditions driven by alkaline earth cations present in the precursor solution. Quartz films are made of perfectly oriented individual crystallites epitaxially grown on (100) face of Si substrate with a controlled porosity after using templating agents [3]. Moreover, a quantitative study of the converse piezoelectric effect of quartz thin films through piezoresponse force microscopy shows that the piezoelectric coefficient d33 is between 1.5 and 3.5 pm/V which is in agreement with the 2.3 pm/V of the quartz single crystal d11. Epitaxial LSMO thin films synthesis, involves the use of polymer assisted deposition (PAD) process [4] combined with the controlled epitaxial growth of SrTiO3 buffer layer grown by molecular beam epitaxy (MBE) at the silicon surface, which allowed LSMO thin films to stabilize and crystallize at low temperature. All together, the methodology presented here exhibits a great potential and offers a pathway to design novel oxide compounds on silicon substrates by chemical routes with unique optical, electric, or magnetic properties.
[1] A. Carretero-Genevrier et al. Nanoscale, 20, 892-897. (2014).
[2] A. Carretero-Genevrier et al. Science, 20, 892-897. (2013).
[3] G.L. Drisko et al. Adv.Funct.Mater. 24, 5494-5502 (2014)
[4] Q. X. Jia, et al. Nature Materials 3, 529 (2004)
SS4: 2DEGs at Oxide Interfaces
Session Chairs
Tetsuya Yamamoto
Maryline Guilloux-Viry
Tuesday PM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
4:30 AM - SS4.01
Isovalent, Magnetic Ruthenium Doping at the Interface between SrTiO3 and LaAlO3
Matthew Thomas Gray 2 Theodore Sanders 1 Elke Arenholz 3 Catherine Jenkins 3 Yuri Suzuki 1
1Stanford University Stanford United States2Stanford University Stanford United States3Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractThe emergence of a quasi-two dimensional electron gas (q2DEG) at the interface between bulk band gap insulators LaAlO3 (LAO) and SrTiO3 (STO) has led to intense research of this phenomenon [1]. Especially of interest have been the reports of ferromagnetic ordering in this system [2-4]. In this work we investigate the effect of doping magnetic, isovalent Ru4+ onto the Ti4+ site of the STO side of the interface. 1-10 nm of SrTi1-xRuxO3 (x=0.02 - 0.5) were deposited on 001 STO, buffered oxide etched to ensure Ti termination, and then capped with 1-10 nm of LAO. X-ray diffraction analysis indicates excellent crystallinity of the epitaxially grown films with rocking curves having full width half max values of Dw<0.15. Samples with greater than 3 nm of SrTi1-xRuxO3 were electrically insulating independent of x or LAO thickness. All other samples demonstrated the expected critical LAO thickness dependence of at least 4 U.C. for conductivity. These conductive samples have electronic properties within the range observed for undoped LAO/STO interfaces including metallic behavior down to 2 K and a carrier concentration at room temperature that approaches saturation around 10-14 cm-2. XMCD evidenced no magnetic ordering at the Ti or Ru L edges down to 10 K, and electronic mobility values were within the range seen for undoped LAO/STO interfaces suggesting that the presence of magnetic scattering sites at or near the interface did not have a significant effect on the electronic or magnetic properties of the q2DEG.
[1] A. Ohtomo and H. Y. Hwang, Nature 427, 423 (2004).
[2] M. Huijben, G. Rijnders, D. H. Blank, S. Bals, S. van Aert, J. Verbeeck, G. van Tendeloo, A. Brinkman, and H. Hilgenkamp, Nature materials 5 (7), 556 (2006).
[3] J. A. Bert, B. Kalisky, C. Bell, M. Kim, Y. Hikita, and H. Y. Hwang, K. A. Moler, Nature Physics 7, 767 (2011).
[4] L. Li, C. Richter, J. Mannhart, and R. C. Ashoori, Nature Physics 7 (10), 762 (2011).
4:45 AM - SS4.02
High-Density Electron Gases at Ferroelectric Interfaces
Santosh Raghavan 1 Adam P. Kajdos 1 Susanne Stemmer 2
1University of California Santa Barbara Santa Barbara United States2University of California, Santa Barbara Santa Barbara United States
Show AbstractTwo dimensional electron gases (2DEG) at complex oxide interfaces exhibit unique properties such as superconductivity and ferromagnetism. In this presentation, we demonstrate the growth of high quality ferroelectric BaTiO3 thin films using hybrid molecular beam epitaxy (MBE) technique and their integration with SrTiO3/SmTiO3 interfaces. We show the presence of a MBE “growth window” for BaTiO3 using the hybrid MBE technique and that the films are ferroelectric. We present in-plane transport measurements of SrTiO3/BaTiO3/SmTiO3 heterostructures grown on insulating (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates. Temperature dependent Hall and resistivity measurements indicate the presence of a high charge carrier density of ~ 3×1014 cm-2 at the BaTiO3/SmTiO3 interface similar to the SrTiO3/SmTiO3 interface. The charge carriers compensate for the polar discontinuity at the interface and reside on the BaTiO3 side of the interface. Similar to bulk BaTiO3, carrier transport exhibits small polaron characteristics, creating a high-density polaron gas. We also present evidence for effect of BaTiO3 thickness on the transport behavior in the heterostructures. BaTiO3 layers thinner than 3 unit cells exhibit metallic behavior with the high density of carriers, whereas layers thicker than 3 unit cells exhibit insulating behavior irrespective of the presence of the high density of carriers arising from the polar discontinuity at the BaTiO3/SmTiO3 interface.
5:00 AM - *SS4.03
Building a Band Diagram for Oxide Interfaces Hosting a 2D Electron Gas
Fabio Miletto Granozio 1
1CNR-SPIN UOS Napoli Naples Italy
Show AbstractBand diagrams are a tool of fundamental importance for properly understanding the properties of heterostructures [1]. They condense crucial information about electronic properties, including band alignments, built-in fields, insulating and metallic regions and space-charge formation. The band diagram expected for an electronically reconstructed LaAlO3/SrTiO3 heterostructure is readily identified on the base of simple electrostatic arguments. It includes among it&’s features a confining potential at the SrTiO3 side of the interface and a large electric field within LaAlO3. The present literature on the topic is highly controversial since the absence of the abovementioned features has been claimed in a number of publications. This contributed to shed many doubts on the origin of the interfacial 2D electron gas.
In the course of our work, measurements performed of different types of heterostructures hosting a 2D electron gas are reported. As a first step, growth conditions for the fabrication of metallic LaAlO3/SrTiO3, LaGaO3/SrTiO3 and NdGaO3/SrTiO3 interfaces are addressed and compared. By resorting to several complementary techniques, including STEM-EELS [2], X-ray photoemission spectroscopy, second harmonic generation [3] and photoconductivity [4] we address the presence of intrinsic electric fields within all these heterostructures. We argue that doping effects taking place under a probing radiation in the VIS, UV or X-ray range might well affect the output of many experiments. We suggest that a thorough understanding of the steady state achieved by these systems under a photon beam is crucial for the correct interpretation of available experimental data.
[1] Herbert Kroemer (Nobel Lecture), Rev. Mod. Physics 73, 783 (2001);
[2] C. Cantoni, F. Miletto Granozio et al., Adv. Mater. 24, 3952 (2012);
[3] E. Di Gennaro, F. Miletto Granozio et al, Adv. Opt. Mat. 1, 834 (2013);
[4] G. De Luca, F. Miletto Granozio et al., Appl. Phys. Lett. 104, 261603 (2014)
5:30 AM - SS4.04
A Study of LaInO3/BaSnO3 Polar Interface for High Field Effect Mobility at Room Temperature
Useong Kim 1 Chulkwon Park 1 Taewoo Ha 2 Young Mo Kim 1 Namwook Kim 1 Chanjong Ju 1 Woong-Jhae Lee 1 Kee Hoon Kim 1 Jae Hoon Kim 2 Kookrin Char 1
1Seoul National University Seoul Korea (the Republic of)2Yonsei University Seoul Korea (the Republic of)
Show AbstractIn this study, we fabricated interfaces with polar discontinuity using the non-polar perovskite BaSnO3 and the lattice matched polar perovskite LaInO3. We found significant conductance increase in the LaInO3/BaSnO3 interface when compared with those of the individual surfaces. The conductance enhancement in the polar interface critically depended on the La doping level on the BaSnO3 side. Without La dopant in BaSnO3 side, the polar interface did not show any conductance enhancement. As the La doping level in BaSnO3 increased up to about 0.5 %, the sheet conductance in the interface approached about 10-4 Omega;-1 sq. From this observation, it can be inferred that the doping level of BaSnO3 plays a significant role in the formation of two dimensional electrons gas (2DEG) by electronic reconstruction at the interface. Furthermore, we demonstrated a field effect transistor exploiting the conductive LaInO3/BaSnO3 interface. We obtained field effect mobility higher than 90 cm2/Vs, on/off ratio larger than 107, and 0.65 V/dec of subthreshold swing at room temperature. The high device performance of our transistor at room temperature suggests that the formation of 2DEG at the interface is primarily due to electronic reconstruction.
5:45 AM - SS4.05
Negative Electronic Compressibility in Dual Gated LaAlO3/SrTiO3 Heterointerface
Zhuoyu Chen 1 Hongtao Yuan 1 Yanwu Xie 1 2 Biao Lian 3 Di Lu 3 Yasuyuki Hikita 2 Christopher Bell 2 Harold Y Hwang 1 2
1Stanford University Stanford United States2SLAC National Accelerator Laboratory Stanford United States3Stanford University Stanford United States
Show AbstractElectronic compressibility denotes the relation between the electron chemical potential and the electron density, and has proved to be a powerful parameter for investigating electron correlations and many-body physics in semiconductors and graphene systems1,2. Here in the conducting LaAlO3/SrTiO3 (100) interfacial electron system3-5, by simultaneously gating from the LaAlO3 top and the SrTiO3 back surfaces (dual-gate device structure) we controllably varied the interface electron density and the confining potential to experimentally tune and investigate the electronic compressibility in this system. In the high electron density limit, we observed a counterintuitive decrease of total electron density with increasing back gate voltage (i.e. an apparent negative differential capacitance via back gating), indicating a region of negative electronic compressibility. Analysis using a two-carrier (light dxy electrons and heavy dyz/dxz electrons) model shows a subband dependent behavior and an imbalance in the chemical potential in the different subbands, implying that an attractive interaction among the electrons in the heavy (dyz/dxz) band is likely responsible. The gate control of this interaction could be an important parameter for the ground state, including the superconducting state in confined SrTiOshy;3 systems6,7 and potentially other oxide materials.
References:
1. J. P. Eisenstein, L. N. Pfeiffer, and K. W. West, Phys. Rev. Lett.68, 674 (1992).
2. J. Martin et al., Nature Phys.4, 144-148 (2008).
3. A. Ohtomo, and H. Y. Hwang, Nature427, 423-426 (2004).
4. L. Li et al., Science332, 825-828 (2011).
5. V. Tinkl, et al., Phys. Rev. B86, 075116 (2012).
6. A. D. Caviglia et al., Nature456, 624-627 (2008).
7. A. Joshua et al., Nature Comm.3, 1129 (2012).
SS5: Poster Session I
Session Chairs
Tuesday PM, April 07, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - SS5.01
In-Situ Dynamic Electrical and Structural Measurements on PMN-PT Single Crystals and Epitaxial Thin Films
Carlo Vecchini 1 Sean McMitchell 2 3 Paul Thompson 2 3 Mark Stewart 1 Serban Lepadatu 1 Jennifer Wooldridge 1 Didier Wermeille 2 3 Laurence Bouchenoire 2 3 Simon Brown 2 3 Oier Bikondoa 2 3 Thomas Hase 4 3 Christopher Lucas 2 3 Markys G. Cain 1
1National Physical Laboratory Teddington United Kingdom2University of Liverpool Liverpool United Kingdom3XMaS Beamline Grenoble France4University of Warwick Warwick United Kingdom
Show AbstractThe physical properties and functional efficiency in many real systems are intimately related to their internal stresses and strain. Recently, there has been considerable research effort on understanding the complex interplay between sample structure and the internal strain which results in ferroic orders. Strong effects have been observed in a wide range of materials including (1-x)Pb(Mg1/3Nb2/3)O3-xPbTiO3 (PMN-PT) and PbZr(1-x)TixO3 (PZT).
Of particular relevance is the correlation between strain and electric polarisation which we are exploiting to develop a novel Piezoelectric-Effect-Transistor (PET). Suitably patterned architectures of these ferroic devices offer a possible route to replace current CMOS technology and operate with increased speed and significantly lower power consumption. To address the exploitation of this transformative technology, the European Metrology Research Programme's Nanostrain project brings together several European national laboratories in a consortium with commercial and academic partners. Since the operation of the PET will be controlled through application of an electric field, it is therefore imperative to investigate the physical deformation and strain state that occurs under applied electric fields in situ and in operando.
We will describe our initial studies on single crystal PMN-PT which is being used to develop quantitative and traceable metrologies for the precise determination of bulk and atomic strain within ferroelectric structures. To this end, we have incorporated a dual-beam optical interferometer together with a PE loop measurement system onto the XMaS beamline at the ESRF synchrotron beamline, France. Our simultaneous measurements of the electrical polarisation, lattice parameter and deformation allow new insights to be gleaned on the correlation between induced strain and material properties in single crystals and epitaxial films. We will both detail the experimental strategies employed to reduce noise and show results from dynamic cycles (up-to 55Hz) of electric field in both single crystal and epitaxial PMN-PT thin films. We will show the correlation between different intrinsic strain states within the material and correlate them with the observed macro-strain (extrinsic response-domain dynamics) in PMN-PT as function of field amplitude and frequency. The results of this study represent extremely valuable information for the control and design of new piezoelectric based devices.
9:00 AM - SS5.02
Engineering Electronic, Magnetic, and Optical Properties of Correlated Electron ldquo;Metallicrdquo; Complex Oxide
Ran Gao 1 Brent A Apgar 1 Sungki Lee 1 Zuhuang Chen 1 Eric Witte Breckenfeld 2 Lane W Martin 1 3
1University of California, Berkeley Berkeley United States2Naval Research Laboratory Washington United States3Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractThe novel electronic transport, magnetic properties, and other exotic physical phenomena observed in correlated-electron “metallic” oxide materials have been extensively explored. Modern advances in thin-film epitaxy additionally stand poised to revolutionize the way in which we control and understand these effects and ultimately utilize these materials for next-generation electronic, energy, and other applications. In this work we present a study of recent advances in the synthesis, control, and utilization of “metallic” complex oxide materials. In this presentation, we will explore three different aspects of our work. First, as modern approaches to growth have pushed the edges of what is capable in these materials, we will explore some foundational effects centering on what it takes to produce high-quality, nearly-ideal versions of these materials. Using NdNiO3 as a case-study, we will explore the amazing ability of these complex oxides to accommodate large non-stoichiometry prior to forming secondary phases and the impact this has on strain evolution and properties. We will show single-phase, high-quality films with 15% cation non-stoichiometry which show electronic transport properties that vary from some of the best metal-to-insulator transitions observed in these materials to classical semiconducting response. From there, we will focus on optimized materials, including NdNiO3, SrRuO3, and others, where we will explore the role of interfacial octahedral rotation effects on the evolution of properties. In SrRuO3 thin films, for instance, by changing the SrTiO3 (a0a0a0) substrate octahedral rotation pattern with a GdScO3 (a-a-c+) buffer layer, we have observed that the resulting symmetry of the SrRuO3 film can be shifted from monoclinic (with no buffer) to tetragonal (with buffer). Subsequent study of the magnetic and transport properties reveals a dramatic change corresponding to the change in the octahedral rotation pattern. Finally, we will explore the observation and physics of novel light absorption and photovoltaic and photocatalytic activity in heterojunctions based on such “metallic” oxides as the n-type LaNiO3, SrRuO3, and SrVO3 or p-type La0.5Sr0.5CoO3 and La0.7Sr0.3MnO and TiO2. These heterostructures exhibit high-performance photocatalytic response and operate on the principle of hot-carrier injection from the “metallic” oxide into a TiO2 capping layer. Extensive structural and physical property characterization will be provided. These materials possess low reflectance (17-33%) and high absorption (33-55%) of AM1.5G light which is comparable to designer direct band-gap semiconductors. We will also explore the use of thin film strain and octahedral rotation at interfaces to further control the nature of light absorption and transport in these systems.
9:00 AM - SS5.03
High MR Ratio with Embedded Co Nanoparticles into the MgO Layer of CoFeB/MgO/CoFeB Perpendicular Magnetic Tunnel Junctions
Lin-Xiu Ye 3 Ching-Ming Lee 1 3 Mi-Shen Jiang 1 Liang-Chun Chang 2 Shang-Fan Lee 2 Te-Ho Wu 1 3
1National Yunlin University of Science and Technology Douliu Taiwan2Academia Sinica Taipei Taiwan3National Yunlin University of Science and Technology Douliou Taiwan
Show AbstractMagnetic granular films have been attracted attention as one of promising candidate for magnetic memory and sensor elements because of their technical advantages. In this study, we report the electric and magnetic effects of inserting the Co nanoparticle into the MgO layer of CoFeB/MgO/ Co/MgO/CoFeB structures. The sample&’s structure is Ta(25)/Co40Fe40B20(1.3)/MgO(1)/ Co(x)/MgO(1)/Co20Fe60B20(2.2)/Ta(5), and were deposited on SiO2 substrates at room temperature with various Co thickness. The unit is in nanometer. The thickness of the Co layer varied from 0.1 to 1.0 nm. The samples were two-stage annealed at various temperatures without external magnetic field. Our results show the perpendicular magnetic anisotropy increases with two-stage annealed methods. Here Co40Fe40B20 served as the free layer while Co20Fe60B20 served as the fixed layer. The Co layers thickness can induces the structural change of magnetic layer from continuous film to granular structure. In as-deposition state, all samples are with perpendicular magnetic anisotropy (PMA) but could not distinguished that contribution be from the free layer or fixed layer. When Co layer thickness increase that in-plane magnetic moment also increase. In-plane hysteresis phenomena of free layer were began obvious rising from Co layer thickness larger than 0.9 nm. The nominal MTJs cells&’ dimensions were 1x1 or 3x3 mu;m2. Conducting AFM (CAFM) measurements for R-H loops were conducted under ambient temperature on a Veeco DI Dimension 3100 AFM.
We found that the two-stage annealing methods and thinner cobalt layer thickness are improved pMTJ structure magnetic properties. Perpendicularly magnetic anisotropy of full structure was increased after annealing without applied field. In our case, the Co 0.3 nm has best electric and magnetic properties. Furthermore, we found that the MR ratio increases dramatically over 325% with two-stage annealed at 300°C and embedded 0.3 nm Co particle. In addition, the effect of varieties of Co particles and two-stage annealing temperature on perpendicular anisotropy energy, MR ratio, and TEM microstructure properties will be presented and meticulously discussed.
This work was supported by the National Science Council of Taiwan, Republic of China, under Contract No. NSC 103-2112-M-224-001.
[1] W. H. Butler#65292;et al., Phys. Rev. B 63#65292;054416 (2001).
[2] J. Mathon et al., Phys. Rev. B 63#65292;220403R (2001).
[3] Shinji Yuasa., Journal of the Physical Society of Japan 77#65292;031001#65292;(2008).
9:00 AM - SS5.04
Al2O3 Thin Films Deposited by Pulsed Laser Deposition and rf Magnetron Sputtering for Surface Passivation of Silicon Solar Cells
Manuel Varela 3 MCarmen Polo 1 Jordi Andreu 1 Cesar Ferrater 1 Joan Bertomeu 1 Jose Miguel Asensi 1 Cristobal Voz 2
1Dept. Fisica aplicada y Optica- University of Barcelone Barcelona Spain2Universitat Politecnica de Catalunya Barcelona Spain3University of Barcelona Barcelona Spain
Show AbstractSurface passivation of crystalline silicon (c-Si) is a key issue in achieving high-efficiency in silicon solar cells. Recombination losses at the c-Si surface are one of the limiting factors, and different surface passivation strategies are being investigated [1]. These strategies are based in two mechanisms: 1) chemical passivation of Si dangling bonds by H atoms, and 2) field-effect passivation by a high density of fixed charge in a very thin film deposited on the c-Si.
Al2O3 is one of the materials that has attracted more interest in the recent years for this purpose [2]. An ultrathin Al2O3 layer can accumulate a high density of negative fixed charge near the interface with p-type c-Si that prevent electrons from approaching the surface and thus reducing recombination. This makes this material very suitable for conventional screen printed cells, where Al layer providing back surface field can be replaced by Al2O3 dielectric layer and point contacts produced by laser-firing [3], or for passivating p+ emitters in n-type c-Si cells.
The interest in Al2O3 as passivating layer has considerably grown since it has been synthesized by atomic layer deposition (ALD), which has become the reference deposition technique for passivation with this material. However, the low deposition rate that can be achieved by this technique is hindering the implementation this passivating layers in production.
In this work we will focus on the deposition at low temperatures of Al2O3 thin films by two physical vapor deposition techniques: magnetron sputtering and pulsed laser deposition. Corning 1737F glass and c-Si wafers will be used as substrates. For magnetron sputtering, a 3 inch Al2O3 target and rf will be used. A KrF excimer laser was used to obtain Al2O3 films from a 2 inch target placed at 5 cm from the substrate. O2 partial pressure during deposition and different post-deposition treatments will be tested.
The structure of the films will be analyzed by X-ray diffraction (XRD). X-ray photoelectron spectroscopy (XPS) will be used to determine the stoichiometry of the films. Optical properties will be studied by UV-Vis-NIR spectroscopy. Finally, the suitability of the deposited Al2O3 films for the passivation of c-Si will be assessed through life-time determination from quasi-steady-state photoconductance (QSSPC) measurements.
[1] S. W. Glunz, Adv. Optoelectron., (2007) 1-15. doi: 10.1155/2007/97370
9:00 AM - SS5.05
Misfit Relaxation and Interface Structure of BaZrxTi1-xO3 Epitaxial Thin Films Grown on (001) SrTiO3 by Pulsed Laser Deposition
Manuel Varela 1 Jofre Ventura 1 Jose Manuel Rebled 2 Maria del Carmen Polo 1 Francesca Peiro 2 Cesar Ferrater 1
1University of Barcelona Barcelona Spain2University of Barcelona Barcelona Spain
Show AbstractThin films of BaZrxTi1-xO3 (BZT) 100 nm thick were grown on (001) SrTiO3 substrates by pulsed laser deposition. A set of BZT targets with x ranging from 0 to 1 in increments of 0.1 were used; congruent stoichiometry transfer from target to film was confirmed by wavelength dispersive spectroscopy (WDS). As a result of X-ray diffractometry (XRD) characterization, the films are cube-on-cube epitaxially grown. A solid solution is formed across the entire composition range, with a cubic average unit cell (except tetragonal x=0 and 0.1 compositions) and lattice volume follows a linear Vegard&’s law. Given the large lattice misfit between BZT and STO, the films are fully relaxed (i.e. semicoherent growth). The elastic strain is released via the creation of misfit dislocations near the interface, as observed in STEM two-beam diffraction contrast images. Dislocation cores were determined by high-resolution transmission electron microscopy (HRTEM) to possess mainly b=a[100] Burgers vector. However, dissociations of the type a[100] = a/2[101] + a/2[10-1] were also observed. These dissociations create oblique stracking faults leading to antiphase boundaries which may degrade the ferroelectricity of the films as they break the ferroelectric order and can act as pinning centers as well. Finally, the growth is columnar with a sharp interface and a low surface roughness below 1 nm.
9:00 AM - SS5.06
Novel Routes to Strain Engineer Domain Structures and Properties in Epitaxial PbZr1-xTixO3 Thin Films
Shishir Pandya 1 Joshua Agar 1 Anoop Damodaran 1 Liv Dedon 1 Ruijuan Xu 1 Vengadesh Mangalam 2 Jambunathan Karthik 2 Lane W Martin 1 3
1University of California, Berkeley Berkeley United States2University of Illinois, Urbana Champaign Urbana United States3Lawrence Berkeley National Laboratory, Berkeley Berkeley United States
Show AbstractAdvances in thin-film epitaxy have provided researchers with additional routes to engineer functional properties in ferroelectrics, including epitaxial strain, material composition, electrostatic boundary conditions, etc. Key to new understanding in these complex materials is the ability to deterministically control the crystal and domain structure. In this work, we explore the evolution of ferroelectric domain structures and properties in the prototypical ferroelectric PbZr1-xTixO3. In particular, we will examine the role of epitaxial strain, film thickness and composition gradient as we explore new realms of strain engineering in materials.
High-quality, epitaxial thin films are grown via pulsed-laser deposition on a range of perovskite substrates. First, we will demonstrate how traditional epitaxial strain can be used to control a range of polydomain structures. Upon transitioning from compressive (~-1%) to tensile strains (~1%) in epitaxially grown PbZr1-xTixO3, we can deterministically engineer polydomain c/a/c/a domain structures and produce a domain fractions that range from 0 to >20%. In general the experimentally observed structures match those predicted by theory but some discrepancies have been observed. In particular, studies of thickness dependence in compressively strained PbZr0.2Ti0.8O3 heterostructures reveal a complex evolution of the film surface and domain structure. Using atomic and piezoresponse force microscopy, we have observed that the film surface transitions from an atomically-flat to a periodically-rippled topography at intermediate film thicknesses (~30-70 nm) before returning to atomically-flat in thick films. We hypothesize that the surface-rippling is caused by the strain fields arising from misfit dislocation formation as the film minimizes the elastic energy and that this strain relaxation and surface rippling is essential for the ultimate formation of the classically observed domain structures in these materials. From there, we will proceed to explore how compositional gradients in PbZr1-xTixO3 (0.2 to 0.8) heterostructures can be used as advanced routes to engineer exotic crystal and domain structures.
Armed with a diverse array of materials and domain structures, we proceed to study the electrical response in these materials. We observe 1) a strong enhancement in the permittivity and pyroelectric coefficient with increasing ferroelastic domain wall density (commensurate with the theoretical predictions), 2) intriguing evolution of dielectric and ferroelectric behavior in films of intermediate thicknesses possessing surface ripples, and 3) the ability to suppress permittivity in compositionally-graded films while maintaining large polarization, piezoelectric and pyroelectric response. We will bring to bear advanced scanning-probe based methods, including band excitation switching spectroscopy and non-linearity studies and much more to connect nanoscale phenomena to macroscale response in these materials.
9:00 AM - SS5.07
Alleviating the Polarity-Conflict at the Heterointerfaces of KTaO3/GdScO3
Justin Thompson 1 Jinwoo Hwang 2 John Nichols 1 John Gerard Connell 1 Susanne Stemmer 2 Ambrose Seo 1
1University of Kentucky Lexington United States2University of California, Santa Barbara Santa Barbara United States
Show AbstractThe atomic polarity plays an important role in a wide range of physical phenomena at oxide heterointerfaces. For example, the polar/non-polar nature of a LaAlO3/SrTiO3 system induces partial conducting electrons at the heterointerfaces to avoid a diverging electrostatic potential, the so-called ‘polar catastrophe&’, which results in intriguing two-dimensional transport and magnetic properties. Some recent results include the coexistence of ferromagnetism and superconductivity. However, to date, studies of oxide heterointerfaces have only focused on polar/non-polar interfaces, i.e. a II-IV/III-III system.
In this presentation, we will discuss a polar/polar interface (I-V/III-III system), in which the role of the polar interface is also important: KTaO3/GdScO3 (KTO/GSO) heterostructures. At the KTO/GSO interface, there is a ‘polarity conflict&’ at the heterointerface along the [001] direction, where the AO and BO2 layers have reversed net charges so that there is a conflict between possible chemical bondings and the electrostatic charges, i.e. K1+O2-(1-)/Sc3+O24-(1-) or Ta5+O24-(1+)/Gd3+O2-(1+), which is unstable in the electrostatic point of view. We ask a fundamental question: “How is the polar conflict resolved in the atomically flat heterointerfaces of such polar/polar systems?”
There are four possible ways in which the “polarity conflict” can be avoided: 1) Formation of a rock salt interfacial layer, 2) Oxygen vacancies, 3) Interstitial oxygen, and 4) Atomic reconfiguration into an interfacial bi-layer. We have synthesized epitaxial KTO thin films on GSO substrates using pulsed laser deposition. Our X-ray diffraction measurements have verified that only the (00l) peaks are present, and that the films are fully strained to the substrate. From high-resolution transmission electron microscopy, we have observed that the polar conflict is quite effectively avoided by forming an interfacial bi-layer (KxGd1-xO/TaySc1-yO2) at the heterointerface, resulting in high-quality epitaxial KTO thin films on top of the layers. Due to the strong contrast in atomic numbers between the atoms in both materials, we can use intensity profiles at the heterointerface to gain a qualitative understanding of how the interface is reconstructed. We estimate that there is approximately 70% Potassium, 30% Gadolinium, 20% Tantalum, and 80% Scandium atoms in the bi-layer (K0.7Gd0.3O/Ta0.2Sc0.8O2). Hence, the interfacial bi-layer meets the conditions of x ge; 0.5 and x - y = 1/2 for a net charge of (-1), which effectively alleviates the polarity conflict at the heterointerface. Our result suggests an important way to create I-V/III-III oxide heterostructures and two-dimensional confined layers using polar materials [1].
[1] J. Thompson, J. Hwang, J. Nichols, J. G. Connell, S. Stemmer, S. S. A. Seo, Appl. Phys. Lett. 105, 102901 (2014).
9:00 AM - SS5.08
Influence of Oxygen Content on the Electronic Properties of the PrAlO3/SrTiO3 Interface
Shirin Mozaffari 1 Mark C. Monti 1 Samaresh Guchhait 2 Jeremy W. Paster 1 Daniel M. Tennant 1 John T. Markert 1
1The University of Texas at Austin Austin United States2The University of Texas at Austin Austin United States
Show AbstractThe discovery of a two-dimensional electron gas (2DEG) at the interface of epitaxially grown LaAlO3 (LAO) on TiO2-terminated SrTiO3 (STO) has attracted many fundamental studies in recent years. The properties of the interface strongly depend on growth parameters, such as the oxygen partial pressure during growth; also, overlayer-substrate interdiffusion can occur. Charge transfer, oxygen vacancies, oxygen interstitials, and doping due to interdiffusion are possible contributions to the conductivity at the interface.
We are exploring the electrical and magnetic properties of a similar interface, PrAlO3/SrTiO3. Thin films of PrAlO3 (PAO) were grown by pulsed laser deposition (PLD) on single crystal STO substrates using a KrF pulsed excimer laser, at different oxygen pressures (PO2) during growth to study the effects of oxygen content and surface topography on the electronic properties of the interface. We report here structural (x-ray diffraction and atomic force microscopy), electronic (van der Pauw resistivity, magnetoresistance, and Hall effect), and magnetic data for three typical PAO/STO epitaxial films grown in the pressure range 10-3-10-4 torr O2, pressures typically high enough to minimize oxygen vacancies.
Resistivity displays metallic behavior from room temperature down to 100-150 K (75 K) for the PAO/STO interface grown in 10-3 (10-4) torr O2 pressure, and semiconducting behavior below that. Low temperature resistivity for the 10-4 torr O2 interface is well described by two-dimensional variable range hopping. One 10-3 torr O2 interface shows surprisingly anisotropic in-plane resistivity, with typical behavior for current parallel to the step edges, and an unusual resistance anomaly in the temperature range 50-100 K for current perpendicular to the step edges, which might be explained by the freezing out of oxygen interstitials along steps. Magnetoresistance (MR) data for all samples prepared in the 10-3-10-4 torr O2 range show a small (0.1-0.5%) positive MR at low fields, followed by a moderate to giant negative MR (2-30%) at high fields. Hall effect data are linear in field, with a complex temperature dependence that suggests three variations in the (compensated) carrier density: a decrease in hole contribution from room temperature down to 150 K (30 K) for the interface grown in 10-3 (10-4) torr O2 pressure (and for both, a small feature near 250 K that coincides with a structural transition in PAO), and complex behavior below those temperatures, suggesting separate freezing out of both electron and hole contributions. We discus these data considering intrinsic n-type charge transfer to the interface, as well as possible oxygen vacancy (n-type), oxygen interstitial (p-type), and oxygen mobility behaviors.
9:00 AM - SS5.09
Inhomogeneous Ferroelectric Ordering in PbTiO3 and the Origin of its Giant Dielectric Response
Summayya Kouser 1 Anil Kumar 2 3 Karin M. Rabe 3 Venkatraman Gopalan 4 Takeshi Nishimatsu 5 Umesh V Waghmare 1
1Jawaharlal Nehru Center For Advanced Scientific Research Bangalore India2Los Alamos National Laboratory Los Alamos United States3Rutgers Univ Highland Park United States4Pennsylvania State University University Park United States5Tohuku University Sendai Japan
Show Abstract
We present first-principles theoretical analysis of inhomogeneous ordering and ferroelectric domains in prototypic ferroelectric PbTiO3 (PTO). Using molecular dynamics (MD) simulations of its films with a model Hamiltonian, we show that the domain structure can be engineered at nanoscale with epitaxial strain and the suitable choice of electrodes. Our first-principles calculations of the domain wall separating domains of opposite (180o) polarization (Pz= 85 mu;C/cm2) of teragonal PbTiO3 reveal a sizeable orthogonal polarization (Py = 26 mu;C/cm2) at the domain wall. We find a giant dielectric response arising from a soft IR active phonon mode localized on the DW. We determine its origin in terms of microscopic coupling between acoustic and optical phonons using Ginzburg-Landau theory. Our finding opens a new door for low power applications devices such as FeRAM, ReRAM and other non volatile memory devices.
References:
Ferroelectric domains and diffuse transitions in ultrathin films of PbTiO3 : Effects of strain and electrodes; Summayya Kouser, Takeshi Nishimatsu, and Umesh V. Waghmare, Phys. Rev. B 88, 064102 , 2013
Origin of giant dielectric response in inhomogeously ordered ferroelectrics, PbTiO3 and BaTiO3; Summayya Kouser, Anil Kumar, Karin M. Rabe, Venkatraman Gopalan and Umesh V. Waghmare, Manuscript under preparation.
9:00 AM - SS5.10
Surface Reconstruction in (LaFeO3)m/(SrFeO3)n Superlattices
Rohan Mishra 3 4 Young-Min Kim 2 Seo Hyoung Chang 1 Seong Keun Kim 1 5 Dillon D. Fong 1 Anand Bhattacharya 1 Sokrates T. Pantelides 3 4 Albina Borisevich 4
1Argonne National Laboratory Argonne United States2Korea Basic Science Institute Daejeon Korea (the Republic of)3Vanderbilt University Nashville United States4Oak Ridge National Laboratory Oak Ridge United States5Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractAbstract: Complex oxides with perovskite structure attract a lot of interest due to their superior catalytic activity and sensory properties. For example, perovskite oxides have been recently proposed as cost-efficient replacements for the expensive noble-metal catalysts that are currently used in solid-oxide fuel cells for oxygen reduction reaction (ORR).1 These properties are inherently related to the structure and chemistry of their surfaces. However, arguably except for the SrTiO3 (001) surface, not much is known about the surface termination of other perovskites, which is important to understand and improve their catalytic activities.
In this work, we use scanning transmission electron microscopy and electron energy loss spectroscopy (EELS) to study the surface of a series of (LaFeO3)m/(SrFeO3)n films grown on SrTiO3 substrates using molecular beam epitaxy. From EELS, we observe dramatic changes in the Fe L2,3 edges, including shifts in the peak positions and changes in their crystal-field splitting, on moving from the bulk to the surface. Moreover, we observe these changes to extend several layers into the films rather than being restricted to the topmost layer. For example in a (LaFeO3)2/(SrFeO3)1 superlattice, the Fe L2,3 edge shows a gradual reduction in the Fe oxidation state (Fe3+ to Fe2+) over ~8 unit cells from the bulk to the surface, indicating the presence of oxygen vacancies at the surface. On the other hand, in a (LaFeO3)8/(SrFeO3)1 superlattice, we observe that while the Fe oxidation state remains unchanged, a reversal in the intensity of the crystal-field split t2g and eg peaks occurs over a length of 5 unit cells suggesting a shift from octahedral coordination in the bulk to tetrahedral coordination at the surface. Simultaneously acquired annular bright field and dark field images allow us to map the associated changes in their structure, such as cation displacements and changes in oxygen columns. We combine these results with density functional theory calculations to give a complete picture of surface reconstruction in the studied films and its effect on the electronic and magnetic properties. Overall, our results provide a detailed understanding of complex perovskite surfaces, which can be used as a new route to engineer their properties.
Acknowledgements: This research was supported by the Materials Sciences and Engineering Division, Office of Basic Energy Sciences (BES), U.S. Department of Energy (DOE), via user proposals to ORNL&’s Center for Nanophase Materials Sciences, which is supported by the Scientific User Facilities Division, DOE BES, and by Korea Basic Science Institute grant to Y.M.K (T34429). This research used resources of the National Energy Research Scientific Computing Center, which is supported by the Office of Science of the U.S. DOE under Contract No.DE-AC02-05CH11231.
References
1 J. Suntivich et al.Nature Chem. 3, 546 (2011).
9:00 AM - SS5.11
Hybrid Light Emitting and Photovoltaic Devices Comprising Zinc Oxide Nanorods and Tungsten Oxide Layers
Sheng-Hsiung Yang 1 Wei-Chi Chen 1
1National Chiao Tung University Tainan Taiwan
Show AbstractThe goal of this research is aimed to prepare zinc oxide (ZnO) nanorod arrays for construction of hybrid optoelectronic devices, and to further improve the device performance by inserting a tungsten oxide (WO3) layer. The ZnO seed layer with thickness of 52 nm was firstly prepared for growth of ZnO nanorod arrays; the length of ZnO nanorods was controlled to be 300 nm, and their diameters were in the range of 40-70 nm. The ZnO thin films with thickness of 52 nm were also prepared with calcination temperature at 300 oC for comparison. The results of cyclic voltammetry showed that the valence and conduction band of ZnO nanorod arrays were located at -6.9 and -3.7 eV, respectively. The transmittance of all ZnO nanostructures is higher than 90% in the visible region, which is suitable for application in optoelectronic devices. Inverted hybrid polymer light emitting devices with configuration of ITO/ZnO nanorods/ionic PF/MEH-PPV/PEDOT/Au were fabricated, using ZnO nanorod arrays and ionic PF as electron transporting layer and wetting agent, respectively. The threshold voltage, maximum brightness, and current efficiency of the best device were 3.8 V, 10,620 cd/m2, and 0.25 cd/A, respectively, revealing much higher brightness compared with conventional devices using Ca/Al as cathode, or inverted devices based on ZnO thin film. By introducing a layer of WO3 between PEDOT and gold electrode as anode buffer layer, the device performance was further enhanced with maximum brightness and current efficiency of 21,881 cd/m2, and 0.43 cd/A, respectively. Inverted hybrid polymer solar devices with configuration of ITO/ZnO nanorods/ionic PF/P3HT:PC61BM/PEDOT/WO3/Au were also fabricated. The best device parameters, including the open-circuit voltage (VOC), short-circuit current (JSC), fill factor (FF), and power conversion efficiency (PCE), reached 0.52 V, 12.12 mA/cm2, 42%, and 2.61%, respectively.
9:00 AM - SS5.12
Surface Modulated Polar Displacements in Hexagonal Multiferroic LuFeO3
Tula R Paudel 1 Shi Cao 1 Kishen Shina 1 Xuanyuan Jiang 1 Wenbin Wang 2 Xiaoshan Xu 1 Peter Dowben 1 Evgeny Y. Tsymbal 1
1University of Nebraska-Lincoln Lincoln United States2Fudan University Shanghai China
Show AbstractThe epitaxially stabilized hexagonal LuFeO3 (h-LuFeO3) is one of rare multiferroics revealing the coexistence of ferroelectricity and magnetism at room temperature [1]. The magnetic and ferroelectric ordering, arise from the tilt and rotation of the Fe-centered trigonal bi-pyramids. In the epitaxial h-LuFeO3, alternatively charged (LuO2)1- and (FeO)1+ ionic planes are stacked in the c direction. As a result, the (001) surface of h-LuFeO3 contains uncompensated charge, which leads to a surface reconstruction to eliminate the polar discontinuity. The surface free energy calculated using first-principles density functional theory shows that the reconstructed non-polar FeO termination is most stable. This observation is supported by our experimental data for hexagonal LuFeO3 films epitaxially grown onto α-Al2O3(001). The angle resolved X-ray photoemission spectra demonstrate that the Fe/Lu ratio increases with the photoemission take off angle suggesting that as grown surface of h-LuFeO3 is FeO terminated. Our first-principle calculations find that surface induced relaxation enhances the polar geometric transformations in h-LuFeO3, when terminated with the FeO atomic layer, while they are suppressed when terminated with the LuO2 atomic layer. These results indicate a possibility of tuning ferroelectricity by the surface termination of hexagonal LuFeO3.
[1] W. Wang et al., Phys. Rev. Lett. 110, 237601 (2013).
9:00 AM - SS5.13
Photo-Assisted Annealing Process of Gd-Doped BiFeO3 Thin Film
Po-Chun Lai 1 Chen-Ti Hu 1 Ching-Chich Leu 2
1National Tsing Hua University Hsinchu Taiwan2National University of Kaohsiung Kaohsiung Taiwan
Show AbstractThe influence of UV light exposure on the gadolinium-doped bismuth ferrite (Gd-doped BiFeO3, BGFO) thin film by sol-gel method with varied of temperatures and wavelengths of irradiation light were investigated. Doping gadolinium is an effective method to enhance the ferroelectric property and improve the leakage problem of BGFO. However, doping Gd would raise the problem of increasing crystallization temperature of bismuth ferrite which would enhance the vaporization and shortage of bismuth. To suppress the vaporizing of bismuth in BGFO by dropping the annealing temperature with UV-photo-assisted process is the aim of our study. The results of physical property analysis on films revealed that the crystallization temperature of them could be suppressed by UV-photo-assisted annealing process. The UV-light would improve the uniformity of film microstructures which improved its ferroelectric and electrical property. The Fourier transform infrared (FT-IR) spectrometry results confirmed that the UV-light had enhanced the dissociation of the organic species in the solution layer during drying process at 150°C, and the observed variations of cross-sectional microstructure and surface morphology were closely associated with the residual amount of organics after photo-assisted annealing. The relationships between the electrical properties of BGFO and the light exposures have been discussed in detail in present report.
9:00 AM - SS5.14
Multiferroic BiFeO3/BaTiO3 Thin Films Fabricated by Chemical Solution Deposition Technique
Savita Sharma 1 4 Monika Tomar 2 Ashok Kumar 3 Nitin K. Puri 1 Vinay Gupta 4
1Delhi Technological University Delhi India2Miranda House, University of Delhi New-Delhi India3CSIR-National Physical Laboratory New-Delhi India4University of Delhi Delhi India
Show AbstractMultiferroic materials (MF), in which ferroelectric (FE) and ferromagnetic (FM) domains co-exist have drawn much attention due to their immense potential for new multifunctional applications. Among multiferroic oxides, Bismuth ferrite (BiFeO3, BFO) is only multiferroic material at room temperature with high Curie temperature (TC ~ 1103 K) and Neel temperature (TN ~ 643 K) possessing both ferroelectric and ferromagnetic properties making it suitable for high temperature applications. Inspite of interesting multiferroic features exhibited by BFO, high leakage current and complex magnetic ordering are major drawbacks which hinder its applications in electronic devices. Barium titanate (BaTiO3, BTO) is promising perovskite material known for its versatile properties such as good ferroelectricity and high dielectric constant but it does not exhibit ferromagnetic properties. There have been many reports towards reduction of leakage current in BFO in both bulk and thin film forms and incorporation of ferromagnetic properties in BTO. However, there are not many reports on combining BTO and BFO thin films for attaining improved characteristics. So, in present study two excellent ferroic materials with same structure (perovskite structure:ABO3) i.e. BTO and BFO have been combined to reduce problems faced by BFO and BTO individually. BFO/BTO multilayered structure has been prepared using chemical solution deposition technique on Pt/Ti/SiO2/Si substrate. X-ray diffraction pattern shows that multilayered thin films possess polycrystalline perovskite phase with all peaks corresponding to BTO and BFO only. No extra phase corresponding to any impurity is observed. Surface morphology of multilayered structure was observed by field emission scanning electron microscopy (FE-SEM). The leakage current density of BFO/BTO bilayered thin film at 10 V was found to be 1.09 × 10-7 A/cm2 which is much less than that of pure BFO thin film (1.07 x 10-5 A/cm2) and it is further found to be reduced by two orders of magnitude on increasing number of BFO/BTO layers. A systematic study of ferromagnetic and ferroelectric properties of BFO/BTO multilayered system has been performed. An enhancement in magnetization has been observed with incorporation of BTO layer with BFO thin film compared to corresponding value obtained for single layer BFO thin film which again got enhanced by increasing number of individual BFO/BTO layers. The results clearly indicating a coupling between ferroelectric and ferromagnetic orders in BFO/BTO multilayered structure. The BFO/BTO bilayer system results in a high magnetization of 21.64 emu/cm2 while maintaining sufficiently enhanced ferroelectric properties (Pr = 17.06 µC/cm2 and Ps = 30 µC/cm2) which further improved with increase in number of layers. Hence the combination of two ferroic materials (BFO and BTO) results into a structure with superior properties than individual constituents which is useful for a number of functional device applications.
9:00 AM - SS5.15
Electrical and Optical Properties of CaCu3Ti4O12/LiNbO3 Heterostructures on Si
Javad Gatabi 1 Kevin A Lyon 1 Shafiqur Rahman 1 Hanu Arava 1 Juan Rojas-Ramirez 1 R. K. Pandey 1 Ravi Droopad 1
1Texas State University San Marcos United States
Show AbstractMaterials with high dielectric constant are potential candidates for energy storage and miniaturized capacitors in wideband electronic systems. CaCu3Ti4O12 (CCTO), because of its large dielectric constant and high temperature stability has recently attracted much research attention for such capacitor applications. However, the changes of capacitance over a large frequency range limit its application. We studied the role of LiNbO3 (LNB) in altering the frequency dependence of the capacitance for CCTO thin films. The study showed that the frequency stability a CCTO/LNB structure is much improved when the crystallization conditions and physical dimension of each layer were optimized. The capacitance of this structure showed variations of less than 1% over a range of frequency between 100 kHz to 1 MHz. To integrate this structure with current silicon technology, heterostructures of thin films of CCTO and LNB were fabricated on HF terminated Si using chemical solution deposition. In the first structure, LNB was deposited on the Si wafer followed by the CCTO layer. In the second structure, CCTO was first deposited followed by the LNB layer. The individual LNB layer is fully crystallized at a temperature of 500#8451;, while the excellent crystallization of the CCTO layer required temperatures of in excess of 600#8451;. However, above 500#8451; surface cracking are starting to develop for the first structure which is probably due to differences in the coefficients of thermal expansion. To overcome this limitation, the CCTO films were first deposited and recrystallized prior to deposition of the LNB layer. In this presentation, we will report on the characterization of these heterostructures and demonstrate an improvement in the frequency stability of the capacitor. Impedance analysis, C-V and polarization measurements were used for electrical characterization of Si/CCTO/LNB and Si/LNB/CCTO heterostructures while X-Ray diffraction (XRD), scanning electron microscopy (SEM), and spectroscopic ellipsometry (SE) measurements were used for crystal structure, interface, and optical properties of the layers.
9:00 AM - SS5.16
Improvement in Texture of Sputtered YSZ/Si by Tuning Growth Stresses
Amiya Banerjee 1 Narayan K.V.L.V. Achari 1 Srinivasan Raghavan 1 Nagendra Pratap Singh 1
1Indian Institute of Science Bangalore India
Show AbstractFunctional oxides exhibit a wide variety of properties and hence integration of these oxide thin films on Si, a well-established microelectronics platform, is very essential for system-on-chip (SoC) applications. Yttria stabilized zirconia (YSZ) has been used as a functional oxide and also as a very useful buffer layer for integration of other functional oxides on Si. For both of these cases, crystallinity, texture and stress of the YSZ films are very important. Epitaxial YSZ films have been deposited on Si by many deposition techniques and the best films are those obtained by molecular beam epitaxy. In comparison, sputtering which is a simple and inexpensive technique, results in polycrystalline or highly defective textured YSZ films. Here, (111) and (100) textured YSZ films (in Volmer-Weber mode) were deposited by reactive direct current (R-DC) and radio frequency (RF) magnetron sputtering. Growth stresses in these films were monitored insitu by using a multiple beam optical stress sensor (MOSS). By changing the deposition rate during growth texture selection, between (111) and (100), and stress selection, between a compressive and a tensile growth stress, was obtained independently. Subsequent annealing of these films resulted in a reduction in the rocking curve FWHM from 16.3° to 7° and 26.2° to 15° for YSZ (111) and (100) films respectively. The reduction in FWHM is indicative of an improvement in texture on annealing. For the first time in our study, it is observed that compressive growth stresses helped in remarkable improvement in texture of YSZ films during annealing compared to tensile growth stresses. This behaviour was explained by using an energy balance model of tensile strain generation due to grain growth during annealing. This technique can be used for other functional oxide films to improve texture and hence properties of those films in order to integrate with Si.
9:00 AM - SS5.17
Chemical and Structural Study of Fe3O4 Thin Film on GaAs under Rapid Thermal Processing
Chiali Anisse 1 2 Nasr Eddine Chabane Sari 2 Nassera Ghellai 2 Abdelhalim Benmansour 2
1EPST Tlemcen Tlemcen Algeria2University of Tlemcen Tlemcen Algeria
Show AbstractThe rapid thermal processing (RTP) technique features dynamic control of temperature, which permits high heating and cooling rates that cannot be reached with conventional furnace treatments. In recent years, RTP has been increasingly applied to the processing of magnetic materials. The controllable heating profiles provide an approach for the deliberate construction of materials structure via expediting phase transitions and tailoring materials morphology. In this work, the principles and facilities of RTP technique are introduced. RTP of various advanced magnetic materials are presented, especially for magnetite (Fe3O4) thin film. The structure was prepared by a sol-gel process. The (Fe3O4/GaAs) structure is characterized by spectrophotomety analysis, MEB analysis, and Fourier transform infrared spectroscopy. Results and advantages of RTP are highlighted and compared with conventional thermal treatments.
9:00 AM - SS5.18
Effects of Cation Ordering in Highly-Doped La2-xSrxCuO4 Epitaxial Thin Films
Franklin Jon Burquest 1 Tyler Burda 1 Rodrigo Ignacio Marmol 1 Brittany Nelson-Cheeseman 1
1University of St. Thomas Saint Paul United States
Show AbstractWhile La2-xSrxCuO4 (LSCO) films have been investigated heavily at low doping levels due to the fascinating high temperature superconductivity found there, far less is known about this material at high doping levels (0.5 le; x le; 1.0), particularly in thin films. Such highly-doped LSCO films are promising for fuel cell cathode and thermoelectric applications due to their electronic, ionic, and phonon transport. A central player in all of these properties is the nature of bonding between the Cu and the apical oxygens. Recently, “electrostatic strain” has been shown to modify the apical oxygen bonding in layered nickelate films. In this study, we investigate the effect of electrostatic strain on the structure and electrical transport of LSCO thin films with large doping (x=0.5, 0.75, and 1.0). This “electrostatic strain” is applied by ordering the differently charged A-site cations (La3+ vs. Sr2+) into charged A-O layers within the crystal structure. This causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in comparable epitaxial nickelate films.1,2 For reference, the cation-ordered films are compared with cation-disordered films of the same doping level. Atomic force microscopy and X-ray reflectivity are used to measure the surface and interface roughness of the films. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data (resistivity as a function of temperature) of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.
References
1 Balachandran, P.V., Cammarata, A., Nelson-Cheeseman, B.B., Bhattacharya, A., and Rondinelli, J.M. APL Materials. 2, 076110 (2014). doi: 10.1063/1.4890544
2 Nelson-Cheeseman, B.B., Zhou, H., Balachandran, P.V., Fabbris, G., Hoffman, J., Haskel, D., Rondinelli, J.M., and Bhattacharya, A. Advanced Functional Materials (2014). doi: 10.1002/adfm.201401077
9:00 AM - SS5.19
New Modalities of Domain Control in Rhombohedral BiFeO3 Epitaxial Thin Films
Zuhuang Chen 1 Jian Liu 1 3 Deyang Chen 1 Yajun Qi 2 Di Yi 1 Anoop Damodaran 1 Lane W Martin 1 3
1University of California, Berkeley Berkeley United States2Hubei University Wuhan China3Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractIn ferroic thin films, domain engineering has become a powerful route to tune the physical properties and even create new functionalities in materials. Increasingly, attention has been given to domain walls in such materials as a crucible for new effects and properties which do not exist in the bulk of the material. In order to understand and ultimate utilize these material and exotic phenomena it is imperative that we be able to manipulate and control domain structures in complex materials in a deterministic manner. In this presentation, we will use the prototypical multiferroic BiFeO3 as a model system to demonstrate the new modalities in the control of ferroic domain structures (i.e., domain variant selections, domain wall types, etc.). First, we will revisit the observed reduction of domain variants in rhombohedral BiFeO3 thin films on (110)-orthorhombic (O) rare-earth scandate substrates. In particular, we will examine epitaxial BiFeO3/(110)o DyScO3 heterostructures grown via pulsed-laser deposition with and without ultrathin, cubic SrTiO3 buffer layers as a means to isolate the effect of “symmetry mismatch” on the domain formation. Two-variant stripe domains are observed in films grown directly on DyScO3, while four-variant domains are observed in films grown on SrTiO3-buffered DyScO3 when the buffer layer is >2 nm thick. This result highlights the role of the “symmetry mismatch”—beyond just lattice mismatch—in manipulating and controlling domain structure evolution in materials. From there, we will explore on observations of the formation of nanoscale, periodic arrays of ferroelectric 180° stripe domains in BiFeO3 films for the first time. These highly-ordered domain structures are achieved by engineering the elastic and electrostatic boundary conditions and crystal orientation. Regular 180° stripe domain patterns with {112}-type domain walls are formed in films with thickness <30 nm in order to minimize the energy of the depolarization field. These 180° domain walls have been hypothesized to possess exotic conducting and magnetic properties and thus we will report on detailed studies of temperature- and field-dependent electronic transport, magnetic properties from magnetometry and synchrotron-based studies, as well as exchange bias coupling with metallic ferromagnets.
9:00 AM - SS5.20
Role of the Electrode in the Resistance Switching Phenomenon of Metal Oxides
Kentaro Kinoshita 1 2 3 Sang-Gyu Koh 1 Takumi Moriyama 1 2 Satoru Kishida 1 2 3
1Tottori University Tottori Japan2Tottori University Tottori Integrated Frontier Research Center (TiFREC) Tottori Japan3Tottori University Electronic Display Research Center Tottori Japan
Show AbstractWe have reported a method for preparing an extremely small ReRAM cell, which enables continuous observation of the same filament due to its high robustness against the cantilever drift, by using an atomic force microscope (AFM) cantilever [1]. By depositing a transition metal oxide (TMO) film on the tip of a cantilever and by contacting a bottom electrode (BE) with the cantilever, a tiny ReRAM structure can be constructed at the contact area. The high robustness of this ReRAM structure against the cantilever drift compared with the conventional structure is achieved, since the filament is formed in the TMO film and moves with the cantilever drift in contrast to the case of the conventional structure in which the TMO film is deposited on the BE. This method enables us to perform a unique experiment for the elucidation of a location of oxygen reservoir and a role of electrodes in resistive switching phenomenon. In this paper, we investigated the dependence of resistive switching characteristics on electrode materials for the same filament for the elucidation of a role of electrode on resistive switching effect.
A Pt film with a thickness of 20 nm was deposited on an AFM cantilever with a tip radius of 50 nm as the top electrode (TE), followed by the deposition of a NiO film with a thickness of 15 nm as a memory layer at room temperature using the DC sputtering method. Pt-, Au-, Ni-, TiN-, and Mo-BEs with the thickness of 100 nm were deposited on the same SiO2 substrate by using sputtering method. A TE/TMO/BE structure was formed by contacting the BE surface with the Pt/NiO structure formed on the tip of the cantilever. I-V measurement was performed in the contact mode of AFM using a source-measure unit. A bias voltage was applied to the BE, whereas the cantilever (= TE) was grounded.
The effect of replacing BE on reset switching characteristics was investigated by preparing a common Pt-BE for set switching and five different BEs for reset switching. After confirming the successful resistive switching in air, we annealed the BEs at 300°#1057; in vacuum in order to desorb water from the BE to avoid the field oxidation or reduction of the NiO film and electrodes. The desorption of water was confirmed by the force curve measurement of AFM. Then, resistive switching characteristics were measured on different BEs (Pt-, Au-, Ni-, TiN-, and Mo-BE) for every reset switching by applying positive bias to the BEs. Here, set switching was performed on the common Pt. Pt and Ni are catalytic metals, which induce dissociative adsorption of oxygen molecules, whereas Au, TiN and Mo are non-catalytic metals. However, the occurrence of reset was confirmed for all the BEs whether it has catalytic ability or not. This result suggests that reset is caused by migration of oxygen ions inside the NiO film irrelevant to the catalytic effect, suggesting that oxygen reservoir is a NiO film itself.
[1] S. G. Koh et al., Appl. Phys. Lett. 104, 083518 (2014).
9:00 AM - SS5.21
Using High Frequency LIMM to Characterise Poling State of Piezoelectric Ceramic Thin Films
Mark Stewart 1 Markys G. Cain 1
1National Physical Laboratory Teddington United Kingdom
Show AbstractThe LIMM method, (Laser Intensity Modulation Method), was developed in the late 1980&’s as a technique to measure the through thickness polarisation of polymer films1. An intensity modulated laser is used to generate a thermal wave in the film and the resultant pyroelectric signal is measured using lock-in techniques. The depth sensitivity comes through varying the intensity modulation frequency and thus the penetration of the thermal wave. Although techniques such as PFM (Piezoresponse Force Microscopy) have excellent lateral x-y spatial resolution, the LIMM technique remains one of the few techniques with through thickness capability. Although initially developed for polymer films, the method has also been used on ceramic thick and thin films. One of the challenges in using the technique on PZT thin films is that the thermal diffusivity, D, is higher compared with piezoelectric polymers such as PVDF, therefore the modulation frequency to achieve the same depth resolution increases. This poses severe constraints on the instrumentation, requiring lockin amplification into the 100&’s of MHz range, high bandwidth and high sensitivity current amplifiers, and linear laser intensity modulation. In this work we have extended the frequency of the tests into the 10&’s of MHz range to enable the characterization of films of thicknesses down to 100nm.
For thin films the signal level is low, so exposures of the order minutes are required for good signal to noise, resulting in lengthy exposures for full frequency sweeps. However at a fixed frequency the shorter experimental times can be used to monitor the polarisation state over an extended period to look at the relaxation of the polarisation state. If the DC bias on the film is varied whilst carrying out the LIMM measurement at a fixed frequency then a plot of the pyroelectric current against bias is remarkably similar to a standard electrical PE loop measurement. This is not unexpected since the measurands are similarly affected by the same process, however it is unique in that this information now comes from a defined depth in the film, rather than a property of the complete through thickness. Measurements of the pyroelectric current vs. bias loop at different frequencies can therefore be used to investigate what is happening within different regions of the film. These methods have been used to examine the polarisation state of a number of PZT thin films on silicon substrates ranging in thickness from 100nm to 1 micron.
9:00 AM - SS5.22
A New Method to Measure the Clamped Indirect Piezoelectric Effect of Thin Piezoelectric Films
Mark Stewart 1 Markys G. Cain 1 Serban Lepadatu 1
1National Physical Laboratory Teddington United Kingdom
Show AbstractPiezoelectric thin films are used in applications ranging from ferroelectric memories to pyroelectric thermal imaging systems and the success of these applications arises from the successful retention of the functional response of the bulk material to the thin film. In many, if not all cases, this response (polarization, effective piezoelectric coefficients, dielectric properties and more) is not adequately transferred down to the 2D thin film structures and this is primarily due to the constraining effect of the substrate.
The clamping of the film to its supporting substrate can introduce several problems when trying to measure the piezoelectric activity of the film. Firstly there is the tendency for the entire sample to bend, and inflated measures of activity are often obtained if this bend is not accounted for. Kholkin et al [1] introduced the double beam interferometer method to circumvent this. Secondly there is the issue of a finite top electrode size, where the results are largely dependent on the electrode geometry. More recently several workers have claimed that it is possible to measure the thin film piezo coefficient using a single sided measurement on laser vibrometer, [2,3]. In this work we use Finite Element Analysis to show the errors associated with both these methods, particularly the effects of the boundary conditions and finite sized top electrodes.
Here we propose a new method to determine the piezoelectric activity of a thin film. This method involves using a single sided measurement, but the key is to measure the step height at the edge of the electrode, at the interface between the active and inactive material. We develop an analytical expression to relate this step height to material properties and show its applicability using FEA. Experimental results will be shown to that this measurement method is indeed independent of electrode size and sample boundary conditions.
[1] A. L. Kholkin, Ch. Wütchrich, D. V. Taylor and N. Setter, Rev. Sci. Inst., 67, (1996), 1935.
[2] Z. Wang, G.K. Lau, W. Zhu, and C. Chao, IEEE Trans. Ultrason. Ferroelectr. Freq. Control 53, (2006), 15.
[3] R. Herdier, D. Jenkins, E. Dogheche, D. Remiens, and M. Sulc, Rev. Sci. Inst., 77, (2006) 93905.
9:00 AM - SS5.23
Influence of the In-Situ Electric Field on Self-Polarization in LiNbO3 Thin Films Grown by RF Magnetron Sputtering Method
Dmitry Alexandrovich Kiselev 1 Sergey Vladimirovich Ksenich 1 Roman Nikolaevich Zhukov 1 Alexandr Sergeevich Bykov 1 Mikhail Davidovich Malinkovich 1
1National University of Science and Technology "MISIS" Moscow Russian Federation
Show AbstractFerroelectric thin film integration with Si and other integrated device substrates has the potential to enable new modes of photonics integration as well as a new class of high work/volume piezoelectric devices for MEMS integration. Thin films of Lithium Niobate (LiNbO3) posses a number of advantages over bulk material including the possibilities of producing step index profiles, selectively introducing dopants, and the fabrication of multilayer structures. The prospect of producing high quality (oriented and possessing low optical loss) thin films of LiNbO3 on silicon substrates is particularly attractive because the silicon provides a rigid and flat substrate ideal for large area processing of devices by lithographic techniques and it allows for the integration of lithium niobate electro-optic and silicon integrated circuit technology.
The studied LiNbO3films were deposited by applying the electric field during radio-frequencies magnetron sputtering of the single-crystalline target in Ar/O=1 atmosphere (0.6 Pa) on Si(110) substrate at 550 oC. Atomic force microscopy measurements indicate that the surface roughness of the LiNbO3 thin films was 4-10 nm, which meets the demands for practical waveguiding devices. The ferroelectric properties have been studied by visualization of the as-growth domain structure, recording induced ferroelectric states by piezoresponse force microscopy (PFM) using Scanning probe laboratory NTEGRA-Prima (NT-MDT, Russia). The asymmetry of the distribution of vertical PFM (VPFM) signal is a manifestation of the so-called self-polarization effect. The maximum of the VPFM histogram of this distribution is shifted toward negative (or positive) values of the piezoelectric response, which implies that the polarization vector in most grains is directed toward the upper (or lower) interface. In work discusses the possible mechanisms of the origin a self-polarization in LiNbO3 thin films.
9:00 AM - SS5.24
Physical Vapor Transport Growth of beta;-Ga2O3 Films on Sapphire Substrates
Vladimir I. Nikolaev 1 2 3 Viktor Maslov 4 1
1ITMO University Saint Petersburg Russian Federation2Perfect Crystals LLC Saint Petersburg Russian Federation3Ioffe Physical-Technical Institute Saint Petersburg Russian Federation4Ioffe Physical Technical Institute Saint Petersburg Russian Federation
Show AbstractGallium oxide (β-Ga2O3) is a promising material for applications in UV-VIS LEDs, high-voltage power electronic devices and various sensors. There are some techniques for its crystallization from melt. High vapor pressure under the melt is an obstacle for all of these techniques but opposite it is good for Physical Vapor Transport (PVT) growth which has been studied in this work. Growth experiments have been carried out in the growth zone with sapphire crucible described in [1], c-plane , a-plane , m-plane and R-plane sapphire substrates were used for β-Ga2O3 deposition. The morphology, grain-size, grain-quality and composition of deposited films were characterized using a SEM equipped with an X-ray spectrometer and XRD. The best β-Ga2O3 films have been obtained on a-plane sapphire at growth temperature ~ 1400oC.
[1] V.N. Maslov, V.M. Krymov, M.N. Blashenkov, A.A. Golovatenko, V.I. Nikolaev // Technical Physics Letters, vol.40, no.7, p.56-61. 2014.
9:00 AM - SS5.25
Dynamics of Negative Capacitance in a Ferroelectric Thin Film
Korok Chatterjee 1 Asif Islam Khan 1 Zhongyuan Lu 1 Claudy Rayan Serrao 1 Ramamoorthy Ramesh 1 Sayeef Salahuddin 1
1University of California, Berkeley Berkeley United States
Show AbstractNegative capacitance in ferroelectrics provides a solution to excessive power dissipation in present CMOS electronics, which is fundamentally limited by the thermal distribution of electrons in a semiconductor. A ferroelectric placed in series with a positive capacitance, thus stabilized in the negative capacitance region of polarization, overcomes this barrier by providing a gain mechanism within the gate stack of a transistor. Previously, we have demonstrated a direct observation of negative capacitance in isolated crystalline ferroelectric thin films by constructing a simple series resistor-capacitor circuit and monitoring its response to a sharp voltage pulse. We find that the voltage step response of the ferroelectric consists of three parts - an initial rise resembling the response of a normal dielectric, a region of negative capacitance, and a final rise to the applied voltage - each corresponding to qualitatively different physical situations. These characteristic times are consistent with dynamical parameters that have an activation energy-like dependence on the applied voltage, including an apparent divergence as the applied voltage approaches the coercive voltage. Furthermore, the dynamics in the final rise period are well described by the Kolmogorov-Avrami-Ishibashi model for domain nucleation and propagation. This strongly suggests that the negative capacitance period in the voltage transient corresponds to a displacement current dominated by intrinsic switching, whose observation offers unprecedented insight into the non-equilibrium negative capacitance states of a ferroelectric. These experiments further establish step response analysis as an all-encompassing method of characterizing ferroelectric thin films.
1. Salahuddin, S., Datta & S. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8, 405-410 (2008).
2. Zhirnov, V. V. & Cavin, R. K. Negative capacitance to the rescue. Nature Nanotechnology 3, 77-78 (2008).
3. Theis, T. N. & Solomon, P. M. It&’s time to reinvent the transistor! Science 327, 1600-1601 (2010).
4.Theis, T. N. & Solomon, P. M. In quest of the next switch: prospects for greatly reduced power dissipation in a successor to the silicon field-effect transistor. Proc. IEEE 98, 2005-2014 (2010).
5. Khan, A. I., Chatterjee K., Wang B., Drapcho, S., You, L. Ramesh, R. & Salahuddin, S. Direct measurement of negative capacitance in a ferroelectric capacitor. (under review)
6. Avrami, M. Granulation, Phase Change, and Microstructure. Journal of Chemical Physics 9, 177-184 (1941).
7. Ishibashi, Y. & Takagi, Y. Note on Ferroelectric Domain Switching. Journal of the Physical Society of Japan 31, 506-510 (1971).
9:00 AM - SS5.26
High Performance Titania Based Plasmonic Crystals for Hydrogen Optical Sensing
Michela Cittadini 2 Laura Brigo 2 Enrico Gazzola 2 Filippo Romanato 2 Massimo Guglielmi 2 Giovanna Brusatin 2 Alessandro Martucci 1
1Univ di Padova Padova Italy2University of Padova Padova Italy
Show AbstractOptical sensing has become a powerful tool, dominated by spectroscopic techniques, which employ for example localized surface plasmons resonance (LSPR) like in gold or silver nanoparticles (NPs) or surface plasmon polaritons resonance (SPPR) in uniform metallic thin films/dielectric interface. In this work, we design a novel SPPR hydrogen sensor using a sol-gel coated sub-wavelength grating.
Many works present hydrogen sensors devices using metallic grating covered with palladium because palladium acts like a catalyst to split the H2 molecules into atomic hydrogen.
This work describes the use of a low cost symmetric plasmonic crystal to detect hydrogen, fabricated just using sol-gel materials.
These plasmonic crystals enable the monitoring of little quantity of H2 at 300 °C, about 1% in volume up to 5% and more, instantaneously and avoiding the use of expensive catalyst like Pt or Pd.
The sensing platform is dominated by the coupling of the visible light into surface plasmon polaritons propagating along the metal-dielectric interface, which makes the polaritons sensitive to the changes in the dielectric properties of the sensitive layer.
The mechanism involved in the sensing of the target gas is related to the dissociation of H2 on TiO2 at high temperature and the consequent exchange of electrons through a red-ox reaction mediated by the oxygen, that involves changes in the dielectric constants of the sensitive material. .
The performances of the grating-based SPPR sensor are also compared with those of a LSPR based sensor.
9:00 AM - SS5.27
Properties and Electronic Band Structures of NixCd1-xO Alloys with Extreme Type III Band Offsets
Christopher Anthony Francis 1 2 Douglas Detert 1 2 Oscar Dubon 1 2 Kin Man Yu 1 3 Wladyslaw Walukiewicz 1
1Lawrence Berkeley National Laboratory Berkeley United States2University of California, Berkeley Berkeley United States3City University of Hong Kong Kowloon Hong Kong
Show AbstractThe integration of transparent conducting oxides (TCOs) into current and future thin-film photovoltaics faces two significant challenges: finding materials that simultaneously exhibit both low electrical resistivity (less than 10-4 Omega;-cm) and high optical transparency over most of the solar spectrum (300-2000 nm), and creating materials that can exhibit both n-type (electron) and p-type (hole) conductivity. Higher mobility TCOs, such as CdO, would solve the conductivity and transparency dilemma but the small intrinsic direct bandgap of CdO (2.2 eV) limits its transparency at short wavelengths (lambda;<400 nm) even with electron concentrations higher than 1021 cm-3 [1]. Nominally undoped CdO films are rocksalt-structured and exhibit n-type conductivity that can be further enhanced by doping with Ga and In. As a result of the high electron affinity of CdO (5.9 eV), its conduction band minimum (CBM) lies below the Fermi stabilization energy (EFS) located ~4.9 eV below the vacuum level [2]. Rocksalt NiO, on the other hand, has a wide bandgap (3.8 eV) and has its valence band maximum (VBM) near EFS. This extreme type III band offset between CdO and NiO suggests that the positions of the CBM and VBM of NiCdO can be tuned over a large range (>3 eV) of energies. We have synthesized alloys of NiO and CdO over the entire composition range and studied their structural, electrical and optical properties. The NixCd1-xO alloys are rocksalt-structured with a monotonic decrease in lattice parameter from aCdO=4.719 Å to aNiO=4.209 Å with increasing Ni concentration. We found that as the Ni content, x, in the alloy increases from 0 to about 0.4, the electron mobility decreases from 103 to 7 cm2/Vs and electron concentration decreases from 2x1020 to 6.04x1018cm-3. Finally the alloy becomes insulating for Ni content x>0.44. This decrease in n-type conductivity is consistent with the movement of the CBM from below to above the Fermi stabilization energy EFS with increasing Ni content. The bandgap of the alloys can be tuned continuously from CdO (2.2 eV) to NiO (3.7 eV). We observe an uncommon positive bowing of the intrinsic bandgap on the alloy composition with a bowing parameter b=1.26 eV. The effect is tentatively attributed to an interaction between extended states of the conduction band and localized d-states of Ni. In order to determine the absolute position of the valence and conduction band edges as functions of the alloy composition, we have introduced point defects to the alloys by energetic particle irradiation (150 keV Ne+) to stabilize the bulk Fermi level at the EFS. Composition dependence of the CBM of the alloys with respect to the vacuum level was then calculated from the location of the Fermi energy corresponding to the electron concentration saturated at high irradiation doses.
[1] K.M.Yu, et al., J. Appl. Phys.111, 123505 (2012).
[2] W. Walukiewicz, Physica 302-303, 123-134 (2001).
9:00 AM - SS5.28
Physical and Electrical Characteristics of Oxidized/Nitrided Zr Thin Films at Various Temperatures in Nitrous Oxide Environment
Yew Hoong Wong 1 Kuan Yew Cheong 2
1University of Malaya Kuala Lumpur Malaysia2Universiti Sains Malaysia Nibong Tebal Malaysia
Show AbstractIn this work, thermally oxidized and nitrided Zr thin films on Si has been produced. The thickness of the produced films was about 10 nm. This nanometre-scaled film was applied as gate dielectric in metal-oxide-semiconductor-based devices. Physical and electrical characteristics of the films on Si have been systematically investigated. Simultaneous oxidation and nitridation of sputtered Zr thin films on Si was performed in N2O environment for 5 min at 500-1100oC in order to optimize the oxide properties. The atomic microscopy force results indicated that the surface root-mean-square roughness of the sample increases with the increasing oxidation and nitridation temperature. Positive effective oxide charges were revealed in all investigated oxides. The electrical results showed that the sample oxidized and nitrided at 700oC has the highest breakdown field, owing to the lowest positive effective oxide charge, interface-trap density, and total interface-trap density.
9:00 AM - SS5.29
Electrohydrodynamic-Jet Printed Zinc-Tin Oxide TFTs and Their Bias Stability
Yong Gu Lee 1 Young-Jin Kwack 1 Woon-Seop Choi 1
1Hoseo University Asan-si Korea (the Republic of)
Show AbstractSolution processes are simpler and more eco-friendly than vacuum processes and the representative solution processes are spin coating, ink-jet printing, screen printing, gravure printing and electrohydrodynamic jet (EHD-jet) printing. Spin coating requires an additional patterning process for selective deposition and also wastes a large fraction of the material. On the other hand, ink-jet printing and EHD-jet printing are used as a drop-on-demand printing process for non-contact printing without a complex photolithography process. EHD-jet printing can provide simple patterning and complex patterning with better resolution. The process can use an electric field to create jetting droplets for the delivery of a liquid portion to a designated substrate. Although inkjet printing is greatly influenced by the ink viscosity, EHD-jet printing can produce any pattern with a charged ink formulation with less viscosity dependence. In this study, ZTO TFTs were fabricated by an EHD-jet printing process. A uniform active layer was obtained using a steel needle with an inner diameter of 100 mu;m without any treatments, a robust and simple process, to obtain a reasonable channel width and better mobility. Compared to the spin-coating process, ZTO TFTs using an EHD-jet process showed considerably improved electrical properties, indicating it to be a better processing technique.
An EHD-jet printed zinc-tin oxide (ZTO) active layer was patterned with a 60 mu;m width using a 100 mu;m inner diameter metal nozzle. The electrical properties of an EHD-jet printed ZTO thin-film transistors (TFTs) showed a mobility of 9.82 cm2/Vs, an on-off current ratio of 3.7x106, a threshold voltage of 2.36 V, and a subthreshold slope of 0.73 V/dec at 500oC. Significantly improved properties were obtained compared to the spin-coated and inkjet-printed ones. Better hysteresis behavior and positive bias stability of the ZTO TFTs were also achieved using EHD-jet printing technology.
9:00 AM - SS5.30
Inkjet-Printed Oxide Thin-Film Transistors Using Heterostructured Double-Active Layer
Young-Jin Kwack 1 Hunho Kim 1 Woon-Seop Choi 1
1Hoseo University Asan-si Korea (the Republic of)
Show AbstractRecently, solution-processed double-active layer oxide TFTs, in which heterostructured channels, were reported to obtain improved electrical properties at relatively low processing temperatures. Bilayer metal oxide TFTs using IGO/In2O3 channel layers by spin coating exhibited a TFT mobility of 2.56 cm2/V s at 250oC. They reported a significantly enhanced threshold voltage and on-to-off current ratio over single-layer TFTs. Double-active layer TFTs using AlInZnO (AIZO)/InZnO (IZO) channel layers by spin coating showed a field-effect mobility of 5.62 cm2/V s at 350oC. AIZO/IZO in the DAL structure for TFTs by spin coating showed a field-effect mobility of 1.57 cm2/V s at 350oC. Recently, the chemical stability of a solution-processed double-active layer ZTO/IGZO TFT with a mobility of 1.97 cm2/V s at 450oC were reported. Most of them were doping effect of similar layer structures. Few was heterostructured double active layers.
On the other hand, there are no reports of double-active layer oxide TFTs by inkjet printing. Inkjet printing can provide a patterned active layer structure and give better properties compared to a simple spin-coated one. To achieve the optimized electrical properties of an inkjet-printed double-active layer, the ZTO TFTs with various compositions of In2O3 solutions were examined as a heterostructured double active layer. By controlling the annealing temperature and indium content, heterostructured In2O3/ZTO TFTs with improved mobility, threshold voltage and bias stability were obtained. The best electrical properties of the inkjet-printed double-active layer ZTO TFTs were obtained with 0.01M of In2O3: a mobility of 8.6 cm2/V s, a threshold voltage of 2.76 V, a subthreshold slope of 0.52 V/dec, and an on-to-off current ratio > 106. The electrical properties of the inkjet-printed double-active layer oxide TFTs were superior to those of the single-active layered TFTs. We proposed the mechanism of heterostructured double active layer according to the surface roughness and thickness with an In2O3 layer.
9:00 AM - SS5.31
Effect of Post-Deposition Annealing on the Electrical and Structural Properties of Ni/Ta2O5 Gate Stacks Fabricated on Ge Layer Epitaxially Grown on (100) Si Substrate
Hoon Ki Lee 1 Kyu-Hwan Shim 1 Chel-Jong Choi 1
1Chonbuk National University Jeonju-city Korea (the Republic of)
Show AbstractWe fabricated metal-oxide-semiconductor (MOS) capacitors with Ni/Ta2O5 gate stacks fabricated on the epitaxial Ge layer on Si substrate, and investigated the effect of post-deposition annealing on their structural and electrical properties. The high-k Ta2O5 gate dielectric films were formed using the sputtering of pure tantalum target at a power of 50 W, in the presence of 72 sccm argon and 8 sccm of oxygen gases at room temperature. Post-deposition annealing processes were performed at temperatures in the range of 200 - 500 °C for 1 min under O2 ambient. The equivalent oxide thickness (EOT) was calculated to be 4.4, 3.5 and 3.1 nm for as-deposited, 200 and 300 °C annealed devices, respectively. Such a gradual decrease in EOT could be associated with the variation of dielectric constant of Ta2O5 films caused by O2 annealing. However, on annealing at temperatures above 400 °C, the capacitance rapidly decreased in the accumulation region and then showed a negative value, indicating the occurrence of high gate leakage current. The synchrotron X-ray diffraction results showed that the interfacial reaction between Ta2O5 with Ge epilayer was initiated after annealing at 300 °C, resulting in the formation of Ta5Ge3 phase having layered structure. Furthermore, the annealing at temperatures ge; 400 °C led to the structural evolution of Ta5Ge3 phase to island structure. Namely, after high temperature post-deposition annealing ( ge; 400 °C), Ta2O5 film massively decomposed to give elemental tantalum, followed by the formation of Ta5Ge3 island attributed to the reaction of tantalum with underlying Ge epilayer, which could be responsible for the degradation of electrical properties of MOS devices.
9:00 AM - SS5.32
Electrical and Optical Characterization of the Novel Co1-xFexWO4 Oxide Thin Films
Kai-Wei Lan 1 Tri-Rung Yew 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractMetal tungstates with the general formula MWO4 (M represents bivalent cation) are important functional materials. The metal tungstates will form structures with different phases depending on the size of the bivalent cation. Moreover, metal tungstates have attracted lots of attention in the last decade because of their wide applications, such as microwave dielectric ceramics, catalysts, phase-change optical devices and photoanodes.
In this work, Co1-xFexWO4 will be synthesized from the standard ceramic route. Since the ionic radius of Co+2 and Fe+2 are smaller than 0.77Å, it would be still belonged to the wolframite-type monoclinic structure. The substitution effect of Fe+2 in Co+2 would lower the band-gap of Co1-xFexWO4. The coupling effect of Fe and Co 3d-like states would influence the p-type property of Co1-xFexWO4. The RF sputter and e-gun deposition systems were applied to deposit Co1-xFexWO4 thin films after standard ceramic route. Thin film deposition parameters such as ambient pressure, working power, post-annealing temperature and duration were manipulated to optimize the structural, electrical and optical properties of the oxide thin films. Morphological, compositional and structural properties of the films were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectrometry (EDX) and X-ray diffraction (XRD) respectively. The electrical and optical properties of the thin films were also measured by ultraviolet-visible spectroscopy (UV-Vis) and Hall-effect measurement system.
9:00 AM - SS5.33
Optical Properties of Sb-Doped SnO2 Thin Films Studied with Spectroscopic Ellipsometry
Hosun Lee 1 Hyeon Seob So 1 Jun-Woo Park 1 Dae Ho Jung 1 Kun Hee Ko 1
1Kyung Hee University Yong-In-si Korea (the Republic of)
Show Abstract
Transparent conducting oxides (TCO) have wide range of application areas in flat panel displays, photovoltaics, transparent thin film transistors, and transparent memory devices. Studies of the TCO are concentrated in ITO (Sn doped In2O3), which is most widely used in the field of optoelectronics. Since Indium element is rather expensive because of world-wide shortage of supply, other TCOs, e.g. F-doped or Sb-doped SnO2 films, are being developed. However, the optical properties of Sb-doped SnO2 films are not well-known compared to F-doped SnO2 films.
Here, we investigated the optical properties of amorphous and crystalline antimony (Sb)-doped tin dioxide (SnO2) thin films grown by using co-sputtering deposition method at room temperature. Cosputtered targets were SnO2 and Sb (8wt%) doped targets. Varying the relative power ratio of the two targets, we controlled the Sb-composition of SnO2:Sb. Through annealing, the as-grown amorphous oxides were transformed to crystalline oxides [2,3]. The dielectric functions have been obtained from the measured ellipsometry angles, Y and D [1], using the Drude and parametric semiconductor models. We determined the absorption coefficients and optical gap energies of SnO2:Sb thin films from the dielectric functions. We found increasing optical gap energy with increasing Sb composition. Increasing Drude tail amplitudes, a signature of free carrier concentrations, were found from annealed thin films with increasing Sb composition. The increase of the optical gap energy with increasing Sb composition was attributed to Burstein-Moss effect. Using the second derivative of the dielectric functions, we obtained the critical points of SnO2:Sb thin films by using the standard critical point model. Using Hall effect measurements, we obtained Hall carrier concentrations (NHall) and electron mobilities (mu;hall). Assuming that Nhall and optical carrier concentrations (Nopt) were the same, we obtained the effective masses of SnO2:Sb thin films with increasing Sb composition. We found that the sheet resistances decreased by half using the oxygen flow during growth.
1. H. Fujiwara, and M. Kondo, Phys. Rev. B 71, 075109 (2005).
2. R.S.Katiyar et al., J. Phys. C 4, 2421 (1971).
3. J. Jeong et al., Solid State Comm. 127, 595 (2003).
9:00 AM - SS5.34
Strong Effects of Dimensional Reduction on the Properties Of The Transparent Conductor Basno3:La
David J. Singh 1 Yuwei Li 2 Yanming Ma 2
1Oak Ridge National Laboratory Oak Ridge United States2Jilin University Changchun China
Show AbstractPerovskite BaSnO3:La is an n-type transparent conductor that shows promise as an ITO alternative. We report calculations of the electronic structure and optical properties of doped n-type perovskite BaSnO3 and the layered perovskites Ba2SnO4 and Ba3Sn2O7. We find that both the layered perovskites have band gaps higher than that of BaSnO3 and that they Sn s derived conduction band minima with similar in-plane band velocities indicative of good in plane conductivity when doped. However, while doped BaSnO3 retains its transparency for photon energies below the onset of valence to conduction band transitions, the doped layered compounds have below band edge optical
conductivity from transitions between the lowest conduction band and higher bands. This leads to detrimental absorption in the visible for Ba2SnO4 indicating that it is important to minimize the occurrence of this phase in transparent conducting oxide (TCO) films. On the other hand, Ba3Sn2O7 has strong transitions of this type only in the infrared, Thus it may be useful in combination with BaSnO3 or possibly by itself as a visible light TCO with an infrared blocking functionality.
9:00 AM - SS5.35
Large Area Metallic Nanostructure Fabricating via Laser Interference Lithography
Chuljong Yoo 2 Jong-Lam Lee 3 Wan Jae Dong 1
1POSTECH Pohang Korea (the Republic of)2Division of Advanced Materials Science and Department of Mat Pohang Korea (the Republic of)3POSTECH Pohang Korea (the Republic of)
Show AbstractFabrication of well-ordered periodic metallic nanostructures over a large substrate area has drawn great interest in recent years due to their increasing applicability in scientific studies and engineering applications such as biosensors, electronics and opto-electronics, energy storage, fuel cells, solar cells, thermo-electric generator, catalysis, drug delivery, or chemical and bio-logical sensing. Up to now, it is only the lithographic method that can practically produce nanostructured metal film with ideal regularity on relatively large areas, such as electron beam lithography, focused ion beam lithography, and nanoimprint lithography. However, highly specialized lithographic facilities are complex and expensive, and tedious preparation and implementation steps (lithography and lift-off) require accuracy and time consumption.
To circumvent such issues in the conventional lithographic method, pattern transfer techniques have recently been explored, where a nano-textured metallic film is pre-defined on a nanostructured mold substrate and then transferred to a target substrate in various ways. It is an advantage of such direct pattern transfer processes that they can be conducted in ambient environment in simple and inexpensive ways onto various substrate, resulting in high throughput. However, most of the mold structures tested previously were made out of silicon (Si), or polydimethylsiloxane (PDMS) substrates, requiring complicated etching or soft lithography processes at a nanoscale and making the pattern transfer less efficient.
Here, we demonstrate a new pattern transfer method using a nano-patterned photoresist (PR) layer as a mold for the fabrication of metallic nanosturecture on any substrate such as glass, polymer film. As opposed to the conventional Si or PDMS layer, we investigate the applicability of periodic PR nano-patterns, created over a large substrate area by laser interference lithography, as a direct mold layer, which will make the whole pattern transfer process simple and more efficient. This nanostructured metal film by laser interference lithography could be used in various applications such as triboelecric nanogenerator, plsmonic sensor, and opto-electronic devices.
9:00 AM - SS5.36
Dopant Activation and Charge Transport in Degenerately Nb Doped TiO2 Prepared by Reactive Pulsed Magnetron Sputtering
Steffen Cornelius 1 Mykola Vinnichenko 2
1Helmholtz-Zentrum Dresden-Rossendorf e.V. Dresden Germany2Fraunhofer-Institut fuuml;r Keramische Technologien und Systeme Dresden Germany
Show AbstractIntense research on TiO2 as a transparent conducting oxide (TCO) material was triggered by a series of breakthrough publications demonstrating a combination of resistivity below
5x10-4 #8486;cm and average visible transmittance above 80% for anatase Nb:TiO2 thin films [1]. Early experiments were focused on pulsed laser deposition (PLD) epitaxy resulting in record free electron mobility of ~25 cm2/Vs [1,2]. Since neither PLD nor epitaxial substrates are suitable for large area TCO applications, investigations were extended to magnetron sputter (MS) deposition onto low-cost glass substrates. Main focus was put on radio-frequency MS [3] and DC MS [4] using oxygen deficient ceramic sputter targets. After initial reports on poor electron mobilities of ~1cm2/Vs in sputtered TiO2 films grown onto heated glass substrates, it was soon realized that controlling the crystallization from the amorphous state, the suppression of rutile phase formation and the doping level in conjunction with the oxygen deficiency are crucial to obtain high quality TiO2 based TCO layers. However, there are several drawbacks associated with sputtering from ceramic targets such as limited variability of the oxygen deficiency, low growth rates and higher material costs compared to metallic targets.
Therefore, this contribution is aimed at understanding the influence of the oxygen deficiency and the phase composition on the electrical and optical film properties of Nb:TiO2 prepared by pulsed DC MS of TiNb alloy targets in Ar/O2 atmosphere. Three routes for film preparation, including direct growth at elevated substrate temperatures, post-annealing of amorphous layers and epitaxy on SrTiO3(100), are investigated. Precise tuning of the oxygen partial pressures in the ‘transition mode&’ enables the investigation of the effect of the oxygen deficiency on the crystallization of amorphous films during annealing, the Nb dopant activation (Rutherford Backscattering), the phase composition (X-ray diffraction), the charge transport (Hall Effect) and the optical properties of the Nb:TiO2 films. Using spectroscopic ellipsometry together with spectral photometry, an optical model of the dielectric function of Nb:TiO2 with different crystalline structure and conductivity is established. Moreover, charge transport in degenerately doped anatase TiO2 films will be discussed in the framework of a unified charge transport model including optical phonon scattering, ionized impurity scattering and grain boundary effects. Implications for the maximum achievable electron mobility in polycrystalline anatase TiO2 films are derived from a comparison of transport data of epitaxial and polycrystalline thin films, revealing the crucial role of the highly anisotropic effective electron mass.
[1] Y. Furubayashi et al., APL 86 (2005) 252101
[2] T. Hitosugi et al., JJAP 44(34) (2005) L1063
[3] M. Gillispie et al., JAP (2007) 033125
[4] M. Neubert et al. JAP 114 (2013) 083707
9:00 AM - SS5.37
Workfunction Study of Conducting Indium Vanadium Oxide Films as Function of Vanadium Composition and Using UIltra-Violet Treatment
Min-Jun Choi 1 Ae-Ran Song 1 Hyun-Woo Park 1 Kwun Bum Chung 1
1Dongguk University Seoul Korea (the Republic of)
Show AbstractWe investigated the workfunction of indium-vanadium oxide films (IVO) as a function of vanadium composition and ultra-violet (UV) treatment. By co-sputtering of V2O5 and In2O3 targets and UV treatment, we obtained IVO films with a sheet resistance of <50 ohm/square, an optical transmittance of >80%, and a workfunction of >4.5eV. The workfunction of prepared IVO films were investigated by using ultra-vilolet photoemission spectroscopy (UPS) and Kelvin probe. The workfunction can be successfully modified by the control of vanadium into indium oxide and by UV treatment. The changes of workfunction is correlated to the electronic structures, such as band gap, conduction band, and band alignment. These tunable workfunction is effectively expected to apply to the anode integrated electrode for organic solar cell (OSCs) and organic light emitting diodes (OLEDs).
9:00 AM - SS5.38
TiO2 Active-Channel Thin Film Transistor with Rutile Layer Using Rapid Thermal Annealing
Kwan-Jun Heo 1 Ju-Song Eom 1 Su Chang Yoo 1 Jae-Mun Oh 1 Byung-Do Yang 1 Sung-Jin Kim 1
1Chungbuk National University Cheongju Korea (the Republic of)
Show AbstractConsiderable research has been directed toward the generation of amorphous oxide transistors in view of their potential applications in active-matrix organic light-emitting diodes and liquid-crystal displays due to their high field-effect mobility, small sub-threshold swing, low cost and good uniformity. In particular, much attention has been attracted on TiO2 semiconductors as a channel layer for thin film transistors (TFTs) due to their low cost, the abundance of Ti element, and their applicable to transparent devices. Even though the TiO2 based TFTs have been fabricated by the several technique in recent years, the performances reported until the present time exhibited the relatively low electrical characteristic limiting the effects of process parameters. Accordingly, we have been interested in developing the interface structure affect the TFTs performance and a comprehensive investigation of the optimization conditions.
In this presentation, we report TiO2 active-channel TFTs fabricated with atomic layer deposition based on key process parameters, such as the rapid thermal annealing temperature. Structural analyses suggested that TiO2 films annealed above 500 °C changed from an amorphous to rutile phase. The TFT with a TiO2 semiconductor annealed at 600 °C exhibited strongly saturated output characteristics, much higher on/off current ratio and electron mobility.
Acknowledgement
This work was supported by the Human Resources Development of the Korea Institute of Energy Technology Evaluation and Planning (KETEP) grant funded by the Korea government Ministry of Trade, industry & Energy (No. 20144030200450).
9:00 AM - SS5.39
Epitaxial Growth and Properties of VO2 Thin Films
Yanxue Chen 1
1Shandong University Jinan China
Show AbstractVO2 exhibits a fast and first-order metal-insulator phase transformation at a critical temperature of ~68#8451;, accompanied by dramatic changes in crystal structure , optical and electrical properties. And it is found that, except the thermal method, the metal-insulator transition (MIT) can be triggered by a voltage or electric field and optical perturbation. With these excellent properties, VO2 thin films have wide range of applications, such as two-terminal electronic switches, three-terminal (gated) electronic switch devices, electronic oscillators, micro-bolometer, smart window, laser protection, optical switches, optical storage and so on.
As reported by other researchers, VO2 thin films were commonly grown on rutile TiO2 single crystal substrates owing to the small lattice mismatch. But the commercial rutile TiO2 single crystal substrates are expensive that are limited for research and application. Here, we grow high quality VO2 films on another rutile structure substrate of MgF2 which are cheap and universally applied as components in optical elements. The epitaxial relationship is confirmed by X-ray diffraction. The structure from monoclinic phase to tetragonal phase by rising the temperature is presented though the Raman spectrum. The VO2 films(thickness~110nm) on MgF2(001) and MgF2(110) substrates have a wonderful transition property, with the transition temperature below the value of bulk single-crystal (340K) and the change of resistance near 104 magnitude. We also deposited VO2 thin films on Al2O3(1-102) substrate under a optimized conditions. For the VO2 films on Al2O3(1-102) substrate, the transition temperature were Thotasymp;332.6K, Tcoolasymp;328.3K, and the change of resistance up 104 magnitude.
9:00 AM - SS5.40
Adjustable Optics for X-Ray Telescopes
Phoebe Yeoh 1 Raegan Johnson 2 Susan E. Trolier-McKinstry 2
1Goucher College Baltimore United States2Pennsylvania State Univ University Park United States
Show AbstractLightweight mirrors with precise, adjustable curvature must be developed in order to advance the next generation of X-ray telescopes. We proposed to create such mirrors by depositing thin films of Pb(Zr1-xTix)O3 onto flexible glass substrates and optimize the piezoelectric properties by varying processing conditions. During crystallization in oxygen, PbO tends to evaporate from the film, resulting in pores that affect the piezoelectric properties. We explored whether it was possible to reduce PbO evaporation by crystallizing films in nitrogen. Films were deposited onto both glass and silicon wafers using RF magnetron sputtering under various deposition pressures. The films were then crystallized in different atmospheres using either a rapid thermal annealing furnace or box furnace, and characterized for structural, electrical and electromechanical properties. We determined optimal deposition pressures for annealing films in oxygen, which resulted in samples with dielectric constants between 890-1020 and loss tangents from 0.022-0.045. Typical remnant polarization values obtained from the polarization-electric field hysteresis loops were 32-41 mu;C/cm2. Coercive fields were 56-85 kV/cm. When films were annealed in nitrogen at the same pressures, the electrical properties decreased in quality and the films remained porous. More research is currently being done to better understand the effect of crystallizing films in nitrogen and determine whether this process can decrease lead evaporation.
9:00 AM - SS5.41
Conformal SiO2 Coatings of Porous Polycarbonate Etched Ion-Track Membranes by Atomic Layer Deposition
Christian Hess 1 Nicolas Sobel 1 Anne Spende 2 1 Manuela Lukas 1 Eugenia Toimil-Molares 3 Bernd Stuehn 1 Christina Trautmann 2 1
1Technical University Darmstadt Darmstadt Germany2GSI Helmholtz Ctr Darmstadt Germany3GSI Helholtztr Ctr Darmstadt Germany
Show AbstractAtomic Layer Deposition (ALD) allows for production of conformal and pinhole free thin films also on high aspect ratio substrates such as porous materials. As ALD is cycle based it enables the control of film thicknesses with monolayer precision. In this contribution, we report on a new ALD approach to deposit silica films onto flexible porous ion-track etched polycarbonate membranes with a thickness of 30 µm. A low temperature (52°C) process was developed, which is compatible with the limited thermal stability of polymers and allows for conformal coating in high aspect ratio substrates. Infrared and X-ray photoelectron spectra show the formation of SiO2 with only small amount of nitrogen and chlorine impurities (both ~0.1 at%) for thicker films. Detailed XP analysis suggest that the mechanism of silica formation is based on subsurface crystal growth. Ellipsometric measurements on Si wafers as well as small angle X-ray scattering at the coated membranes indicate a SiO2 growth rate of 17-18 Å/cycle. The prepared cylindrical pores possess diameters between 20 and 100 nm and are homogenously coated over the complete pore length. Interestingly, by dissolving the polymer, flexible SiO2 nanotubes with 30 µm length can be released from the ion-track membrane. Due to the large pore length and small diameter of ion-track channels cylindrical silica nanotubes with an aspect ratio of ~3000 can be obtained. The SiO2 coating significantly improves the hydroaffinity of the polymer membranes as shown by contact angle measurements.
In conclusion, we have demonstrated the potential of ALD for tailored coatings of nanopores in polymeric track-etched membranes. The possibility to homogeneously coat high aspect ratio substrates with large surfaces areas will allow for synthesis of interesting new materials for various applications such as (photo)catalysis, storage or filtration.
9:00 AM - SS5.42
GaN-Based LEDs with ZnO-Based Transparent Conductive Layer Grown by MOCVD: Ultra Low Forward Voltage and High Uniformity
Jingchuan Yang 1 Gang Wang 1
1Sun Yat-sen University Guangzhou China
Show AbstractIn this work, GaN-based LEDs with Aluminum doped Zinc oxide(AZO) transparent conductive layer (TCL) grown by Metal Organic Chemical Vapor Deposition(MOCVD) were fabricated. Ultra low forward voltage of 2.86 V (at 20mA on 250x760 um2 chip), which close to the theoretical limit, was obtained, attributing to the epitaxial like excellent interface between ZnO-based TCL and InGaN tunneling layer confirmed by HRTEM. Furthermore, the distribution of forward voltage is very uniform with the standard deviation of 0.02, indicating the promising of mass production to alternative Tin doped indium oxide(ITO) GaN-based LED.
CTLM measurement proved that AZO can form a ohmic contact on LED with InGaN inserting layer. Besides, the AZO/InGaN/GaN- LED presents well performance not only in the normal working conditions but also in the high current region (up to 700mA). This improvements are attributed to the low electrical resistivity (~10-4 ohm cm) ,high transmittance(99.3% at 456nm) of the AZO layer and perfect lattice transition in the AZO/InGaN/GaN interface,which effectively enhance the lateral current spreading, carriers injection efficiency and light output power.
9:00 AM - SS5.43
Correlation between Microstructure and Optical Properties in In-Si-O Thin Films
Hosun Lee 1 Jun-Woo Park 1 Hyeon Seob So 1 Hye-Min Lee 2 Hyo Jung Kim 2 Han-Ki Kim 2
1Kyung Hee University Yongin-si Korea (the Republic of)2Kyung Hee University Yongin-si Korea (the Republic of)
Show AbstractTransparent conducting oxide (TCO) materials are essential materials for flat panel displays, photovoltaics, transparent thin film transistors, and transparent memory devices because they combine optical transparency and excellent electrical conductivity. As a promising flexible TCO electrode, amorphous (a-) In-Si-O as well as a-In-Zn-O are being investigated for flexible display and flexible photovoltaics systems because their electrical properties are maintained during bending motion of flexible display systems. Contrary to In-Zn-O alloys, few studies on In-Si-O alloys have been reported yet even though In-Si-O films have low resistivity and high transmittance comparable to In-Zn-O films. Recently, Lee et al. investigated the optical, electrical, and mechanical properties of amorphous In-Si-O alloys grown on a colorless polyimide (CPI) substrate, and obtained electron mobilities of 20 cm2/(V#8729;s) [1]. However, the microstructure and optical properties of In-Si-O thin films are not yet well understood.
We investigated the structural and optical properties of In-Si-O thin films as the phase changed abruptly from nanocrystalline to amorphous with increasing Si content. The In-Si-O thin films were deposited on Si substrate using co-sputtering deposition method. The RF power of In2O3 target was fixed at 100W, and that of SiO2 target was varied between 0 W and 60 W. At a threshold of SiO2 RF power between 15W and 20W, the optical properties, including dielectric functions, optical gap energies, and phonon modes, changed abruptly as do the crystallinity and surface morphology. XRD spectra showed crystalline (c-) In2O3-like peaks between 0 W and 15 W of SiO2 RF power, and then showed a broad peak associated with an amorphous (a-) In2O3 phase above 20W. However, Raman spectra of In-Si-O showed very weak peaks associated with c-In2O3 between 0 W and 15 W of SiO2 RF power, and then showed a strong Raman peak associated with c-In2O3 above 20 W. The contrasting results of XRD and Raman measurements can be explained that the large enhancement in Raman peak and Drude tails in the a-In-Si-O phase arose from the substantial decrease in oxygen vacancies by the addition of SiO2 units [2].
[1] H.-M. Lee, S.-B. Kang, K.-B. Chung, and H.-K. Kim, Appl. Phys. Lett. 102, 021914 (2013).
[2] N. Mitoma et al., Appl. Phys. Lett. 104, 102103 (2014).
SS1: Epitaxy of Complex Oxides: Mechanisms and Defects
Session Chairs
Dhananjay Kumar
Florencio Sanchez
Tuesday AM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
9:15 AM - SS1.01
Strain-Defect Interaction in Oxides
Ulrich Aschauer 1 Sverre M Selbach 2 Nicola A Spaldin 1
1ETH Zurich Zuuml;rich Switzerland2NTNU Trondheim Norway
Show AbstractWe recently established that epitaxial strain in perovskite thin films or heterostructures can not only be accommodated by changes in structural parameters such as bond-lengths or octahedral rotation angles, but also by the formation of point defects (PRB, 88, 054111, 2013). In this talk we will explore the generality of this concept for perovskite oxides of different compositions and with different functionalities as well as in binary rock-salt oxides. Based on our density functional theory calculations of the strained materials, the resulting point-defect-induced changes in properties such as the electronic conductivity, ferroelectricity and magnetism will be discussed.
9:30 AM - SS1.02
Strain Driven Off-Stoichiometry on Metal-to-Insulator Phase Transition in Epitaxial Rare-Earth Nickelate Film
Tae Heon Kim 1 Tula R Paudel 2 K.-H. Cho 1 Sanghan Lee 1 Sangwoo Ryu 1 Daesu Lee 1 B.A. Davidson 1 Mark S. Rzchowski 3 Evgeny Y. Tsymbal 2 Chang-Beom Eom 1
1University of Wisconsin-Madison Madison United States2University of Nebraska-Lincoln Lincoln United States3University of Wisconsin-Madison Madsion United States
Show AbstractMetal-to-insulator phase transition in complex oxide thin films is an exciting phenomenon, which may be useful for device applications, but the physical mechanism responsible for it is not fully understood. Here we demonstrate the effect of epitaxial strain on oxygen stoichiometry affecting metal-to-insulator phase transition in epitaxially grown RNiO3 (R = Nd, Sm) (001) films. Theoretically, we predict an increase in oxygen vacancy concentration in the rare-earth nickelates with increasing in-plane biaxial tensile strain. Oxygen vacancies enhance charge disproportionation, resulting in an oxidation state close to Ni4+ that stabilizes an insulating phase. Experimentally, we find an increase in resistivity and cell volume with increasing biaxial tensile strain and decreasing oxygen partial pressure corroborating our theoretical predictions. These results clearly indicate that epitaxial strain modifies oxygen stoichiometry of rare-earth perovskite thin films and through this mechanism affects metal-to-insulator phase transition in these compounds
9:45 AM - SS1.03
Revealing Growth Kynetics in PLD of a Manganite: In-situ Atomically-Resolved STM Studies
Alexander Tselev 1 Rama Krishnan Vasudevan 1 Anthony Gianfrancesco 2 Liang Qiao 1 Michael David Biegalski 1 Arthur P. Baddorf 1 Sergei V. Kalinin 1
1Oak Ridge National Laboratory Oak Ridge United States2UT/ORNL Bredesen Center, University of Tennesee Knoxville Knoxville United States
Show AbstractWith the strong trend to size reduction in the oxide systems and the reliance of device functionalities on the properties of interfaces, understanding film growth dynamics and formation of surface structures at the atomic level is of critical importance for development of new devices and technologies as well as for interpretation of experimental data. In this work, we applied in-situ Reflective High Energy Electron Diffraction (RHEED), atomically resolved Scanning Tunneling Microscopy (STM), and Angle-Resolved X-Ray Photoemission Spectroscopy (AR-XPS) to study effects of epitaxial strain, oxygen background pressure, and laser fluence on atomic- and mesoscopic-scale surface structure of La5/8Ca3/8MnO3 (LCMO) thin films in Pulsed Laser Deposition (PLD). Films were grown on TiO2-terminated (001) SrTiO3 (STO) and on (110) NdGaO3 (NGO) substrates. In an oxygen pressure range from 10 mTorr to 50 mTorr, atomic resolution in STM was obtained for all investigated samples. We find that the initial growth on STO (imposing significant tensile strain) does not follow the best physically possible layer-by-layer (LBL) growth (with only three u. c. layers exposed to the film surface). This behavior is reflected in decaying RHEED oscillations during deposition of the first 10-15 unit cells. Subsequently, the RHEED oscillations grow and remain persistent. In accordance with the RHEED behavior, STM images of 16 u. c.-thick films on STO revealed surfaces with terraced mounds with 1/2 u. c. step heights. With growing thickness, the surface structure gradually evolved into that corresponding the ideal LBL growth. In contrast, films on NGO (imposing a small tensile strain) grow in nearly perfect LBL mode starting from few-layer thickness. The result strongly suggest that change in the surface morphology with film thickness on STO are associated with reduction of the epitaxial strain with increasing thickness. The larger tensile strain at smaller thicknesses results in additional energy barrier for adatoms to descend steps of growing islands (Ehrlich-Schwoebel barrier). Contrary to expected, the change of the laser fluence on the target in the range from 0.8 J/cm2 to 2.6 J/cm2 did not lead to a noticeable charge of the atomic-scale surface morphology. All thinner films showed a varying degree of mixed termination. We were able to identify surface terminations using AR-XPS. The (La,Ca)O termination is persistently (sqrt(2)xsqrt(2))R45° reconstructed and well-ordered. The MnO2 termination is either disordered or well-ordered with an (1x1) reconstruction depending on the oxygen pressure. The observed changes in the surface morphology can be tracked to changes in the bulk structural and physical properties of the films. This research was sponsored by the DMSE, BES, US DOE (AT, RKV, AGG, SVK). Research was conducted at CNMS, which also provided support (APB, MDB, LQ) and which is sponsored at ORNL by the SUFD, BES, US DOE.
10:00 AM - *SS1.04
Defects and Interfaces in Oxide Thin Film Heterostructures
Jagdish Narayan 1
1North Carolina State University Raleigh United States
Show AbstractDefects and interfaces play a critical role in controlling the properties of thin film oxide heterostructures and devices thereof. This talk is divided into two parts. The first part deals with stresses and strains in thin film heterostructures and relaxation of these stresses and strains via generation of dislocations. The generation of dislocations has two independent steps, nucleation at the surface and glide to the interface. These two steps are a strong function of bonding characteristics of materials, hence, the mechanisms of strain relaxation and dislocation generation in oxide materials are quite different from those encountered in softer materials. This presentation will discuss the epitaxy across the misfit scale via domain matching epitaxy paradigm, and challenges in integration of oxide materials on practical and silicon and sapphire substrates. The second part of this talk focuses on controlled introduction of defects by (low-power) pulsed laser irradiation in oxides and nitrides and precise tuning of electrical, magnetic, ferroelectric and magnetic properties. By laser annealing, near surface regions are modified by introducing defects and /or dopants which lead to desirable 2D electrical and magnetic properties. We have created novel two-dimensional metamaterials such as bcc Ni, NiO, ZnO (wurtzite and zinc blende) and MoS2. High-power pulsed laser annealing is used to introduce defects in the top few layers of ZnO and NiO and modify the electrical, optical and magnetic properties in a controlled way. In ZnO, ferromagnetism can be introduced into the surface layers; in NiO, n-type layers can be created in the near-surface regions of p-type films, thus leading to oxide p-n junctions in the same material. In YSZ/Si(100) thin films, a conductivity increase over several orders of magnitude is achieved by pulsed laser irradiation.
10:30 AM - SS1.05
Tailoring the Defect Structure in SrTiO3 Thin Films
Nicolas Raab 1 Dirk Oliver Schmidt 2 Christoph Baeumer 1 Maximilian Kruth 1 Hongchu Du 1 Ulrich Simon 2 Regina Dittmann 1
1Forschungszentrum Juelich GmbH Juelich Germany2RWTH Aachen Aachen Germany
Show AbstractResistive switching oxides are investigated at great length as promising candidate for the next generation of non-volatile memory. It is generally assumed that defects have a strong impact on the resistive switching properties of transition metal oxides. However, an accurate determination of the correlation between different types of defects and the resistive switching properties, both on the device-level and on the nanoscale, remains elusive.
In this work, we use two different approaches to gain a deeper understanding of the impact of defects on the resistive switching. The defect structure is modified either by tunable non-stoichiometry or by artificially induced nucleation points. In the device-level approach, we fabricated single-crystalline SrTiO3 thin films by pulsed laser deposition (PLD) with different Sr/Ti content by varying the laser fluence. The tunable non-stoichiometry is accommodated by the formation of extended defects rather than by point defects which are the dominant defect type in the more stoichiometric case. In the devices with either Ti- or Sr-excess a lower current in the pristine state and a higher current in the low resistance state was observed. Therefore we expect that the extended defects present in the non-stoichiometric devices facilitate a more efficient oxygen vacancy formation and thereby enhance the device performance.
In the nanoscale approach, we employed gold nanoparticles as defect nucleation points in single-crystalline SrTiO3 thin films. In-situ local conductivity AFM was performed on stoichiometric SrTiO3 thin films deposited by PLD on gold nanoparticle covered substrates. While we observed a homogenous high resistance in the pristine state, the current in the low resistance state was significantly increased in the vicinity of the nanoparticles. This locally defined region was identified by transmission electron microscopy (TEM) as a distorted SrTiO3 area. Therefore, we expect that gold nanoparticles can be used to induce defects on defined positions which enhance the local resistive switching properties of the surrounding SrTiO3 thin film.
SS2: Complex Oxides: Emerging Functionalities
Session Chairs
Dhananjay Kumar
Florencio Sanchez
Tuesday AM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
11:15 AM - SS2.01
Critical Role of Domain Boundary Parallel to the Interface in the Operation of VO2 Mott Transistors
Takeaki Yajima 1 Tomonori Nishimura 1 Akira Toriumi 2
1The University of Tokyo Tokyo Japan2Univ of Tokyo Tokyo Japan
Show AbstractA Mott transistor is a field effect transistor which induces metal-to-insulator transition in the channel of strongly correlated electronic systems. While a conventional field effect transistor forms electronic channel only in the vicinity of the interface, the Mott transistor is believed to be able to modulate conductivity throughout the film due to the strong correlation of electrons and lattices [1]. However, the understanding of the mechanism of this collective conductive modulation has been elusive, because the ideal device operation has often been hindered by the insufficient electric field in solid-state gating [2] as well as by the electrochemical reaction in the ionic liquid gate structure [3].
One of the key parameters in this Mott transistor operation would be the instability of the boundary between the metallic and insulating domains. The large instability would inhibit the domain formation in the vicinity of the interface and instead cause transition throughout the film. Based on this assumption, we formed an artificial domain boundary parallel to the interface in VO2 heterostructures, in order to experimentally elucidate the role of domain boundary in the Mott transistor operation. It was shown that the artificial domain boundary can be made energetically unstable, and that this instability lead to the simultaneous transition throughout the heterostructure. These results elucidate the critical role of domain boundary, facilitating the design principle of Mott transistors.
In the experiment, VO2 and W-doped VO2 layers were epitaxially grown on the TiO2 (001) substrate. The artificial domain boundary was formed between the insulating phase in the VO2 layer and the metallic phase in the W-doped VO2 layer. This interface showed no W diffusion in the secondary ion mass spectroscopy. While the transition temperature of the VO2 layer was independent of its thickness on the TiO2 substrate, it decreased as a function of thickness on the W-doped VO2 layer. This means the insulating phase in the VO2 layer is disfavored on the metallic phase in the W-doped VO2 layer, showing the instability of the domain boundary. The amount of decrease was linear to the inverse of the VO2 layer thickness, and its slope gives the domain boundary energy of ~ 88 mJ/m2, which is comparable to the value reported in other materials systems. Furthermore, when the thicknesses of both VO2 and W-doped VO2 layers were decreased below the threshold of several nanometers, the transition temperatures of these two layers merged and the whole heterostructure made a simultaneous transition, experimentally simulating the ideal operation of Mott transistors.
[1] M. Nakano et al., Nature 487, 459 (2012).
[2] C. H. Ahn et al., Nature 424, 1015 (2003).
[3] J. Jeong et al., Science 339, 1402 (2013).
11:30 AM - *SS2.02
Spintronics with Metal and Semiconductor Antiferromagnets: The Role of Oxides
Xavier Marti 1
1Institute of Physics. Academy of Sciences of the Czech Rep. Prague Czech Republic
Show AbstractWe will review a few recent demonstrations of the antiferromagnetic (AFM) spintronics concepts [1-4]. As an introduction, we will review the room-temperature FeRh-based antiferromagnetic memory device that produces negligible stray fields and is insensitive to strong magnetic fields [3]. These results will be put in prespective with results based on Chromium metal in the '60s and early 70's [5,6]. We will list the present strategies for reading and writing AFM devices. For electrical reading, one could use an AFM analogue of the anisotropic magnetoresistance (AMR) or the tunneling-anisotropic-magnetoresistance (TAMR). We will discuss various on-chip schemes for writing the memory state by electrical currents. These range from techniques analogous to heat-assisted magnetic recording, to AFM analogies of current induced spin-torques, with the latter offering ultra-fast operation unprecedented in FMs. For writing, strategies employing field-cooling and electrical currents will be discussed. Next, we will report experimental observation of the anisotropic magnetoresistance in an oxide antiferromagnetic semiconductor Sr2IrO4 [4]. The work opens the prospect for integrating semiconducting and spintronic functionalities in one material using AFMs where high AFM ordering temperatures occur in a variety of semiconductor structures. Our temperature dependent measurements of the resistance and anisotropic magnetoresistance highlight the large and entangled tunabilities of the ordinary charge and spin-dependent transport in a spintronic device utilizing the AFM semiconductor.
References
[1] B.G. Park et al., Nature Mater. 10, 347 (2011)
[2] R. Duine, Nature Materials 10, 344-345 (2011)
[3] X. Marti et al., Nature Mater. 13, 367-374 (2014)
[4] X. Marti et al., Nature Communications 5, 4671 (2014)
[5] W.B Muir and J.O. Strom-Olsen, Phys. Rev. B 4, 988 (1971)
[6] S. Arajs and G. R. Dunmyre, J. Appl. Phys. 36, 3555 (1965)
12:00 PM - *SS2.05
Transition Metal Oxide Resistive Switching Memory: Materials, Mechanism, and Applications
Kaushal K. Singh 1
1Applied Materials Santa Clara United States
Show AbstractInformation storage device is a key component of nanoelectronic systems. Conventional memories, such as SRAM, DRAM, and FLASH are facing device scaling challenges. Emerging memory device concepts with novel materials have been currently pursued both in academia and industry for the future information storage needs. The ideal characteristics for a non-volatile memory device must have low power consumption, fast programming read/write speed (~ns), long retention time (>10 yrs), good reliability, high integrated density and continued scaling. To achieve these goals, electrically switchable metal oxide materials are the promising candidates and have been studied extensively. These devices can be electrically switched between a low-resistance-state (LRS) and high-resistance-state (HRS) over many cycles. New engineered materials play a critical role in the development of future memories. Various metal oxides have demonstrated the resistive switching properties, such as binary oxides (CuO, NiO, ZnO, HfO2, ZrO2, Ta2O5, Al2O3, TiO2 and their hetero structures), ternary oxides (SrTiO3) and chalcogenides. One of the important goals of materials research for memory technologies is a clear identification of the physical mechanism of memory operation. Next, an optimized materials system has to be synthesized, including e.g. precise control of composition, doping, defects etc. Reproducibility of results is a serious problem with some emerging research materials as far industrial product is concerned. The physical mechanism of resistive switching, structural transformation, ReRAM modellings based on materials science and chemistry of the devices with results will be reported.
This paper will also discuss oxide and electrode film deposition methods, interfacial properties, device fabrications and characterizations methodologies for ReRAM and future challenges based on ITRS memory roadmap 2014.
Symposium Organizers
Frank Grosse, Paul-Drude-Int fur Festkorperelektronik
Dhananjay Kumar, North Carolina A amp; T State University
Florencio Sanchez, ICMAB CSIC
Tetsuya Yamamoto, Kochi University of Technology
Symposium Support
North Carolina Aamp;T Univ
SS8: Ferroelectric Oxides II
Session Chairs
Marin Alexe
Evgeny Tsymbal
Wednesday PM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
2:30 AM - SS8.01
Superdomain Formation in Anistropically Strained (K,Na)NbO3 Thin Films on NdScO3 Grown by MOCVD
Martin Schmidbauer 1 Dorothee Braun 1 Jan Sellmann 1 Albert Kwasniewski 1 Michael Hanke 2 Martin Albrecht 1 Jutta Schwarzkopf 1
1Leibniz-Institute for Crystal Growth Berlin Germany2Paul Drude Institute Berlin Germany
Show AbstractUnderstanding and controlling of domain formation in ferroelectric thin films at the nanoscale is essential for fundamental research as well as for potential applications. The incorporation of anisotropic in-plane lattice strain has a decisive impact on the stability of ferroelectric phases and is achieved by the deposition on lattice mismatched substrates. The formation of complex domain structures provides an additional degree of freedom to reduce lattice strain and electrostatic surface energies.
In recent years, alkaline niobate based materials have attracted much interest due to their excellent piezoelectric properties, high Curie temperatures and complex phase diagrams. However, domains in (K,Na)NbO3 thin films are rarely studied yet since deposition of stoichiometric films is still challenging due to the volatility of the alkaline components.
In this study K0.9Na0.1NbO3 thin films were epitaxially grown on NdScO3 substrates by liquid-delivery spin metal-organic chemical vapor deposition whereby compressive lattice strain was incorporated. Due to the orthorhombic symmetry of both the alkaline niobate film and of the neodym scandate substrate the in-plane strain is anisotropic in the order of -0.25% and -0.54%. X-ray reciprocal space maps verify that the 28 nm thin films are still fully strained and exhibit exclusively ac orientation. In order to investigate the ferroelectric domain structure piezoresponse force microscope (PFM) measurements in dual ac resonance tracking (DART) mode have been performed. The PFM results show irregularly arranged 180° domains along [001]NSO with lateral and vertical polarization components superimposed by well-ordered lateral stripe subdomains with a periodicity of approximately 30 nm running along [1-10]NSO. Poling experiments lead to the conclusion, that in the K0.9Na0.1NbO3 thin films on NdScO3 substrates a complex superdomain structure of ferroelectric and ferroelastic domains is formed. For a detailed study of the in-plane lattice distortion grazing incidence x-ray diffraction (GIXD) patterns of symmetric and asymmetric Bragg reflection peaks have been measured using synchrotron radiation at the ESRF Beamline BM02 in Grenoble. They have established that the film unit cell is in-plane monoclinically distorted by an angle β=±0.12 ° with respect to the [1-10]NSO direction only. They also indicate that the domains are periodically arranged in [1-10]NSO direction with a period length of ~30 nm which is in good agreement with the PFM data. Additionally, our PFM and GIXD measurements show that the domain walls between the 90° subdomains are rotated by ±15° relative to the [001]NSO direction. In summary, the presented superdomain structure can be explained by monoclinic MA domains.
2:45 AM - SS8.02
Neural Network Based Investigations of the Tip-Induced Ferroelectric Domain Switching
Anton V. Ievlev 1 Sergei V. Kalinin 1
1Oak Ridge National Lab Oak Ridge United States
Show AbstractSince their discovery, ferroelectric materials have attracted much attention as a perspective material for a new generation of optoelectronic and data storage devices. Scanning probe microscopy has become standard tool for complex study of the various ferroelectric materials. It provides a full spectrum of investigative tools from static domain structures visualization to engineering of tailored domain structures with nanometer spatial resolution.
Recent experimental investigation of the SPM tip-induced polarization switching at polar and non-polar cuts of the uniaxial ferroelectrics demonstrated formation of the highly unusual symmetric and asymmetric domain morphologies attributed to surface and bulk screening charge dynamics.
Here we used neural network approach for analysis of the shapes of the domains formed after tip-induced polarization switching at polar (001) and non-polar (010) cuts of the lithium niobate single crystal. Investigation at polar cut allowed to explain kinetics of the formation of symmetric domain and allowed to establish an approach for recognition of the used electrical pulse by the shape of the resulted domain. Analysis of the domains shapes at non-polar cuts allowed measuring of the thermodynamic properties of the used ferroelectric samples.
These studies both provide insight into the highly non-trivial mechanism of domain switching and potentially establish a new paradigm for multilevel information storage, where the domain shape encodes data.
A portion of this research was conducted at the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility.
3:00 AM - *SS8.03
Multifunctional Lead-Free (K,Na)- (Ta,Nb) - O sytem:From Perovskite Thin Films To Tetragonal Tungsten Bronze Nanorods Epitaxially Grown By Pused Laser Deposition
Maryline Guilloux-Viry 1 Anne Waroquet 1 Valerie Demange 1 Brice Gautier 2 Philippe Hamoumou 2 Valerie Bouquet 1 Stephanie Deputier 1
1University of Rennes 1, ISCR Rennes Cedex France2INSA Lyon, INL Lyon France
Show AbstractMultifunctional oxides thin films are the focus of numerous studies in relation with the large variety of composition, structure and microstructure that enables to tune the properties. Potassium, sodium niobates/tantalates have attracted great interest for applications in microelectronics, electro-optics, and photocatalysis. Nowadays lead free materials are of first importance in the frame of piezoelectric and ferroelectric devices. As an illustration the KTa1-xNbxO3 (KTN) perovskite is well-known to present large piezoelectric coefficients1 and highly tunable dielectric properties for microwaves applications2 whereas some potassium niobates were reported to exhibit photocatalytic activity3. Tetragonal tungsten bronze phases (TTB) are also of major interest for the development of new efficient devices on a reduced scale.
After an introduction illustrating the potentialities of these materials, this talk will present how to control the growth of different phases of interest in the KTN system. Perovskite thin films were first epitaxially grown on different substrates by Pulsed Laser Deposition (PLD)2,4. PLD enables an accurate control of both composition and structural properties for developing a phase diagram approach. KTN nanorods were grown by PLD on R-plane sapphire and (100)SrTiO35.The coatings were analysed by X-Ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray spectrometry (EDS) and transmission electron microscopy (TEM). The crystal structure was solved by precession electron diffraction as being a TTB type structure5. Various phases in the KTN and KN systems were synthesized on SrTiO3 substrates such as KNb3O8 , K4Nb6O17, and the K6Nb10.88O30 TTB phase. NKN (Na,K-Nb-O) thin films consisting of nanorods were also obtained. The film/substrate epitaxial relationships of the different phases which compete were determined and correlated to the microstructure. Films deposited on Nb doped SrTiO3 were characterized by Piezoresponse Force Microscopy (PFM) which showed piezoelectric activity for several phases which confirms also the high potentiality of non perovskite phases in this system.
SEM and MET were performed at CMEBA and THEMIS at University of Rennes 1, which thank the European Union, Region Bretagne and Rennes Metropole for financial support (FEDER 2006-2013 CPER funding). The authors acknowledge financial support from the french CNRS and CEA METSA network for specific MET experiments.
[1] D. Damjanovic, M. Demartin, H. S. Shulman, M. Testorf, N. Setter. Sens. Actuators, Al. 53 (1996) 353
[2] Q. Simon, Y. Corredores, X. Castel, R. Benzerga, R. Sauleau, K. Mahdjoubi, A. Le Febvrier, S. Deputier, M. Guilloux-Viry, L. Zhang, P. Laurent, G. Tanne. Appl. Phys. Lett. 99 (2011) 092904
[3] K. Teshima, K. Horita, T. Suzuki, N. Ishizawa, S. Oishi, Chem. Mater., 18 (2006), 3693
[5] Q. Simon, V. Dorcet, P. Boullay, V. Demange, S. Députier, V. Bouquet, M. Guilloux-Viry, Chem. Mater. 25 (2013) 2793
3:30 AM - SS8.04
Complex Oxide Nanomembrane Systems
Deborah M. Paskiewicz 1 Rebecca Sichel-Tissot 1 Liliana Stan 1 Dillon D. Fong 1
1Argonne National Laboratory Lemont United States
Show AbstractNanomembranes (NMs) are nanoscale-thickness sheets of single-crystalline materials that are freestanding, flexible, compliant, and transferrable. Because NMs are free from a confining growth substrate, they are different from epitaxial thin films in that (1) they can be dynamically strained through mechanical deformation, and (2) they can be transferred to almost anything else. This includes rigid substrates where conventional epitaxial growth is difficult or impossible, and flexible/stretchable polymer substrates; the compliancy of NMs allows them to conform to new surfaces and bond well. While substantial progress has been made in silicon-based NMs, new opportunities may arise with NMs comprised of multifunctional complex oxide materials. Here device applications can be envisioned where ferroelectric and piezoelectric materials are joined with semiconductors for integration with conventional electronic devices or microelectromechanical (MEMs) systems. Piezoelectric complex oxide NMs transferred to flexible and stretchable substrates have the potential to convert repetitive mechanical energy into electrical energy.
In this presentation, we show that we can combine dissimilar materials with oxide NM synthesis through the release of single-crystalline SrRuO3 (SRO) thin films from the original growth substrate. Epitaxial SRO films are grown on SrTiO3 (001) (STO) substrates where the lateral size and shape is defined by a selective epitaxy process. The SRO NMs are then released from the STO growth substrate via selective etching. The freestanding SRO NMs are very thin (~50 nm) with large aspect ratios (length:thickness ge; ~104), and readily bond to most surfaces, including Si substrates. Any strain from epitaxial growth is elastically relaxed during the release process, i.e. when the SRO NM becomes freestanding. Transferred SRO NMs serve as epitaxial growth templates for ferroelectric Pb(Zr, Ti)O3 (PZT) thin films. By measuring structural changes in the PZT/SRO NMs with in-situ synchrotron x-ray techniques, we monitor changes in strain, polarization, and structural phase as a function of chemical environment and temperature. Our oxide NM synthesis technique represents a significant advancement in materials integration and provides the foundation for making flexible single-crystalline complex oxide materials.
3:45 AM - SS8.05
Artificial Multilayers and Superlattices LSMO/PZTFT Nanostructures for Memory Devices Applications
Shalini Kumari 1 Nora Ortega 1 Ashok Kumar 2 Ram S. Katiyar 1
1University of Puerto Rico San Juan United States2National Physical Laboratory (CSIR) New Delhi India
Show AbstractMultilayers (MLs) and Superlattices (SLs) are recently an intensive research subject because of the interest for technological applications and for fundamental scientific importance. Fabrication of SLs suggests an exclusive approach based on interface engineering to produce artificial materials with unique properties and manipulation of the strain and chemical heterogeneity to exhibit entirely new or enhanced properties which are absent in constituent materials. Multiferroic SLs exhibit superior properties like low loss, high dielectric constant, very good ferroelectric and magnetic properties, which make them suitable candidate for memory device applications. MLs and SLs of the colossal magnetoresistive La0.67Sr0.33MnO3 (LSMO) and low loss multiferroic Pb(Zr0.53Ti0.47)0.60(Fe0.5Ta0.5)0.40O3 (PZTFT) materials have been fabricated by pulsed laser deposition on (100) (LaAlO3)0.3(Sr2AlTaO6)0.7 (LSAT) substrate with LSMO or LaNiO3 as bottom electrode. X-ray diffraction revealed well oriented (00l) perovskite structure in all structures, in case of MLs two peaks corresponding to LSMO and PZTFT were observed, while in SLs satellite peaks modulated around main peaks were present. The computed modulation period (Λ) by Bragg&’s condition revealed that the SL structure was observed below Λ~ 20 nm. Atomic force microscopy studies showed a systematic decrease in grain size with decrease of #581; in PZTFT/LSMO structures. Piezo force microscopy studies of MLs and SLs films confirmed ferroelectricity at a nanoscale level. XPS studies of SLs with Λ=5 nm confirmed the existence of all elements on the surface. A clear ferromagnetic behavior was observed even in SLs with Λ= 5 nm, however a reduction in saturation magnetization (Ms) from 40 to 20 emu/cm3 at H=5 kOe was observed with decrease of periodicity, which may be due to decrease of grain sizes and long range coherence length. A relatively small decrease in dielectric constant (εr), from 700 to 470 was observed in MLs and SLs structures with decrease of Λ, it may be due to finite size effect: interfaces, stress, lattice distortion, grain sizes. Well defined polarization loops were observed in SLs and MLs structures, however a decrease in remanant polarization from ~40 to 20 mu;C/cm2 with Λ decreasing from 100 nm to 10 nm was observed with the maximum applied electric field. SLs P-E loop exhibits high electric field stress sustainability compared to MLs.
SS9: Magnetic Oxides
Session Chairs
Wednesday PM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
4:30 AM - SS9.01
Strain Induced Room Temperature Ferromagnetism in Epitaxial Magnesium Oxide Thin Films
Zhenghe Jin 1 Yi-Fang Lee 2 Sudhakar Nori 2 Ki Wook Kim 1 Jagdish Narayan 2 Dhananjay Kumar 3
1North Carolina State University Raleigh United States2North Carolina State University Raleigh United States3North Carolina A amp; T State University Greensboro United States
Show AbstractMgO is perhaps one of the best characterized materials in terms of defects and impurities as well as its widespread use as an important material in magnetic tunnel junctions. The epitaxial MgO thin films have been deposited on c-sapphire substrate using pulsed laser deposition. XRD and Phi;-scans have confirmed the epitaxial nature where the epitaxial relationships can be written as (001)MgO #449;(0001)Al2O3 (out-of-plane) and [112] MgO #449; [-2110] Al2O3 (in-plane). Also the lateral misfit strain is examined by the MgO(111) peak shift from XRD theta;-2theta; scan, which explains the origin of defects through physics process of strain relaxation. The interface of TEM image is atomically sharp and no evidence of any interfacial reaction is observed. The corresponding selected area electron diffraction pattern is in agreement with the Phi;-scan data. Magnetization data collected from VSM and SQUID indicate that the MgO exhibits the room temperature ferromagnetism (RTFM) material, wherein the magnetization exists in both in-plane and out-of-plane orientations. The electronic structure analysis revealed that magnesium vacancy (VMg), oxygen antisite (OMg), and magnesium antisite (MgO) defects carry a local magnetic moment. However, OMg and MgO have rather high formation energies and hence may occur in a very small fractions. Therefore, the occurrence of RTFM in MgO is attributed to the formation of magnetic point defects, presumably VMg, which percolate in the crystals and give rise to the long-range ferromagnetic ordering. The lower defect concentrations in films that were grown at higher substrate temperatures and higher oxygen partial pressures, lead to reduce the magnetization. Our study is consistent with the defect mediated d0-ferromagnetism. It is believed that MgO is a potential ferromagnetic insulator (FMI) material and can be integrated epitaxially on c-sapphire substrate for potential device applications, such as, photo-detectors.
1. J. Narayan, Sudhakar Nori, D. K. Pandya, D. K. Avasthi and A. I. Smirnov, Applied Physics Letters,93, 082507 (2008)
2. Sudhakar Nori, T-H Yang and J. Narayan, JOM: the Journal of the Minerals, Metals & Materials Society,63, 29 (2011)
4:45 AM - SS9.02
Probing Ni Ferromagnetism in LaNiO3/CaMnO3 Superlattices
Charles Flint 1 2 Alexander Grutter 2 5 Purnima Parvathy Balakrishnan 3 2 Alpha N'Diaye 4 Padraic Schafer 4 Elke Arenholz 4 Matthew Thomas Gray 1 2 Urusa Shahriar Alaan 1 2 Brian Kirby 5 Julie Borchers 5 Yuri Suzuki 6 2
1Stanford University Stanford United States2Stanford University Stanford United States3Stanford University Stanford United States4Lawrence Berkeley National Laboratory Berkeley United States5National Institute of Standards and Technology Gaithersburg United States6Stanford University Stanford United States
Show AbstractInterfacial magnetism in transition metal oxide superlattices provides a promising path to realize low dimensional spin functionality in future magnetic and spintronic devices. However, the interactions between adjacent materials that give rise to interfacial magnetism in complex oxides are still poorly understood. In 2001, interfacial ferromagnetism was reported in superlattices (SLs) of the paramagnetic metal CaRuO3 (CRO) and the antiferromagnetic insulator CaMnO3 (CMO) [1]. Density functional theoretical calculations indicated electron leakage from CRO into the adjacent CMO gave rise to one unit cell thick ferromagnetism as a result of an itinerant double-exchange mechanism [2]. This phenomenon was generalized to SLs of CMO and the paramagnetic metal LaNiO3 (LNO) [3]. LNO exhibits a thickness-dependent metal insulator transition in that results in insulating behavior for N<4, which allows one to test the role of metallicity in stabilizing interfacial ferromagnetism in CMO-based SLs [3].
Recently we fabricated a set of ultra-thin [(LNO)N/(CMO)M]10 SLs with N=2-7, M=4. Transport measurements confirmed a thickness dependent metal-insulator transition at N < 4. For N ge; 4, metallic properties are nearly identical to those of the previously grown SLs, indicating similar high quality SLs, as confirmed via x-ray diffraction. However, bulk magnetometry measurements revealed that insulating SLs exhibit ferromagnetism. As there are no itinerant electrons in insulating LNO, there may be an additional mechanism responsible for ferromagnetism in these SLs. X-ray magnetic circular dichroism (XMCD) was used to identify both Ni and Mn as sources of the persistent magnetism in insulating SLs. Furthermore, XMCD measurements revealed Ni magnetism in metallic SLs as well. To this point, the LNO thickness-dependence of the saturated magnetization suggests that the ferromagnetism in metallic SLs is a combination of the persistent ferromagnetism isolated in insulating SLs as well as the previously identified double-exchange mechanism.
Detailed X-ray absorption spectroscopy (XAS) measurements were performed to understand the source of persistent Ni and Mn magnetism in these SLs. Remarkably, insulating N=2 SLs exhibit XAS features consistent with significantly mixed Ni 2+ and 3+ valence. This mixed valence is strongly suppressed after the onset of metallicity in N ge; 4 SLs. The Ni L2 edge for a series of SLs which do not exhibit Ni ferromagnetism exhibit a nearly uniform Ni 3+ population. To further investigate the source of mixed Ni valence, oxygen K-edge spectroscopy was performed. Comparisons of the Ni 3d8L charge transfer pre-peak in the oxygen K-edge between these SLs are consistent with the presence of Ni 2+ magnetism in LNO/CMO SLs.
References
1. K. Takahashi, M. Kawasaki, Y. Tokura, Appl. Phys. Lett. 79, 1324 (2001)
2. B.R.K. Nanda, S. Satpathy, M.S. Springborg, Phys. Rev. Lett.98, 216804 (2007)
3. A.J. Grutter et al., Phys. Rev. Lett.111, 087202 (2013)
5:00 AM - *SS9.03
Enhanced Magnetic Properties of Epitaxial Spinel Ferrite Thin Films Grown on Isostructural Lattice-Matched Substrate
Arunava Gupta 1
1University of Alabama Tuscaloosa United States
Show AbstractThe growth of high quality epitaxial spinel ferrite (e.g., NiFe2O4, CoFe2O4, LiFe5O8) thin films has attracted considerable research attention recently as a candidate for microwave integrated devices, magnetoelectric coupling heterostructures, and active barrier material for emerging class of spintronic devices known as spin filters. Unlike perovskites, the choice of lattice-matched substrates has thus far been limited for the growth of spinel ferrites. The traditionally used substrates include cubic rock salt MgO and spinel MgAl2O4. While MgO has a good lattice match (~1% for twice the lattice parameter), the difference in crystal symmetry with the ferrite results in formation of a high density of antiphase boundaries that degrades the magnetic properties. MgAl2O4 is isostructural but has a fairly large mismatch with the ferrites (~3%). We have for the first time grown NiFe2O4 films on high quality spinel MgGa2O4 substrates, with a lattice mismatch of ~0.8%, and observed significant improvement in the epitaxial growth, which drastically modifies the magnetic properties. While the saturation magnetization is close to the expected bulk value, the close lattice match results in coherent growth even for films thicker than 300 nm. The stain in the film results in an in-plane anisotropy that is significantly larger than the magnetocrystalline anisotropy of NiFe2O4. More significantly, the Ferromagnetic Resonance (FMR) linewidth for NiFe2O4 films grown on MgGa2O4 substrates is much lower than what has been previously observed. For example, the FMR linewidth at 10 GHz is about 40 Oe for a 40 nm thick film in the in-plane geometry. This is more than an order of magnitude lower than the lowest values previously reported, making these epitaxial films attractive for use in microwave integrated devices and other applications.
5:30 AM - SS9.04
Structural and Magnetic Characterization of La2CoMnO6 Thin Films for Novel Spintronic Devices
Regina Galceran 1 Jose Cisneros-Fernandez 1 Laura Lopez-Mir 1 Jaume Roqueta 3 Josep Santiso 3 Lluis Balcells 1 Xavier Marti 2 Frontera Carlos 1 Benjamin Martinez 1
1Institut de Ciencia de Materials de Barcelona/CSIC Cerdanyola del Valles Spain2Institute of Physics. Academy of Sciences of the Czech Rep. Prague Czech Republic3Institut Catala de Nanociencia i Nanotecnologia, ICN2-CSIC Bellaterra Spain
Show AbstractInsulating ferromagnetic films are of interest for spin-filtering when using them in magnetic tunnel barriers. Because of the exchange splitting of the bands in a ferromagnet, the height of the tunnel barrier will be different for spin-up and spin-down carriers. Electrons tunneling through it will experience a different barrier height depending on their spin, this will result in two different resistance states. This would imply no need fortwo ferromagnetic electrodes, giving an extra degree of freedom in such a device. Ferromagnetic spinels like NiFe2O4 have demonstrated capability for being integrated in a magnetic tunnel junction; however, they are poor insulators hindering possible applications.
We will show exhaustive magnetic and structural characterization of ferromagnetic-insulating La2CoMnO6 (LCMO) thin films grown on top of (001) STO substrates by means of RF sputtering technique. Structural analysis, by using synchrotron X-ray diffraction, allows identifying close relation between film composition and their magnetic properties. Curie temperature and magnetic hysteresis turn out to be dependent on the oxygen stoichiometry. In situ annealing conditions allow tailoring the oxygen content of the films, resulting in a dramatic increase of Tc. Transport measurements reveal the excellent insulating properties of this material. Remarkable results resulting from the magnetic interaction of LCMO and non-ferromagnetic electrodes in bilayered structures will be also shown. Belonging to the perovskite family, this material can be easily integrated in tunnel heterostructures with upgraded and distinctive functionalities.
5:45 AM - SS9.05
Charge Carrier Doping in Electrolyte-Gated Mesostructured La1-xCaxMnO3 and La1-xSrxMnO3 with Cubic Pore Symmetry: Towards Magnetically Tunable Thin Film Nanomaterials
Christian Reitz 1 Philipp M Leufke 1 Horst W. Hahn 1 Torsten Brezesinski 1
1Karlsruhe Institute of Technology Eggenstein-Leopoldshafen Germany
Show AbstractMagnetic materials are just as ubiquitous as transistors in modern information technology and have been studied for decades. They are constantly undergoing changes and in recent times, especially, magnetic-electric thin film nanomaterials are in the focus of interest. While different physical methods, such as sputtering and pulsed laser deposition, have been applied successfully to the fabrication of such materials, the use of evaporation-induced self-assembly (EISA) to achieve mesoporous thin films has scarcely been reported. Part of the reason for this is the difficulty of crystallizing the amorphous wall structure while retaining nanoscale porosity.
Here, we report on the preparation of mesostructured perovskite-type thin films of La1-xSrxMnO3 (LSMO) and La1-xCaxMnO3 (LCMO) with cubic pore symmetry from common sol-gel precursors by taking advantage of the superior templating properties of polyisobutylene-block-poly(ethylene oxide) diblock copolymers. We show that the overall sample preparation process is straightforward and both oxides are well defined in terms of structure and morphology after calcination, with complex percolation pathways for electron transport. The latter is imparted by the pore-solid architecture and results, amongst others, in enhanced low-field magnetoresistance (LFMR). We also show that the charge carrier density in electrolyte-gated LSMO and LCMO thin films can be modulated electrostatically to a large extent. This kind of doping allows for reversible “tuning” of the magnetization. Charge induced modulations of up to 5% at 300 K and 9% at 230 K can be achieved for LSMO and LCMO, respectively. These values are the highest thus far reported for electrolyte-gated mixed-valence manganese oxides with perovskite-type structure, thus emphasizing the benefits of nanoscale porosity. Overall, we will focus on the unique magnetic and magnetotransport properties as well as on the surface charge induced magnetization changes in polymer-templated LSMO and LCMO thin films with nanocrystalline walls.
SS6: Magnetoelectric Oxides
Session Chairs
Fabio Miletto Granozio
Xavier Marti
Wednesday AM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
9:00 AM - SS6.01
Epitaxial Stabilization of B-Axis Oriented GaFeO3 Thin Film and Phase Stability Above Room Temperature
Seungwoo Song 1 Hyun Myung Jang 1
1Pohang University of Science and Technology Pohang Korea (the Republic of)
Show AbstractGallium ferrite(GaFeO3) has been researched widely because of well-known room temperature piezoelectricity and magnetoelectric coupling at low temperature. Furthermore, it possesses a orthorhombic non-centrosymmetric structure with space group Pc21n(or Pna21) which is expected to have ferroelectricity at room temperature. Until now, there is no direct evidence of ferroelectricity or high temperature prototypic phase(para-electric) in GaFeO3 unfortunately.
In our research, we deposited GaFeO3 thin film on hexagonal SrTiO3(111) using pulsed laser deposition(PLD) to produce strain-free high quality thin film and SrRuO3(111) buffer layer which is used bottom electrode for oxide thin film process. We confirmed well-ordered multi-structure of b-axis oriented GaFeO3/SrRuO3(111)/SrTiO3(111) using X-ray diffraction technique and TEM. We can observe piezoelectricity using PFM and very unique magnetic properties.
In order to get a correct estimation of ferroelectricity in GaFeO3, prototypic(or centrosymmetric) phase for GaFeO3 has to be confirmed. We found that Pnna structure is the nearest prototypic structure of Pc21n. Furthermore, atomic displacement eigenvectors for normal modes relevant to the Pnna to Pc21n phase transition were evaluated by using AMPLIMODES code of the Bilbao crystallographic sever. From our simulation, we found polar Γ4- mode is only possible transition path and ferroelectric polarization was about 25mu;C/cm2 which is consistent with previous research.
SS10: Poster Session II
Session Chairs
Wednesday PM, April 08, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - SS10.01
Magnetic Properties of Epitaxial BiFeO3-La0.7Sr0.3MnO3 Multilayer Thin Films
Long Chen 2 Yingbang Yao 1
1KAUST Jeddah Saudi Arabia2King Abdullah University of Science and Technology Jeddah Saudi Arabia
Show AbstractEpitaxial (001) oriented BiFeO3-La0.7Sr0.3MnO3 (BFO-LSMO) multilayer thin films have been grown on single crystal SrTiO3 (001) substrates by pulsed laser deposition (PLD) with the number of layers of 2, 4, 8, 16, and 32 respectively in a series of these samples. The BFO and LSMO layers were deposited alternatively with the equal thickness for each individual layer, while the total thickness for each sample was kept same as 240nm, thus maintaining the same volume ratio (1:1) of the two ingredients in all samples. Temperature-dependent magnetic properties of these samples were studied. It is interesting to find that with increasing the number of layers from 2 to 8, enhancement of the magnetization (Ms) along with reduction of the coercive field (Hc) was clearly observed. Further increasing the number of layers led to decrease of the magnetization and increase of the coercive field. From the field cooling (FC) and zero-field-cooling (ZFC) magnetization curves, a transition from a mixture of normal ferromagnetic and superparamagnetic behavior to a pure superparamagnetic manner was observed. The 8 layer sample sits at the transition point. The magnetic domain structures, observed by the magnetic force microscopy (MFM) at room temperature were also recorded in order to reveal the different magnetic order in these samples. Interface effects and the size effects were taken into account for the interpretation of our results.
9:00 AM - SS10.02
Band Gap Asymmetry and Locally Distorted Structure in Barium Zirconate Titanate Epitaxial Thin Films
Manuel Varela 1 Jofre Ventura 1 Sergio Hernandez 2 Adolf Canillas 1 Maria del Carmen Polo 1 Cesar Ferrater 1
1University of Barcelona Barcelona Spain2University of Barcelona Barcelona Spain
Show AbstractThin films of BaZrxTi1-xO3 (BZT) 100 nm thick were grown on (001) SrTiO3 substrates by pulsed laser deposition. Stoichiometric targets with Zr/Ti ratios ranging from x=0 to x=1 in increments of 0.1 were used. Structural characterization by X-ray diffractometry (XRD) showed that a solid solution with single cube-on-cube epitaxial domain is formed across the entire compositional range. Like the bulk material, at high Ti content, x=0 and 0.1, the unit cell of BZT is tetragonal and becomes cubic at x=0.2 and above. The unit cell volume increases along with Zr content following a linear relationship, thus suggesting a solid solution scenario with proper intermixing of Ti and Zr at the B-site. UV Raman spectra were collected to address short-range structural features. Room temperature Raman activity was detected in all compositions, with a decrease of polarizability for increasing x. Nevertheless, the persistence in BZT of certain modes of ferroelectric BaTiO3 indicated a locally distorted structure or local phase segregation. The dielectric permittivity at VIS-UV frequencies (2 to 5 eV) was obtained from spectroscopic ellipsometry measurements, which allowed the band gap energy to be calculated. Strongly asymmetric nonlinear dependence of band gap energy with composition provided further evidences of nonideal solid solution behaviour with inherent tendency to phase segregation. A modified Vegard&’s equation with an asymmetry weighted function was successfully fitted to the data.
9:00 AM - SS10.03
Y(Ni0.5Mn0.5)O3 Thin Films Prepared by Pulsed Laser Deposition on STO Substrates with Different Orientations
Maria del Carmen Polo 2 Luis Emerson Coy 2 Jose Manuel Rebled 1 Cesar Ferrater 2 Manuel Varela 2
1University of Barcelona Barcelona Spain2University of Barcelona Barcelona Spain
Show AbstractThin films of ferromagnetic Y(Ni0.5Mn0.5)O3 pervoskite were grown on different SrTiO3 (STO) substrate orientations [i.e. (001),(110) and (111)] by means of pulsed laser deposition (PLD) and its morphological and functional properties were studied and characterized. Optimal deposition parameters were identified and their individual influences on the quality of the film were also addressed. Films show a single out of plane orientation in all the substrate scenarios, while the in-plane texture in STO(001) and STO(111) show two and three in plane domains respectively. Growth mechanism and morphology were studied by HRTEM and AFM. As a result, a clear 3D growth mechanism was identified. Finally, magnetic response of the films was investigated as a function of their crystalline properties. The films were found to have a paramagnetic to ferromagnetic transition around 90K.
9:00 AM - SS10.04
Low Temperature Ferroelectric Behavior Loss in Pb(ZrTi)O3 near the MPB
Carlo Vecchini 1 Sean McMitchell 2 3 Paul Thompson 2 3 Mark Stewart 1 Jennifer Wooldridge 1 Anna Kimmel 1 Markys G. Cain 1
1National Physical Laboratory Teddington United Kingdom2University of Liverpool Liverpool United Kingdom3XMaS Beamline Grenoble United Kingdom
Show AbstractThe physical properties and functional efficiencies of many ferroelectric devices depend strongly on the internal strain and domain structure of the active components. Therefore it is essential to understand structural dynamics and domain motion under device-like electrical conditions to allow the design of such active components for real world applications.
Pb(ZrxTi1-x)O3 (PZT) is a solid solution ferroelectric perovskite which is used in a variety of industrial applications due to its high ferro- and piezoelectric properties. Near the morphotropic phase boundary (MPB), PZT exhibits an exceptionally high piezoelectric response. The MPB region was originally defined as a nearly vertical line in the x-T phase diagram, corresponding x~0.48 and separating phases of rhombohedral and tetragonal symmetry. Since the initially assigned space groups (R3m and P4mm) are not group-subgroup related, either a two phase coexistence region or an intermediate phase should be present. Indeed, a monoclinic (Cm) phase has been observed. Although many studies have been performed at room temperature and above, the low temperature (T<200K) properties of PZT are not well characterized.
A hysteretic response of the electric polarization (P) with applied electric field (E), known as a P-E loop, is characteristic of ferroelectrics. We have recently performed a set of P-E loops measurements on commercially available PZT ceramics at different temperatures, from room temperature down to 10 K. We have observed a previously unreported loss of ferroelectric response at T~160 K for compositions of x~0.48. Rietveld refining procedure have been used to analyze data from powder diffraction taken on the I11 beamline at Diamond Light Source, UK, on samples of the same composition (x=0.48). The analysis shows the coexistence of monoclinic and tetragonal phases at zero applied electric field with a ratio of 40 %/60 %. The analysis also shows the change of this ratio as a function of applied field and frequency.
This is the first observation of the loss of ferroelectric response, to the best of our knowledge, in this ubiquitous material. In this work we present experimental data such as P-E hysteresis loops as a function of temperature, X-ray and neutron diffraction as a function of field and frequency supported by the analysis based on atomistic modelling to provide an insight into the low temperature ferroelectric response suppression of PZT at the MPB.
9:00 AM - SS10.05
Optical and Magnetic Properties of Bi1-xCaxFe1-yTiyO3 (x=y; x=0 to 0.4) Nanoparticles
Pavana S.V. Mocherla 1 Sahana M.B 2 Gopalan R 2 Ramachandra Rao M.S 3 Sudakar C 1
1Indian Institute of Technology Madras Chennai India2International Advanced Research Center for Powder Metallurgy and New Materials(ARCI) Chennai India3Indian Institute of Technology Madras Chennai India
Show AbstractBiFeO3 with Néel transition TN ~ 643 K and Curie temperature TCshy; ~1140 K has been a topic of interest over the past decade due its promising room temperature multiferroic characteristics.1 Recently, this material has also gained prominence in the field of photoferroelectrics.2 However, addressing challenges of high leakage current, weak magnetoelectric coupling and complexity in lowering the bandgap of BiFeO3 with an understanding of underlying phenomena remain mostly unanswered. One approach to improve the magnetization is to employ nanoscale BiFeO3. Particular interest lies in exploring dimensions less than 62 nm, the characteristic length of spin cycloid in BiFeO3. In spite of the improved magnetic properties, realizing the coexistence of ferroelectricity in nanosize is highly challenging. Further the defect-influenced structural changes that arise with size reduction affect these properties.3 We have shown in our earlier work that BiFeO3 nanostructures exhibit interesting optical4 and magnetic5 properties at size < 62 nm. Size-dependent tunable bandgap in BiFeO3 nanoparticles is shown to be predominantly governed by the oxygen defects and microstrain.4 Microstrain also alters the FeO6 octahedral tilts, thereby strongly affecting the magnetization.6 A widely accepted alternative route to improve magnetic and ferroelectric properties of the material is to dope the Bi3+ and Fe3+ sites with aliovalent cations. Ca2+ substitution at Bi3+ site has shown to increase Néel&’s temperature of BiFeO3, reducing the gap between TN and TC.7 Moreover, Ca being divalent, it is expected to increase the oxygen vacancy concentration due to the instability involved in the conversion of Fe3+ to Fe4+.
We will present our results on Ca doped BiFeO3 nanoparticles, i.e. Bi1-xCaxFeO3-δ, (x = 0.01 to 0.4) synthesized by citrate-based sol-gel method. These samples are compared with a charge-compensated and oxygen-vacancy-free Ca2+ and Ti4+ co-doped samples (i.e., Bi1-xCaxFe1-yTiyO3; x=y). We will specifically address how microstrain and crystallite size are dependent on different doping concentrations. A detailed analysis on the effect of Ca and Ca-Ti doping on the structural, optical and magnetic properties of BiFeO3 nanoparticles will be presented. Specific focus will be on understanding the correlation between microstrain in the nanoparticles with the bandgap and magnetization.
References
1. G. Catalan and J. F. Scott, Advanced Materials 21 (24), 2463-2485 (2009).
2. J. Seidel, et al., Physical Review Letters 107 (12), 126805 (2011).
3. S. M. Selbach, et al., Chemistry of Materials 19 (26), 6478-6484 (2007).
4. P. S. V. Mocherla, et al., Applied Physics Letters 103 (2), (2013).
5. T.-J. Park, et al., Nano Letters 7 (3), 766-772 (2007).
6. P. S. V. Mocherla, et al.,Applied Physics Letters 105 (13), (2014).
7. G. Catalan, et al., Physical Review B 79 (21), 212415 (2009).
9:00 AM - SS10.06
Synthesis and Characterization of Novel W-Cu-Sn-Mn-Ni Based Multi-Element Oxide
Han-Ting Yen 1 Tri-Rung Yew 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractIn this work, earth-abundant elements tungsten (W), copper (Cu), tin (Sn), manganese (Mn) and nickel (Ni) were selected according to the Gibbs free energy rule (high entropy) and were fabricated into multi-element oxide materials. With the stable property and high mixing entropy, W-Cu-Sn-Mn-Ni oxide material tends to form single phase with crystalline structure characterized by XRD analysis. Furthermore, by manipulating the molar ratio of W:Cu:Sn:Mn:Ni, different phases of W-Cu-Sn-Mn-Ni based multi-element oxide were formed and developed for electrical, optical and magnetic applications.
The W-Cu-Sn-Mn-Ni based multicomponent oxides were prepared as targets; RF sputter and E-gun deposition methods were then applied to deposit oxide thin films. The micro-structural, electrical, chemical, and optical properties of the oxide thin films were characterized by transmission electron microscope (TEM), scanning electron microscopy (SEM), X-ray diffraction (XRD), α-step measurement, Hall effect measurement, energy dispersive X-ray spectrometry (EDX), electron spectroscopy for chemical analysis (ESCA) and ultraviolet-visible spectroscopy (UV-Vis).
9:00 AM - SS10.07
Effect of Background Oxygen Pressure during Deposition on Atomic-Scale Surface Structure of La5/8Ca3/8MnO3 Films
Alexander Tselev 1 Rama Krishnan Vasudevan 1 Anthony Gianfrancesco 2 Liang Qiao 1 Panchapakesan Ganesh 1 Tricia L. Meyer 1 Ho-Nyung Lee 1 Michael David Biegalski 1 Arthur P. Baddorf 1 Sergei V. Kalinin 1
1Oak Ridge National Laboratory Oak Ridge United States2UT/ORNL Bredesen Center, University of Tennessee Knoxville Knoxville United States
Show AbstractThe trend to reduce device dimensions demands increasing attention to atomic-scale details of structure and electronic properties of thin films and heterostructures as well as to understanding pathways to control them. This is of special importance in the systems with multiple competing interactions, such as mixed-valence manganites, due to a strong dependence of properties on a multitude of external and internal factors. We have used in situ scanning tunneling microscopy to image surfaces of La5/8Ca3/8MnO3 films grown by pulsed laser deposition. Surface chemical composition was determined in situ with angle-resolved x-ray photoelectron spectroscopy. We find a strong effect of the background oxygen pressure during deposition on structural and chemical features of the film surface. Deposition at 50 mTorr leads to mixed-terminated film surfaces with structurally imperfect B-site (MnO2) termination at the atomic scale. A small reduction of the pressure from 50 mTorr to 20 mTorr, results in a dramatic change of the atomic-scale surface structure. We observed a nearly perfectly ordered B-site terminated surface and only a small fraction of A-site (La,Ca)O termination. However, this was accompanied by surface roughening at a mesoscopic length scale. These results can be interpreted as a strong influence of oxygen on the adatom mobility during growth. The effect of the oxygen pressure on dopant surface segregation is also noticeable: Ca surface segregation is reduced with decrease of the oxygen pressure. Additionally, our analysis reveals that the fact of a B-site terminated substrate and the appearance of a layer-by-layer growth in the reflection high-energy electron diffraction (RHEED) cannot be taken per se as indicative of a B-site terminated film.
This research was sponsored by the Division of Materials Sciences and Engineering, Office of Science, Basic Energy Sciences, U. S. Department of Energy (A. T., R. K. V., A. G. G., T. L. M., H. N. L., S. V. K.). Research was conducted at CNMS, which also provided support (A. P. B., M. D. B., L. Q., P. G.) and which is sponsored at Oak Ridge National Laboratory by the Scientific User Facilities Division, Office of Basic Energy Sciences, U. S. Department of Energy.
9:00 AM - SS10.08
Controlling Properties of Oxide Thin Films by Modifying Interfacial Chemistry
Pratik P Dholabhai 1 Ghanshyam Pilania 1 Jeffery Aguiar 1 2 Amit Misra 3 4 Blas P. Uberuaga 1
1Los Alamos National Laboratory Los Alamos United States2National Renewable Energy Laboratory Golden United States3University of Michigan Ann Arbor United States4Los Alamos National Laboratory Los Alamos United States
Show AbstractUnraveling the structure of complex oxide heterointerfaces is challenging as they can have significant mismatch between the two constituent phases wherein the atomic structure is strained or altered, an outcome that has substantial ramifications on the behavior of defects, and on technologically relevant properties of oxide thin films. One fundamental mechanism responsible for mitigating the strain at oxide heterointerfaces is the formation of misfit dislocations. Nonetheless, the role of misfit dislocations in governing the properties of oxide thin films is not well understood.
For the experimentally observed cube-on-cube orientation relationship in model SrTiO3/MgO thin films, we conducted atomistic simulations to gain insight into the interface structure and stability. We demonstrate a strong dependence of the misfit dislocation structure at the interface on the termination chemistry, wherein SrO- and TiO2-terminated SrTiO3/MgO interfaces exhibit notably different dislocation structures with varied dislocation spacing and Burgers vector. These differences are the consequence of local electrostatic interactions across the interface, which differ for the two terminations. In particular, the relatively complex structure of the SrO-terminated interface is due frustrated repulsive electrostatic interactions in different regions of the interface. In turn, these distinctive dislocation structures influence the behavior of oxygen vacancies at the heterointerface, which would dictate vital transport properties, as demonstrated via both molecular dynamics and kinetic Monte Carlo simulations. The observed relationship between termination chemistry structure and properties in oxide heterointerfaces has not been established in the past, and offers novel avenues for designing oxide thin films with enhanced functionalities by tuning the interface chemistry. These results have implications for designing materials with applications spanning from fast ion conductors and ferroelectrics to advanced nuclear materials. The generality of this phenomenon to other oxide heterointerfaces will also be discussed.
This material is based upon work supported as part of the Center for Materials at Irradiation and Mechanical Extremes, an Energy Frontier Research Center funded by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences.
9:00 AM - SS10.09
Enhanced Densification and Dielectric Properties of 0.7CaTiO3-0.3NdAlO3 Microwave Ceramics by Titanium Deviation from Stoichiometry
Lijin Cheng 1 Shu Kong 1 Liang Liu 1 Yinping Zeng 1 Qing Ma 2 Shaojun Liu 1
1Central South University Changsha China2Research Institute of Tsinghua University in Shenzhen Shenzhen China
Show AbstractRecently, it was shown that dielectric loss in transition-metal-doped Ba(Zn1/3Ta2/3)O3 at cryogenic temperatures can be attributable to resonant spin excitations of unpaired transition-metal d electrons. Following this finding, we revisit low dielectric loss 0.7CaTiO3-0.3NdAlO3 based ceramics containing unpaired transition-metal f electrons, which has been widely used to fabricate wireless communication devices. In this paper, the influence of titanium deviation from stoichiometry and addition of CuO as sintering aids on densification process, microstructure, and dielectric properties of 0.7CaTiO3-0.3NdAlO3 is investigated. Isothermal sintering experiments by dilatometer are performed to determine the densification mechanism, which can be used to tailor the microstructure. The decreased lattice constant of 0.7CaTiO3-0.3NdAlO3 indicates that oxygen vacancies are induced due to the Ti deviation from stoichiometry, which facilitates mass diffusion, mainly controlled by grain boundary diffusion (nasymp;2) and volume diffusion (nasymp;1) mechanisms. In contrast, it is revealed that a thin layer of amorphous CuO exists on the grain boundary of 0.7CaTiO3-0.3NdAlO3 by high angle annular dark field image and elements mapping using TEM. In a combination with thermal dilation data (nasymp;0), it is concluded that the addition of CuO significantly promotes the densification of 0.7CaTiO3-0.3NdAlO3 by liquid sintering mechanism at 1050oC. However, CuO doped 0.7CaTiO3-0.3NdAlO3 has lower densification temperature because the activation energy of liquid sintering (Q=115.69KJ/mol) is significantly lower than that of grain boundary diffusion (Q=213.38 KJ/mol) and volume diffusion (Q=414.97 KJ/mol). 0.7CaTi1+xO3-0.3NdAlO3 (x=-0.0075) ceramics with εr=43, Q*f=32, 000 GHz, tau;f= +5 ppm/oC are sintered at 1500oC for 2h. In contrast, 0.25wt% CuO doped 0.7CaTiO3-0.3NdAlO3 ceramics sintered at 1450oC for 1h shows enhanced Q*f (~37, 535 GHZ) with εr=49 and tau;f= +2.3 ppm/oC.
Acknowledgements: This work is supported by National Natural Science Foundation of China through Grant Nos. 51172053, Shenzhen Fundamental Science Research Foundation through Nos. JCY201110100, and the State Key Laboratory for Powder Metallurgy Foundation at Central South University, Changsha, China.
9:00 AM - SS10.11
Interface Effects Enhancing the Ionic Conductivity in Epitaxial Y2Zr2O7 Thin Films
Elisa Gilardi 1 Giuliano Gregori 1 Yi Wang 3 Wilfried Sigle 3 Peter van Aken 3 Joachim Maier 2
1Max Planck Institute for Solid State Research Stuttgart Germany2Max-Planck-Inst Stuttgart Germany3Max Planck Institute for Intelligent Systems Stuttgart Germany
Show AbstractThin films and multilayered hetero-structures of mixed and ionic conducting oxides are nowadays particularly fascinating because (i) they are employed in micro solid oxide fuel cells, (ii) they exhibit promising properties for resistive switching applications (memristors) and (ii) they are excellent model systems to investigate interfaces effects (including strain and/or space charge effects), which are instead not easily accessible in ceramics.
Here, we investigate rare-earth pyrochlores and defective fluorites with the chemical formula A2B2O7, which have been already considered as possible electrolyte in SOFC because of their ionic conductivity and high chemical stability. Specifically, thin films of the model system Y2Zr2O7 grown on different substrates (Al2O3 and MgO) by pulsed laser deposition have been characterized by impedance spectroscopy together with XRD (including pole figures analysis), AFM and spherical aberration-corrected scanning transmission electron microscopy (STEM). Remarkably, films grown on different substrates and thus having different microstructures (e.g. polycrystalline vs. epitaxial) exhibit very similar electrical properties (ionic conductivity owing to oxygen vacancy migration), indicating that grain boundaries only slightly affect the electrical transport properties.
Moreover, epitaxial thin films with different thicknesses grown on MgO (110) exhibit strong films/substrate interface effects particularly at moderate temperatures (e.g. 500°C). The enhanced ionic conductivity near the interface suggests an accumulation of the majority mobile charge carriers (oxygen vacancies). Quite interestingly, high-resolution STEM analyses reveal a high density of misfit dislocations at the interface, which are required to release the strain deriving from the large lattice mismatch (-23%) between the film and the substrate. When exposed to higher temperatures (e.g. 700°C), the interface undergoes a structural rearrangement, which corresponds to a reduction of the number of the misfit dislocations as well as a reduction of the concentration of the accumulated oxygen vacancies at the interface. The role of local strain and/or space charge effects on the enhanced ionic transport at the interface is discussed.
9:00 AM - SS10.12
Stabilization Through Epitaxial Strain of the Metastable Multiferroic Epsilon Ferrite
Luca Corbellini 1 Christian Lacroix 2 Catalin Harnagea 1 David Menard 3 Alain Pignolet 1
1Institut National de la Recherche Scientifique Varennes Canada2Polytechnique Montreal Montreal Canada3Polytechnique Montreal Montreal Canada
Show Abstractε-Fe2O3 is a metastable intermediate phase of iron (III) oxide, between maghemite (γ-Fe2O3) and hematite (α-Fe2O3). Epsilon ferrite has been investigated essentially because of its ferrimagnetic ordering with a Curie temperature of circa 500 K [1]. However, given its orthorhombic crystal structure that belongs to the non centrosymmetric space group Pna21, it should exhibit ferroelectric behavior along with magnetoelectric coupling of the two orders (potentially making it one of the few room temperature multiferroic materials) [2, 3].
Due to its metastable nature, ε-Fe2O3 needs to undergo size confinement in order to be stabilized: that is the reason why it has been mainly synthesized by sol-gel as nanoparticles embedded inside a SiO2 matrix. Recently however, deposition of epitaxial thin films of ε-Fe2O3 on SrTiO3 (111) was demonstrated [4]; in this case the stabilization is thought to be due to both epitaxial strain and interface interaction between the substrate and the film.
We report the growth by Pulsed Laser Deposition of epitaxial thin films of ε-Fe2O3 and ε-AlxFe2-xO3 on different single crystal substrates, both oxides (SrTiO3, LaAlO3, LSAT, Al2O3, YAlO3, and YSZ) and non oxides (single crystal Silicon) and discuss their influence on the film crystal structure and their ferroelectric and magnetic properties.
The ability of growing thin films of epsilon ferrite both on hexagonal substrates like STO and LAO (111) and on cubic substrates like YSZ and Si (100) will help to understand the twinning mechanism which was observed given the lower symmetry of the deposited film with orthorhombic symmetry in comparison with the cubic symmetries of the substrates. Moreover, depositions over vicinal STO and YSZ substrates have been performed to promote the growth along only one crystallographic direction.
[1] S. Ohkoshi et al., Angew. Chem. Int. Ed. 46, 8392 (2007);
[2] E. Tronc et al., J. Solid State Chem. 139, 93 (1998);
[3] M. Gich et al., Nanotechnology 17, 687 (2007);
[3] M. Gich et al., Appl. Phys. Lett. 96, 112508 (2012).
9:00 AM - SS10.13
Thickness and Conductive Electrode Effect on the Magnetoelectric Response of Pb(Zr0.52Ti0.48)O3 Film-on-CoFe2O4 Ceramic Composites
Jing Wang 1
1Nanjing University of Aeronautics and Astronautics Nanjing China
Show AbstractPb(Zr0.52Ti0.48)O3 films of different thicknesses were grown directly on highly-dense CoFe2O4 ceramics by using chemical solution spin-coating. There is no chemical reaction at the hetero-interfaces demonstrated by X-ray diffraction. Typical ferroelectric hysteresises, butterfly-shaped piezoelectric strain curves, and magnetic-field-dependent magnetostriction were observed in different samples, resulting in appreciable magnetoelectric responses based on the magnetic-mechanical-electric coupling in these film-ceramic composites. The results indicated that the thickness of Pb(Zr0.52Ti0.48)O3 film played an important part in obtaining strong magnetoelectric coupling and the magnetoelectric coupling could be improved by optimizing the PZT thickness. By chemically depositing a conductive LaNiO3 electrode layer onto dense CoFe2O4 ceramics, the magnetoelectric response in Pb(Zr0.52Ti0.48)O3/CoFe2O4 film-on-substrate system was significantly enhanced. The maximum ME coefficient could reach up to 155 mV/cm Oe, which was about 2.6 times higher than that in Pb(Zr0.52Ti0.48)O3 film-on- CoFe2O4 ceramics without bottom electrode layer. Further enhancement of magnetoelectric response could be expected by preparing high-quality electrode layer with improved fabrication processing for such film-electrode-substrate composites.
9:00 AM - SS10.14
Highly Tunable Transport Properties of Hybrid Wurtzite-Perovskite Heterojunctions
Ashok Bera 1 Tom Wu 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractIntegration of functional materials with distinct lattice symmetries and dimensions is a versatile approach to realize novel materials properties. Hence it is natural to envisage that the heterostructures synergistically combining perovskite and wurtzite oxides can provide unprecedented multifunctional devices that integrate the useful and unique properties of both material groups. But the drastic mismatch between crystal structures of both makes single crystalline growth of wurtzite oxides on perovskite oxides challenging. But, by taking the advantage of anisotropic growth rate in solution process, we have grown single crystalline wurtzite ZnO Nanowires (NWs) on perovskite LaAlO3 (LAO)-SrTiO3 (STO) interface and Nb doped STO (NSTO). The heterojunctions formed by 1D ZnO NWs on the 2D electron gas of LAO-STO interface show insulator to metal transition in which the transition temperature can be tuned simply by changing the applied bias across the heterojunctions. On the other hand, the heterojunction diode formed at the interface of ZnO NWs and NSTO shows high and persistent photoconductivity and it can be turned into a versatile light-switchable resistive switching memory with highly tunable ON and OFF states. We believe that the reversible modification of the effective interfacial energy barrier in the concurrent electronic and ionic processes gives rise to the high susceptibility of the heterojunctions to external electric and optical stimuli. Furthermore, this facile synthetic route is promising to be generalized to other novel functional nanodevices integrating materials with distinct and diverse structures and properties.
9:00 AM - SS10.15
Epitaxial Thin Films of Hexagonal SrIrO3
Claudy Rayan Serrao 1 Jian Liu 1 Ramamoorthy Ramesh 1 Sayeef Salahuddin 1
1University of California Berkeley Berkeley United States
Show AbstractThe strong spin-orbit interaction (0.3 - 0.4 eV) in 5-d based compounds creates a scientific playground where exotic properties can be discovered and the convolution of the spin-orbit interaction with typical band states can be studied. The breadth of the interesting phases predicted in these materials spans from topological insulators to high Tc superconductivity. Iridates which are 5-d compounds form a Ruddlesden-Popper series of the general formula Srn+1IrnO3n+1 (n = 1, 2 hellip;infin;). The layered perovskite compound, Sr2IrO4 is the first member of the series while SrIrO3 is the end member with perovskite structure. We stabilized the SrIrO3 thin film in hexagonal phase using pulsed laser deposition. The strain state can be engineered using a variety of perovskite substrates and controlled growth conditions. The transport studies of these films are studied. We hope that this discussion will catalyse an interest in the epitaxial strain control of spin-orbit based phenomena.
9:00 AM - SS10.16
Investigation of Electrical Properties in La-Doped BiFeO3-PbTiO3 Thin Films Prepared by Sol-Gel Method
Dongfang Chen 1 Jinrong Cheng 1
1Shanghai University Shanghai China
Show AbstractLa-modified thin films of multiferroic 0.7(Bi1-xLax)FeO3-0.3PbTiO3 (BLFPT, x=0, 0.01, 0.03, 0.05 and 0.1) solid solution were prepared by Sol-Gel method. Perovskite BLFPT single-phase thin films were successfully synthesized at 600oC by optimizing several preparation conditions. The dielectric constant εr showed a significant increase with the increasing of La concentration as compared with those of the undoped BFPT thin films. Furthermore, the undoped thin films had already exhibited relatively saturated P-E loops, while it still demonstrated the improved ferroelectric polarization and the lower coercive field via La doping, which may strongly associated with the grain size and the domain wall density. The Pr and Ec values of 5mol% La-doped BF-PT thin films at room temperature were approximately 40 uC/cm2 and 108 kV/cm, respectively.
9:00 AM - SS10.17
Exploiting the Metal-Insulator Transition of VO2 Thin Films for Terahertz Wave Modulation and Switching
Md Nadim Ferdous Hoque 1 Gulten Karaoglan-Bebek 3 Mark Holtz 2 Ayrton Bernussi 1 Zhaoyang Fan 1
1Texas Tech University Lubbock United States2Texas State University San Marcos United States3Texas Tech University Lubbock United States
Show AbstractThere are very few natural materials that can be used to modulate terahertz radiations. A 100-nm thick VO2, when in its metallic phase, has a charge density of more than ~ 1×1015 cm-2 which will strongly reflect and absorb the THz radiation; while in its insulator state, the charge density is lowered by several orders of magnitude to be THz transparent. Therefore, exploiting the metal-insulator transition of VO2 is a potential approach to modulate or even switch THz radiation for THz optics. Here we report that VO2 epitaxial thin films on sapphire substrate exhibits 85% amplitude modulation depth in a broad bandwidth, while this value can be improved to 95% when a substrate is coated on both sides. With wafer bonding, 4-layered VO2 thin-films exhibit a transmittance as low as -20 dB to -30 dB, enough for switching applications. We also report our proof-of-concept demonstration of THz spatial light modulator, a critical component for THz optics. By exploiting its metal-insulator transition property, we demonstrated an electro-thermally controlled THz spatial light modulator prototype that exhibits amplitude modulation as large as 96%, -30 dB pixel-to-pixel crosstalk, and a broad THz bandwidth. A modulation speed up to 0.7 kHz was also indirectly confirmed.
9:00 AM - SS10.18
Optical and Electrical Properties of Tin Oxide-Based Thin Films Prepared by Streaming Process for Electrodeless Electrochemical Deposition
Farnood Khalilzadeh-Rezaie 1 Janardan Nath 1 Isaiah O Oladeji 2 Justin W Cleary 3 Shivashankar Vangala 3 4 Winston V Schoenfeld 5 Robert E Peale 1
1University of Central Florida Orlando United States2Sisom Thin Films LLC Orlando United States3Air Force Research Laboratory Wright-Patterson Air Force Base United States4Solid State Scientific Corporation Nashua United States5University of Central Florida Orlando United States
Show AbstractTin oxide-based thin films were grown by streaming process for electrodeless electrochemical deposition (SPEED) method. Fluorine-doped tin oxide films fabricated by SPEED are highly transparent in visible to near infrared region of the spectrum and have high electron mobility and low resistivity in order of 10 -4 ohm.cm which make them a potential candidate for replacing more expensive and heavily-used transparent conductive oxides such as indium tin oxides. Optical bandgap and plasmonic properties of tin oxide-based thin films were investigated by means of Fourier transform infrared reflectance, spectrophotometry and ellipsometry.
9:00 AM - SS10.19
Influences of Water-Vapor Induced Radicals on Photoactivated Sol-Gel Metal-OxideStructures and Device Performance
Jae-Sang Heo 1 Jaekyun Kim 1 Myung-Gil Kim 2 Yong-Hoon Kim 3 Sung Kyu Park 2
1Chung-Ang University Seoul Korea (the Republic of)2Chung-Ang University Seoul Korea (the Republic of)3Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractRecently, the metal-oxide semiconductor (MOS) based thin-film transistors (TFTs) have attracted lots of attention owing to their high performance TFT characteristics, good uniformity and transparency. The MOS also have board applications in sensors, transparent devices, and active-matrix organic light-emitting diode displays. However, most of the MOS require high temperature annealing process (>350 #730;C) to obtain dense and stable solid structures with the appropriate electrical properties. This high temperature annealing process severely hampers the realization of solution-processed MOS TFTs on flexible substrates, so it is necessary to lower the process temperature commonly under 150 #730;C and approach methods to low temperature process have attracted more interests. Recently, a lot of efforts have been made to reduce the process temperature and improve electrical performances of solution-processed MOS thin film by applying alternative annealing methods including deep ultraviolet (DUV) irradiation and annealing under humid environment. Generally, it was known to change conductivity and induce interface traps between gate dielectric and channel layer in MOS TFTs as water molecules adsorbed at the MOS thin film surface. Also, when water was incorporated into precursor solution, hydrolysis reaction was arisen. Metal-salt hydroxide derived from hydrolysis reaction can be converted easily into MOS at low temperature because dehydration reaction can be occurred by low external energy. Therefore, it is important to adapt to water in fabrication process of the MOS TFTs for high performances and low temperature, appropriately.
In this study, DUV irradiation (~150 #730;C) and wet-aging under humid environment is introduced to investigate how to play wet-aging in solution-processed MOS TFTs and improve electrical performances at low processing temperature. To apply wet-aging with DUV irradiation, DUV irradiation-Wet-aging-DUV irradiation method (DWD) was progressed to form solution-processed MOS thin film in sequence. A top-contact and bottom-gate structure IGZO TFTs with sputtered indium-zinc oxide layer as source and drain electrode was fabricated by DWD method on heavily doped Si wafer with thermally grown SiO2. Compared to directly DUV irradiation without wet-aging, DWD method leads to higher electrical performances which can be attributed to lower defects such as hydroxide, oxygen vacancies in MOS thin film. We suggested that this results from hydrolysis and condensation reaction which are occurred by wet-aging on channel layer surface. In other words, when metal hydroxide and alkoxide bonds in deposited IGZO thin film by first DUV irradiation are reacted with water, hydrolysis and condensation reaction are occurred and promote the conversion of the metal hydroxide and alkoxide bonds to M-O-M network formation. And then, those were also dissociated by second DUV irradiation. So, preferred MOS thin film which has low defect is formed by DWD method.
9:00 AM - SS10.20
Influence of the Growth Conditions on the Physical Properties of ZnO Thin Films Grown by the Reactive Pulsed DC Magnetron Sputtering Technique
Adrian Camacho-Berrios 1 Victor Pantojas 2 Carlos Ortiz 2 Wilfredo Otano 2
1University of Puerto Rico, Rio Piedras Campus San Juan United States2University of Puerto Rico, Cayey Campus Cayey United States
Show AbstractThe physical properties of ZnO have made this material suitable for many applications and devices. Areas of applications include optoelectronics and photonics, UV photodetectors, photovoltaic cells, acoustic wave devices, gas sensors and much more. Some of the properties that make ZnO attractive for these applications are better understood than others. This is the case with the magnetic properties. In the last decade it has been reported that when ZnO is doped with transition metals, such as Co and Mn, it exhibits ferromagnetic ordering above room temperature. In recent years, it has also been reported that room temperature ferromagnetism is achieved when ZnO is doped with rare earth elements. Other groups claim that undoped ZnO can exhibit ferromagnetism due to point defects (oxygen vacancies and zinc interstitial). Lastly, the intercalation of layers of zinc and ZnO has been used to produce a ferromagnetic response. The mechanism for the ferromagnetic ordering is still unclear for ZnO. For this reason, ZnO thin films have been deposited by the reactive magnetron sputtering technique to study how defects influence their magnetic properties. Several plasma process parameters have been varied to affect the densities of specific defects in order to correlate them with the film magnetic properties. In particular, low sputtering powers and high substrate temperatures have been used to increase adatom mobility during deposition while oxygen to argon ratio and deposition pressure are expected to produce changes in oxygen vacancies, zinc interstitials and properties such as stress and densification. The deposited samples were studied using XRD, AFM, UV-VIS, VSM and MPMS. The results of these studies will be presented and the dependence of several film properties such as roughness, optical band gap and magnetic response on plasma processing parameters will be discussed. In particular, the room temperature ferromagnetism observed in samples prepared under specific processing conditions will be reported.
9:00 AM - SS10.21
Synthesis of TiO2 Thin-Films Stratified in Rutile-Anatase Layers via Atmospheric Pressure Chemical Vapour Deposition (APCVD): A New Approach to an Effective Charge Carrier Separation
Raul Quesada-Cabrera 1 Carlos Sotelo-Vazquez 1 Joseph Bear 1 Jawwad Darr 1 Ivan Parkin 1
1University College London (UCL) London United Kingdom
Show AbstractTitania (TiO2) is the most widely studied photocatalytic material as it is highly active, durable to extended photocatalytic cycling, mechanically robust and largely chemically inert over a wide range of pH and voltage. TiO2 has been extensively used in different photocatalytic applications, including water and air-purification, water splitting, self-cleaning materials and for the degradation of cancer cells and viruses. However, the band-gap of anatase-TiO2 (asymp; 3.2 eV) lies in the UV region of the electromagnetic spectrum, which minimises its maximum solar efficiency. Non-metal doping has been extensively investigated as a potential method to extend the absorption properties of TiO2 materials into the visible range, as it can red-shift its absorption edge into the visible. However, there is little consensus on whether this leads to an overall improvement in sunlight driven photocatalysis or if doping of TiO2 materials could compromise their photocatalytic response due to the impurities added.
The use of bilayered thin-films, stratified in layers of pure (undoped TiO2) materials, could be an effective method to enhance the photogenerated charge carrier life× with a concomitant increase in their photocatalytic properties. The synthesis of an amorphous TiO2-based interlayer allowed direct chemical vapour deposition of anatase on a rutile substrate, which is otherwise inhibited by the rutile template. This resourceful approach and the subsequent crystallization of the amorphous layer after annealing of the films allowed investigation into the impact of an efficient interface of the two anatase-rutile phases in the photodegradation of a model organic pollutant. Clear evidence is presented on the synergy between the two polymorphs and more importantly, on the interfacial charge flow, which goes against much conventional understanding. This involves electron transfer from rutile to anatase and is in agreement with a recent theoretical model and electron paramagnetic resonance data. Transient absorption spectroscopy (TAS) showed that photogenerated carrier lifetime was greatly increased when bilayered rutile-anatase thin-films were used. An increase in the density of trapped electrons on the anatase surface of the rutile-anatase thin-films is confirmed by photoreduction of silver. This observation is attributed to a defect-free efficient contact between the two phases and the presence of small rutile particles that promote rapid electron transfer at the rutile-anatase interface of the films. To the best of our knowledge, no evidence of the rutile-to-anatase electron transfer has been presented based on standard photocatalytic tests previously.
9:00 AM - SS10.22
A Study of the Mechanisms that Contribute to High Photoconductive Gain Measured in ZnO Nanowire Based UV Photodetector
Usha Philipose 1 Bhargav Mallampati 1 Selvakumar Nair 2 Harry E. Ruda 2
1University of North Texas Denton United States2University of Toronto Toronto Canada
Show AbstractThis work evaluates the performance of ZnO nanowires as UV photo-detectors. Due to their large surface-to-volume ratio, these nanowires contain an extremely high density of surface states which lead to significantly enhanced photo-carrier lifetimes resulting in persistent photoconductivity. There are several reports that attibute the high photoconductive gain of ZnO nanowire based photodetectors to hole trapping and de-trapping following oxygen adsorption and desorption from the nanowire surface. Our results provide experimental evidence of the role of surface and defects in carrier dynamics which results in enhanced photo-response. Using intensity and temperature dependence of the rise and decay rate of photocurrent, a detailed analysis will be presented to provide an estimate of the activation energies of carrier trapping mechanisms.
The high gain ZnO nanowire photodetector was found to be sensitive to photo-excitation at or below 370 nm corresponding to the band-edge absorption profile of ZnO. The process of carrier generation and its dependence on the wavelength and intensity of light is described by modelling the nanowire as having a cylindrical geometry with radius R and length L and assuming that every photon absorbed by the nanowire results in the generation of an electron-hole pair. The model was used to define an effective absorption efficiency that relates the carrier generation rate to the incident photon intensity. The calculated generation rate closely follows the measured photocurrent, clearly indicating inter-band absorption in ZnO as responsible for the photogenerated carriers.
At very low light intensities of about 1 mu;W/cm2, the responsivity of the device is of the order of 105 A/W corresponding to an extremely high photoconductive gain of the order of 106. The high photoconductive gain is interpreted in terms of carrier trapping at the surface which results in a longer life-time of photogenerated carriers. The photo-response was characterized by bi-exponential kinetics with time constants containing slow and fast components, observed in both the rise and decay of photocurrent. Assuming a thermally activated behavior, an activation energy of 250 meV was estimated for hole de-trapping following the re-adsorption of oxygen on the nanowire surface. Two other activation energies of 50 meV and 150 meV for the fast rise and slow decay processes respectively could be attributed to electron/hole trapping by point defects like Zn interstitials at the nanowire surface. The role of the surface in the photocurrent measurements was further demonstrated by studying the photo-response of nanowires with etched and passivated surfaces. The significantly faster photo-response and reduced photocurrent implicates the nanowire surface in the high gain measured.
9:00 AM - SS10.23
Interesting Propeties in LiNbO3 Nanoparticles
Carlos Diaz 1 Abel Hurtado 3 Jesus Gonzalez 3 Jesus Heiras 4 Roberto Escudero 5 Miguel Jose Yacaman 2 Jorge Lopez 1 Jose Farias 6
1University of Texas at El Paso El Paso United States2Univ of Texas-San Antonio San Antonio United States3CIMAV Chihuahua Mexico4Universidad Nacional Autonoma de Mexico, Centro de Nanociencias y nanotecnologia Ensenada Mexico5Universidad Nacional Autonoma de Mexico Distrito Federal Mexico6UACJ Juarez Mexico
Show AbstractIn this work the correlation between magnetism and electronic structure for their corresponding ferroelectric/ferromagnetic and more specifically the concept of magneto-capacitance of lithium niobate LiNbO3 nanoparticles (LN-NP) was presented. Results from powder X-ray indicate that ferroelectric structure is obtained at calcination temperature of 650 oC in air atmosphere for 3h forming spherical shape nanoparticles as confirmed by STEM measurements. In order to induce some voids and defects, two sets of samples was further subjected to heat treatment reduction (HTR) at 650 oC and 900 oC in a 5%H2-Ar atmosphere, previous studies made by this group had determine thermal reduction can create a Multiferroic response near surface of nanoparticles. Raman and X-ray photoelectron spectroscopy (XPS) indicate vibrations modes localized at octahedron Nb-O bonds in the octahedron NbO6 and the electronic structure of the oxygen (1s) and niobium (3d) respectively. It was found that the binding energies of core-level electrons in Li, Nb and O of heat treated LN-NP were slightly shifted when compared to the corresponding values of non heat treated samples. This binding energy shifting was determined to be related to the formation of oxygen vacancies on the nanoparticles surface. From hysteresis loops is possible to determine a soft magnetic material and a change of dielectric constant (κ) from 830 to 860,
9:00 AM - SS10.24
PEALD Aluminum Oxide-Based Corrosion Barriers for Silver-Based Telescope Mirrors
David Fryauf 1 Andrew Phillips 1 2 Nobuhiko P Kobayashi 1
1UC Santa Cruz Santa Cruz United States2UC Observatories Santa Cruz United States
Show AbstractAn urgent demand remains in astronomy for high-reflectivity silver mirrors that can withstand years of exposure in ground-based observatory environments. In particular, silver-based mirrors are expected in the next generation of large telescopes. However, this goal has remained largely unmet. The University of California Observatories Astronomical Coatings Lab has undertaken development of protected silver coatings suitable for telescope mirrors that maintain high reflectivity at wavelengths above 340 nm and into the mid-infrared spectrum. We present results showing that plasma-enhanced atomic layer deposition (PEALD) can produce superior protective layers of transparent dielectrics with dependency on mirror underlayers.
Several novel coating recipes have been developed with ion-assisted electron beam deposition (IAEBD) of barrier layers both above and below the silver, including yttrium fluoride, and oxides of aluminum, yttrium, hafnium, titanium and tantalum. Varying thicknesses were also studied for select materials. Samples of some of these mirror coatings incorporated conformal layers of aluminum oxide (AlOx) deposited by PEALD using trimethylaluminum as a metal precursor and oxygen as an oxidant gas activated by remote plasma. Samples with and without PEALD were exposed to an environment of 80% humidity at 80°C for ten days in a simple test set-up. HTHH testing show visible results confirming that the PEALD oxide offers enhanced protection against chemical corrosion and moisture in an accelerated aging environment, and the success of the protective layers is dependent on the thickness and composition of layers above the silver, and is surprisingly dependent on the underlying materials as well. It was observed that a thin film of AlOx (5nm) deposited by IAEBD provides an adequate oxidation barrier for the silver prior to AlOx encapsulation by PEALD which successfully preserves reflectivity in the UV spectrum. Mirror samples are further characterized by reflectivity/absorption and atomic force microscopy. AlOx is suitable for many applications and has been the initial material choice for this study. We are planning further experiments with other materials, particularly tantalum and titanium oxides, and silicon nitride.
9:00 AM - SS10.25
Towards Electronic Structure Design in Solids by Transition Metal Alloying of Amorphous and Polycrystalline Oxide Dielectric Film
Matthew G. Kast 1 3 4 Lisa J. Enman 1 3 4 Christopher P. Siefe 2 3 1 Benjamen N. Taber 1 3 4 Shannon Wachter Boettcher 1 3 4
1Univ of Oregon Eugene United States2University of California Santa Barbara Santa Barbara United States3Center for Sustainable Materials Chemistry Eugene United States4Material Science Institute Eugene United States
Show AbstractMetal oxides are used for a variety of applications including passivation layers on solar cells, channel layers in TFTs, heterogeneous catalysts, electrocatalysts and increasingly as selective contacts for organic, perovskite and inorganic photovoltaics. We are designing next-generation selective contacts that combine low surface recombination velocity (passivation) with tunable conduction band (or valence band) positions, i.e. energy selectivity. We have investigated the physical characteristics and electronic structure of mixed amorphous metal oxides consisting of alloys of transition metals and d-electron deficient metals. This will advance the fundamental knowledge of orbital/band mixing in mixed metal oxides and allow for the engineering of the next generation of selective contacts.
We report the deposition of transition-metal (V, Cr, Mn, Fe, Co, Ni, Cu and Zn) doped dielectrics (Al2O3, AlP0.6O3 and HfO2) from aqueous solution. An analysis of the films with X-Ray Reflectivity (XRR) shows films to be exceptionally smooth (RMS roughness < 5Å) and dense (>80% compared to single crystal density) allowing for their use as a thin selective contact/interface layer. Valence spectroscopy is used to elucidate the effect of the transition metal dopants on the electronic structure of the dielectric. Trends in the density of states of the transition metal doped dielectrics are correlated to trends in the current density - voltage (JV) behavior of the metal oxide films. Initial JV measurements have been carried out on metal-oxide-semiconductor and metal-oxide-metal structures to screen for materials that could be selective contacts. Further work will measure the selectivity of the films by comparing the Voc achievable when the film is used as an electron blocking layer or a hole blocking layer in crystalline Si test devices.
Of particular fundamental interest is whether the added states are localized or delocalized in the film and whether they result in shifts in the existing valence band and conduction band of the dielectric or whether the introduce new midgap states “mini-bands”. We hypothesize that percolation theory will be applicable to determine the concentration at which added transition metal dopants is high enough for orbital overlap of dopants to occur resulting in delocalization of the states across the film. Scanning tunneling microscopy will be used to determine differences in the density of states at the nanoscale as a function of lateral position in the film and will be compared and contrasted to the macroscale measurements made with ultraviolet and X-Ray photoelectron spectroscopy.
9:00 AM - SS10.26
Density of States of ZnO Thin-Film Transistors Deposited via Spray Pyrolysis with SiO2 and ZrO2 Dielectrics
Andre Zeumault 1
1University of California Berkeley Berkeley United States
Show AbstractIt is common for transparent conductive oxide semiconductor thin-film transistors to exhibit higher mobility when deposited onto certain high-k gate dielectrics (10 - 100 cm2/Vs) as opposed to conventional SiO2 (0.1 - 20 cm2/Vs). Despite its common occurrence, there isn&’t a consensus regarding the observed performance increase. Due to their transparency and conductivity, these materials are of interest in optoelectronic and electronic applications and have been investigated in detail using low-cost solution-processing methods due to their stability in comparison to organic materials. Recently, Adamopoulos et al have demonstrated the ability to fabricate ZnO films deposited via spray pyrolysis achieving mobility in excess of 85 cm2/Vs on ZrO2 dielectrics. At these values of mobility, performance is comparable to sputtering and becomes technologically useful. Despite these alluring results, the origin of the high performance is so far unknown. In this work we investigate the differences in mobility of high quality ZnO films deposited via spray pyrolysis onto SiO2 and ZrO2 dielectrics by evaluating the differences in density of states between the two films, in order to investigate these differences in detail. Using a multiple trap and release framework, where the band-tail states were assumed to be exponentially distributed in energy, we&’ve fitted the density of states of the ZnO films deposited onto the two dielectrics using activation energies obtained through transconductance data. We observe a broad distribution of deep states in films deposited onto SiO2 dielectrics that do not appear in those deposited onto ZrO2. Shallow states were similarly distributed in both cases. Additionally, the maximum value of the activation energy, which corresponds to the Fermi-level position at flat-band condition, was found to be located near mid-gap at 1.7 eV for films deposited onto SiO2 and 0.3 eV for those deposited onto ZrO2. This large difference (~ 1.4 eV) has immediate implications on transport that can help explain the observed differences in mobility. When transport is trap limited, it is much more desirable for steady-state defect distributions to be located nearer the conduction band, where emission rates are higher, resulting in higher mobility. Also, measurements of sub-threshold swing vs. temperature show evidence of trap limited conduction, indicating that the swing decreases with increasing temperature in both cases, the swing being lower for ZnO films deposited onto SiO2 rather than those deposited onto ZrO2. This occurs, despite the observation that ZnO films deposited onto ZrO2 had higher average mobility than those on SiO2. We therefore conclude that transport in spray-deposited ZnO films is trap limited and, consequently, the density of states of ZnO films deposited onto ZrO2 dielectrics exhibit higher mobility than that on SiO2 because trap states are located closer to the conduction band edge, resulting in favorable emission rates.
9:00 AM - SS10.27
Controlling Disorder-Mediated Exchange Bias in Spinel Ferrite Thin Films
Urusa Shahriar Alaan 2 4 Gollapudi Sreenivasulu 1 Kin Man Yu 5 Padraic Shafer 6 Elke Arenholz 6 Gopalan Srinivasan 1 Yuri Suzuki 3 4
1Oakland University Rochester United States2Stanford University Stanford United States3Stanford University Stanford United States4Stanford University Stanford United States5Lawrence Berkeley National Laboratory Berkeley United States6Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractWhile in many systems disorder can suppress exchange bias, we have demonstrated a case in which disorder can enhance exchange bias fields. We have further stabilized spinel ferrite thin films on oxide, silicon and glass substrates with room-temperature growth. We show that post-annealing can be used to tune the exchange bias by modulating the degree of disorder.
We have grown Mn0.5Zn0.6Fe1.9O4 nanocrystalline films at room-temperature on several substrates: MgAl2O4 (110) and (001), MgO (110) and (001), SrTiO3 (110), silicon (001), and glass. On all substrates, even those which are non-isostructural and amorphous, we observe strong out-of-plane (110) type texture for the MZFO.
All MZFO films are ferrimagnetic with saturation magnetizations that are suppressed from epitaxial and bulk values. When field-cooled to 10 K, they exhibit strong exchange bias (-200 Oe at 10 K) that vanishes by 150 K. The samples can also be “trained” so that successive magnetization loops reduce the exchange bias. There is no evidence of secondary phases or phase segregation, so the exchange bias likely does not result from the conventional case of an antiferromagnet biasing a ferromagnet. We postulate that the nanocrystallinity of the films is directly responsible for the magnetic loop shift; that is, magnetically and structurally ordered regions may be biased by structurally and magnetically disordered grain-boundary-like regions in the films.
We have tested this hypothesis in two ways. First, we have zero-field cooled the samples and found that the magnetic hysteresis loops are still significantly shifted, which is indicative of frozen disorder. They also show atypical initial magnetization curves, with the virgin curve lying outside of the major hysteresis loop. This is another indicator of magnetic disorder. Second, we annealed the samples for two hours at temperatures ranging from 200 °C to 1000 °C. The full-width-at-half-maximum (FWHM) of the XRD rocking curves decreased monotonically with increasing annealing temperatures, indicating that higher annealing temperatures yield more crystalline samples. Furthermore, as films were annealed at progressively higher temperatures, the saturation magnetizations increased, the coercivities decreased, and the exchange bias fields and the anomalous initial magnetization behavior disappeared. 800 °C annealed samples yielded magnetizations of 500 emu/cm3 (150% increase), coercivities of 90 Oe (88% decrease), and exchange bias fields of just -10 Oe (95% decrease) after field-cooling to 10 K. X-ray absorption spectroscopy (XAS) and x-ray magnetic circular dichroism (XMCD) measurements at Beamline 4.0.2 of the Advanced Light Source show that as the sample is annealed, there is a significant rearrangement of the transition-metal cations, which further alters the magnetism.
Thus, we conclude that disorder is a viable route to tune the magnetism and exchange bias in MZFO and related spinel ferrites.
9:00 AM - SS10.28
Bandgap engineering of Oxinitride Nanowires for Water Splitting and Hydrogen Generation
Chandra Shekar Reddy Nannuri 1 Mayur Singh 1 Dhananjay Kumar 1
1North Carolina A amp; T State University Greensboro United States
Show AbstractThe attraction of hydrogen as a clean fuel has continued to stimulate interest and development in generation, storage and usage. One of the most attractive approaches for hydrogen generation is z-scheme solar water splitting using semiconductor electrodes and photocatalysts where solar light serves as the source of energy and water serves as the source of hydrogen. Although many oxide photocatalysts have been used in past for water splitting, they only respond to ultraviolet radiation. The number of photocatalysts that are active for water splitting under visible light irradiation is very limited. Therefore, it is important to develop visible-light-driven photocatalyst materials for solar water splitting via a suitable bandgap engineering process. The bandgap of a visible-light-driven photocatalyst should be narrower than 3.00 eV (lambda; > 415 nm). In this context, we are using TiN nanowires which is converted very controllably to TiN1-xOx (TNO) by bringing a trace amount of oxygen during or after the growth of TiN nanowires into the deposition chamber. TNO is semiconducting whose bandgap is a function of oxygen content. By controlling the oxygen content in TNO, we are able to tune its bandgap to a value where absorption of visible light is strong to generate hydrogen and oxygen from splitting of water. When N atoms in TiN are partially substituted by O atoms in TNO, the top of the valence band (highest occupied molecular orbital, HOMO) is shifts higher compared to the corresponding metal oxide (TiO2) without affecting the level of the bottom of the conduction band (lowest unoccupied molecular orbital, LUMO). The potential of the HOMO for the oxinitride is located at higher potential energy than that for the corresponding oxide due to the contribution of N 2p orbitals, making the bandgap energy sufficiently small to respond to visible solar light (< 3eV).
9:00 AM - SS10.29
P-N Diodes of n-InGaZn Oxides and p-CuO Heterostructure
Ishan Choudhary 1 2 Deepak . 1 2
1IIT Kanpur Kanpur India2IIT Kanpur Kanpur India
Show AbstractRecently, a-InGaZn Oxide (IGZO), n-type semiconductor material has revolutionized the thin film transistors (tft&’s) technology with its high mobility value, still its use in device heterojunctions hasn&’t been studied well. In the present work, dispersible 0.5 M IGZO (In: Ga: Zn: 5:1:10) and 0.5 M CuO colloids are prepared using sol-gel technique. Effect of process parameters such as sintering temperature, time, environmental conditions on thin films of IGZO and CuO deposited on glass separately are investigated and finally, In-Sn oxide (ITO)/n-IGZO/p-CuO/Cu heterojunction is fabricated. Thin films on glass are examined by using X-ray diffraction, ellipsometry, UV-visible spectroscopy, optical microscopy, atomic force microscopy, four probe and Hall measurements to investigate the structural, optical, morphological and electrical properties, while I-V measurements are performed on ITO/n-IGZO/p-CuO/Cu heterojunction. Collectively, the results indicate that thin films of IGZO sintered at 4000C for 2 hrs and 3 times prebaked at 2000c has amorphous nature with transparency > 70% and roughness approximately 2.9 nm. The band gap and refractive index of a-IGZO thin film is found to be 3.92 eV and 1.75 (at 632 nm), respectively. Sintering in nitrogen environment significantly lowers the sheet resistance to 104 ohm/#9633; of a-IGZO thin films and increases the hall mobility to 29.9 cm2/Vs. On the other hand, a pure monoclinic CuO phase is obtained in the films annealed at 4500C for 30 minutes. Roughness in these films is approximately 3.5 nm with sheet resistance of the order of 107 ohm/#9633;. Defect free films in n-IGZO/p-CuO heterojunction indicates that both films are compatible with each other. ITO/n-IGZO/p-CuO/Cu structure shows non-ideal behaviour of I-V characteristics with turn on voltages < 1.0 V. Further, nitrogen annealing of a-IGZO in the heterojunction improves the on/off ratio. The study presents the potential of sol gel processed p-CuO and n-IGZO to form a junction diode.
9:00 AM - SS10.30
Environmental Instabilities in In-Ga-Zn-O Thin Film Transistors: Comparison of Effects on Bulk and Interface
Anup Kumar Singh 2 Rajeev Gupta 2 Deepak . 1
1IIT Kanpur Kanpur India2IIT Kanpur Kanpur India
Show AbstractIndium Gallium Zinc Oxide (IGZO) and other related oxides are now proven replacements of amorphous hydrogenated silicon (a-Si:H) due to their unique combination of high mobility even in the amorphous phase and transparency. In spite of commercial adoption of IGZO based thin film transistor technology few hindrances are still to be dealt with and out of these long term instability of IGZO based thin film transistors (TFTs) is the most prominent one. Various studies had shown the instabilities of IGZO TFTs with positive and negative bias on gate and drain, in different ambient (N2, O2, H2O, H2) and under illumination. Correspondingly, variegated theories, sometimes contradictory, have been proposed as the mechanisms for the instabilities. Some rely on charge trapping and detrapping due to interfacial traps near the channel, while others include the impact on bulk traps due to exposure to environment.
For unpassivated bottom gate TFTs top surface of the channel layer (back channel) is mostly affected due to external environmental perturbations. Whether it is the charge accumulation due to adsorption and desorption at the top surface changes the charge equilibrium of the channel layer which ultimately reflects at the channel/insulator interface or the environmental effect on the top surface merely affects the migration of charges in the bulk/top surface, that is away from the channel, is the question that needs to be resolved.
In this study, to discern between the two mechanisms of degradation, we have subjected a transistor to various environments and monitored degradation in transistor mobility, which is predominantly affected by the scattering at the insulator interface. Simultaneously, we subject a bare IGZO film to the same environment. In this sample, we measure the Hall mobility, reflective of the changes occurring in the bulk. Vander Pauw test structure for the Hall measurement and bottom gate structure for TFT measurements were fabricated. The InGaZnO4 films were deposited by pulsed laser deposition, which yield slightly more conductive films than sputtering. The films and the transistors were tested first in an inert atmosphere of nitrogen, then in an oxidizing atmosphere of dry oxygen, followed by combined environment of oxygen and moisture, obtained by sparging oxygen through a water bath. For example, in the inert nitrogen ambient, the mobility changes in hall measurement, indicating changes in bulk, are similar to change in mobility in TFTs, indicating environmental impact on the whole film rather than the interface alone.
9:00 AM - SS10.31
Aerosol-Assisted Chemical Vapor Deposition of Zinc Oxide Thin Films from Novel Zinc Complexes
Joe A. Manzi 1 Caroline E. Knapp 1 Ivan P. Parkin 1 Claire J. Carmalt 1
1UCL London United Kingdom
Show AbstractTransparent conducting oxide (TCO) thin films have uses in a wide range of applications including organic light emitting diodes and optoelectronic devices. The current industry standard is indium tin oxide (ITO) but as a result of the expense and limited supply of indium, films based on zinc oxide (ZnO) and tin oxide are increasingly being employed.
TCO thin films are typically depostied using either expensive physical deposition techniques such as pulsed laser deposition or by chemical deposition techniques such as chemical vapour deposition (CVD). CVD is an effective thin film deposition technique offering advantages including composition control, film uniformality and excellent substrate coverage.1
The most widely used CVD zinc precursor is diethyl zinc, but issues relating to its pyrophoric nature and high reactivity drive research into other precursor systems. Many of these systems often lack the required stable vapour pressure, volatility or the ability to undergo clean decomposition to the desired product under reasonable conditions.
β-ketoiminate complexes have been used as single-source precursors for the CVD of main group oxide films such as Ga2O32 as well as for ZnO thin films.3 They offer the opportunity to tailor the complex by alteration of the substituents on the ligands attached to the zinc centre. Initial studies into a very similar β-iminoesterate system have also yielded ZnO thin films.4
Our work has lead to the synthesis of a range of novel zinc β-iminoesterate complexes, ideally suited as zinc precursors for the CVD process. Here we report the synthesis and properties of these complexes and their use in the deposition of ZnO thin films for TCO applications. We present results in which we demonstrate the tailored properties of the precursor have a direct effect on the properties observed in the deposited film.
1. P. Marchand, I. A. Hassan, I. P. Parkin, C. J. Carmalt, Dalton Transactions, 2013, 42, 9406-9422.
2. D. Pugh, P. Marchand, I. P. Parkin, C. J. Carmalt, Inorganic Chemistry, 2012, 51, 6385-6395.
3. J. Holms, K. Johnson, B. Zhang, H. E. Katz, J. S. Matthews, Applied Organometallic Chemistry, 2012, 26, 267-272.
4. J. S. Matthews, O. O. Onakoya, T. S. Ouattara, R. J. Butcher, Dalton Transactions, 2006, 31, 3806-3811.
9:00 AM - SS10.32
A Model to Determine the Chemical Expansion in Non-Stoichiometric Oxides Based on the Elastic Force Dipole
Dequan Er 1 Junwen Li 1 Matteo Cargnello 2 Paolo Fornasiero 3 Raymond J. Gorte 4 Vivek B. Shenoy 1
1University of Pennsylvania Philadelphia United States2Stanford University Stanford United States3University of Trieste Trieste Italy4University of Pennsylvania Philadelphia United States
Show AbstractIn this work a novel continuum model informed by density functional theory (DFT) simulations is presented and used to predict the chemical expansion observed in non-stoichiometric oxides. We introduce an elastic dipole tensor that describes the long-range elastic fields created upon formation of oxygen vacancies. We show that this tensor, which can be accurately determined through first-principle DFT calculations, can be used to predict the chemical expansion of ceria and in general other non-stoichiometric oxides. Compared to previous work where expansivity was obtained with empirical potentials, our work provides an efficient way of computing it directly by DFT calculations. Furthermore, we discuss how the elastic dipole tensor can predict the O2 partial pressure vs O/Ce ratios in strained systems and show that CeO2 can be reduced more easily in the presence of tensile strains. Also, this model can be generalized to many other oxides such as perovskites in order to understand the Mechano-Electro-Chemical (MEC) Coupling especially at the nanoscale. More generally, the elastic dipoles can be used in continuum models to predict the distribution of vacancies near nanocrystal surfaces, grain boundaries and extended defects such as dislocations and hence provide information on how these structures and defects influence the overall reducibility of the material.
9:00 AM - SS10.33
ZnO P-N Junction Made by Control-Grown ZnO Nanorods
Ching-Han Liao 1 ChengYi Liu 1
1National Central University Jhongli City Taiwan
Show AbstractThe ZnO nanostructures has been proposed for many applications in electronics and optoelectronics, such as, light-emitting diode (LED), field effect transistor (FET), gas sensor, and solar cell. In this study, we used the low temperature (< 90 0C) hydrothermal process to grow ZnO nanorods (NRs). Here, we grew ZnO NRs on the edge of the AZO seed layer. The growth samples were prepared as the following. First, an AZO/ITO bi-layer is deposited on the glass substrate. Then, the AZO/ITO bi-layer is patterned by photolithography and HCl etching processes. The size of the pattern is about 1 mm by 1 mm. The patterned ITO serves as the mask for the growth of ZnO NRs on the AZO growth site. We found that the ZnO NRs grew on the edge of the open patterned area, i.e., the edge of the AZO seed layer in the open pattern. Interestingly, the ZnO NRs can grow in a particular direction, for example, either vertically or horizontally to the glass substrate. In other words, the ZnO NRs formed a “tree-line” along the edge of the open pattern. The ZnO tree-line can be orientated vertically or horizontally, which can be controlled by the thickness of the AZO film and the etching parameters for pattern opening process. The detail growth mechanism of the ZnO tree lines would be presented in this talk. Also, we use the control-grown ZnO NRs to fabricate the ZnO p-n junction. The characteristics of ZnO p-n junction would be discussed during this talk as well.
9:00 AM - SS10.34
Growth and Characterization of Titanium Niobium Oxide (TiNb2O7) Thin Films
Venkateswarlu Daramalla 1 S.B Krupanidhi 1
1Indian Institute of Science Bangalore India
Show AbstractComplex metal oxide thin films had been considered vital role in near future technologies such as oxide electronics, energy storages. Recently, much attention is growing towards developing new complex oxides by novel techniques for example pulsed laser deposition, molecular beam epitaxy etc. Here, we present the comprehensive studies on the growth and characterization of TiNb2O7 (TNO) complex oxide thinfilms by pulsed laser deposition for the first time. The TNO thin films were successfully grown on Pt(200)/TiO2/SiO2/Si(100) substrates. The structure, surface morphology and chemical properties of as-grown thin films were studied as function of deposition temperature, pressure and laser fluency. The GIXRD and HRTEM analyses revealed that the as-grown TNO films were in the monoclinic crystal structure and independent of laser fluency. The high angle annular dark field (HAADF) STEM elemental mapping confirms the uniform composition of Ti, Nb and O in TNO thin films. The atomic force microscopy and field emission scanning microscopy shows that, the surface morphology and microstructure of TNO films varied significantly with respect to experimental conditions. The X-ray photoelectron spectroscopy quantitative results indicated that the binding energies of Ti and Nb elements shifted towards left with decreasing oxygen partial pressure. The effects of laser fluency and oxygen partial pressure on the composition of TNO films were correlated. Further, the experimental phase diagram proposed to understand the thermodynamics and kinetics during the growth of TNO thin films. These TNO thin films can be used as anode materials for Li-ion batteries, diesel particular filters and catalyst supports.
9:00 AM - SS10.35
Effect of Doping on the Transition Temperature of Doped Vanadium Oxides Thin Films
Bharathi Rajeswaran 1 A.M. Umarji 1
1Indian Institute of Science Karnataka India
Show AbstractVanadium oxides are identified as potential candidates in thermal sensing because of their relatively large temperature coefficient of resistance, favorable electrical resistance, low noise and compatibility with the machining processes of the sensing layer. They exhibit semiconductor to metal transition, which is associated with hysteresis. It is reported in the literature that both the bandwidth of the hysteresis and transition temperature can be tuned for defense applications by doping vanadium oxides with Mo and W. These films show reduced transition temperatures which could be exploited for room temperature thermal sensing applications. Precise control of synthesis parameters is required in stabilizing pure phase in thin film form.
In this work, a novel technique called, “Ultrasonic nebulized spray pyrolysis of aqueous solution combustion mixture” was adopted to deposit films of V2O5 and Mo-doped V2O5. Subsequently they were reduced to VO2 and Mo- doped VO2 respectively. Structural characterization of the synthesized oxides was done using X-ray diffraction. XRD was used to investigate the monophasic nature, lattice parameters and crystallite size of the films. Morphology of the synthesized powders was found to be plate like and bimodal using SEM with their sizes varying between 7 nm and 2 mu;m. Particle size measured using SEM matched with the crystallite size measured using XRD. A four-probe electrical resistivity set up was built in-house and variation in the metal-insulator transition temperature of VO2 and doped VO2 were investigated using the same. The resistance of the VO2 thin film before and after the transition were measured and the hysteresis was found to be less than ~ 10 0 C.
9:00 AM - SS10.36
Electronic Structure and Electrical Properties in ZnO Film Using Ni Swift Heavy Ion Irradiation at Room Temperature
Kwun Bum Chung 1 Hyun-Woo Park 1
1Dongguk University Seoul Korea (the Republic of)
Show AbstractRadio frequency (RF) sputtered ZnO films were investigated as a function of Ni ion irradiation dose at room temperature. The prepared ZnO films were irradiated with 130MeV Ni swift heavy ion in the range from 5 1011 ions/cm2 to 1 1013 ions/cm2. The carrier concentration and mobility of irradiated ZnO films were changed depending on Ni ion irradiation dose. In order to explain the change in electrical properties of ZnO films, we investigated the physical properties and electronic structure such as physical structure, conduction band, and band edge state below conduction band. The physical structure and composition has no changes, regardless of Ni ion irradiation dose. On the other hand, the electronic structure represents a drastic modification of the hybridized orbital ordering of Zn 4sp and O 2p in conduction band. In addition, two distinct band edge states below conduction band, were observed with the increase of Ni ion irradiation dose. These remarkable changes in electronic structure could be correlated to changes in electrical properties.
9:00 AM - SS10.37
Porous Architectures of Transparent Conducting Oxides Assembled from Nanocrystals
Kristina Peters 1 Dina Fattakhova-Rohlfing 2 Ksenia Fominykh 3
1Ludwig-Maximilians-University Munich Munich Germany2Univ of Muenchen Munich Germany3University of Munich (LMU) Munich Germany
Show AbstractTransparent conducting oxides (TCOs) are indispensable as electrodes for optoelectrochemical and photovoltaic devices. TCOs have been known for a long time as dense flat layers, but only recently the fabrication of 3D-conducting TCO networks has been reported. The interest in 3D-electrode architectures is based on their large interface area enabling incorporation of large amounts of functional redox guests, the electrical conductivity enabling the direct electronic access to the incorporated species, and optical transparency allowing interactions with light.
We develop new systems for the fabrication of TCO electrodes with various types and dimensions of 3D-nanostructures by a directed self-assembly of corresponding nanoparticles [1-4]. Such transparent conducting matrices with defined porous architecture, high surface area and open accessible porosity can incorporate various redox moieties from small redox molecules to large proteins, which show greatly enhanced electrochemical response proportional to the electrode surface area [3-5].
We present a new approach for fabricating transparent conducting layers of antimony-doped tin oxide (ATO) and indium tin oxide (ITO). Macroporous crystalline frameworks can be easily manufactured by a direct assembly of pre-formed ATO or indium tin hydroxide (ITOH) nanocrystals and latex beads. The high crystallinity of the nanoparticles serving as building blocks enables the formation of fully crystalline porous transparent scaffolds with high electric conductivity and a defined macroporous morphology, which can be used as nanostructured current collectors for immobilization of bulky redox moieties.
[1] V. Müller et al, Small 2010, 6, 633.
[2] Y. Liu et al, ACS Nano 2010, 4, 5373.
[3] Y. Liu et al, Chem. Sci. 2012, 3, 2367.
[4] Y. Liu, K. Peters and A. Müller et al, Electrochim. Acta 2014, http://dx.doi.org/10.1016/j.electacta.2014.05.046
[5] V. Müller et al, Electrochim. Acta 2014, 116, 1.
9:00 AM - SS10.38
Flexible, High-Performance Cobalt Ferrite Nanoparticle-Based Nano-Floating Gate Memory Devices
Ji Hyung Jung 1 Sung Hwan Kim 2 Hyeon Jung Kim 2 Jongnam Park 2 Joon Hak Oh 1
1Pohang University of Science and Technology Pohang Korea (the Republic of)2Ulsan National Institute of Science and Technology Ulsan Korea (the Republic of)
Show AbstractThe development of flexible and wearable electronic devices guaranteed with mechanical flexibility and electrical/operational stability of all the building blocks including field-effect transistors (FETs), rechargeable batteries, memories, and displays is crucial for realizing the ‘Internet of Things&’ era. In particular, for the memory devices, there is growing interest in nano-floating gate memory (NFGM) owing to its various advantages such as electrical stability, simple device structure, and operation feasibility from a single unit transistor. Herein, we report novel, flexible, high-performance nonvolatile NFGM devices utilizing cobalt ferrite nanoparticles (CoFe2O4 NPs) as the charge trap sites with pentacene as a p-type semiconductor on a flexible, and transparent polymer substrate as well as on a conventional silicon wafer. In addition, the effect of the size of the CoFe2O4 NPs on the electrical performance of the NFGM devices has been investigated thoroughly. The developed programmable memory devices show superb memory performance due to the outstanding electron trap/release capability of the CoFe2O4 NPs and exhibit remarkable mechanical, and electrical stability against pure bending and repeated program/erase cycles. Our findings demonstrate a viable way for the fabrication of highly flexible and wearable memory devices.
9:00 AM - SS10.39
Verification of Iofee-Regel Criterion in a-IGZO
Joynarayan Mukherjee 1 Ramachandra Rao M.S 1
1Indian Institute of Technology, Madras Chennai India
Show AbstractAmorphous In-Ga-Zn-O (a-IGZO) is an excellent material for transparent electronics like displays. Due to high mobility (>10 cm2/v-s) and uniform large area deposition a-IGZO is suitable for industrial applications1. IGZO thin films were deposited on sapphire (0001) substrate using pulsed laser deposition (PLD) where the substrate temperature was varied from 100 OC to 550 OC. XRD study reveals amorphous nature of the films. Resistivity and Hall effect measurements were carried out from 300 K to 4 K using PPMS (Quantum design). The sample grown at a substrate temperature of 100 OC showed insulating behavior throughout the temperature range. Resistivity data at high temperature region (300 to 100 K) was fitted according to thermally activated band conduction whereas low temperature (100 to 20 K) data could be fitted to 3D variable range hopping (VRH) mechanism. Metal-insulator-transition (MIT) is observed for the samples grown at higher substrate temperature (250 and 400 OC). The sample grown at 550 OC, showed a negative temperature coefficient of resistivity. The temperature dependent resistivity of these samples were explained on the basis of quantum correction to conductivity (QCC) model by considering electron-electron interaction (EEI) and weak localization (WL) effect2. Kfl values for all samples were extracted from resistivity and carrier concentration data at room temperature, which are in good accordance with Ioffe-Regel criterion. The temperature dependent carrier concentration showed evidence of electron-electron interaction in the samples grown at 250 OC, 400 OC and 550 OC. Results will be discussed in detail.
Key words: IGZO thin film, MIT, QCC model, Hall effect, Iofee-Regel criterion.
References:
Kenji Nomura et. al., Appl. Phys. Lett. 85, 1993 (2004).
P. A. Lee and T. V. Ramakrishnan, Rev. Mod. Phys. 57, 287 (1985).
9:00 AM - SS10.40
Unconventional AACVD Methods to Explore a Photocatalytic TiO2/ SnO2 Composite Material of Technological Interest
Nicholas Philip Chadwick 1 Sanjayan Sathasivam 1 Claire Carmalt 1 Ivan Parkin 1
1UCL (University College London) London United Kingdom
Show AbstractUnconventional aerosol assisted chemical vapour deposition (AACVD) methods have been used to investigate the specific growth profile of a thin film of technological interest. Specifically a multifunctional TiO2/ SnO2 composite which exhibits novel microstructure, photocatalysis an order of magnitude higher than an industry standard, surface hydrophobicity and photo-induced wettability.1 This is of interest because the material exhibits a naturally forming hetero-junction with a surface segregated layer of SnO2 which is hypothesised to enhance the photocatalysis of the TiO2shy; bulk by acting as a co-catalyst. Understanding why and how the co-catalyst layer forms may in future allow the optimisation of this material for use in specific applications such as self-cleaning glass coatings or the solar detoxification of water.
Two specific AACVD methods are utilised to do this, the first is a combinatorial AACVD experiment2-4 which attempts to replicate the material and identify the particular parameters necessary to grow the composite material.5
The second is a time resolved AACVD study, which provides a timeline of film formation by obtaining ‘snapshot&’ samples representing differing deposition times in the materials growth. As a result new states which are not explored in conventional AACVD can be explored which exhibit functional properties different from the final film.
Whilst currently specific to this system, the methods outlined could be utilised to explore any material currently investigated by AACVD which covers many material such as SnO2, WO3 and other semiconductor oxides of technological interest.6,2 This could in turn help tailor these materials to specific applications.
Bibliography
1. Ponja, S. et al. Aerosol assisted chemical vapour deposition of hydrophobic TiO2-SnO2 composite film with novel microstructure and enhanced photocatalytic activity. J. Mater. Chem. A1, 6271 (2013).
2. Kafizas, a & Parkin, I. Combinatorial Atmospheric Pressure Chemical Vapor Deposition (cAPCVD): A Route to Functional Property Optimization. J. Am. Chem. Soc.133, 20458 (2011).
3. Knapp, C. E., Kafizas, A., Parkin, I. P. & Carmalt, C. J. The use of combinatorial aerosol-assisted chemical vapour deposition for the formation of gallium-indium-oxide thin films. J. Mater. Chem.21, 12644 (2011).
4. Kafizas, A., Adriaens, D., Mills, A. & Parkin, I. P. Simple method for the rapid simultaneous screening of photocatalytic activity over multiple positions of self-cleaning films. Phys. Chem. Chem. Phys.11, 8367-75 (2009).
5. Chadwick, N. et al. Combinatorial aerosol assisted chemical vapour deposition of a photocatalytic mixed SnO2/TiO2 thin film. J. Mater. Chem. A2, 5108 (2014).
6. Noor, N. & Parkin, I. P. Enhanced transparent-conducting fluorine-doped tin oxide films formed by Aerosol-Assisted Chemical Vapour Deposition. J. Mater. Chem. C1, 984 (2013).
9:00 AM - SS10.41
Control of Indium Tin Oxide Nanoparticle Morphology using Scraficial Templating Method
Yuan Lu 1 Joseph E Poole 1 Tolga Aytug 1 Harry III M Meyer 1 Soydan Ozcan 1
1Oak Ridge National Laboratory Oak Ridge United States
Show AbstractMetal oxide nanostructures have emerged as an important family of materials for various device applications. The performance is highly dependent on the morphology of the metal oxide nanostructures. Here we report environmentally freindly, catalyst free process of indium tin oxide (ITO) nanoparticles. Bio-based templating scraficial component has been used to alter the growth mechanism of ITO nanoparticles which results in tunable particle morphology (e.g., nanowires, nanospheres, and octahedral nanoparticles) upon annealing. Self-assembly of metal oxide nanoislands was indicated in the process of particle formation. These ITO nanoparticles can also form transparent ITO films. In contrast to the common practice for metal oxide nanoparticle synthesis-coprecipitation method where harsh chemicals (strong acid or base) and organic compounds are often used, this approach is a green, renewable, and scalable way to prepare metal oxide nanoparticles with controllable morphology. This work opens a new perspective on developing metal oxide nanostructures.
*Business Sensitive Information
This document contains patentable subject matter and is disclosed in confidence by UT-Battelle, LLC under 35 USC sect; 205. This document is for peer review and consideration for publication only, and may not be distributed further without the express written consent from the Office of General Patent Counsel until officially published.
9:00 AM - SS10.42
Flex-Sense: Design of Wearable Zinc Oxide Nanostructured Biosensors
Nandhinee Radha Shanmugam 1 Sriram Muthukumar 2 Anjan Panneer Selvam 1 Shalini Prasad 1
1University of Texas at Dallas Richardson United States2University of Texas at Dallas Richardson United States
Show AbstractWe demonstrate a facile approach for synthesis of vertically oriented, controlled and dense growth of ZnO nanorods on flexible inorganic substrates by an aqueous low temperature hydrothermal method. The multifunctional material characteristics along with patterned and aligned growth offer numerous advantages in developing wearable electrochemical biosensors. In our work, we have fabricated and characterized 1D ZnO nanorods on polyimide films and flexible glass for detection of troponin-T. The morphology and density of nanorods significantly affects the sensitivity and selectivity of detection. Also, there exists an interdependent relationship between surface morphology and functionalization of biomolecules. Thus the surface characteristics of grown ZnO nanorods were investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and contact angle measurements. It was observed that the quality of ZnO nanorods can be controlled by altering the growth conditions. The inherent electrical properties of ZnO nanorods and the tuning of the electrical properties during biosensing were investigated using Mott-Schottky plot. The results indicate dependence of space-charge capacitance on pH and ionic content of buffer. The electrochemical response recorded using electrochemical impedance spectroscopy (EIS) show large dynamic range for detecting biomolecules from physiological buffers. The biosensor was tested for detecting an ultra-sensitive cardiovascular biomarker associated with myocardial infarction; Troponin T. The sensor performance was validated against clinical laboratory assays. Our sensor demonstrated detection capabilities between 1fg/mL to 100ng/mL with sensitivity for detecting troponin-T at 100fg/mL in phosphate buffered saline (PBS) and 10pg/mL in human serum (HS).
9:00 AM - SS10.43
Synthesis and Characterization of Hydrothermal Li-Doped ZnO Nanorods for UV Photodetector Application
Chung-Yuan Kung 1 San-Lin Young 2 Hone-Zern Chen 2 Ming-Cheng Kao 2
1NAational Chung Hsing University Taichung City, Taiwan Taiwan2Hsiuping University of Science and Technology Taichung City Taiwan
Show AbstractWell-defined Zn1-xLixO nanorods were hydrothermally synthesized at the low temperature of 90oC. The length and diameter of the nanorods were both increased with increasing Li doping concentration examined by FE-SEM images. The x-ray diffraction patterns of all samples with a single diffraction peak (002) showed the same wurtzite hexagonal structure. The photoluminescence spectra of all Zn1-xLixO nanorods revealed the presence of crystal defects induced by Li doping in the Zn1-xLixO nanorods. Besides, p-type semiconductor characteristics of all Zn1-xLixO nanorods were obtained by the doping of Li. Finally, the UV photodetectors with (p- Zn1-xLixO nanorods)/(n-Si subatrate) structure were also achieved. The I-V characteristics of the UV photodetectors with p- Zn1-xLixO nanorod/n-Si structure were also measured. The UV illumination/dark current ratios obtained from the photodetectors with Li doping concentration varied from 1% to 10% were also obviously rose from 318% to 1029%.
9:00 AM - SS10.44
Usability of Sm2O3 as a Dielectric Layer in MOS-Based Applications
Senol Kaya 1 Ali Osman Cetinkaya 1 Aysegul Kahraman 2 Huseyin Karacali 1 Aliekber Aktag 1 Ercan Yilmaz 1
1Aban Izzet Baysal Univ Bolu Turkey2Uludag University Bursa Turkey
Show AbstractIn this work, electrical stability of samarium oxide for MOS based applications have been studied. Sm2O3 thin films were deposited by reactive sputtering and crystallite structure of the films were confirmed by XRD and AFM measurements. The electrical characterizations of devices were investigated at different frequencies by analyzing capacitance- voltage and conductance-voltage characteristics of devices. It is observed that the measured capacitance and conductance curves are quite sensitive to applied voltage frequency due to time dependent surface states. The capacitance and conductance decrease with increasing in the applied voltage frequency. In addition, it is interesting that two peaks have been observed in correspondence inversion and depletion edge of conductance curves. The small peaks located on the inversion edge may be attributed to the interaction between minority carriers and donor-like interface states, whereas wide peaks located on depletion edge may be due to the interaction between majority carriers and acceptor- like interface states. Additionally, the frequency dispersion of the interface state density, and barrier potentials were also calculated from C-V and G/omega;-V characteristics. It is seen that interface states density of devices are order of 1012 eV-1cm-2 and significantly decrease with increasing applied voltage frequency. The variations in the interface state density proves the presence of frequency dependent charges in the device structure. On the other hand, barrier potentials of the devices increase with increasing in the applied voltage frequency and vary from 1.27 eV to 1.47 eV. The changes in barrier potential may be attributed to the existence of the interfacial Sm2O3 layer, different time dependent interface states and trap centers at the Sm2O3/ Si interface. Consequently, these results indicate that the fabricated devices are quite sensitive the applied voltage frequency. However, the calculated electrical parameters are the same order for real MOS devices. Therefore, Sm2O3 is promising dielectric material for MOS based technology.
9:00 AM - SS10.45
Complex Oxide Gate Dielectrics for Advanced Gate Stack Applications
Kaveh Ahadi 1 Kenneth Charles Cadien 2
1University of Alberta Edmonton Canada2Univ of Alberta Edmonton Canada
Show AbstractState-of-the-art complementary metal oxide semiconductor (CMOS) technology currently utilizes gate dielectric layers with higher dielectric constant (high-κ) than SiO2 or SiON. Due to high energy gap and compatibility with Si, both HfO2 and ZrO2 were being considered in CMOS technology. HfO2 surfaced as early interest due to better thermal stability and slightly higher energy gap. Although, HfO2 is more commonly used as gate dielectric but ZrO2 reveal higher dielectric constant. The main reason has been attributed to easier stabilization of high dielectric constant tetragonal phase in ZrO2. Hafnia and zirconia demonstrate almost identical chemical properties and accordingly completely miscible. The properties of their mixture can be tweaked between the end members. Due to stabilization of tetragonal phase, addition of zirconia might improve the electronic properties of hafnia.
Both hafnia and zirconia were grown with plasma enhanced atomic layer deposition. In-situ spectroscopic ellipsometry was used to study optical properties of the thin films during growth. P-type Si (100) was used as substrate and during the growth and substrate was maintained at 100 °C for all depositions. Varieties of thicknesses were deposited and characterized. Using the appropriate model, refractive index of hafnium zirconate film showed significant enhancement compared to hafnia. Optical band gaps of films were calculated by extrapolating the linear part of extinction coefficient diagram. While, refractive index of hafnium zirconate is higher than hafnia but its band gap did not reduce noticeably. Although, monoclinic phase is thermodynamically stable at room temperature, surface energy plays an important role in ultra thin films and might stabilize the higher dielectric constant tetragonal phase. Using the enthalpy and entropy of monoclinic-tetragonal transformation as well as their surface energies, our calculations conclude that tetragonal phase will be stable in drastically higher thicknesses in zirconia films compared to hafnia ones. As a result, capping the hafnia films with zirconia has been investigated for stabilizing the tetragonal structure.
9:00 AM - SS10.46
Fabrication of Visible-Transparent Solar Cells Composed of NiO/alpha;-Zn-Sn-O Heterojunctions
Hiroshi Nakai 1 Airi Ogasawara 1 Ryo Maeda 1 Daisuke Kawade 1 Shigefusa F Chichibu 2 Mutsumi Sugiyama 1
1Tokyo University of Science Noda Japan2Tohoku University Sendai Japan
Show AbstractTransparent conducting oxides (TCOs) have been widely utilized to realize transparent electronic and optoelectronic devices. Although both p-type and n-type semiconductors having wide band gaps are required to fabricate transparent pn junctions, most TCOs show only n-type conductivity. Among them, nickel oxide (NiO) is a novel TCO because it shows p-type conductivity with a band gap of 3.7 eV. Therefore, NiO is used in visible-transparent sensors, diodes, and solar cells, which are attractive because their optical transparency permits flexibility in their installation location. One serious problem in realizing transparent devices using NiO is controlling the carriers because the mechanism of electrical conduction in NiO is hopping between states. Moreover, Ni vacancies (VNi), which are the sources carriers in NiO, tend to form Ni3+, which readily absorbs UV-visible light; thus, transparency decreases as the number of VNi increases. Therefore, it is difficult to control the electrical properties of highly transparent NiO films.
Electrical properties of the n-type layer are also important for improving solar cell efficiency, and conventional n-type TCOs such as ZnO have been widely investigated. However, there are some disadvantages with their use such as lattice mismatch between ZnO and NiO and influence of sputtering damage to the bottom layer. Amorphous Zn-Sn-O is a suitable material because it relaxes the lattice mismatch between the lower and upper layers. In addition, the sputtering damage and number of grain boundaries, which originate from low mobility and high conductivity, are reduced because of its amorphous structure. In this presentation, we investigate the performance of NiO/Zn-Sn-O solar cells as a function of the electrical properties of the Zn-Sn-O layer. The improvement of solar-cell efficiency can be expected by controlling the electrical properties of the Zn-Sn-O layer and around the depletion layer.
Polycrystalline NiO and amorphous Zn-Sn-O thin films with thicknesses of approximately 200-400 nm were deposited using RF reactive sputtering with Ar-O2 as the sputtering gas. The fabricated visible-transparent solar cell, which has a PEDOT:PSS/NiO/Zn-Sn-O/IZO/SLG structure, exhibited the photovoltaic effect under illumination. The maximum fill factor and open circuit voltage were 0.45 and 0.17 V, respectively. Moreover, an optical transmittance greater than 60% was obtained in the wavelength range of 400-800 nm. These results indicate that amorphous Zn-Sn-O is an effective n-type material for transparent electronic devices such as solar cells.
SS6: Magnetoelectric Oxides
Session Chairs
Fabio Miletto Granozio
Xavier Marti
Wednesday AM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
9:15 AM - SS6.02
Charge and Strain Control of Interface Magnetism
Michael R. Fitzsimmons 1 K Dumesnil 4 N Jaouen 5 T Maroutian 8 J.-M. Tonnerre 6 G Agnus 8 B Kirby 7 B Holladay 2 E Fohtung 9 E.E. Fullerton 2 O Shpyrko 2 Sunil K. Sinha 2 Qiang Wang 1 A. Chen 1 Quanxi Jia 3
1Los Alamos National Lab Los Alamos United States2UCSD La Jolla United States3Los Alamos National Laboratory Los Alamos United States4Universite de Lorraine Vandoeuvre les Nancy France5Synchrotron SOLEIL Gif-sur-Yvette France6Universite Grenoble Alpes Grenoble France7NIST Gaithersburg United States8Universite Paris Sud Orsay France9NMSU Las Cruces United States
Show AbstractWe studied the influence of an electric field applied to an epitaxial La0.67Sr0.33MnO3 (LSMO) layer in a LSMO/Pb(Zr0.2Ti0.8)O3 (PZT)/Nb-doped SrTiO3 (STO) heterostructure by measuring the electric-field-dependent magnetization depth profile using resonant x-ray magnetic reflectivity. The saturation magnetization of the ferromagnetically-ordered LSMO (H=1kOe) was not affected by the direction of the polarization of the PZT. On the other hand, the ferromagnetic thickness and remanent magnetization of the LSMO film were reduced for conditions of PZT polarization promoting hole-charge accumulation at the LSMO/PZT interface. However, on account that PZT is a piezoelectric, the influence of electric-field induced change of strain on the interfacial magnetism can be difficult to isolate from the influence of hole-doping in the LSMO/PZT heterostructure. To understand the independent roles of strain and hole-doping we performed neutron scattering experiments of epitaxial La0.8Sr0.2MnO3 films grown on Nb-doped STO in which bending strain or electric field was applied to the films. Charge was applied to the LSMO film using an electric field produced by a parallel plate capacitor. In a separate experiment, bending strain was applied to the sample using a four-point mechanical jig. We observed that bending strain affects the saturation magnetization of the LSMO film, whereas electric field affects the remanent magnetization of the LSMO film. These observations suggest strain may be a more effective means to control magnetism than charge.
This work was supported by the LANL/LDRD program, and partly performed at the Center for Integrated Nanotechnologies (CINT) at Los Alamos National Laboratory. This work has benefited from the use of NIST Center for Neutron Research and the Synchrotron SOLEIL. Funding from the Office of Basic Energy Sciences is also acknowledged. E. Fohtung was supported by the Department of Defense (DOD) -AFOSR under award No FA9550-14-1-0363. Work at UCSD was supported by the DOE-BES under grants DE-SC0003678 and DE-SC0001805.
9:30 AM - *SS6.03
Spintronic Functionality of BiFeO3 Domain Walls
Marin Alexe 1 Ji Hye Lee 2 Ignasi Fina 1 Xavi Marti 3
1University of Warwick Coventry United Kingdom2Max Planck Institute Halle Germany3CIN2 Bellaterra Spain
Show AbstractOver a decade ago, the coupling of two ferroic properties in a single material revived as a promising direction. However, most of its realizations have remained limited to heterostructures merging the ferroelectric (FE) and ferromagnetic (FM) orders at their interfaces and/or interconnected via strain fields. Here, it is proposed to make use of the FE domain walls (DWs) in the multiferroic material BiFeO3 (BFO), which are also conducting and FM, and which are intrinsically two dimensional nano-objects of multiferroic type in a single material. It is also shown that one can modulate the electronic transport across the - FM and FE - domain walls in BFO via an external magnetic field, resembling the anisotropic magnetoresistance (AMR) in archetypical metallic ferromagnet. At the same time, BFO preserves two stable and switchable electric polarization states and one can manipulate the FE DWs, and thus magnetization, via an electric field.
The electronic transport occurring through the FE DWs in a common metal-ferroelectric-metal capacitor is discriminated from the contribution occurring in the bulk, and the conduction mechanism at the DWs is identified. The extracted contribution of FE DWs to the electronic transport occurs to be responsive to an external magnetic field, with a marked unidirectional anisotropy, accompanied by a visible hysteresis, which is ascribed to the coupling of the FM domain walls to the bulk AFM domains in BFO, similar to that found in FM/AFM structures.
10:00 AM - SS6.04
Direct and Indirect Measurements of the Ferromagnetic Resonance Frequency in Highly Anisotropic Epsilon Ferrite
Luca Corbellini 1 Christian Lacroix 2 Antoin Morin 3 Catalin Harnagea 1 Xavier Ropagnol 1 Tsuneyuki Ozaki 1 David Menard 3 Alain Pignolet 1
1Institut National de la Recherche Scientifique Varennes Canada2Polytechnique Montreal Montreal Canada3Polytechnique Montreal Montreal Canada
Show AbstractRadiation in the terahertz (THz) band (~0.1-100 THz) has recently received much attention for its fundamental interest and potentials in an increasingly number of applications in different fields, such as communications, defense/security, medical imaging, biology and astronomy [1-4]. Along with the development of these technologies, there is also the need for new materials with improved interactions at such wavelengths. One category of such materials are magnetic materials with a ferromagnetic resonance (FMR) frequency in the THz range. This is of particular interest given its potential use in short-range wireless communications (e.g. 60GHz WiFi) and ultrafast computer non-volatile memories [5-7].
However, there are not many materials with sufficiently large magnetic anisotropy to have their FMR frequency in the THz band when no external field is applied, which is an essential feature for the development of devices. One of the few materials that has been reported to date to have an FMR frequency in the THz range in the absence of magnetic field and at room temperature is epsilon ferrite, ε-Fe2O3, and its modified version ε-MxFe2-xO3 (M = metal).
Epsilon ferrite is a metastable phase, intermediate between maghemite (γ-Fe2O3) and hematite (α-Fe2O3), characterized by ferrimagnetic ordering with a Curie temperature TC = 500 K [8] and a complex orthorhombic crystal structure; since it belongs to the non-centrosymmetric space group Pna21, it should exhibit at least piezoelectricity, making it a member of the family of magneto-electric oxides when presenting a coupling of its magnetic and dielectric properties [9, 10].
In this work we report the growth of epitaxial thin films of ε-Fe2O3 and ε-AlxFe2-xO3 by pulsed laser deposition and the study of the influence of aluminum doping on the structural and magnetic properties. In particular, we focused our attention on the lowering of the FMR frequency due to inclusion of non-magnetic Al inside the ε-Fe2O3 lattice. Magnetism was probed macroscopically and locally through vibrating sample magnetometer and magnetic force microscopy, while measurements of the ferromagnetic resonance were conducted by direct FMR probing and through THz light absorption.
[1] W. L. Chan, J. Deibel and D. M. Mittleman, Reports on Progress in Physics 70, 1325 (2007);
[2] B. Ferguson, and X. C. Zhang, Nature Materials 1, 26 (2002);
[3] M. Nagel, H. Kurz et al., Appl. Phys. Lett. 80, 154 (2002);
[4] R. Huber et al., Nature 414, 286 (2001);
[5] M. Nakajima, A. Namai, S. Ohkoshi, T. Suemoto, Optics Express 18, 18260 (2010);
[6] H.C. Siegmann et al., J. Magn. Magn. Mater. 151, L8 (1995);
[7] C.H. Back et al., Science 285, 864 (1999);
[8] S. Ohkoshi et al., Angew. Chem. Int. Ed. 46, 8392 (2007);
[9] E. Tronc et al., J. Solid State Chem. 139, 93 (1998);
[10] M. Gich et al., Nanotechnology 17, 687 (2007).
10:15 AM - SS6.05
Defects in Eu0.5Ba0.5TiO3-d Multiferroic Thin Film
Qian He 1 Weiwei Li 2 Rohan Mishra 1 3 Hao Yang 2 Albina Borisevich 1
1Oak Ridge National Laboratory Oak Ridge United States2Soochow University Suzhou China3Vanderbilt University Nashville United States
Show AbstractOxygen vacancies (Vo) in complex oxides offer an extra functionality dimension via their strong coupling with lattice and electronic structure of the material.1 Developments of electron microscopy techniques and density functional theory (DFT) has led to recent discoveries of Vo induced material properties such as polar behavior in (LaFeO3)2/SrFeO3 superlattices,2 and ferromagnetism in strained LaCoO3-δ thin films.3 Controlling the Vo content and distribution during material synthesis has thus become a promising route to novel multi-ferroic materials, which requires a detailed understanding of the impact Vo have on structure and properties of the materials.
In this work, we study a series of Eu0.5Ba0.5TiO3-d films on SrTiO3 substrate grown by PLD, with varying content of Vo. As previously reported,4 Vo concentration in the film can be tuned via controlling oxygen partial pressure during growth and additional annealing treatments in Ar/H2 gas environment; the stoichiometry of the films was monitored by nuclear resonance backscattering spectrometry (NRBS). It was confirmed that the Eu0.5Ba0.5TiO3-δ films with δcong;0.15 are ferromagnetic (FM) (TNcong;1.85K) in contrast to the stoichiometric bulk Eu0.5Ba0.5TiO3, which is a G-type antiferromagnet (AFM). In addition, the oxygen deficient film is a ferroelectric with a TC above RT, compared to 213 K for the stoichiometric bulk.
Our STEM study reveals additional structural details of the oxygen deficient films. δcong;0.15 sample (with the highest VO content) was found to contain (100) faceted features roughly 2-10 unit cells in size uniformly distributed in the film. The features were most apparent in medium angle annular dark field (MAADF) STEM images that are strain sensitive. The finite depth of focus of aberration STEM also revealed that those features are located within bulk at different depths in the sample. Possible explanations for these ultra-small 3D defects include (but are not limited to) 3D Vo ordering, or clusters of Ruddlesden-Popper stacking faults (with missing TiO2 planes), both of which are normally observed as extended planar defects.5,6 Additional STEM and EELS studies are on-going in order to identify these defects and explain how increased Vo concentration leads to their formation. Local changes in polar order caused by presence of these features will also be discussed.
1. Kalinin, SV et. al. Science 2013
2. Mishra, R et. al. Nano Letters 2014
3. Biscaron;kup, et. al. PRL 2014
4. Li, WW, et. al. Scientific reports 2013
5. Jeen, H. et. al. Nature materials 2013
6. Fujimoto, M. et. al. J. Ceram. Soc. Jpn. 2001
* QH, RM and AYB are supported by the Materials Science and Engineering Division, U.S. Department of Energy (DOE). WL and HY are supported by the National Natural Science Foundation of China (Grant No. 11274237 and 51228201).
SS7: Ferroelectric Oxides I
Session Chairs
Wednesday AM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
11:00 AM - SS7.01
Non-Linear Phenomena in Nano-Scale Oxide Layers
Peter K Petrov 1 Bin Zou 1 Kelvin SK Kwa 2 Daniel Appleby 2 Anthony O'Neill 2 Neil McN Alford 1
1Imperial College London London United Kingdom2Newcastle University Newcastle United Kingdom
Show AbstractNano-scale oxide layers are nowadays regarded as a promising solution for establishing next-generation elements such as memory cells, non-linear capacitors etc. Their impact is foreseen to be realised through their truly nanoscale dimensions, their capacity to store multiple bits of information per element and the minimal energy required. Recent experimental evidence of the ferroelectric barium titanate displaying effective negative permittivity has led to the speculation that its inclusion in the gate stack of a MOSFET will reduce the sub-threshold swing of CMOS devices below 60 mV/dec.
This work reports our recent achievements in developing of ultra-thin (less than 10nm thick) oxide layers, and modulated superlattice samples consisted of continuous well defined 1nm SrTiO3 and 4nm BaTiO3 layers with atomically sharp interfaces, using RHEED assisted pulsed laser deposition and controlled oxidation. It presents the results of thin films structural characterisation and electrical measurements and compares them with the theoretical predictions. The applicability of these films as gate dielectrics, RF tuneable devices and next-generation memory elements is also discussed.
11:15 AM - SS7.02
Ferroelectric Polarization Reversal via Successive Ferroelastic Transitions
Ruijuan Xu 1 Shi Liu 4 Ilya Grinberg 4 Anton Ievlev 3 Joshua Agar 2 Mahmut Okatan 3 Jambunathan Karthik 2 Anoop Damodaran 1 Nina Balke 3 Stephen Jesse 3 Sergei V. Kalinin 3 Andrew Rappe 4 Lane W Martin 1
1University of California, Berkeley Berkeley United States2University of Illinois at Urbana-Champaign Urbana United States3Oak Ridge National Laboratory Oak Ridge United States4University of Pennsylvania Philadelphia United States
Show AbstractFerroelectric materials are increasingly being considered as critical components in next-generation logic, non-volatile memories, actuators and sensors, electro-optic elements for waveguide devices, and potential candidates for nanoelectronics. Such applications require a deep understanding of the susceptibilities (especially to electric fields) and routes to control and manipulate the switchable polarization in these materials. Although many studies of ferroelectric switching have been undertaken, much remains to be understood about switching in complex domain structures and in devices. In this work, a combination of thin-film epitaxy, macro- and nanoscale property and switching characterization, and molecular dynamics simulations are used to elucidate the nature of switching in PbZr0.2Ti0.8O3 thin films. Clear differences are demonstrated between (001)-/(101)- and (111)-oriented films with the latter exhibiting complex, nanotwinned ferroelectric domain structures with high densities of 90° domain walls, considerably broadened ferroelectric switching characteristics, and lower threshold fields for the onset of non-linearity in Rayleigh studies. Molecular Dynamics simulations reveal the presence of both 180° switching and multi-step 90° switching domain reversal processes. Subsequent stroboscopic Piezoresponse Force Microscopy (PFM) studies confirm the presence of intermediate, 90° switching events in (111)-oriented films and 180° switching events in (001)- and (101)-oriented films. The varying effects of domain sizes (or volume fractions) and electric field on the different film orientations give rise to the difference in switching mechanism. Furthermore, the intriguing switching characteristics in (111)-oriented films are studied using the band excitation switching spectroscopy PFM, which reveals that the switching in (111)-oriented films behaves in a collective manner with the presence of uniform domain nucleation bias on both nanodomain and domain wall structures. The application of static electric fields in a grid pattern on the films introduces incomplete switching which leads the film to be stabilized in intermediate switching states. These results have implications for our fundamental understanding of ferroelectric switching and are crucial for developing precise control of nanoscale ferroelectric materials and can potentially lead to interesting multi-state devices and accelerated switching in ferroelectrics.
11:30 AM - *SS7.03
Advances in Ferroelectric Tunnel Junctions
Evgeny Y. Tsymbal 1
1University of Nebraska-Lincoln Lincoln United States
Show AbstractRecent developments in thin-film ferroelectricity have demonstrated the possibility of achieving a stable and switchable ferroelectric polarization in nanometer-thick films. This discovery opened up the possibility of using thin-film ferroelectrics as barriers in tunnel junctions, where ferroelectric polarization orientation serves as a control parameter affecting the tunneling current across the junction.1,2 Contrary to ferroelectric capacitors where leakage currents are detrimental to the device performance, the conductance of a ferroelectric tunnel junction (FTJ) is the functional characteristic of the device, thus providing a conceptually new approach for non-volatile memories based on ferroelectrics. This talk will review recent developments in the field of FTJs. It will discuss the recent demonstration of giant resistive switching effects observed in FTJs, physical mechanisms responsible for this behavior, and the interplay between ferroelectricity and magnetism in controlling the transport spin polarization in FTJs with ferromagnetic electrodes.
1. E. Y. Tsymbal and H. Kohlstedt, Tunneling across a ferroelectric. Science 313, 181 (2006).
2. E. Y. Tsymbal et al, Ferroelectric and multiferroic tunnel junctions. MRS Bulletin 37, 138 (2012).
12:00 PM - SS7.04
Negative Capacitance Transients in a Ferroelectric Capacitor
Asif Islam Khan 1 Korok Chatterjee 1 Brian Wang 1 Steven Drapcho 1 Long You 1 Claudy Rayan Serrao 1 Saidur Rahman Bakaul 1 Ramamoorthy Ramesh 1 Sayeef Salahuddin 1
1University of California at Berkeley Berkeley United States
Show AbstractWe demonstrate the negative capacitance in a thin, single crystalline ferroelectric film of Pb(Zr0.2Ti0.8)O3, by constructing a simple R-C network and monitoring the voltage dynamics across the ferroelectric capacitor[1]. When a voltage pulse is applied, the voltage across the ferroelectric capacitor is found to be decreasing with time-in exactly the opposite direction to which voltage for a regular capacitor should change. The results are analyzed on the basis of the Landau-Khalatnikov equation, which shows that as the ferroelectric polarization switches its direction, it passes through the unstable negative capacitance region resulting in the characteristic "negative capacitance transients." Analysis of this ‘inductance&’-like behavior from a capacitor allows us to calculate the value of the negative capacitance directly and presents an unprecedented insight into the intrinsic energy profile of the ferroelectric material.
The Boltzmann distribution of electrons poses a fundamental barrier to lowering energy dissipation in conventional electronics, often termed as Boltzmann Tyranny[2-4]. By replacing the gate insulator with a negative capacitance oxide in a field effect transistor, the subthreshold swing can be reduced below the fundamental physical limit of 60 mV/dec, thereby overcoming the Boltzmann Tyranny[2]. The results reported herein could provide a guideline for stabilization of the negative capacitance in a bilayer heterostructure [5-7] and field effect transistors[2-4, 8,9]. In addition, the inductance-like behavior observed in this experiment could also lead to many other applications such as novel memory elements, to negate capacitances in an antenna, to boost up voltages at various part of a circuit, to develop coil-free resonators and oscillators, etc.
References:
1. Khan et al. S. Negative capacitance in a ferroelectric capacitor. Nature Materials (in press).
2. Salahuddin et al. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8, 405-410 (2008).
3. Zhirnov et al. Negative capacitance to the rescue. Nature Nanotechnology3, 77-78 (2008).
4. Theis et al. It&’s time to reinvent the transistor! Science327, 1600-1601 (2010).
5. Khan et al. Experimental evidence of ferroelectric negative capacitance in nanoscale heterostructures Appl. Phys. Lett. 99, 113501-3 (2011).
6. Appleby et al. Experimental observation of negative capacitance in ferroelectrics at room temperature. Nano Lett.14, 3864-3868 (2014).
7. Gao et al. Room-temperature negative capacitance in a ferroelectric-dielectric superlattice heterostructure. Nano Lett. 14, 5814-5819 (2014).
8. Salahuddin et al. Can the subthreshold swing in a classical FET be lowered below 60 mV/decade? Proc. Intl. Electron Devices Meeting (IEDM), 2008.
9. Khan et al. Ferroelectric negative capacitance MOSFET: Capacitance tuning and antiferroelectric operation. Proc. Intl. Electron Devices Meeting(IEDM), 2011.
12:15 PM - SS7.05
Oxide Devices Combining Mott Insulators and Ferroelectrics
Anke Sander 1 Vincent Garcia 1 Soeren Boyn 1 Stephane Fusil 1 Hiroyuki Yamada 2 Cecile Carretero 1 Julie Grollier 1 Manuel Bibes 1 Agnes Barthelemy 1 3
1Unite Mixte de Physique CNRS/Thales Palaiseau France2National Institute of Advanced Industrial Science and Technology Tsukuba Japan3Universite Paris Sud Orsay France
Show AbstractThe spontaneous polarization of ferroelectric materials produces a permanent, local and switchable electrical field that modulates the carrier density of an adjacent material. Since the properties of correlated materials, such as Mott insulators, depend strongly on the carrier density, coupling such materials to ferroelectrics is a highly promising approach for new non-volatile, low power memory and logic devices.
In the present study, we use high quality heterostructures containing the Mott insulator (Ca,Ce)MnO3 (CCMO) and the ferroelectric BiFeO3 in its supertetragonal phase (T-BFO) to investigate changes in planar and vertical transport properties induced by ferroelectric polarization reversal.
We first describe ferroelectric tunnel junctions (FTJs) in which an ultrathin T-BFO film is sandwiched between the CCMO layer and a top electrode. These devices encode information through the non-volatile ferroelectric polarization that can be switched with an electric field. The tunneling current significantly depends on the orientation of the ferroelectric polarization, enabling a simple non-destructive readout of the ferroelectric state [1]. Our FTJs based on ultrathin T-BFO films show fast, stable, multistate switching with very high resistance ratios of up to four orders of magnitude [2]. Combined piezoresponse force microscopy (PFM) and electrical measurements give a clear correlation between ferroelectric domain configurations and multiple resistance states; they also provide insights into the switching dynamics in response to trains of nanosecond pulses.
Separately, we explore ferroelectric field effect devices in which the properties of the CCMO channel can be tuned by the ferroelectric polarization of a thick T-BFO gate. Upon polarization reversal, the CCMO channel exhibits a non-volatile resistance switching by a factor of 4 around room temperature, and up to a factor of 10 at 200 K [3]. We discuss the potential of the ferroelectric field effect to drive electronic and ferroic phase transitions.
[1] V. Garcia et al., Nature 460, 81 (2009)
[2] H. Yamada et al., ACS Nano 7, 5385-5390 (2013)
[3] H. Yamada et al., Scientific Reports 3, 2834 (2014
12:30 PM - SS7.06
Understanding the Role of Deterministic Chemical- and Strain-Gradients on Susceptibilities of Oxide Ferroelectrics
Anoop Rama Damodaran 3 Shishir Pandya 3 Jialan Zhang 2 Joshua Agar 2 Lane W Martin 3 1
1Lawrence Berkeley National Laboratory Berkeley United States2University of Illinois, Urbana-Champaign Urbana United States3University of California, Berkeley Berkeley United States
Show AbstractEpitaxial thin-film growth and the ability to deterministically apply lattice mismatch strain have been shown to exert dramatic influence on the structure and properties of oxide ferroelectrics. For instance, using large expitaxial compressive strains, researchers have demonstrated several hundreds of degrees enhancement of the ferroelectric Curie temperature (TC) of BaTiO3 making it suitable for high-temperature applications. The susceptibilities of such single-composition ferroelectric films are, however, strongly influenced by temperature changes in the vicinity of the Curie point restricting their use in devices requiring temperature-stable performance. To overcome such limitations, we explore a route involving the use of epitaxial strain in conjunction with deterministic composition- and strain-gradients to tune the thermal stability of susceptibilities in ferroelectric thin films.
In particular, we will present a detailed study of the temperature dependance of the dielectric susceptability and tunability of epitaxial, compositionally-graded BaxSr1-xTiO3 (BST) films grown on GdScO3 (110) substrates corresponding to an average compressive strain of 0.5% and a large strain gradient of ~105 m-1. Using a combination of high-resolution X-ray diffraction and piezoresponce force microscopy-based studies, we show that the compostionally-graded BST films synthesized are monodomain and coherently-strained and serve as model systems to effectively probe the role of such spatial gradients in composition and strain towards engineering novel responses in these materials. Subsequent dielectric studies reveal large dielectric permittivity (εr asymp; 750) that changes by less than 20% from 25 to 500°C and field tunable response of >70% for application of only 200 kV/cm that is also present from 25 to 500°C. Furthermore, we also compare the experimentally measured temperature dependence of dielectric permittivity to those predicted by advanced phenomenological models that incorporate energy terms related to composition-, strain-, and polarization-gradients that exist in such compositionally-graded ferroelectric thin films. Based on these studies, we also comment on the relative importance, and necessity, of each of these contributions in describing the response of graded ferroelectric thin films.
12:45 PM - SS7.07
Large Resistivity Modulation in Mixed-Phase Metallic Systems
Yeobae Lee 2 Zhiqi Liu 1 Ramamoorthy Ramesh 3 James David Clarkson 2
1Oak Ridge National Lab Oak Ridge United States2University of California, Berkeley Berkeley United States3University of California Berkeley United States
Show AbstractIn numerous systems, giant physical responses have been discovered when two phases coexist, for example near a phase transition. An intermetallic FeRh system undergoes a first-order antiferromagnetic to ferromagnetic transition above room temperature and shows two-phase coexistence near the transition. We have investigated the effect of an electric field to FeRh/PMN-PT heterostructures and report 8% change in the electrical resistivity of FeRh films. Such a “giant” electroresistance (GER) response is striking in metallic systems, in which external electric fields are screened and thus only weakly influence the carrier concentrations and mobilities. We show that our FeRh films comprise coexisting ferromagnetic and antiferromagnetic phases with different resistivities, and the origin of the GER effect is the strain-mediated change in their relative proportions. The observed behavior is reminiscent of colossal magnetoresistance in perovskite manganites, and illustrates the role of mixed-phase coexistence in achieving large changes in physical properties with low-energy external perturbation.
Symposium Organizers
Frank Grosse, Paul-Drude-Int fur Festkorperelektronik
Dhananjay Kumar, North Carolina A amp; T State University
Florencio Sanchez, ICMAB CSIC
Tetsuya Yamamoto, Kochi University of Technology
Symposium Support
North Carolina Aamp;T University
SS13: Semiconducting Oxide Thin Films: Growth I
Session Chairs
Ashutosh Tiwari
Shigefusa Chichibu
Thursday PM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
2:30 AM - SS13.01
High Mobility Low-Dimensional Single and Bilayer Metal Oxide Transistors Processed from Solution at Low Temperatures
Hendrik Faber 1 Yen-Hung Lin 1 Satyajit Das 1 Thomas D. Anthopoulos 1
1Imperial College London London United Kingdom
Show AbstractIn the past, solution deposition of metal oxide semiconductors required high temperature annealing (> 400 °C) in order to achieve the necessary thermochemical conversion of the precursor material used. Recent advances in the choice of precursor and solvent systems as well as processing innovations have, however, initiated a steady decline of deposition temperatures to levels that are now compatible with some temperature sensitive substrate materials such as plastic. In this work we employed aqueous solutions of zinc oxide hydrate and indium nitrate hydrate to grow high quality single and bilayer indium and zinc oxide thin-film transistors at temperatures in the range 180-250 °C.
Indium oxide films grown by atmospheric ultrasonic spray pyrolysis were found to be ultra-thin (<10 nm), highly smooth (surface rms of <2 nm) and comprised of large crystalline domains (30-60 nm). When incorporated into thin-film transistors as the channel material, the resulting devices exhibited both, a high electron mobility (15-25 cm2/Vs) and high channel current on/off ratios of >106. Because of the low temperature conversion characteristics of the precursor formulations developed, we were also able to demonstrate low voltage transistor operation both on rigid as well as flexible plastic substrates.
In addition, we investigated the impact of bilayer metal oxide channel structures that arise after subsequently depositing an ultra-thin layer (~7 nm) of zinc oxide on top of the spray deposited indium oxide film. High resolution cross-sectional transmission electron microscopy images reveal the presence of a high quality oxide heterointerface formed between the two polycrystalline semiconducting layers. Thin film transistors based on these low-dimensional (i.e. ultra-thin) oxide heterointerfaces are found to exhibit dramatically enhanced charge transport characteristics with electron mobility in excess of 40 cm2/Vs. The enhanced electron mobility, as compared to single layer oxide devices, is attributed to the formation of a two-dimensional electron gas (2DEG)-like system at the critical metal oxide heterointerface. Further evidences of the existence of a 2DEG-like system were obtained via temperature-dependent charge transport and capacitance-voltage measurements. The present work demonstrates the possibility of growing low-dimensional metal oxide transistors at low temperatures. Furthermore it highlights a novel approach towards high performance transistors based on ultra-thin metal oxide heterojunction channel layers, an approach that could arguably lead to the development of devices with performance characteristics well beyond that achieved from traditional single-layer thin-film transistors.
2:45 AM - SS13.02
Transparent, High Refractive Index Oxides: Control of the Nanostructure of Titanium Hafnium Oxide Alloys by Variation of the Ion Energy during Reactive Magnetron Sputtering Deposition
Juan Jose Diaz Leon 1 2 Matthew P Garrett 1 2 Kate J Norris 1 2 David M Fryauf 1 2 Junce Zhang 1 2 Richard E. Demaray 3 Nobuhiko P Kobayashi 1 2
1University of California Santa Cruz Santa Cruz United States2Nanostructured Energy Conversion Technology and Research (NECTAR) Advanced Studies Laboratories, University of California Santa Cruz and NASA Ames Research Center Moffett Field United States3Antropy Inc. amp; Demaray LLC Portola Valley United States
Show AbstractOptical and optoelectronic applications would benefit from high refractive index (n), dense and transparent films to guide, concentrate and couple light. However, high refractive index materials are usually associated with a high optical absorption coefficient (k), creating absorption and/or scattering, which keep these materials from being suitable for optical purposes. We report high refractive index (n>2) metal oxide alloys with low optical absorption (k<10-5) over the visible and near IR spectrum (380-930 nm). Titanium hafnium oxide alloys were deposited using pulsed DC reactive magnetron sputtering with and without RF substrate bias on silicon dioxide. Ellipsommetry, Transmission Electron Microscopy (TEM), Energy Dispersive x-ray Spectroscopy (EDS) and Atomic Force Microscopy (AFM) were used to characterize the films. For a given titanium/hafnium co-sputtering power ratio R (the quotient of the power applied to each of the targets), the ion energy of the arriving particles was controlled by varying the power of the substrate bias. It appears that applying substrate bias (500 W) reduces the nanocrystalline size and changes the surface features while maintaining comparable refractive index and titanium/hafnium cation atomic fraction. The deposition of high refractive index and low absorption optical materials would enable better light transport by increasing mode density and reducing loss in optical waveguides and also increase light concentration, governed by n squared.
3:00 AM - *SS13.03
Combinatorial Pulsed Laser Deposition of Amorphous, Transparent and Conductive Oxides for Thin Film Transistors
Valentin Craciun 1 Gabriel Socol 1 Emanuel Axente 1 Doina Craciun 1 Joerg Hermann 2 Aurelian Galca 3 Dan Pantelica 4 Paul Ionescu 4 Catalin Luculescu 1 Nicu Becherescu 5 Catalin Martin 6
1National Institute for Laser, Plasma and Radiation Physics Magurele Romania2CNRS / Universite Aix-Marseille II Marseille France3National Institute for Materials Physics Magurele Romania4National Institute of Physics and Nuclear Engineering Horia Hulubei, Magurele Romania5Apel Laser Bucharest Romania6Ramapo College of New Jersey Ramapo United States
Show AbstractAmorphous and transparent semiconductor oxides (ASOs) are key components for thin film transistors (TFTs), solar cells electrodes and active displays. By controlling their stoichiometry, ASOs can be used as TFT channels (semiconductive behavior) or as transparent electrodes (conductive behavior). Recently, room temperature deposited amorphous indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) thin films were shown to exhibit a very good transparency in the visible range, low resistivity, and high mobility. Since the optical and electrical properties of these films depend on the In/(In+Zn) and Ga/(Ga+In+Zn) values, the measurement of these ratios as well as films thickness, density, interfacial roughness and electronic band alignment with the gate dielectric are critical parameters for future developments and applications. Continuous spread composition IZO, IGZO and HfO2-based multicomponent dielectric thin films were synthesized using the combinatorial pulsed laser deposition technique and their stoichiometry, structure and properties were investigated to identify the best recipes for TFT applications.
3:30 AM - SS13.04
A Combination of Solution Synthesis & Solution Combustion Synthesis for Highly Conducting and Transparent Aluminum Zinc Oxide Thin Films
Sana Ullah 2 1 Rita Branquinho 3 Fabio De Matteis 2 Rodrigo Martins 4 Elvira M. Fortunato 1 Ivan Davoli 5
1Universidade Nova de Lisboa Caparica, Lisbon Portugal2Universita degli Studi di Roma ldquo;Tor Vergata" Roma Italy3Universidade Nova de Lisboa Caparica Portugal4Universidade Nova de Lisboa Lisbon Portugal5Universita degli Studi di Roma ldquo;Tor Vergata" Roma Italy
Show AbstractAluminum Zinc Oxide (AZO) is looked after vigorously for transparent and conducting thin films for electronic and optoelectronic applications. Thin films of AZO have been developed successfully through a combination of solution combustion synthesis (SCS) and solution synthesis (SS). Zn(NO3)3.6H2O as metal source was dissolved in 2-methoxyethanol (2-MEA) as solvent through combustion synthesis with Urea as fuel while dopant source of AlCl3.6H2O was mixed separately in solvent to avoid oxide formation in the films. Precursor solutions were obtained mixing Zn:Al in 9:1, 8:2, and 7:3 ratios respectively with 0.5, 0.25, 0.1, and 0.05M (M=molarity). The film stacks have been prepared through spin-coating with heating at 400°C for 10 minutes after each deposition to remove residuals and solvents. Thermal annealing in oven at 600°C for 1 hour followed by rapid thermal annealing at 500°C & 600°C for 10 minutes in vacuum and then in N2-5%H2 environment respectively reduced the resistivity of thin film stacks. Film stack with 10 layers for an average thickness of 0.5µm gave the best Hall Effect resistivity of 3.2 x 10-2 Omega;-cm in the case of 0.5M solution with Zn:Al mixing ratio of 9:1 with an average total transparency of 80 % in the wavelength range of 400 - 1200 nm.
3:45 AM - SS13.05
(Ga1-xInx)2O3 Layers Grown by Metal Organic Vapour Phase Epitaxy with Two Different Ga and O Precursors
Michele Baldini 1 Guenter Wagner 1 Daniela Gogova 1 Robert Schewski 1 Martin Albrecht 1 Klaus Irmscher 1 Martin Schmidbauer 1
1IKZ - Leibniz Institute for Crystal Growth Berlin Germany
Show AbstractTransparent Oxide Semiconductors (TSOs) are an emerging class of materials, which combine the electrical properties typical of large band gap semiconductors with a high transparency, up to deep-UV. β-Ga2O3 is one of the most interesting TSOs, by virtue of a high break-down field (8 MV/cm) and a large direct bandgap (4.9 eV), which can be modulated towards the lower value of In2O3 (2.9 eV) by the partial substitution of Ga with In [1, 2]. Homoepitaxy of Ga2O3 thin films have been performed in the very last years mainly by molecular beam epitaxy (MBE) [3], while metal organic vapour phase epitaxy (MOVPE) is still less explored [4].
Here, we report on the growth of (Ga1-xInx)2O3 layers on (100) β-Ga2O3 substrates, performed in a vertical MOVPE reactor. As precursors for Ga and O trimethylgallium (TMGa) or triethylgallium (TEGa) and H2O or O2 were alternatively used, while trimethylindium (TMIn) was the In precursor. The layers were characterized from the structural, optical and electrical point of view by varying the growth parameters in a wide range.
While the use of TMGa and O2 led to the growth of nanowires, with TMGa and H2O closed Ga2O3 layers were effectively grown. However, a high density of stacking faults and twins was revealed by TEM analysis. A substantial improvement of the crystal quality was achieved by adding a critical amount of TMIn during the growth, resulting in layers free of planar defects. In analogy with the growth of (In)GaN [5], this result has been explained by the surfactant behaviour of In at the growth surface that increases the diffusion length of adatoms and promotes step-flow growth.
n-type doping experiments with tetraethyltin (TESn) did not lead to reproducible conductivity in (Ga1-xInx)2O3 layers, despite a Sn chemical incorporation in the range 1017-1019 cm-3. The C incorporation in the layers, mainly due to the dissociation of TMGa, is likely one of the reasons for such a high resistivity. The Ga precursor was then switched to TEGa that in literature shows lower C incorporation in the growth of other materials [6, 7]. By using TEGa and H2O no layers were obtained at any growth parameters, but with TEGa and O2 smooth crystalline layers were successfully grown. By doping with TESn the layers presented an effective n-type doping, with typical carrier concentration density in the range 5x1017-1x1018 cm-3 and carrier mobility of around 10 cm2/Vs. To the best of our knowledge this is the first report of conductive homoepitaxial β-Ga2O3 layers grown by MOVPE.
[1] M. Rebien et al., Appl. Phys. Lett. 2002, 81, 250
[2] A. Walsh et al., Phys. Rev. Lett. 2008, 100, 167402
[3] M. Higashiwaki et al., Appl. Phys. Lett. 2013, 103, 123511
[4] G. Wagner et al., Phys. Status Solidi A 2013, 211, 27
[5] S. Keller et al., Appl. Phys. Lett. 2001, 79, 3449
[6] A.A. Aquino et al., Appl. Surf. Sci. 1996, 104/105, 304
[7] A. Saxler et al., Appl. Phys. Lett. 1997, 71, 3272
SS14: Semiconducting Oxide Thin Films: Properties I
Session Chairs
Thursday PM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
4:30 AM - SS14.01
Silicon-Aluminun Oxinitride Europium-Doped Thin Films for Solid State Lighting
Ivan Camps 1 Antonio Mariscal 1 Joan Manel Ramirez 2 Blas Garrido 2 Mariano Peralvarez 3 J. Carreras 3 Rosalia Serna 1
1Instituto de Optica, CSIC Madrid Spain2Universitat de Barcelona Barcelona Spain3IREC, Fundacio Privada Institut de Recerca en Energia de Catalunya Barcelona Spain
Show AbstractSilicon oxynitride based materials provide a suitable technological platform for the development of integrated optolectronic devices. This is due to its excellent optical properties including a large refractive index that can be tuned from that of SiO2 (1.45) to that of Si3N4 (2.01), high transparency in the visible-near infrared range, and compatibility with the current Si-technology. These materials can be also the bases for the development of solid-state light emitting devices (LEDs) when doped with suitable rare-earth ions (Eu, Tb, Ce). Recently it has been pointed out that the inclusion of Al in order to form a Si-Al- oxynitride (SIALON) can have several advantages including a further tuning of the optical properties and allowing a decrease of the maximum phonon energy which helps to enhance RE-light emission efficiency. Although some specific rare-earth SIALON composition have been studied in the last decades in powders to be used as phosphors, they have been rarely produced as thin films suitable for LEDs development
In this work, we report the light emission properties and optical response of Eu-doped thin films produced by pulsed laser deposition (PLD) in vacuum at room temperature, by focusing an ArF excimer laser on two separate targets a ceramic commercial SIALON (Si 25.2 - Al 19.5 - O 10 - N 43.5 - Y 1.7 at%) target and a metallic Eu target. The Eu is distributed in the films forming well-defined doping layers with different nanometric interlayer spacing (1-3 nm). The as deposited films have a high refractive index (>2.2) that decreases as the Eu incorporated concentration increases. Under UV excitation (355 nm) most samples show a broadband emission that results from the superposition of the 5d levels to the 4f levels of the Eu2+. Upon annealing treatments up to 7000C this emission is further enhanced, except for a few samples for which the spectral shape emission changes and show instead narrow and well-defined emission peaks. These have been identified as the characteristic of the 4f → 4f transitions of Eu3+. It is found that the luminescence emission can be optimized by a suitable choice of the Eu concentration and distribution. We will discuss how the oxidation state of Eu can be controlled in order to design suitable solid state lighting LEDs with broadband emission.
4:45 AM - SS14.02
Dopant Behavior and Visible Light Absorption in La, Cr Co-doped Titanate Films
Ryan B. Comes 1 Peter V. Sushko 1 Tiffany C. Kaspar 1 Steve M. Heald 2 Mark E. Bowden 1 Sergey Y. Smolin 3 Jason Baxter 3 Ran Gao 4 Brent A. Apgar 5 Lane W. Martin 6 Scott A. Chambers 1
1Pacific Northwest National Laboratory Richland United States2Argonne National Laboratory Argonne United States3Drexel University Philadelphia United States4University of Illinois at Urbana-Champaign Champaign United States5University of California, Berkeley Berkeley United States6University of California, Berekeley Berkeley United States
Show AbstractSrTiO3 (STO) is a wide-gap semiconductor well suited for photocatalytic H2 production due to alignment of its band edges with the half-cell energies of the H2O redox reactions. The observed ferroelectricity in strained STO films and the similar BaTiO3 (BTO) system also makes the material intriguing as a candidate for ferroelectric photovoltaics. However, the wide optical gap of STO (3.2 eV) makes the material an inefficient light absorber in the visible spectrum. The large bandgap prevents the generation of electron-hole pairs from visible light, which is needed for photocatalytic and photovoltaic behavior. Cr dopants on the Ti site have been shown to reduce the optical bandgap if the Cr ion is in the 3+ state with a half-full 3d t2g orbital. However, charge conservation in Cr-doped STO dictates that a Cr ion must either be in the 4+ oxidation state or compensate the 3+ state via an oxygen vacancy. In each case, defect electronic states occur, reducing the efficiency of electron-hole pair formation. To compensate these defects, others have shown that simultaneously doping STO equally with La and Cr ions in powder samples can promote the formation of the Cr3+ oxidation state. This scheme produces intrinsically doped films with optical absorption in the visible light regime and has been shown to enhance photocatalytic behavior.
In this work, we examine the electronic and optical properties of La,Cr co-doped STO and BTO films grown using molecular beam epitaxy. Films were characterized via in situ x-ray photoelectron spectroscopy to measure valence band and core level energies. Valence band measurements showed the presence of Cr 3dt2g states above the edge of the O 2p band, as expected. K-edge x-ray absorption fine structure (XAFS) measurements show that Cr ions are stabilized in the 3+ oxidation state, while the Ti absorption spectrum is unchanged by the doping. We also show using experimental XAFS data and density functional theory modeling that the La and Cr dopants are driven to occupy nearest neighbor sites in the lattice due to electrostatic interactions during the growth process. Optical absorption and spectroscopic ellipsometry measurements confirm that the optical bandgap is reduced by 0.9 eV from that of undoped STO. The films have also been studied using pump-probe transient reflectance measurements to determine optically-generated carrier lifetimes and photoelectrochemical yield to examine the applications of the films for photocatalysis.
5:00 AM - *SS14.03
High-Performance, Heteroepitaxial Device Layers on Single-Crystal-Like, Large-Area, Low-Cost Artificial Substrates for Wide-Ranging Electrical and Electronic Applications
Amit Goyal 1
1Oak Ridge National Laboratory Oak Ridge United States
Show AbstractFor many energy and electronic applications, single-crystal-like oxide materials offer the best performance. However, in almost all cases, fabrication of single-crystal form of the relevant material is too expensive. In addition, for many applications, very long or wide materials are required, a regime not accessible by conventional single-crystal growth. This necessitates the use of artificially fabricated, large-area, single-crystal-like substrates suitable for heteroepitaxial growth of the relevant advanced material for the electronic or energy application in question. In this talk, details of the fabrication of such substrates will be provided. In addition, heteroepitaxial growth of multilayers and devices on such substrates using a variety of deposition such as pulsed laser ablation, sputtering, e-beam evaporation, MBE, MOCVD, and chemical solution deposition will be reported upon. Application areas that have been demonstrated via the use of such artificial substrates include - oxide high-temperature superconductors, oxide photovoltaic layers (Cu2O), ferroelectrics (BaTiO3) and multiferroics (BiFeO3). In addition, self-assembly of second phase nanomaterials at nanoscale spacings has been demonstrated within oxide device layers. Control of heteroepitaxy in lattice-mismatched systems and the effects of strain on self-assembly will be discussed. Such heteroepitaxial device layers on large-area, single-crystal-like artificial substrates are quite promising for a range of electrical and electronic applications.
5:30 AM - SS14.04
Field Control of Magnetic ZnO Films with Stable Bound Magnetic Polarons
Heidemarie Schmidt 1 Tim Kaspar 1 Danilo Buerger 1 Ilona Skorupa 1 Yutian Wang 2 Shengqiang Zhou 2 Carsten Timm 3 Oliver G. Schmidt 1 4
1Technische Universitauml;t Chemnitz Chemnitz Germany2Helmholtz-Zentrum Dresden-Rossendorf e.V Dresden Germany3Dresden University of Technology Dresden Germany4IFW Dresden Dresden Germany
Show AbstractThe clear understanding of the transport and the optical properties of magnetic transition-metal oxides (TMO) in an external magnetic and electric field [1] is important for future transparent spintronics. Advances in the fabrication of TMO materials make it possible to fabricate thin TMO films with a well-controlled concentration of oxygen vacancies and with up to 10-20 at. % diluted magnetic ions. TMO transistors with visible transmittance above 70% and mobilities up to 80 cm2/Vs can be achieved with a maximum processing temperature that is compatible with flexible polymer substrates [2]. In the intrinsically n-type conducting TMO materials oxygen vacancies are used to make the TMO highly conductive. Often, very small changes in oxygen-vacancy chemistry cause profound changes in TMO function, for example inducing magnetization from a nonmagnetic state [3]. Since the prediction of room-temperature ferromagnetism in magnetic semiconductors and magnetic TMOs, e.g., in p-type conducting Mn-alloyed ZnO [4], the observed room-temperature ferromagnetism in magnetic TMO is still, in spite of huge efforts to clarify the role of dopands [5], a matter of controversy. Earlier magnetization measurements on magnetic TMO demonstrated that the ferromagnetism may be mediated by acceptor-like defects. Kittilstved et al. developed a theory for acceptor-mediated ferromagnetism in Mn-doped ZnO [6]. Coey et al. [7] proposed a ferromagnetic exchange mechanism involving oxygen vacancies (VO), which form F-centers with two trapped electrons. In most reports, the increase of the low-frequency dielectric constant of magnetic oxides with increasing concentration of isovalent magnetic ions has been neglected. Therefore, the reported radius of bound magnetic polarons (BMPs) only represents a lower limit for magnetic oxides and the necessary concentration of oxygen vacancies for percolation of BMPs is overestimated. We have investigated the ferromagnetism in magnetic ZnO thin films with stable BMPs and adapted the existing hydrogenic BMP model [7] to VO+ oxygen vacancies, which form F+ centers with one trapped electron. Furthermore, we have experimentally realized stable F+ centers in magnetic ZnO and presented the fingerprints of ferromagnetism in magnetization and magnetotransport properties [8]. Magnetic oxides with stable BMPs will allow for new device approaches which exploit the huge internal magnetic fields felt by charge carriers in magnetic oxide films with F+-center BMPs.
[1] Q. Xu, H.S. et al., Phys. Rev. Lett. 101 (2008); Jpn. J. Appl. Phys. 49 (2010)
[2] E. Fortunato et al., Adv. Mat. 17 (2005)
[3] S. V. Kalinin and N. A. Spaldin, Science 341 (2013)
[4] T. Dietl et al., Science 287 (2000)
[5] H. Schmidt et al., Appl. Phys. Lett. 91 (2007)
[6] K. R. Kittilstved et al., Nat. Mat. 5 (2006)
[7] J.M.D. Coey et al., Nat. Mat. 4 (2005)
[8] T. Kaspar et al., IEEE Elec. Dev. Lett. 34 (2013); APL Mat. 2 (2014)
SS15: Poster Session III
Session Chairs
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - SS15.01
Tunable Bandgap on Iron Doped Bismuth Titanate Thin Films Deposited by Off-Axis RF Sputtering
Jun Young Han 1 Chung Wung Bark 1
1Gachon University Seongnam, Gyunggi-Do Korea (the Republic of)
Show AbstractFerroelectric materials have attracted considerable interest in the semiconductor and optics communities due to the useful properties of ferroelectrics for opto-electronic device applications, such as photovoltaic devices and photo detectors. However, Ferroelectric perovskites (with ABO3 composition) have a wide bandgap due to the fundamental characteristics of the metal-oxygen A-O and B-O bonds. To solve this wide bandgap problem, the alloying method was very successful in achieving large bandgap tunability. Recently, W. S. Choi et al. fabricated superlattice films by alloying LaTMO3 (TM = Ti, V, Cr, Mn, Co, Ni, Al) with Bi4Ti3O12 (BiT) in an attempt to systematically lower the band gap of a ferroelectric, although the alloying method reduced the optical bandgap dramatically, it required complicated processing.
This study focused on the creation of the most suitable thin film to decrease the optical bandgap with the crystallization of Fe-BLT films by using much simpler deposition procedure than the alloying method using complex superlattice deposition. To archive bandgap engineered ferroelectric thin films avoiding complex growth of the supurlattice thin films, we attempted to deposit the iron doping on lanthanum-modified Bi4Ti3O12 (Fe-BLT) thin film from (Bi3.25La0.75)(Ti2Fe)O12 ceramic target which was synthesized using the solid reaction method. By using off-axis RF sputtering method, the Fe-BLT thin films were deposited on (001) SrTiO3 substrates. The deposited samples were characterized structurally by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The optical band gaps of the films were estimated by ultraviolet-visible (UV-Vis) absorption spectroscopy. The results showed that Fe-doped BLT could achieve the band gap reduction by ~ 0.75 eV and the crystallographic structures were maintained without breaking symmetry of parent BLT film, even though the target was Fe heavily doped BLT. This approach to controlling the bandgap could be applied to other complex oxides materials, such as other types of Aurivillius phase materials for use in emerging oxide optoelectronic and energy applications.
9:00 AM - SS15.03
REFeO3 (RE= La, Pr, Nd, Sm) Thin Films: Synthesis, Characterization and Properties Simulation
Emile Haye 1 Fabien Capon 1 Silvere Barrat 1 Erwan Andre 2 Cedric Carteret 2 Jean-Francois Pierson 1
1Institut Jean Lamour Nancy France2LCPME Villers-legrave;s-Nancy France
Show AbstractPerovskite oxides with the general formula of ABO3 structure have received considerable attention owing to their potential applications such as catalysts, gas sensors, fuel cells, or environmental monitoring systems. The structural, optical and electrical properties of these materials are strongly depending on A and B sites, due to difference of cation size and valency. In order to study cation size effect, one usual method is to substitute A-cation, by keeping B-cation unchanged.
In this work we investigate influence of A-cation size in Rare Earth orthoferrite REFeO3 thin film, with A-substitution by Lanthanum, Praseodymium, Neodymium, and Samarium (valence 3+). Films have been deposited by magnetron sputtering from two metallic targets, in reactive atmosphere, on uncoated (100) Si single-crystal and fused silica. The amorphous as-deposited REFeO3 thin films were annealed and characterized by in-situ XRD measurements in a furnace under controlled atmosphere. To describe the crystallization kinetic, the Johnson-Mehl-Avrami Kolmogorov approach and the Arrhenius law have been applied. In addition to XRD measurement, other characterizations of the films were performed with UV-Visible spectroscopy and bandgap measurement, FTIR spectroscopy, Raman spectroscopy and study of vibration modes, 4-probes method and evolution of resistivity, and Mossbauer spectroscopy. Experimental measurements show a continuous derivation of the properties with change of RE ionic radius.
In addition, hybrid DFT calculation was performed with CRYSTAL14 code, in order to confirm experimental measurements. Medium infrared, far infrared and Raman spectra in addition to XRD patterns have been calculated. Results from simulation show good correlations with experiments in terms of position and intensity of vibration mode and diffraction peaks.
9:00 AM - SS15.04
Thermochromic REMO3 Thin Films Synthesized by Magnetron Sputtering
Fabien Capon 1 Alexis Boileau 1 Emile Haye 1 Silvere Barrat 1 Jean-Francois Pierson 1
1Institut Jean Lamour Nancy France
Show Abstract#8203;One of the requirements of an innovative optical material is the ability of a substance to change color when its temperature changes. This property can be described and analyzed by thermochromism. Researchers have found a variety of thermochromic materials such as thermochromic liquid crystals, thermochromic dyes, cuprous mercury iodide (Cu2HgI4), vanadium dioxide (VO2), and rare earth (RE) perovskite-type oxide REMO3 (M: transition metal). The thermochromic effect in REMO3 is due to the lanthanum contraction, along the 4f rare earth series of the periodic table, which produces profound effects with the progression of various transport properties.
We present the electrical and optical properties of three families of perovskites: nickelates, cobaltites and manganites. The constitutive elements of the perovskite are deposited at room temperature from two metallic targets RE and M onto (100) undoped Si single-crystal substrate. The RE and M contents were controlled by the current applied to the targets. The chemical stoichiometry of the deposited material was checked by energy dispersive X-ray analyses. We performed a subsequent annealing in air at 700°C to form crystalline films. X-ray diffraction was performed before and after annealing. DC electrical resistance and infrared transmittance were measured with the four-probe method scanning temperature from -180°C to 600°C using a Linkam Examina Probe equipment. These perovskites can be utilized to create a large variety of smart devices, for example they can be fitted on a spacecraft surface or on thermal solar captor in order to automatically control the emissive heat transfer without assistances of any electrical instrument.
9:00 AM - SS15.05
Large Flexoelectric Effects from Labile 90deg; Domain Walls in Compositionally-Graded PbZr1-xTixO3
Joshua Agar 1 Vengadesh Mangalam 1 Ruijuan Xu 1 Anoop Rama Damodaran 1 Shishir Pandya 1 Gabriel Velarde 1
1University of Illinois at Urbana-Champaign Berkeley United States
Show AbstractWithin the past decade our understanding of how to control crystal and domain structures of complex oxide materials through the use of epitaxial strain has grown by leaps and bounds, enabling the precise control of ferroelectric and pyroelectric responses. More recently, it has been shown that beyond a simple epitaxial strain, strain gradients can give rise to complex and unexpected domain structures with novel properties, which enhance the pyroelectric figures of merit. These enhanced properties have been attributed to a strain gradient induced flexoelectric field that serves to elastically pin domain walls suppressing dielectric susceptibility without detrimentally effecting the ferroelectricitiy or pyroelectricity. Here, we show through a combination of macroscopic electrical measurements and band excitation piezoresponse force microscopy switching spectroscopy (BE-SS) that the observed flexoelectric field, resulting from the coupling of the strain gradient to the polarization, can be perturbed by the presence of domain walls producing exotic macroscale responses. 50-150 nm thick, compositionally-graded PbZr1-xTixO3 films (going from x = 0.2 to 0.8 from substrate to film surface), with symmetric SrRuO3 electrodes, were grown using pulsed-laser deposition. Dielectric and ferroelectric measurements reveal that the built-in potential is not simply inversely proportional to the thickness as would be empirically expected, but is maximized at intermediate thicknesses. This complex evolution of the flexoelectric field coincides with the formation of domains which serve to locally perturb the strain gradient. Additionally, despite exhibiting domain patterns reminiscent of classical c/a/c/a domain structures, BE-SS studies reveal that the domains in the compositionally-graded films respond fundamentally different to external fields than domains in single-layer, homogeneous films. Unlike, for instance, PbZr0.2Ti0.8O3 which undergoes a symmetric switching in which the in-plane oriented domains are fixed in place, the compositionally-graded films, exhibit highly asymmetric switching in which the size and shape of the in-plane oriented domains are found to change dramatically with field. The ability of the in-plane domains to change in size leads to a strong restoring force that drives the material to return to the as-grown state upon removal of the applied bias. These labile domain walls, in turn, give rise to enhanced piezoresponse and flexoelectric fields at the domain boundary. We will discuss possible mechanism for the observed effects including how the field-induced structural change to the domains results in a large elastic restoring force which adds a secondary contribution to the built-in potential. This work presents new modalities of domain engineering where the shape and response mechanism of domains can be tuned by strain gradients to provide new means to increase the piezoelectric and pyroelectric efficiencies.
9:00 AM - SS15.06
Symmetry Braking during Tip-Induced Polarization Switching at Non-Polar Cuts of the Lithium Niobate Single-Crystals
Anton V. Ievlev 1 Denis Alikin 3 Anna Morozovska 4 Vladimir Shur 3 Sergei V. Kalinin 2
1Oak Ridge National Laboratory Oak Ridge United States2Oak Ridge National Laboratory Oak Ridge United States3Ural Federal University Ekaterinburg Russian Federation4National Academy of Science of Ukraine Kiev Ukraine
Show AbstractFerroelectric materials at present day attract much attention as a perspective material for novel acoustic, non-linear optical, data storage and processing devices. Recent investigations demonstrated that polarization switching in ferroelectric materials is governed by a delicate interplay between bulk polarization dynamics and screening processes on the sample surfaces and in the sample bulk.
Here we explored the mechanism of scanning probe microscopy (SPM) tip-induced polarization switching at non-polar cuts of uniaxial ferroelectrics. In this case, the in-plane component of the polarization vector switches, allowing for detailed investigations of the charged domain walls.
Experimental studies demonstrated a surprising variability of the resultant domain morphologies stemming from a fundamental instability of the charged domain wall and associated electric frustration. In particularly, we demonstrated that controlling the vertical tip position allows the polarity of the switching to be controlled. This represents a very unusual form of symmetry breaking where mechanical motion in the vertical direction controls the lateral domain growth.
Theoretical calculations in Landau-Ginzburg-Devonshire theory allowed simulating formation and growth of the domains with shapes close to experiment. Moreover calculations of electric field spatial distribution near charged domain wall confirmed breakthrough phenomenon, explaining experimentally observed growth of the domains far from the tip.
Obtained results are important from both fundamental and practical points of view.
A portion of this research was conducted at the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility.
9:00 AM - SS15.07
Big-Data Reflection High Energy Electron Diffraction for Understanding Epitaxial Growth Processes
Rama Krishnan Vasudevan 1 2 Alexander Tselev 1 2 Michael David Biegalski 1 Arthur P. Baddorf 1 2 Sergei V. Kalinin 1 2
1Oak Ridge National Laboratory Oak Ridge United States2Oak Ridge National Laboratory Oak Ridge United States
Show AbstractPrecision in growth of films by pulsed laser deposition (PLD) and molecular beam epitaxy requires a technique to monitor the surface properties in-situ, a need which has been met by Reflection High Energy Electron Diffraction (RHEED) systems. Despite the proliferation of RHEED systems in growth chambers worldwide [1], the analysis of the RHEED data remains challenging, and generally only the oscillations in the specular spot intensity are monitored and analyzed, despite the fact that much dynamic and static information pertaining to surface structure is contained in the RHEED image sequence. Here, we develop a multivariate ‘big-data&’ approach, for full information capture and analysis of RHEED image sequences from La1-xCaxMnO3 films grown on (001) SrTiO3 by PLD. Principal component analysis allows visualization of the trends in the dataset, and enables data compression. As the signal is periodic, Fourier analysis [2] was performed and yields the harmonic components of the signal, providing the insight into the growth mechanisms (with the 3D transmission spots displaying higher harmonic components) and the nature of the step density function in phenomenological models. K-means clustering shows the statistically significant behaviors during growth, and allows for identification of a disordered to ordered growth transition. These analyses show the promise of big-data style approaches to obtaining more insight into film properties during and after oxide growth, and can potentially be used in-operando with forward prediction algorithms to increase control over final film quality.
This research was sponsored by the Division of Materials Sciences and Engineering, BES, DOE (RKV, AT, SVK). This research was conducted at and partially supported by (AB, MB) the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility. AG acknowledges fellowship support from the UT/ORNL Bredesen Center for Interdisciplinary Research and Graduate Education.
References
[1] N. Ingle, A. Yuskauskas, R. Wicks, M. Paul, S. Leung, J. Phys. D: Appl. Phys.43, 133001 (2010).
[2] P. Dobson, B. Joyce, J. Neave, J. Zhang, J. Crys. Growth81, 1 (1987).
9:00 AM - SS15.08
Non-Volatile Functionalization of 2DEG at Oxide Interfaces
Shin-Ik Kim 1 2 Chong-Yun Kang 1 Ji-Won Choi 1 Seok-Jin Yoon 1 Jin-Sang Kim 1 Seung-Hyub Baek 1 2
1Korea Institute of Science and Technology Seoul Korea (the Republic of)2Korea University of Science and Technology Seoul Korea (the Republic of)
Show AbstractTwo dimensional electron gas (2DEG) formed at LaAlO3(LAO)/SrTiO3(STO) interfaces exhibits a wide spectrum of physical properties, envisaging promising applications in multifunctional nanoelectronics. For device applications, it is crucial to manipulate 2DEG conductivity in a controllable way. It was reported that 2DEG conductivity can be controlled by an electric field. However, the switched conducting states remain, albeit the electric field is removed, and are continuously relaxed into the original ground state in a few hours at ambient conditions. This places a big obstacle to utilize the as-fabricated 2DEG at oxide interfaces for both fast-speed switching devices and long-term information storage devices. Here, the recent results will be reviewed where 2DEG at LAO/STO interface can be ferroelectrically controlled in a non-volatile way using the Pb(Zr0.2Ti0.8)O3 overlayer in the PZT/LAO/STO epitaxial heterostructure. Our approach will provide new opportunities to multifunctionalize 2DEGs for next-generation nanoelectronics as well as to explore novel physics arising from interactions between 2D and 3D phenomena.
9:00 AM - SS15.09
Fabrication of Platinum- Iridium Oxide Composite Film on Ti Substrate for Biocompatible Stimulating Electrode
Po-Chun Chen 2 Chai-Wei Chung 1 Yong-Min Chen 1 PuWei Wu 1 Chung-yu Wu 2
1National Chiao Tung Univ Hsinchu Taiwan2National Chiao Tung Unversity Hsinchu Taiwan
Show AbstractIn this study, Pt-IrO2 (platinum-iridium oxide) composite films were successfully fabricated on titanium substrates by multi-step chemical bath deposition and these composite films are expected to be used as biocompatible stimulating electrodes. We modified the film compositions ( Pt : IrO2) in order to optimize the performance of these composite films as stimulating electrodes.
In addition, these Pt-IrO2 composite films were characterized by SEM and EDX, and then were identified the crystallinity before and after annealing by XRD. The contact angles and conductivities of these composite films were also measured by Drop-Shape and Hall Effect, respectively. Finally, the performances of these composite films were evaluated by electrochemical characterizations, including the limit potentials, charge storage capacities and charge injection capacities.
9:00 AM - SS15.10
Domain Wall Interface Density Control for Tunable Thermal Conductivity
David A. Scrymgeour 1 Jon F. Ihlefeld 1 Brian McCormack Foley 2 Joseph Michael 1 Bonnie McKenzie 1 Patrick Edward Hopkins 2
1Sandia National Labs Albuquerque United States2University of Virginia Charlottesville United States
Show AbstractActive control of thermal transport in solid-state systems would enable advanced thermal management, energy harvesting, and phononic logic concepts. Ferroelectrics, with their size and density controllable ferroelastic domain walls acting as mobile coherent interfaces to scatter phonons, are ideal candidate materials for next generation dynamic thermal control. While previous work has demonstrated tunable thermal conductivity in low temperature (< 30K) single crystal barium titanate, we have recently demonstrated room temperature thermal conductivity tuning of 11% with applied electric fields in bilayer lead zirconate titanate thin films.
In this talk, we will correlate the observed bias controlled thermal conductivity with the ferroelastic domain structures of the samples. Polycrystalline lead zirconate titanate (PZT) bilayer thin films composed of a tetragonal symmetry PZT layer on top of a rhombohedral symmetry PZT layer were fabricated with sub 100 nm domain wall spacing. Through both piezoresponse force microscopy (PFM), channeling contrast scanning electron microscopy (SEM), and image analysis, we show that the domain wall density of this material on aggregate increases under applied bias. For example, PFM indicates an average increase of 2.6% domain wall line length/unit area after poling of the sample with the tip. Similar average domain wall increase of >2% were measured in operando while under application of an applied field in the SEM. The increase in domain boundary area results in increased phonon scattering interfaces and hence the observed decrease in thermal conductivity. By controlling and altering the domain features, one can either enhance or subdue phonon scattering, which opens a new pathway to control phonon transport.
9:00 AM - SS15.11
Spin-Orbit Coupling Effects on the Magnetization and Polarization in the Multiferroic Bi2FeCrO6 Epitaxial Thin Films
Liliana Braescu 1 2 3 Francois Vidal 2 Alain Pignolet 2
1Alfaisal University Riyadh Saudi Arabia2INRS - Institut National de la Recherche Scientifique Varennes Canada3Radiological Technologies University-VT South Bend United States
Show AbstractMagnetic ferroelectrics possessing both spontaneous magnetization and spontaneous polarization simultaneously are rare in nature since transition metal ions with unpaired magnetically active d-electrons often tend to reduce the off-centre distortion necessary for ferroelectricity to exist [1]. Recently, there has been an increased research interest in multiferroic systems due to the observation of good multiferroic properties and magnetoelectric coupling in thin films of some of these materials, enabling potential applications in many magneto-electric devices. An example of multiferroic material having the potential to revolutionize electronic industry is the rhombohedral double-perovskite Bi2FeCrO6 (BFCO) because good magnetic properties at room temperature were demonstrated in synthesized epitaxial thin films [2]. To complement our understanding of BFCO, theoretical investigations have been performed for the first time on the spin-orbit coupling (SOC) effect on the magnetic and ferroelectric properties of the material. Using first-principles density functional theory (DFT) calculations within the VASP package, investigations were performed for both collinear and non-collinear spin structures, respectively. Exchange and correlation effects were treated using the local density approximation plus Hubbard potential total-energy functional (LSDA+U) on the high spin (HS) and low spin (LS) states of the ferromagnetic (FM) and ferrimagnetic (FiM) spin arrangements.
In the case of collinear spin calculations without SOC, the existence of four competing phases (FMHS, FMLS, FiMHS and FiMLS) with distinct electronic and magnetic properties was found, in agreement with recent published theoretical results [3]. The FiMHS state was found to be the most stable, with a total magnetic moment |MBFCO|~2 mu;B per unit cell, and a computed spontaneous polarization of Ps=79.1 mu;C/cm2 in agreement with reported data [4]. When SOC was considered, the calculations also showed the existence of the above four stable states, FiMHS remaining the most stable state. It was found that magnetization is somewhat higher, namely |MBFCO|=2.005 mu;B per unit cell when the total magnetization vector is set parallel to the direction (1 1 -2). Also, the obtained magnetizations do not have a preferred direction in the HS states, but they do show a preferred direction deviating from the direction (1 1 1) in the LS states, due to structural distortions, with energy minimized in the direction ~(2 1 1). Spontaneous polarization computed for optimized structures with Berry-phase method, was found to be slightly higher when SOC is considered, namely Ps~81.5 mu;C/cm2 for FiMHS, also in the direction ~(2 1 1).
[1] H. Schmid, Ferroelectrics 162, 317 (1994).
[2] R. Nechache, C. Harnagea and A. Pignolet, J. Phys.: Condensed Matter 24, 096001 (2012).
[3] M. Goffinet, J. Iniguez and P. Ghosez, Phys. Rev. B 86, 024415 (2012).
[4] P. Baettig, C. Ederer and N. A. Spaldin, Phys. Rev. B 72, 214105 (2005).
9:00 AM - SS15.12
Strain-Induced Ferromagnetism in Epitaxial Cr2O3 Thin Films Integrated on Si(001)
Sandhyarani Punugupati 1 Frank Hunte 1 Jagdish Narayan 1
1North Carolina State University Raleigh United States
Show AbstractCr2O3, the transition metal sesqui-oxide that crystallizes in the corundum structure of the R3-c space group is an antiferromagnetic insulator. Recently, there has been a revival of interest in Cr2O3 because of the research focus on multiferroic materials. Room temperature ferromagnetism and ferro-electricity have been observed in finite H and E fields, respectively in bulk Cr2O3 single crystal samples. Isothermal electric control of exchange bias at room temperature has been accomplished in a system of Cr2O3 in contact with a ferromagnetic film. It has been shown by spin-polarized photoemission spectroscopy, first principles calculations and magnetometry that the (0001) surface of Cr2O3 has a roughness-insensitive electrically switchable magnetization. Spatially resolved magnetization domain structure has been observed on the (0001) surface of Cr2O3 by electric field control. These theoretical predictions and experimental observations demonstrate the potential for application of Cr2O3 in future advanced electronic devices which would necessitate its integration with Si(001), the key platform substrate of the micro-electronics industry. We have studied epitaxial Cr2O3 thin films on c-YSZ/Si(001) prepared by the PLD technique. We present a detailed structural characterization of the grown films using XRD (2#415; and Phi;), TEM and XPS. The X-ray diffraction (2#415; and Phi;) and TEM characterizations confirm that the films were grown epitaxially. The Cr2O3(0001) growth on YSZ(001) occurs with twin domains. There are four domains of Cr2O3 with in-plane rotation of 30o or 150o from each other about the [0001] growth direction. The epitaxial relation between the layers is given as [001]Si #8214; [001]YSZ #8214; [0001]Cr2O3 (out-of-plane) and [100]Si #449; [100]YSZ #449; [10-10](or) [11-20] (or) [01-10] (or) [-12-10] Cr2O3 (in-plane). Though bulk Cr2O3 is antiferromagnetic with TN =307K, the in-plane magnetization measurements of the films performed by SQUID showed ferromagnetic like hysteresis loops with high saturation and finite coercive field up to 400K. The thickness dependent magnetizations together with oxygen annealing results suggest that the ferromagnetism is due to oxygen related defects whose concentration is controlled by strain present in the films 1. This ferromagnetism in addition to the intrinsic magneto-electric properties of Cr2O3, opens the door to relevant spintronics applications.
1:Sandhyarani Punugupati, Jagdish Narayan, and Frank Hunte, “Strain induced ferromagnetism in epitaxial Cr2O3 thin films integrated on Si(001)”, Appl. Phys. Lett. 105, 132401 (2014).
9:00 AM - SS15.13
Tunable Magnetic Properties and Electronic Structure in Emerging Dilute Magnetic Semiconductor Sr3SnO/c-YSZ/Si (001) Epitaxial Heterostructures
Yi-Fang Lee 1 Jagdish Narayan 1 Justin Schwartz 1
1North Carolina State University Raleigh United States
Show AbstractMaterials with the anti-perovskite structure exhibit a wide range of interesting physical properties, including superconductivity, giant magnetoresistivity, negative thermal expansion, and the magnetocaloric effect due to the cooperative interactions among lattice, spin, and charge degrees of freedom. More recently, Hsieh et al. reported that the anti-perovskite structure is also the strong candidate of topological crystalline insulators based on a combination of topological band theory, Kronig-Penney model, and first-principles calculations1. Here, we report an emerging dilute magnetic semiconductor material with anti-perovskite structure, Sr3SnO (SSO), which can be successfully integrated epitaxially with the technologically important substrate Si (001) using cubic yttria-stabilized zirconia as buffer layers by pulsed laser deposition2. It is reported that the non-magnetic oxide (d0 material), SSO, exhibit a Curie temperature above 300 K without the presence of extrinsic magnetic elements; and its room-temperature ferromagnetism can be manipulated by engineering and controlling intrinsic defects, presumably oxygen vacancies and related defects2,3. As the oxygen vacancy concentration increases, the magnetization and coercivities increase monotonically, consistent with the oxygen vacancy bound magnetic polaron model where an impurity band resulting from oxygen vacancies locally trap electrons that occupy an orbital overlapping the surrounding magnetic moments3. The transport mechanism of SSO is explained through the variable range hopping (VRH) model where two dominate types are contributing to the resistivity between 5 K- 285 K; one is Efros and Shklovskii VRH law for low temperature region (up to 10 K) and the other is Mott VRH law for high temperature region (170 K-285 K)2. Not only the magnetic property can be controlled by means of manipulating the oxygen vacancy concentration; but also the electronic properties and its structure. By tailoring the post growth-annealing treatment, significant change in work function/Fermi level is achievable; the work function ranges from 4.54 to 4.02 eV and shows a negative linear relationship with oxygen vacancy concentration, accompanied by a 0.42 eV shift in the surface Fermi level. Moreover, the ultraviolet photoelectron spectroscopy probes the clear surface electronic structure variation which shows the strong dependence on post growth-annealing treatment. This research provides a comprehensive and systematic study on a potential topological crystalline insulator as well as an emerging dilute magnetic semiconductor, SSO, with respect to electronic transport and/or its electronic structure, magnetic properties, work function, and/or Fermi level as a function of oxygen vacancy concentration, enhancing its utility for practical applications.
1 Timothy. Hsieh et,al., Phys. Rev. B 90 (8), 081112 (2014)
2 Y.Lee et,al., Appl. Phys. Lett. 103(11), 112101 (2013).
3 Y.Lee et,al., MRS Commun. 4(1), 7 (2014).
9:00 AM - SS15.14
Electrically Induced Metal-Insulator Transition in Epitaxial SmNiO3 Thin Films
Toyanath Joshi 1 Nikhil Shukla 2 Sandeepan DasGupta 2 Pavel Borisov 1 Suman Datta 2 David Lederman 1
1West Virginia University Morgantown United States2the Pennsylvania State University University Park United States
Show AbstractMaterials with metal-insulator transitions (MITs) above room temperature are potentially interesting for electronic applications, inter alia, to design a new class of the so-called Mott field transistors. We studied a member of the rare-earth nickelates familiy, SmNiO3, with the bulk MIT transition temperature close to 400K. Thin films of SmNiO3 were grown using pulsed laser deposition. Epitaxial structural quality was verified by reflection high-energy electron diffraction, x-ray diffraction, x-ray reflectometry, x-ray photoelectron spectroscopy and atomic force microscopy. Temperature-dependent resistivity measurements showed MIT temperatures close to the bulk values. Electrically driven MIT in two terminal SmNiO3 thin film devices was demonstrated using DC and pulsed mode I-V measurements in the temperature range 273-348 K. The differential conductance dI/dV peaked in the DC mode at switching field of 80 kV/cm at 273 K. The switching behavior became less pronounced with increasing measurement temperature and decreasing time period of the voltage pulses. By analysis of the experimental data we conclude the electrically-driven MIT in SmNiO3 is due to the current-induced Joule self-heating. These findings should contribute to realization of novel electronic applications.
Published in: APL 105, 012108 (2014)
This work was supported at WVU and PSU by STARnet, a Semiconductor Research Corporation program, sponsored by MARCO and DARPA, and at WVU by the WV Higher Education Policy Commission Research Challenge Grant and the WVU Shared Research Facilities. Some work at PSU was also supported by the Office of Naval Research through award N00014-11-1-066.
9:00 AM - SS15.15
Thickness-Dependent Metal-Insulator Transition in Epitaxial SrRuO3 Ultrathin Films
Xuan Shen 1 2 Xiangbiao Qiu 2 Dong Su 1 Shengqiang Zhou 3 Di Wu 2
1Brookhaven National Lab Upton United States2Nanjing University Nanjing China3Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractIn this work, we systematically analyzed the transport and magnetic properties of SrRuO3 (SRO) ultrathin films, as a function of film thickness. We found the transport characteristics of the ultrathin SRO films undergo an evolution from a metallic state to a localized insulating state when the film thickness decreases from 20 to 4 unit cells. The resistance-temperature dependence was found to obey Drude low temperature conductivity with quantum corrections in medium-thick films. The metal-insulator transition could be ascribed to weak localization and discussed in terms of microstructural disorders near the interface. The weak localization was verified to be dominated by electron-phonon collisions. The negative magnetoresistance further indicates the two dimensional weak localization originating from electron-phonon interactions. Our results suggest that one could tune thickness to manipulate the transport and magnetic properties in correlated ultrathin films.
9:00 AM - SS15.16
Effects of Adding Additional Rocksalt Layers within Layered Perovskite Films
Tyler Michael Burda 1 Franklin Jon Burquest 1 Rodrigo Ignacio Marmol 1 Brittany Nelson-Cheeseman 1
1Univ of St. Thomas Saint Paul United States
Show AbstractLayered perovskite oxides are well known for exhibiting a host of exceptional properties, including superconductivity, colossal magnetoresistance, multiferroicity, magnetocalorimetry, and thermoelectricity. Traditionally, layered perovskite materials, such as the Ruddlesden-Popper (RP) series (An+1BnO3n+1, where n=1→infin;), depart from their 3D counterparts by decreasing the dimensionality of the B-O network. This is accomplished by introducing an extra AO layer into the 3D perovskite network. Since this double AO/AO layering creates a rocksalt stacking of the A and O ions, it is known as the “rock salt block”. This rock salt layer then isolates the B-O network into discrete “perovskite blocks”, whose thickness is described by “n” interconnected BO2 sheets. Greater n signifies thicker perovskite blocks. Even small changes in n can lead to dramatic changes in the electrical, thermal, and magnetic properties.
In this work, we explore a new structure, where n is fixed, but an additional rocksalt (AO) layer is added between the functional perovskite layers. Here, m describes the number of AO layers present between each single, isolated BO2 layer. Thus, a prototypical 3D perovskite structure (ABO3) has m=1, while a RP n=1 structure (A2BO4) has m=2. In particular, the new structure that we study here has m=3 (A3BO5). This structure has a single BO2 layer, which is isolated from its neighboring layers by three AO layers. In addition to increased distance along the c-axis, this new structure aligns each BO2 layer on top of the previous BO2 layer, as opposed to the translated nature found in the RP series. The new structure also provides additional AO/AO interfaces per formula unit, which could have implications for interstitial oxygen transport.
In order to synthesize this new material, a thin film deposition technique is required to precisely control the atomic layering process. Here, we use molecular beam epitaxy (MBE) to produce epitaxial m=3 films of La2-xSr1+xCuO5 and La2-xBa1+xCuO5. In order to determine the most favorable cation concentration for stabilizing the m=3 structure, we explore films with x=0, x=0.5, and x=1. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the deposition of each layer during the growth process. The surface and interface roughness of the films is characterized by x-ray reflectivity. The crystal structure of each film is characterized by x-ray diffraction. Positions of the X-ray reflections are compared with tabulated and calculated values of each structure. The resulting electrical transport is characterized by a Physical Property Measurement System (PPMS). This study demonstrates that new oxide materials may be accessible through the non-equilibrium synthesis methods of thin film epitaxy and atomic monolayer-by-monolayer film growth.
9:00 AM - SS15.17
Microstructure Development of HfOx Thin Films
Eliane de Fatima Chinaglia 1 Luis Humberto Avanci 1
1Centro Universitario da FEI Sao Bernardo do Camp Brazil
Show AbstractWithin the areas of nanoscience and nanotechnology, oxide thin films have been widely studied owing to their optical, chemical, and electrical properties. Among them, HfO2 is the most promising dielectric material for the development of nanoeletronic devices. It is well known that the microstructure of the films and the film-substrate interface are important aspects to be controlled in order to optimize the films properties. In this paper, thin films of HfOx with different oxygen concentrations concentrations (0 < x < 2.5) and thicknesses (18nm < t < 310nm), were deposited on amorphous substrates (Si02/Si(100)) by DC reactive magnetron sputtering at room temperature. Argon was used as the working gas and O2 as reactive gas. The partial pressure (Ar+O2) was 1.0mTorr. The thickness and composition of the films were obtained with Rutherford Backscattering Spectroscopy. The crystallographic structure was analyzed with x-ray diffraction theta;-2theta; using Cu-Kα radiation. Surface morphology was analyzed with atomic force microscopy. The films were subjected to post-deposition annealing at 700oC in N2 atmosphere for 1h. The morphology of the as-deposited, low oxygen concentration films is formed by a well-defined granular structure with grains around 20 nm in diameter. The surface roughness has a minimum value at 1.4%at.O2. For higher oxygen concentration, the roughness increases, as a consequence of a very porous surface morphology. At the same time, the crystallographic structure changes from HCP with {0002} preferred orientation to an amorphous structure as oxygen concentration increases. As the thickness of the films increases, we also observed a high surface porosity. The changes observed in morphology and crystallinity of the films, as we increase the concentration of contaminants, occurs because of the decrease in the adatoms surface diffusion, which prevents them from reaching lower energy points during the formation of the microstructure of the films. Furthermore, this lowered surface diffusion favors the process of grain renucleation, which leads to roughness increasing. After annealing, all films exhibit the monoclinic crystallographic phase associated to HfO2. Surface morphology of the films is consistent with a polycrystalline structure with grain diameters varying between 10nm and 200nm. As their size increases, the grains become very faceted, a finding consistent with the improvement of film crystallinity. Our results suggest that long-time annealing promotes the diffusion of oxygen from the SiO2-Hf interface to the film, compensating any O2 deficit in the film. While the stoichiometry of the film is adjusted by thermal annealing, formation of the monoclinic phase is favored. Also, the critical size of the nuclei, associated with a grain growth in a particular crystallographic orientation, decreases as a consequence of high temperature. Therefore, formation of faceted grains and increasing of surface roughness are favored in thicker films.
9:00 AM - SS15.18
Giant Elasto-Optic Response in Multiferroic BiFeO3
Cecile Carretero 1 Daniel Sando 1 Yurong Yang 4 Eric Bousquet 2 Agnes Barthelemy 3 1 Philippe Ghosez 2 Laurent Bellaiche 4 Manuel Bibes 1
1Unite mixte de physique CNRS/THALES Palaiseau France2Universite de Liege Sart Tilman Belgium3Universite Paris-Sud Orsay France4University of Arkansas Fayetteville United States
Show AbstractThe strong coupling of ferroic orders (elastic, electric and magnetic) with the various structural degrees of freedom (notably polar and antiferrodistortive) provides multiferroic BiFeO3 with very rich phase diagrams, as well as with a highly tunable, multifunctional character [1]. Applied to BiFeO3 thin films, epitaxial strain engineering reveals various unexpected features as well as novel multifunctional phases with enhanced properties and application potential [2,3]. Here, we apply epitaxial strain engineering to tune the optical response of BiFeO3 thin films. We find a very large variation of the optical index with strain, corresponding to an effective elasto-optic coefficient twice as large as that of LiNbO3. We also elucidate the influence of strain on the optical band gap through ellipsometry measurements and first principles calculations. These results not only broaden the palette of functionalities of this remarkable multiferroic material, but also suggest new device opportunities arising from the coupling of ferroic, piezoelectric, and optical responses.
[1] I.C. Infante et al, Phys. Rev. Lett. 105, 057601 (2010)
[2] H. Béa et al, Phys. Rev. Lett. 102, 217603 (2009)
[3] D. Sando et al, Nature Mater. 12, 641 (2013)
9:00 AM - SS15.19
In-situ Strain Induced Lifshitz Transitions in SrTiO3 delta;-Doped Structures
Tyler Aaron Merz 2 Hisashi Inoue 2 1 Christopher Bell 3 1 Yasuyuki Hikita 1 Harold Y Hwang 2 1
1SLAC National Accelerator Laboratory Menlo Park United States2Stanford University Stanford United States3University of Bristol Bristol United Kingdom
Show Abstract
The application of epitaxial strain has proven to be an extremely powerful and robust method for tuning the electronic structure of many traditional semiconductors; one prime example being SiGe transistors which show huge increases in electronic mobility with careful band structure engineering [1]. More recently epitaxial strain has been used to alter the properties of oxide materials in an even more fundamental way. The application of a few percent strain has been shown to fundamentally change the electronic ground state of thin films[2]. Despite the power of epitaxial strain as applied to oxide electronics, the discrete nature of strain from available substrates, as well as the required non-perturbative treatment make the interpretation of experimental data quite challenging.
Following the lead of Jalan and colleagues&’ work on mechanically straining doped SrTiO3 [3], we present work in which we mechanically and continuously strain Nb δ-doped SrTiO3 structures by an in situ piezoelectric actuator at dilution refrigerator and 3He temperatures. This continuously tunable technique allows us to elastically (relative strains were varied up to 5x10-4) and smoothly deform the band structure of δ-doped SrTiO3 even at low temperatures. Non-monotonic changes in the orbitally averaged effective mass were observed and are interpreted as strain tuned Lifshitz transitions coming from quantum well sub-bands passing through the Fermi-surface.
[1] M. L. Lee et al., Journal of Applied Physics 97, 011101 (2005).
[2] J. H. Haeni et al., Nature 430, 758 (2004).
[3] B. Jalan et al., Applied Physics Letters 98, 132102 (2011).
9:00 AM - SS15.20
Growth and Study of Cuprate Thin Film Heterostructures Combining La2CuO4+delta; and LaCuO3-delta; for Fuel Cell Applications
Rodrigo Ignacio Marmol 1 Franklin Jon Burquest 1 Tyler Michael Burda 1 Brittany Nelson-Cheeseman 1
1University of St. Thomas Saint Paul United States
Show AbstractCuprate materials have shown promise as fuel cell cathode materials for their electronic and ionic transport abilities. Both the layered perovskite, La2CuO4+δ, and its 3-dimensional perovskite counterpart, LaCuO3-δ, demonstrate the simultaneous electronic and ionic conduction necessary for fuel cell cathode materials. The layered perovskite intercalates excess oxygen between the LaO/LaO double layers, allowing for oxygen interstitial diffusion through the material. Meanwhile, the 3D perovskite readily creates oxygen vacancies, allowing for oxygen vacancy diffusion through the material.
In this work, we investigate thin film heterostructures created from these twodisparate materials in order to understand how the
local oxygen diffusion phenomena (interstitial vs. vacancy) affect the local structure and electrical transport of cuprates. The growth of these heterostructures is possible through the atomic monolayer control of Molecular Beam Epitaxy (MBE) with in-situ monitoring during growth via Reflective High Energy Electron Diffraction (RHEED). The superlattice structure is characterized by x-ray reflectivity, and the crystal structure of the disparate phases is characterized by x-ray diffraction. A Physical Property Measurement System is used to characterize the electrical
transport of the films as grown, and after subsequent ozone and vacuum anneals. We directly compare these heterostructures with the single-phase films of La2CuO4+δ and LaCuO3-δ in order to understand how this heterostructuring may modify the structure and electrical properties of these materials.
9:00 AM - SS15.22
Properties and Preferred Orientation in Reactively Sputtered NiO Thin Films
Yong Wang 4 Jaafar Ghanbaja 4 Flavio Soldera 2 David Horwat 1 Frank Thomas Muecklich 3 Jean-Francois Pierson 4
1Institut Jean Lamour-Universiteacute; de Lorraine Nancy France2Saarland Univ Saarbrucken Germany3Saarland Univ Saarbruecken Germany4University of Lorraine Nancy France
Show AbstractNiO is a p-type semiconductor with a wide range of applications, such as transparent conductive electronics, electrochromic devices and chemical sensors. These applications strongly depend on the structure, electrical and optical properties. In this work, NiO thin films have been grown on glass substrates by reactive magnetron sputtering at room temperature, and the influence of oxygen partial pressure and total pressure on the crystallization, preferred orientation, electrical resistivity and optical band gap has been investigated.
NiO thin films have been deposited at different total pressure (0.5, 0.6, 0.8 and 1 Pa) and the films are better crystallized with increasing total pressure due to the decrease of internal stress. At a fixed total pressure (0.5 and 1 Pa), NiO thin films with different oxygen partial pressure have been grown, and the thin films have been characterized by X-ray diffraction, Raman spectrometry and transmission electron microscopy. By increasing the oxygen partial pressure, the crystallization becomes worse and growth orientation changes from <100> to <110>. TEM images show that the films have columnar structure in the growth mode of Zone I. At low oxygen partial pressure, the Hall effect measurements show that films are n-type, but TEM images indicate that this kind of n-type is just due to the mixture of metallic Ni in NiO thin film, not the intrinsic n-type NiO. The room temperature resistivity first rises up to an extremely high value and then decreases, with increasing the oxygen partial pressure. This kind of behavior has been discussed by referring to the calculation defect mechanism in NiO and experimental measurements of the film composition. While the optical band gap enlarges monotonously, the electron energy loss spectra of different NiO thin films (high resistivity and low resistivity) always show the multipeaks, which supports the opinion that the multipeaks in XPS or XAS are due to the non local screen effect. Besides, the influence of air annealing on the electrical and optical properties of NiO thin films has been studied.
Finally, a new and original method is presented to grow NiO thin films with a pronounced [111] preferred orientation.
9:00 AM - SS15.24
Fe3-xO4-CoO Granular Hetero-Nanostructures Produced by Seed Mediated Growth in Polyol: From the Microstructural Control to the Exchange-Bias Evidence
Souad Ammar 1 Giulia Franceschin 1 Thomas Gaudisson 1 Nader Yaacoub 2 Jean-Marc Greneche 2 Nicolas Menguy 3
1Universiteacute; Paris Diderot Paris France2Universiteacute; du Maine Le Mans France3Universiteacute; Pierre amp; Marie Curie Paris France
Show AbstractThe availability of new synthesis and measurement techniques have allowed the preparation of a large variety of nanoscale materials, opening new opportunities in different nanotechnological fields, particularly in high density magnetic storage. Unfortunately, their very small size may induce a superparamagnetic behavior (thermal fluctuation) at room temperature, which is a drawback for magnetic recording, since the recording units become thermally unstable at operating temperature.
In the last years, it has been established that exchange coupled ferromagnetic (F) and antiferromagnetic (AF) nanostructures exhibit an improved thermal stability due to the unidirectional anisotropy in the F core, induced by the exchange coupling of the spins at the interface between the core (F) and the surrounding AF phase, usually called exchange-bias (EB) [1-3].
In this context we prepared magnetically contrasted nanocrystalline composites using the polyol process. 10 nm sized Fe3-xO4 spinel iron oxide nanoparticles were dispersed in a fresh diethyleneglycol solution of cobalt acetate salt, and used as seeds to produce granular magnetically contrasted Fe3-xO4-CoO hetero-nanostructures by forced hydrolysis. The atomic ratio between the ferrimagnetic (Fe3-xO4) and the antiferromagnetic (CoO) phases was varied in order to develop various composite microstructures, namely core-shell, polycrystalline nano-agregate and embedded one, and to study their impact on EB feature.
The obtained composite powders were characterized by X-ray diffraction (XRD), Electron Transmission Microscopy (TEM), SQUID magnetometry, Mössbauer spectroscopy and their main structural and magnetic properties were compared with a special emphasis on EB evidence. Interestingly, field cooling and zero field cooling susceptometry showed that the critical temperature, defining the transition between the superparamagnetic and the ferrimagnetic bloqued state increased for all the samples. Also, the measured at low temperature coercive (HC) and exchange (HE) fields as well as the saturation magnetization (MS) appeared to be strongly dependent on the CoO content. The former increased while the later decreased when the CoO content increased.
References
[1] P.J. Jensen, Appl. Phys. Lett., 78, 2190 (2001)
[2] J. Mejia-Lopez, D. Altbir, I.K. Schuller, Appl. Phys. Lett., 83 (2003) 332.
[3] V. Skumryev, S. Stoyanov, Y. Zhang, et al., Nature, 423 (2003) 850.
9:00 AM - SS15.25
Studies on Phase Transition and Magnetoelectric Coupling of PFN - CZFO Composite at Room Temperature
Dhiren Kumar Pradhan 1 Venkata Sreenivas Puli 2 Shalini Kumari 1 Ram S. Katiyar 1
1University of Puerto Rico San Juan United States2Tulane University New Orleans United States
Show AbstractMagnetoelectric multiferroic composites have received a much attention in recent years due to their high effective magnetoelectric coupling above room temperature for multifunctional device applications and interesting physics of coupling mechanism between ferroelectric and magnetic ordering. In the present work, we have chosen (1-x) (Pb(Fe0.5Nb0.5)O3)- x(Co0.65Zn0.35Fe2O4)( x =0.2) composites to achieve enhanced magneto electric coupling at room temperature as Pb(Fe0.5Nb0.5)O3 (PFN) is known to be a single phase multiferroic material having very good ferroelectric properties, weak magnetic and magnetoelectric coupling properties and (Co0.65Zn0.35Fe2O4) CZFO shows excellent magnetic and magnetostrictive properties above room temperature. Here, we report dielectric, ferroelectric, magnetic and magnetoelectric properties of PC2 composite. The X-ray diffraction and Raman spectroscopic studies confirmed the presence of both PFN and CZFO binary phases in the composite. The dielectric constant of this composite found to be ~2485 with ferroelectric Tc at ~429 K. This composite undergoes second-order ferroelectric phase transitions, which can be explained with Landau-Devonshire theory This composite show saturated ferroelectric loop with saturation polarization of ~ 30 mu;C/cm2and coercive field of ~12 kV/cm at room temperature. The temperature dependent magnetic studies revealed that this composite show well saturated ferromagnetic-like behavior and its saturation magnetization, remanent magnetization and coercive field decreases with increasing temperature and undergoing magnetic transition ~ 250 K. The considerable and systematic decrease of capacitance, bulk resistance and tangent loss and increase of impedance with increasing of magnetic field at room temperature confirms the existence of direct ME coupling. The electric field control of magnetization shows a considerable change of coercive field (Hc), remanent magnetization (Mr) shows the evidence of converse ME coupling at room temperature. Our above mentioned results suggest that, this composite exhibit enhanced multiferroic and ME coupling at room temperature which can be useful for potential multifunctional device applications.
9:00 AM - SS15.26
Low-Power Memory-Selector Element Based on the Insulator Metal Transition in NbO2
Xinjun Liu 1 Sanjoy Nandi 1 Dinesh Venkatachalam 1 Shuai Li 1 Kidane Belay 1 Robert G. Elliman 1
1Australian National University Canberra Australia
Show AbstractResistive random access memory (ReRAM) based on non-volatile resistive switching in transition metal oxides has attracted particular attention due to its scalability, lower power consumption, faster switching speeds, longer retention times, and simpler device structure. In order to achieve high-density memory, it is envisaged that ReRAM will be employed in 3D cross-bar array. However, one of the major setbacks of such architecture is the sneak-path problem which is caused by the parasitic currents passing through the neighbouring memory cells. To address this issue, ReRAM devices need suitable bi-directional selector elements to suppress current sneak-paths in cross-point arrays. Various bi-directional selector devices have been investigated, including devices based on: insulator-metal-transitions (I-M-T), ovonic threshold switching (OTS), mixed ionic-electronic conduction and exponential switching. NbO2 is one of the most promising materials for I-M-T selector devices due to its high-temperature stability (up to 160 oC) which results from its relatively high I-M-T temperature (~800oC). However, a requirement of threshold-switching selector elements is that they exhibit a large current ratio between their insulating and conductive states and that the current range is compatible with ReRAM operation. NbO2 selector devices currently operate at currents in the range 0.1-10 mA compared with the 1-100 µA required for resistive-switching memory devices. Selector elements that operate at lower currents are therefore required for selector-memory integration. The NbO2 selector element is comprised of a metal/oxide/metal (MOM) capacitor structure. Strategies to realize low current operation are based on modified device designs that either reduce the active volume of the threshold switching material and maximise the local temperature rise for a given electric stress or concentrate the electric-field and/or current in a specific region of the device in order to reach the transformation temperature at a lower current. The latter can be achieved by employing a textured bottom electrode (BE) and/or by exploiting the existence of a conductive filament in a dielectric ‘control&’ layer. In this work, we report the temperature dependent switching characteristics of NbO2 and demonstrate a reduction in leakage currents in micro-sized NbO2 selector devices (~150 µm) by exploiting the intrinsic roughness of the bottom electrode and by inserting a thin high-k dielectric layer between the electrode and NbO2 layer.
9:00 AM - SS15.27
Growth and Characterization of Epitaxial ZnSnO3 Thin Films Using a Novel Dual Pulsed Laser Deposition Technique
Mahesh Hordagoda 1 Devajyoti Mukherjee 1 Sarath Witanachchi 1 Pritish Mukherjee 1
1University of South Florida Tampa United States
Show AbstractIn the search for environment friendly ferroelectric (FE) materials to replace the current industry standard PZT, the Pb-free non-centrosymmetric (NCS) complex oxides have attracted considerable attention due to their symmetry dependent polarization properties. Among the NCS oxides, ZnSnO3 (ZSO) has been paid special attention for its theoretically predicted high polarization (asymp; 59 mu;C/cm2) along the c-axis of the structurally ordered crystals. In this regard, reports on the growth of epitaxial thin films of ZSO has been scarce which is primarily associated with the high temperature/pressure needed to synthesis this material. In the present work ZSO thin films were grown on SrTiO3 (STO) and Si substrate using a dual laser ablation (DLA) technique. The DLA system incorporates a KrF excimer laser and a CO2 laser in the deposition process. The two laser pulses are spatially overlapped on the target surface with a slight temporal offset, the CO2 pulse arriving first. The advantage of the additional laser is that it creates a molten layer of material from which material is ablated due to the KrF pulse. Furthermore, since the CO2 pulse is longer, it interacts with the ablated plume promoting excitation of the ablated species. DLA process is capable of depositing particulate free films with smooth surfaces. Epitaxial crystal growth was confirmed using X-ray diffraction. Atomic force microscopy revealed a smooth surface with roughness (rms) values in the nanometer range. Scanning electron microscopy images of the surface show that the film is particulate free. As observed from emission spectroscopy the plume species resulting from DLA are more energized compared to the plume of conventional pulsed laser ablation. This correlates well with the reduction in number and size of the prticulates in the resulting film. Furthermore, as observed in the ICCD images there is a considerable expansion of the plume in the DLA system which results in a more even thickness distribution in the deposited film. Ferroelectric polarization data will also be presented.
9:00 AM - SS15.28
Hybrid Complementary Logic Circuits Based on Inkjet Printed Carbon Nanotubes/Zinc Tin Oxide Thin-Film Transistors
Bongjun Kim 2 Seonpil Jang 2 Michael Geier 1 Mark C. Hersam 1 Ananth Dodabalapur 2
1Northwestern University Evanston United States2The University of Texas at Austin Austin United States
Show AbstractComplementary circuits, which are composed of both n- and p-type semiconductors, possess many advantages such as lower power dissipation, higher noise margins, and ease of circuit design over unipolar circuits. Several material families including organic and polymeric semiconductors and nanowires, etc. have been proposed as promising semiconducting materials for thin-film electronics. Among those materials, semiconducting metal oxides have shown superior electrical characteristics in even amorphous phase, in addition to their solution processability. However, most of semiconducting metal oxides exhibit n-type channel conduction, whereas p-type semiconductors, which can show comparable performance, are necessary to realize high performance complementary circuits. On the contrary, sorted semiconducting single-walled carbon nanotubes (SWCNTs) exhibit predominantly p-type behavior with high electrical performance under ambient conditions, due to adsorption of oxygen and moisture in air.
In this work, we demonstrate high performance complementary logic circuits employing amorphous zinc tin oxide (ZTO) and SWCNTs as n- and p-type semiconductors, respectively. Both of these materials are deposited by inkjet printing, which is a desirable technology for low-cost and large-area electronics. Five-stage ring oscillators (ROSCs) show the highest operation frequency (> 700 kHz) among reported ROSCs with printed semiconductors. A positive edge-triggered D flip-flop also operates well at high clock frequencies as a memory element. Additionally, we demonstrate a novel analog-to-digital converter with this material combination.
In addition, ambipolar circuits, fabricated by depositing SWCNTs on top of ZTO, are demonstrated and compared with conventional complementary circuits. Five-stage ROSCs employing a bilayer of SWCNTs/ZTO show the highest operation frequency among reported ambipolar thin-film transistor based ROSCs.
9:00 AM - SS15.29
Nanostructured Antireflection Coating for Optical Detector and Sensor Applications
Gopal G Pethuraja 1 2 Roger E Welser 1 John W Zeller 1 Yash R Puri 1 Ashok K Sood 1 Harry Efstathiadis 2 Pradeep Haldar 2 Nibir K Dhar 3 Priyalal Wijewarnasuriya 4
1Magnolia Optical Technologies Inc. Woburn United States2SUNY Polytechnic Institute Albany United States3DARPA/MTO Arlington United States4Army Research Lab Adelphi United States
Show AbstractNanostructured oxide layers deposited onto the optical windows of an optical system can significantly increase the sensitivity and hence improve the overall performance of the system. Light incident on the optical windows and lenses will partially undergo Fresnel reflection due to the mismatch between the refractive indices of the air and glass. This reflection loss is typically around 4% at normal incidence and can be greater than 40% at off-angle light incidence. An optical interface layer with intermediate refractive indices at the air/glass interface can eliminate this unwanted reflection. However, designing this optical interface layer [i.e., antireflection (AR) structure] is challenging due to general unavailability of materials having the required intermediate refractive indices.
Recent developments have enabled nanostructured coatings to overcome this restraint and provide a new avenue for novel AR structures. The need for broadband and wider angle AR structures has been significantly amplified due to the increasing practical utility of wider angle and higher sensitivity optical detection systems. While various approaches have been developed to create broadband high performance nanostructured AR structures, most of these have encountered difficulties due primarily to limitations in tuning the optical material refractive index and lack of controllability in achieving the desired thickness of this material. We have developed a scalable self-assembly process for fabricating nanostructured SiO2 multilayer structures that has the ability to create ultra-low refractive index (down to 1.08) material while offering controllability of layer thickness and the refractive index, thus overcoming these limitations.
Our nanostructured AR layers have demonstrated ultra-high, omnidirectional transmittance over the solar spectrum ranging from visible to short-wave infrared wavelengths, as well as over a wide range of optical incidence angles. In this paper, we review our latest research and results towards the development of high performance nanostructure-based AR coatings, including recent efforts to deposit them on quartz, sapphire, and other materials typically comprising optical lenses. The high performance of these coatings has been demonstrated on various flexible and rigid substrates including films composed of polyester (PET), polycarbonate, fluorinated ethylene propylene (FEP), and ethylene tetrafluoroethylene (ETFE), as well as glass, sapphire and quartz. Nanostructured AR coated optical windows are shown to enhance the optical transmission by minimizing broadband reflection losses to less than 1%, a substantial improvement over conventional thin-film AR coating technologies. Step-graded AR structures also exhibit excellent omnidirectional performance, and have recently been demonstrated on 3 in. diameter optical lenses as well as on 6 in. diameter window glasses.
9:00 AM - SS15.30
Densification of Aluminum Phosphate Oxide Insulating Film through Multi-Deposition Method
Yu Huang 2 Juan Carlos Ramos 1 Feixiang Luo 2 Douglas Keszler 2
1Center for Sustainable Materials Chemistry Corvallis United States2Oregon State University Corvallis United States
Show AbstractSolution processed thin film for electronic industrial applications is an attractive and has been studied over 10 years. Due to the existence of solvent and ligand moieties, the deposited film always has a sparse structure which lowers the performance of fabricated device. In order to improve the density of the films and understand the physical and chemical properties of film evolution, a multi-deposition method is applied into the solution processed thin film fabrication.
An aluminum phosphate oxide (ALPO) film is prepared from aqueous precursor by depositing and stacking ultrathin layers together. As a imitation to atomic layer deposition, this film is expected to possess a higher density than as-deposited film. The film structure and density is obtained by X-ray reflectivity (XRR), which exhibits a distinctive pattern different from that of single layer film. A denser film on top of the ALPO is identified on XRR fitting and the density is above 90% of the theoretical bulk density. On transmission electron microscopy, a darker layer on top of ALPO exhibits a denser structure as what has obtained from XRR, but the specific pattern cannot be obviously observed within the ALPO film,. The angle resolved X-ray photoelectron spectroscopy (ARXPS) is used for surface chemical examination. The comparisons of elemental ratios among Al, P and O indicate the chemistry content difference between the surface and bulk material below. Finally, a metal-insulator-silicon device is applied to analyze the electrical properties of single and multi-deposited ALPO film. Compared with the single layer film, multi-deposited ALPO exhibits a leakage current lower with one magnitude at 3 MV and a smaller hysteresis, revealing a better performance.
In conclusion, the ALPO film quality can be enhanced by stacking ultrathin layer with multi-deposition. This method can effectively reduce the traps within the film which is proven by the increased density and better electrical property. More researches are being designed on other functional thin films.
9:00 AM - SS15.31
Highly Conducting and Highly Transparent Indium Zinc Oxide Thin Films through Solution Combustion Synthesis: Influence of Rapid Thermal Annealing
Sana Ullah 1 2 Rita Branquinho 3 Fabio De Matteis 1 Rodrigo Martins 4 Elvira M. Fortunato 3 Ivan Davoli 5
1Universita degli Studi di Roma ldquo;Tor Vergatardquo; Roma Italy2Universidade Nova de Lisboa Caparica, Lisbon Portugal3Universidade Nova de Lisboa Caparica Portugal4Universidade Nova de Lisboa Caparica, Lisbon Portugal5Universita degli Studi di Roma ldquo;Tor Vergata" Roma Italy
Show AbstractHighly conducting and transparent Indium Zinc Oxide (IZO) thin films were deposited on Corning glass substrates by spin-coating through Solution Combustion Synthesis (SCS). While spin-coating of films allows avoiding complex vacuum systems, SCS provides synthesis with self-heat generating mechanism. InN3O9.xH2O and Zn(NO3)3.6H2O were used as metal sources while urea was used as fuel. Separate Indium-rich and Zinc-rich solutions were obtained mixing In:Zn and Zn:In in 9:1, 8:2, and 7:3 ratios respectively in 2-methoxyethanol (2-MEA) with 0.5, 0.25, 0.1, and 0.05M (M=molarity) in Urea. IZO successive layers were deposited and film stacks with 10 layers were obtained for an average thickness of 0.5µm. The layers were heated at 400°C for 5 minutes after each deposition. The obtained film stacks were then given Rapid Thermal Annealing (RTA) at 400°C, 500°C, and 600°C for 5, and 10 minutes. X-rays Diffraction (XRD) analysis showed nanocrystalline character of the films while Scanning Electron Microscopy (SEM) confirmed the same. The lowest resistivity values were obtained in case of mixing ratio of 7:3 for 0.5M solutions with RTA at 600°C for 10 minutes both for In-rich and Zn-rich films. Four-Point and Hall Effect showed the electrical resistivity values of 7.25 x 10-3 Omega;-cm and 9.56 x 10-3 Omega;-cm in case of In-rich films while these were 3.63 x 10-2 Omega;-cm and 5.41 x 10-2 Omega;-cm in case of Zn-rich films respectively. Optical measurements showed an average total transmittance of above 80 % in the visible for both In-rich and Zn-rich films.
9:00 AM - SS15.32
Al2O3 Thin Films for Application in Hybrid Electronic Devices
Jose Alberto Giacometti 1 Natalia Virag Domenici 2 Marcelo Marques Silva 2 Tiago Carneiro Gomes 2 Neri Alves 2
1Instituto de Fisica de Sao Carlos - USP Sao Carlos Brazil2Faculdade de Ciencias e Tecnologia, UNESP Presidente Prudente Brazil
Show AbstractAluminum oxide (Al2O3) thin film can be used as electrical insulation layer in hybrid electronic devices such as field effect transistors (FETs). Although the dielectric layer is a passive element in FETs its electric and dielectric properties are of importance for its performance. Anodization of aluminum allows obtaining Al2O3 thin film with very good electric and dielectric properties and with very good thickness control. This work describes fabrication and characterization of Al2O3 thin films aiming at applications in hybrid devices. Firstly, an aluminum layer with different thicknesses was vacuum thermally evaporated on to glass slide. Al2O3 thin films, with thicknesses ranging from 20 to 70 nm, were formed in electrolytic solution containing 85 mg of tartaric acid, 40 mL of ethylene glycol, 17 mL of Milli-Q water and ammonium hydroxide to adjust the pH = 6. Film fabrication follows two stages: i) electrolytic current is constant until a final voltage V and ii) final voltage V is constant during two minutes. The thickness d was obtained by the relation d = cV, where c is the anodization constant and thus, the voltage V determines the film thickness. Dielectric characterization was performed by electric impedance measurements (Solartron 1260) at room temperature under high vacuum. Surface film morphology was investigated by atomic force microscopy (AFM). Electric capacitance and dielectric loss varies slightly with frequency in the range from 1 to 100 kHz. The dielectric loss tangent is ~6x10-3 and the dielectric constant varies from 6 to 10. Such loss corresponds to an electric resistivity by of the order of 1013 Ohm.cm in agreement with IxV results and with results published by Hickmott. Morphological analysis shows that surface RMS roughness varied in the range from 5 to 30 nm and its value was determined essentially by aluminum layer surface properties. In addition, we found that dielectric constant decreases with the surface roughness of Al2O3 film, dependence that is not well understood as far as we know. We also built FETs employing Al2O3 film and poly(3-hexylthiophene) as organic semiconductor (that can be operated at low voltages). We investigated the performance of such FETs varying the Al2O3 film roughness, which can be easily controlled through the aluminum layer evaporation.
Acknowledgments: Authors thanks to CAPES, CNPq, INEO and POSMAT for the financial support.
9:00 AM - SS15.33
Photostimulated Hysteresis Effect Observed in PEDOT:PSS/ZnO Thin Film Device
Ebraheem Azhar 2 Micah Tuttle 1 Sandwip Dey 1 Hongbin Yu 2
1Arizona State University Tempe United States2Arizona State University Tempe United States
Show AbstractHybrid inorganic-organic devices exhibiting "Write-once, Read-many" characteristics have recently gained special interest. This category of devices have strong appeal due to the potential of flexible and low-cost memristive and related applications. In this study, the fabrication and characterization of hybrid ZnO-PEDOT:PSS (poly (3,4-ethylenedioxythiophene):poly(4-styrenesulfonate) devices, comprised of multiple grades of non-conductive PEDOT:PSS spun-coated on ZnO thin films, are reported and discussed. These Schottky devices [1], exhibited a photostimulated hysteresis behavior, in which dissimilar sweep direction dependent voltage amplitude was a function of the intensity and wavelength of illuminated light on the device surface, with UV light exposure giving the largest hysteresis response. The UV-induced oxygen desorption on the ZnO surface, that leads to excess electrons in the conduction, reduces the Schottky barrier width formed between ZnO and PEDOT:PSS. This effect, otherwise described as photodoping [2], coupled with the activation (i.e., trapping and detrapping) of well-known trap states in the PEDOT film [3], simultaneously gives rise to both a photoconductive gain effect and hysteresis effect. Here, an analysis on the differential capacitance measured across the organic layer, with respect to the to sweep direction, as well as an analysis on the frequency-dependent magnification of or attenuation of the hysteresis effect are also discussed.
[1]Y.-J. Lin, “Comment on ‘Schottky contact on a ZnO (0001) single crystal with conducting polymer&’ [Appl. Phys. Lett.91, 142113 (2007)],
” Applied Physics Letters, vol. 92, no. 4, p. 046101, Jan. 2008.
[2]D. J. D. Moet, P. de Bruyn, and P. W. M. Blom, “High work function transparent middle electrode for organic tandem solar cells,” Applied Physics Letters, vol. 96, no. 15, p. 153504, Apr. 2010.
[3]Y.-J. Lin, “Hysteresis-type current-voltage characteristics of indium tin oxide/poly (3,4-ethylenedioxythiophene) doped with poly (4-styrenesulfonate)/indium tin oxide devices,” Journal of Applied Physics, vol. 103, no. 6, p. 063702, Mar. 2008.
9:00 AM - SS15.34
Transparent Conducting Oxide Thin films Deposited by Inertial Impaction of Aluminum Doped Zinc Oxide Nanocrystals Synthesized in a Nonthermal Plasma
Shreyashi Ganguly 1 Benjamin Greenberg 1 Xin Zhang 1 Elijah Thimsen 2 Eray S. Aydil 1 Uwe Kortshagen 1
1University of Minnesota Minneapolis United States2University of Washington Saint Louis United States
Show AbstractThin films of Aluminum doped ZnO (AZO) have attracted much attention as a promising substitute for the widely used indium tin oxide (ITO) thin films in applications that require a transparent conducting oxide (TCO). In contrast to ITO, AZO is comprised of inexpensive, and earth abundant elements. A variety of physical deposition methods can be used to deposit AZO films at 0.1-1 nm/s. We have developed an approach based on nonthermal plasma synthesis of ZnO and AZO NCs for depositing thin films of ZnO and AZO at rates approaching 100 nm/s. Specifically, ZnO or AZO NCs are synthesized in a nonthermal argon plasma containing diethylzinc, oxygen and trimethylaluminum. The NCs are deposited on a variety of substrates including glass through inertial impaction after the plasma gas carrying the NCS expand supersonically through an orifice. In addition to being ultrafast, this low temperature gas phase aerosol deposition technique is much cleaner than colloidal approaches and do not require high volumes of solvents or dispersion stabilizing organic ligands.
This talk will focus on doping of ZnO NCs with Al, and the effects of Al concentration in the feed gas and in the NCS on the electrical properties of the films. The electrical conductivity of the film depends sensitively on the structure of the AZO NCs and the film. While ZnO NCs can be doped with Al in the plasma, we show that the presence of excess Al in the feed leads to the formation of Al2O3 on the surface of the AZO NCs which can act as a barrier for current flow. Moreover, we show that the presence of OH on the surfaces of the NCs trap charge and reduce the electrical conductivity. We have developed strategies to address these issues to achieve films with resistivities ranging from 106-10-3 Omega; cm. These strategies include various combinations of (1) chemical etching to eliminate the resistive Al2O3 layer between the ZnO NCs, (2) atomic layer deposition (ALD) infiltration of the voids between NCs with amorphous Al2O3 to remove the surface hydroxyl groups, (3) atomic layer deposition (ALD) of AZO to fill the void space between the NCs, and (4) post deposition thermal annealing in hydrogen to grow the ZnO NCs. A battery of characterization methods including x-ray diffraction, transmission electron microscopy (TEM) and Hall effect measurements are used to probe the fundamental relations between the films nanoscopic structure and electrical properties.
9:00 AM - SS15.36
The Electrical and Optical Properties of SnO2/Ag/SnO2 Tri-Layer Films for Wearable Devices Applications
Young Ho Do 1 Sang Yun Lee 1 Mi Ja Rim 1
1UIDisplay Research Institute Cheongju-Si Korea (the Republic of)
Show AbstractThe electrical and optical properties of tri-layer films based on a SnO2/Ag/SnO2 structure, which were fabricated by using the conventional dc sputtering system at room temperature, were investigated. In addition, we have theoretically and experimentally analyzed the optimization of tri-layer films and the prediction of optical transparency in visible range, which have been supported by an Essential Macleod Program simulation software. These structures have very low sheet resistance, lower thickness, and better durability than a single-layer transparent conducting oxide films. Structural properties of SnO2/Ag/SnO2 tri-layer films are characterized by using X-ray diffraction, transmission electron microscopy, and atomic force microscopy. The electric and optic characterization was done on a 4-point probe system, hall measurement system, and a UV-Vis photo-spectrometer. The optical and electrical properties of the tri-layer films depend considerably on the thickness and deposition conditions of the SnO2 and Ag layer. The tri-layer films was obtained with a sheet resistance of 15 Omega;/sq., and the transmittance of 87 % at the optimum SnO2 and Ag layer thickness, respectively. These SnO2/Ag/SnO2 tri-layer films can be employed as new approaches for solar cells, organic light emitting diodes, flat panel displays, touch screen panels, and wearable devices applications.
9:00 AM - SS15.37
Low Temperature Annealing for Dehydration of Solution Processed Thin Oxide Films and Its Effect on Mobile Ions Traps and Oxide Interface
Juan Carlos Ramos 1 Yu Huang 1 Deok-Hie Park 2 Douglas Keszler 1
1Center for Sustainable Materials Chemistry Corvallis United States2Oregon State University Corvallis United States
Show AbstractSolution processed oxides semiconductors and dielectric materials have been extensively studied for their use in thin film transistors, photodiodes, memristors and sensors due to their intrinsic electrical properties, optical transparency and chemical stability. However, important issues remain un-solved, such as controlling the structural network, the film morphology and the presence of impurities. A main impurity of concern is hydroxides, which come from the use of water as a solvent during the deposition process. It is known that the presence of hydroxides in dielectric films compromises the device performance creating device instabilities, low breakdown voltage and high leakage current. Furthermore, investigating a single-component, single-phase material with minimal impurities is necessary to determinate the intrinsic properties of the dielectric films as disordered structural networks. It is well known that annealing conditions such as time, temperature, and atmosphere modify the kinetics of the film growth, affecting the final properties of the films. However, relatively limited information is available concerning the effect of the atmosphere during annealing for solution-processed oxide films on the chemical routes that are involved in the transition from solution to films.
We report the study of the impact of annealing ambient on the structural and compositional properties of solution deposited aluminum oxide phosphate (AlPO) thin films and its interface with silicon. We identified that using forming gas (FG) during the annealing process reduces the leakage current up to three orders of magnitude in comparison with air, vacuum or nitrogen atmospheres in metal-insulator-semiconductor devices annealed at 350°C. We also found that this annealing reduces the current hysteresis and dielectric constant from 4.8 to 3.6. Results proved that FG annealing influences the hydroxide to oxide transition in AlPO, reduces the amount of mobile traps in the bulk and fixed traps in the interface with silicon and also limit the native SiO2 grow in the interface between AlPO and Si at high annealing temperatures in comparison with air annealing. Using low processing temperatures while at the same time increasing the materials performance could engender their use in future electronic devices.
9:00 AM - SS15.38
Dramatic Enhancement of Electron Mobility in Solution-Processed Indium Oxide Thin Films through W Doping
Jae-Chan Lee 1 Na-Rae Kim 1 Han-Wool Yeon 1 Dae-Hyun Nam 1 Ho-Young Kang 1 Young-Chang Joo 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractIn recent years, there has been a great interest in developing transparent conductive oxide (TCO) for the wide range of optoelectronic applications that require high level of optical transparency as well as conductivity. Tin-doped-indium oxide (In-Sn-O, ITO) as a TCO material has maintained dominant position in the TCO market owing to its high conductivity and transmittance in the visible region. However, high carrier concentration of ITO limits the transmittance window because light adsorption by free electrons severely occurs in near infrared (NIR) region. Therefore, increasing the mobility of a TCO instead of electron concentration has been major challenge to achieve a required conductivity without compromising the NIR transparency. Recently, many groups have tried to use alternative dopants to Sn for enhancing the mobility of In2O3 based films. In the solution-process area, surprisingly, there have been few researches on dopants for high mobility indium oxide films. Here, we investigate the effect of alternative dopants to Sn on electron mobility in indium oxide thin films fabricated by spray pyrolysis technique.
Molybdenum (Mo), Zirconium (Zr), and Tungsten (W) are considered as the alternative dopants. The solution was prepared by dissolving chlorides which contains dopant metals in InCl3 solution until the atomic ratio of In to dopant reach 95:5. The prepared solution were sprayed on a glass substrate, which was heated to the temperature of 445 oC. Post-annealing process was carried out at the temperature of 550 oC under 2#65368;10-2 Torr of oxygen partial pressure. Electron concentration and mobility were measured by Hall measurement and transmittance was measured by using UV-Vis spectrometer.
Among the Sn, Mo, Zr, and W dopants, W-doped indium oxide (IWO) shows the highest mobility 86.36 cm2/Vs (conductivity of 1685 Omega;-1cm-1), while the mobility of indium oxide films doped with Sn, Mo, and Zr was 27.51, 23.27, and 19.66 cm2/Vs, respectively. Moreover, IWO exhibited excellent transparency (over 80%), especially over 90% of transmittance in NIR region. IWO showed the highest value of figure of merit, 9.8#65368;10-3 Omega;-1, which is even higher than that of commercial ITO (5#65368;10-3 Omega;-1). These outstanding electrical and optical properties would originate from the valence charge (+6) and 6s orbitals of W. Since the valence charge of Sn and Zr is +4, W can provide same electron concentration with fewer dopants. Therefore, IWO films exhibited superior hall mobility and conductivity to other doped oxides. In addition, although Mo has same valence charge with W, size of s orbital in W is larger than that in Mo (5 s). We believe that larger s orbitals enhance the delocalization of conduction band minimum (CBM) and, as a result, hall mobility is increased. The change of density of state in In2O3 with respect to the dopants as well as the microstructural analysis through transmission electron microscope also will be discussed.
9:00 AM - SS15.39
Atomic-Scale Strain Mapping of Extended Defects in YBCO Nanocomposites
Leopoldo Molina Luna 1 Michael Duerrschnabel 1
1TU Darmstadt Darmstadt Germany
Show AbstractTailoring the properties of oxide-based nanocomposites is of relevance for a wide range of energy technology relevant materials. YBa2Cu3O7-δ superconducting films containing nanosized precipitates yield a significant improvement of the magnetic flux pinning properties and a reduced anisotropy of the critical current density. The strain-fields of crystal defects associated with these precipitates are directly correlated to the augmentation and were measured quantitatively by high angle annular dark field aberration-corrected scanning transmission electron microscopy. We present a methodology in which experimental images are evaluated with respect to the strain tensor components by a geometrical phase analysis method. Two types of local lattice defects were identified: misfit edge dislocations and stacking faults. Geometrical Phase Analysis yielded dumbel shaped strain-field of misfit dislocations (εxx,εyy) and the local c-axis strain was quantified. The correlation with atomic scale real-space mapping of holes in YBCO gives an insight into the strain-driven oxygen deficiency mechanism.
9:00 AM - SS15.40
Electrical and Optical Properties of Hf-Doped SnO2/Ag/ Hf-Doped SnO2 Multilayer Transparent Conducting Thin Films
Yoon Ho Cho 3 Ji-Won Choi 3 2 Sahn Nahm 1 Won-Kook Choi 4
1Korea University Seoul Korea (the Republic of)2Department of Nanomaterials Science and Engineering, Korea University of Science and Technology Daejeon Korea (the Republic of)3Korea Institute of Science and Technology Seoul Korea (the Republic of)4Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractIndium tin oxide (ITO) thin films are mainly used as TCO materials due to their low resistivity (< 10-4 Ohm#8729;cm) and high transmittance (> 85 %) in the visible region. However, Indium elements are rare metal and expensive, so development of alternative materials is required. SnO2 thin films have been recently attracted as one of the useful materials as TCOs, because of the inexpensive and abundant materials, good chemical stability, and high transmittance above 80% over the visible spectrum. However, SnO2 thin films have higher resistivity than ITO thin films. To overcome this disadvantage, the multi-layer structure of oxide-metal-oxide (OMO) has been studied like SnO2/Ag/SnO2. In this study, we deposited the Hf-doped SnO2/Ag/ Hf-doped SnO2 structure to get reach for the equivalent resistivity of ITO. To identify the best stoichiometric Hf-doped SnO2 composition, thin films were deposited using a continuous composition spread (CCS) sputtering system at room temperature. Optical and electrical properties of Hf-doped SnO2/Ag/Hf-doped SnO2 structured OMO multilayer TCO are explored by RF sputter CCS and the films are characterized by a 4-point probe, a hall measurement, and a UV-VIS spectroscopy.
9:00 AM - SS15.41
Influence of Switching Pulse Properties on CMOS-Integrated ReRAM Resistance States and Filament Dimensions
Karsten Beckmann 1 Joshua Holt 1 Zahir Alamgir 1 Tad Reese 1 Joseph Van Nostrand 2 Nathaniel Cady 1
1SUNY Polytechnic Institute Albany United States2Air Force Research Laboratory Rome United States
Show AbstractResistive Random Access Memory (ReRAM) is a form of non-volatile memory, typically based on a metal-insulator-metal (MIM) multilayer structure. Current challenges for ReRAM device development include the need for better understanding of device physics and improvement of ReRAM performance. Another major challenge is the integration of ReRAM elements with standard CMOS-based integrated circuits. We have previously demonstrated ReRAM integration with CMOS using the IBM 65 nm 10LPe process flow. In addition to standard copper-based interconnects, we have also developed tungsten metal 1 (M1) interconnects, which enable us to use front-end-of-line (FEOL) as well as subsequent beck-end-of-line (BEOL) processing for deposition, cleaning and patterning of ReRAM elements, without risking copper poisoning of the underlying CMOS. For this work, the ReRAM material stack consisted of 6 nm HfO2, 6 nm Ti and 150 nm TiN embedded between the tungsten M1 and copper M2. The Ti layer acts as an oxygen getter, resulting in a sub-stoichiometric HfOx film. Tungsten and TiN serve as inert electrodes making our ReRAM function via oxygen anion movement, which creates a conductive path through oxygen vacancies within the HfOx film. Several different ReRAM structures were implemented to perform discrete, pulse-based switching including, 1) individual ReRAM cells ranging in size from 100x100 nm2 to 10x10 µm2 and 2) 12 x 12 arrays of ReRAM in a crossbar configuration. We hypothesized that by changing the switching pulse-width, it would be possible to change the low resistive state (LRS) and high resistive state (HRS) of the ReRAM memory cells. This was based on previous experiments with single ReRAM cells connected to an external, control transistor. Using this configuration we have shown that the ReRAM resistive states (LRS and HRS) can be varied with respect to increasing transistor saturation current during the set cycle. For example, increasing the transistor saturation current from 1 to 5 mA changed the low resistance state (LRS) by one order of magnitude while keeping the on/off ratio constant. Ongoing efforts in our laboratory focus on pulse-based switching, where discrete pulses are applied to single ReRAM cells, as opposed to linear sweep based switching. This is predicted to improve device endurance for multiple switching cycles. Further, the conductive filament dimensions for ReRAM cells are being estimated by first-order calculations to better understand the relationship between maximum switching current, LRS/HRS resistance state, and filament size.
9:00 AM - SS15.42
Highly Stable and Conformable Sol-Gel Metal-Oxide Electronics Utilizing Photochemical Activation Process
Jeong-Wan Jo 1 Jaekyun Kim 1 Kyung-Tae Kim 1 Jingu Kang 1 Myung-Gil Kim 1 Sung Kyu Park 1
1Chung-Ang University Seoul Korea (the Republic of)
Show AbstractFlexible electronic devices which have characteristics of light-weight, ultrathin and conformable will facilitate novel applications such as foldable, rollable, patchable and implantable individual electronics. Low cost mass production of non-vacuum process and high electrical performance are essential for those applications. Recently, metal-oxide materials are attractive due to their excellent and stable electrical properties, transparency, and solution process availability for low-cost and large area products. In spite of these merits, intermittent vacuum process for surface activation and high process temperature to make stable and high performance metal-oxide dielectrics and semiconductors from a solution process are obstacles to realizing high-performance and flexible electronics devices on metal-oxide materials. In this work, we demonstrate ultrathin, imperceptible and high-performance sol-gel derived metal-oxide thin-film transistors and circuits using indium-gallium-zinc-oxide semiconductors and plasma-free, surface-active zirconia-alumina heterogeneous gate dielectrics fabricated by low-temperature (~150 °C) deep ultraviolet photochemical activation.
The photochemical activated zirconium-incorporated AlOx dielectric layer using heterogeneous metal-oxide precursors shows areal capacitance of ~180 nF cm-2 and gate leakage current density of <10-8 A cm-2 at 2 MV cm-1. It shows excellent performance for sol-gel derived gate dielectric despite single step spin-coating and low process temperature for using flexible substrate. Highly stable in air and electrically outstanding metal-oxide thin-film transistors and circuits were fabricated by utilizing this dielectric and applying same annealing method to semiconductor layer. The results of these processes are field effect mobility of ~8.3 cm2middot;V-1 s-1, high on/off ratio of > 109, subthreshold slope of < 200 mV/decade, threshold voltage shift < 3 V at 10k sec of positive gate bias stress with negligible hysteresis. The scalability of these devices is demonstrated by 7-stage ring oscillators using the above transistors. It shows oscillation frequency of 253 kHz with supply voltage of 20V. In spite of these remarkable qualities, fragile characteristics original nature of bent metal oxide degrades the performance and limits the flexible application. To solve this problem, we covered polymer layer by spin coating to protect metal-oxide devices from applied stress during the bending or rolling. As a result of this process, thin-film transistors and 7-stage ring oscillator are successfully demonstrated even after sever rolling-up with radius of < 1 mm and under bended conditions by micro bubble with radius of < 100 mu;m. Based on these result, we demonstrate the realization of imperceptible electronic devices using high performance metal-oxide materials.
9:00 AM - SS15.43
Effect of Nitrogen Implantation on the Optical Characteristics of Zn0.85Mg0.15O Thin Film at Low Temperature
Shantanu Saha 1 Saurabh Nagar 1 Subhananda Chakrabarti 1
1Indian Institute of Technology, Bombay Mumbai India
Show AbstractThe importance of Zn1-xMgxO is increasing day by day because of its wider bandgap than ZnO. This ternary semiconductor finds its applications in the fields of optoelectronics, spintronics, superlattices due to its unique blueshifted UV-luminescent property. n- to p-type conduction which is the motive of the project can be achieved with increasing Mg content in ZnMgO. The optical characteristics of the nitrogen doped Zn0.85Mg0.15O thin films grown on 2 inch n-type Si <100> wafer by RF sputtering are studied using low temperature (15K) photoluminescence measurements. Nitrogen implantation was carried out by Plasma immersion Ion Implantation technique on the samples followed by rapid thermal annealing at 700minus;1000°C for 10 seconds in oxygen ambient to yield sample A, B, C and D respectively. The effect of nitrogen doping in ZnMgO is compared with the as-annealed and implanted annealed samples. Broad emission peak was found for the as-grown and as-implanted samples suggesting the incorporation of defects. The as-annealed samples exhibited a peak at 3.54eV which was also observed for samples A, B and C. This peak is ascribed as the bandgap of the material. De-localized exciton peak (B) and acceptor-bound exciton (A°X) was revealed at 3.44eV and 3.336eV for samples Aminus;D. After annealing some localized centers with weak localization might be delocalized which leads to de-localized exciton peak. The presence of A°X peak supports the role of nitrogen doping in increasing the acceptor concentration. Donor-to-acceptor pair (DAP) peak was seen at 3.236 eV for the samples A, B and C and this peak was dominant for sample A which provides more strong evidence towards achieving p-type doping in ZnMgO. At 3.277 eV free electron acceptor (FA) peak was also exhibited for the sample A. S peak which was attributed to the existence of ZnO-like composition was dominant at 3.364 eV for the sample B. This peak however was not found with increasing annealing temperature because at higher annealing temperature all the properties of ZnO-like composition might not exist in ZnMgO. Though dominant A°X peak was not found in the samples, but the presence of DAP and FA indicates that proper optimization can lead to p-type ZnMgO, which will be useful for practical applications in UV optoelectronic devices. DST, India is acknowledged.
9:00 AM - SS15.44
Study of the Structure, Electrophysical Properties of Thin Oxide Semiconductor Films on the Basis of SiO2, Cr2O3 and V2O5 Oxides
Sergey M. Karabanov 1 Andrey S. Karabanov 1 Dmitriy V. Suvorov 1 Gennadiy P. Gololobov 1 Evgeniy V. Slivkin 1
1Ryazan State Radio Engineering University Ryazan Russian Federation
Show AbstractIt is known that the use of complex oxide materials results in generation of new properties different from the ones of source materials: change of conductivity and optical properties nature, high resistance to the effect of ionizing radiation in the discharge plasma, mechanical resistance, etc. This offers new opportunities for their application in different MEMS, sensors.
Complex researches of electrophysical properties structure of thin films on the basis of Cr2O3, V2O5 and SiO2 oxides compounds have been carried out in the present work. The paper studies binary compounds films of Cr2O3 -V2O5 and Cr2O3 - SiO2 - V2O5 films. The method of electron-beam evaporation is used for the films deposition; glass is used as the substrate. The films structure is studied by the methods of slow electron diffraction, infrared spectroscopy, electron paramagnetic resonance. The films composition is determined by the X-ray microanalysis.
In the course of studies the following regularities have been established:
- dependence of the films structure on their composition;
- dependence of electrophysical films properties on the their composition;
- dependence of volt-ampere characteristics on the films composition (memory effect);
- high film stability to the effect of electron flow and radiation in the discharge plasma.
The films with SiO2 - Cr2O3 composition with the content of Cr2O3 from 1% to 5% in “metal-dielectric-metal” structure has the memory effect that allows to create a memory matrix on their basis. The films on the basis of Cr2O3-V2O5-SiO2 have stable electrical resistance, high mechanical stability and can be used in MEMS production as various elements of pressure and temperature sensors.
Cr2O3-V2O5-SiO2 films have been investigated during the operation in “plasma - film - metal” structure. The regularity of electrical conductance behavior of such structure is established. In certain modes plasma is an effective injector of electrons in the studied film, and the mode of currents limited by a space charge is realized. It allows to determine electrophysical parameters of the films: traps concentration, carriers mobility, density of states, etc. It is proposed to use “plasma - film - metal” structure for diagnostics of the films electrophysical parameters.
9:00 AM - SS15.45
The Properties of Dual Acceptor Delta-Doped ZnO Thin Films
Tom N. Oder 1 Ravi Gade 1 Cory Merlo 1 Matthew Kelly 1 Virgil Solomon 1
1Youngstown State University Youngstown United States
Show AbstractWe report the investigation of ZnO thin films delta-doped with lithium and phosphorus introduced simultaneously. The films were deposited from high purity ceramic targets of ZnO and Li3PO4 on c-plane sapphire substrates by RF magnetron sputtering. An undoped ZnO film with a background electron concentration of 9.9 x 1015 cm-3 was used as the buffer layer on the sapphire substrate. The deposition conditions for the undoped ZnO buffer layer were optimized by correlating the concentration of stacking faults observed in transmission electron microscope images with the intensity of the low temperature photoluminescence peak at 3.31 eV.The delta-doping was achieved by depositing multiple bi-layers comprising 3.5 nm-thick Li3PO4 film and 9.0 nm-thick ZnO film. Post-deposition annealing was carried using a rapid thermal processor in O2 at temperatures ranging from 500 to 1000 °C for 3 min. Results obtained from photoluminescence spectroscopy measurements at 12 K revealed acceptor-related luminescence peaks at 3.356 possibly due to the transition from exciton bound to a neutral acceptor; as well as other peaks at 3.307, 3.248 and 3.203 eV for the co-doped films. The x-ray diffraction 2theta;-scans showed a single peak at about 34.4° with full width at half maximum of about 0.09°. Hall effect measurements revealed p-type conductivities with an average Hall concentrations of 2.1 x 1013 cm-3 in uniform doped samples and 1.5 x 1016 cm-3 in delta doped samples. However, in some cases the Hall coefficients had both positive and negative values, making the determination of the carrier type inconclusive. The fluctuation in the carrier type could be due to the lateral inhomogeneity in the hole concentration caused by signal noise impacting the small Hall voltages in the measurements.
9:00 AM - SS15.46
Crystal Structure and Defect Distribution in ZnO Films on A-Plane Sapphire Substrates Grown by Catalytic Reaction Assisted Chemical Vapor Deposition
Shingo Kanauchi 1 Tomoki Nakamura 1 Naoya Yamaguchi 1 Yasuhiro Tamayama 1 Kanji Yasui 1
1Nagaoka University of Technology Nagaoka Japan
Show AbstractZinc oxide (ZnO) has both a large bandgap (3.37 eV at RT) and a large exciton binding energy (60 meV) [1], which makes it highly useful for optoelectronic device applications such as light-emitting diodes and laser diodes operating in the ultraviolet region [2-6]. ZnO films are often grown using metal-organic chemical vapor deposition (MOCVD). However, although MOCVD offers many advantages over other growth methods such as molecular beam epitaxy [2,3] and pulsed laser deposition [4,5], deposition of high-quality ZnO films by conventional MOCVD requires a large amount of energy to react the source gases and raise the substrate temperature. Therefore, in order to save energy and resources, a more efficient means of reacting the oxygen and metalorganic source gases is required. We have developed a new CVD method for ZnO film growth based on the reaction between alkylzinc (DMZn) gas and high-temperature H2O generated by a catalytic reaction on Pt nanoparticles. The resulting ZnO films on a-plane sapphire (a-Al2O3) substrates exhibited excellent electronic properties, with an electron mobility higher than 180 cm2V-1s-1 for films thicker than 2000 nm [7]. However, the mobility strongly depended on the film thickness, and was lower than 100 cm2V-1s-1 for a film thickness of less than 500 nm. Therefore, it was speculated that the crystallinity of the ZnO films improves with increasing film thickness. In the present study, the crystal structure of the ZnO film grown on a-Al2O3 was observed using cross-sectional transmission electron microscopy and the defect distribution was evaluated.
The CVD apparatus and growth procedure have been previously described [7]. ZnO epitaxial films were grown directly on a-Al2O3 substrates at a substrate temperature of 773 K for 60 min without a buffer layer. The characterized ZnO epitaxial film was 4.5 mm thick and exhibited an electron mobility of 187 cm2V-1s-1. Although interference fringes were observed in the image due to thickness variations following focused ion beam processing, no clear grain boundaries were evident, which indicates that the ZnO film was a single crystal. The dislocation density estimated using Ham&’s method [8] was lower at the film surface than at the interface between the film and substrate. The average dislocation density in a 1.35 × 2.0 mm area at the film surface was approximately 1.2×109 cm-2, while that in a 200 × 30 nm area at the interface was approximately 1.6×1011 cm-2.
References
[1] B. K. Meyer et al., Phys. Stat. Sol. B, 241 (2004) 231.
[2] M. Sano et al., Jpn. J. Appl. Phys., 42 (2003) L1050.
[3] H. Tampo et al., Appl. Phys. Lett., 84 (2004) 4412.
[4] A. Tsukazaki et al., Nat. Mater., 4 (2005) 42.
[5] E. M. Kaidashev et al., Appl. Phys. Lett., 82 (2003) 3901.
[6] J. Dai et al., Appl. Phys. A, 89 (2007) 645.
[7] K. Yasui et al., MRS Symp. Proc., 1315 (2011) 21.
[8] R. K. Ham, Philos. Mag., 6 (1961) 1183
9:00 AM - SS15.47
Stability of IGZO Thin-Film-Transistors (TFTs) with Solution Process PMMA as Gate Dielectric
Park Eung-Kyu 1 Ji-Hwan Kim 1 Min-Ho Park 1 Dong-Hoon Lee 1 Yong-Sang Kim 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractThe oxide thin-film-transistors (TFTs) have been a very attractive research area for the flat panel displays such as active matrix liquid crystal displays and organic light emitting diode displays. However, solution-processed oxide TFTs exhibit poor stability under negative bias stress (NBS) in the absence of light illumination, and electrical performance is degraded to some extent. Solution-processed oxide TFTs have two typical defects such as intrinsic oxygen vacancy and organic chemical induced defects. A number of reports focus on plasma treatment and high-pressure oxygen annealing. However, these methods require additional process and expensive equipment. In this study, the top-gate and top-contact IGZO TFTs were fabricated on glass substrate with spin-coated IGZO film. The Al source/drain electrode were deposited by thermal evaporation. On the top of the source/drain, PMMA (poly(methyl methacrylate)) was spin-coated to a thickness of 180 nm. The PMMA layer was used as channel passivation and gate insulator. The PMMA layer help in two ways. As well as it works as a gate insulator, it also acts as a channel passivation layer to suppress the electric-field-induced threshold voltage instability, which is caused by the adsorption of oxygen and water molecules in the ambient atmosphere. The gate electrode, a 100 nm thick Al layer, was deposited through the shadow mask by thermal evaporation. The instability and mechanism of n-channel oxide TFTs under bias stress were studied. By measuring and analyzing the transfer and output characteristics before and after bias stress and under stress temperatures, the effects of NBTI on oxide TFTs were studied.
9:00 AM - SS15.48
The Formation of Faceted Nanoparticles in Oxide Thin Film by Ion-Implantation
Shun-Kai Hsu 1 Kwanyu Chen 1 Kun-Dar Li 1
1National University of Tainan Tainan Taiwan
Show AbstractDue to the unique properties of opto-electronics and nonlinear optics for various potential applications, oxide thin film embedded with metallic nanoparticles is widely used in optoelectronics devices. Ion implantation provides a useful and flexible method for producing metallic nanoparticles in dielectric materials. In this study, a numerical model was presented to investigate the formation and evolution of faceted nanoparticles during ion implantation. Integrating with the anisotropic interfacial energy and kinetics process of implanted ions diffusion, the dynamics of nucleation and growth process for the embedded nanoparticles formation was modeled, including the well-known Ostwald ripening process. Considering with various Gaussian distribution profiles of implanted ions, the influences of ion energy, ion fluence and temperature on the morphological formation of faceted nanoparticles were revealed. This theoretical model provides an efficient numerical approach to comprehend the mechanism of faceted nanoparticles formation by ion implantation process.
9:00 AM - SS15.49
Dynamics of the Morphological Formation during Thin Film Deposition with Theoretical Simulations
Chang Zhong-Long 1 Kun-Dar Li 1 Shun-Kai Hsu 1 Po-Yu Huang 1 Jiann-Shing Jeng 1
1National University of Tainan Tainan Taiwan
Show AbstractIn the past decades, the transparent conductive thin film had attracted many attentions and had been applied in various potential devices. To manufacture a quality transparent conductive thin film, the deposition process plays an importance role. In this research, a kinetic model is presented to investigate the formation mechanism of the thin film deposition. With the numerical simulations, the evolutions of thin film morphology during the deposition process are revealed, which illustrate three basic growth modes of thin film formation, included Volmer-Weber, Frank-Van der Merwe and Stranski-Krastanoy. The effect of experimental parameters, such as deposition rate, temperature and material properties are also examined. By controlling the experimental parameters, different types of thin film structures can be obtained. With the numerical results, we can get a better understanding on the oxide thin film formation.
9:00 AM - SS15.50
First-Principles Study of the Structure of Amorphous In-Ga-Zn-O Semiconductors
Divya . 1 R. Prasad 1 Deepak . 1
1IIT Kanpur Kanpur India
Show AbstractAmorphous Indium Gallium Zinc oxide (a-IGZO) is at the forefront of research as a promising transparent amorphous oxide semiconductor (TAOS), also considered a replacement of amorphous silicon (a-Si). It exhibits high mobility (10-60 cm2/V-sec) compared to a:Si-H (1-2 cm2/V-sec). The objective of this study is to find if a-IGZO can be characterized by only a few basic building blocks of indium, gallium and zinc polyhedra and thus the complexity of the amorphous structure can be simplified. To this end, we have performed melt and quench ab-initio molecular dynamics simulations on a-IGZO systems of varying compositions: InGaZnO4, In2Ga2ZnO7, In2Ga4Zn2O11, In4Ga2Zn2O11 and InGaZn2O5 with 84, 84, 76, 76 and 81 atoms respectively. There are ten different InGaZnO4 samples and one sample each of the remaining compositions.
Indium, gallium and zinc polyhedra from our a-IGZO models are compared with the respective polyhedra obtained from the crystalline phases of indium, gallium and zinc oxides, and crystalline IGZO. Here, rather than using an average property of the polyhedra we find a more rigorous measure of comparison. Two polyhedra being compared are overlaid and the difference between the two polyhedra is quantified as root mean squared (RMS) differences between the corresponding bond angles and bond lengths. Thereafter, the orientation of one of the polyhedra is changed and the RMS differences are recalculated. Based on the minimum values of these RMS differences, it is determined if the cationic polyhedra from the a-IGZO samples match with the polyhedral motifs from the crystalline phases. If they match, then these motifs can be regarded as the basic building blocks of the amorphous system. As an example, an amorphous InGaZnO4 sample is compared with polyhedral motifs belonging to crystalline IGZO, crystalline indium oxides belonging to space groups 167, 206 and 199, gallium oxides belonging to space groups 12 and 167, and zinc oxides belonging to space groups 205, 186, 225 and 216. Based on this analysis it is found that the structure is mainly composed of zinc and gallium tetrahedra, and indium octahedra from the respective crystalline phases of space groups 186, 12 and 206.
SS11: Oxide Thin Films: New Growth Processes and Structures I
Session Chairs
Kaushal Singh
Monica Katiyar
Thursday AM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
9:15 AM - SS11.01
Ambient-Pressure-Technique for Preparing Crystalline Oxide Thin Films on Plastics via a Firing Process
Hiromitsu Kozuka 1 Takafumi Fukui 1 Mitsuru Takahashi 1 Shohei Tsuboi 1 Kosuke Izutsu 1 Hiroaki Uchiyama 1
1Kansai University Suita Japan
Show AbstractCrystalline metal oxide thin films on plastics are strongly demanded in flexible electronic device technologies as well as by those who aim at replacing glasses by lightweight plastics. Superior performances of oxide thin films in many cases emerge in their highly crystalline states with low porosities. Crystallization as well as densification, however, is driven in principle by atomic diffusion at high temperatures where plastics cannot survive. This is why great efforts have been made for over thirty years to develop "low-temperature" deposition techniques, where people have focused on how to crystallize and densify the films "without firing."
Here we propose a totally different route. The technique is significant in that a "firing" step guarantees the crystallization and densification, which are the key factors for superior functionalities of oxide thin films. The technique is also significant in that the principle of the technique is available for any combinations of oxide thin films and plastic substrates. The technique comprises (i) deposition of a polymer layer on a silicon substrate, (ii) deposition of a precursor gel film on the polymer layer by spin- or dip-coating, (iii) conversion of the gel film into a crystalline oxide film by firing, and (iv) transfer of the crystalline oxide film onto a plastic substrate. The transfer is realized by heating the oxide film on a hot plate and pressing the plastic substrate onto it where the softened or molten surface of the plastic substrate acts as an adhesive.
The oxide thin films thus transferred onto plastic substrates were crack-free and optically transparent, and had smooth surface both in scanning electron and scanning probe micrographic scales. 60 nm thick anatase thin films with high optical reflectivity, 660 nm thick ITO thin films with electrical conductivity, and 85 nm thick ZnO thin films with (002) orientation could be prepared on plastic substrates including polycarbonate, acrylic resin and PET. Patterned ITO thin films could be prepared on plastics simply by using a mother silicon substrate with periodic grooves. Alternating ITO and ZnO ribbons could also be fabricated on plastic substrates.
9:30 AM - *SS11.02
Development of Materials and Electronics with Oxide Semiconductors
Deepak . 1 Ishan Choudhary 1 Anup Kumar Singh 2 Divya . 1 Rajeev Gupta 2 R. Prasad 2
1IIT Kanpur Kanpur India2IIT Kanpur Kanpur India
Show AbstractWhile the oxide semiconductors have been in consideration for a long time, since recent invention of InGaZn oxides (IGZOs), the progress to its commercialization has been rapid. This acceptance of IGZO has mainly been driven by the display industry needing replacement a-Si with materials demonstrating better mobility transistors, while simultaneously providing better spatial uniformity than poly-Si. In this paper, we explore the anomalous behavior of conductivity in IGZO films of various compositions deposited by pulse laser and sputter methods. Normally the conductivity decreases with an increasing partial pressure of oxygen during deposition. However, we report a converse relationship in low partial pressure regime. In addition, to understand this material and the defects in it, we have performed ab-initio molecular dynamics.
Further, the current thrust is towards developing solution based films of the same materials class for compatibility with flexible and printable electronics. In films prepared by sol-gel methods, we have examined several compositions and their suitability in building transistors. We find, while the common composition In:Ga:Zn::1:1:1 deposited by sputtering is amorphous, the films of the same composition prepared by solution processing route are crystalline. Thus, after a systemic investigation of various compositions, we report that both Zn/Ga and In/Ga ratio should be high in order to obtain amorphous films, but still some Ga must remain to obtain acceptable resistivity. Accordingly, a suitable amorphous phase is identified with composition 3:1:5. The thin film transistors (TFTs) fabricated using these compositions shows n-channel enhancement mode operation. The saturation mobility is found to be highest for the amorphous composition, though the mobility is much less than the sputtered films.
As the large area electronics shifts to this class of oxide, it necessary to simultaneously develop devices other than TFTs as well. To this effect, we have also examined a n-IGZO/p-CuO heterojunction diode.
10:00 AM - *SS11.03
2D Oxide Nanosheets: New Solution to Nanoelectronics
Minoru Osada 1 Takayoshi Sasaki 1
1National Institute for Materials Science Tsukuba Japan
Show AbstractTwo-dimensional (2D) nanosheets with atomic or molecular thickness and infinite planar lengths have been emerging as important new materials due to their unique properties. Inspired by the intriguing properties of graphene, many efforts have been devoted to synthesising 2D inorganic nanosheets of various materials with atomic thickness including metal oxides, hydroxides, and transition-metal chalcogenides as well as primarily investigating their unique electronic structures and physical properties. Among the types of inorganic nanosheets, oxide nanosheets are important, fascinating research targets because of the virtually infinite varieties of layered oxide materials with interesting functional properties. We are working on the creation of new oxide nanosheets and the exploration of their novel functionalities in electronic applications [1,2].
A variety of oxide nanosheets (such as Ti1-dO2, Ti1-xCoxO2, MnO2, and perovskites) were synthesized by delaminating appropriate layered precursors into their molecular single sheets via soft-chemical process. These oxide nanosheets have distinct differences and advantages compared with graphene because of their potential to be used as insulators, semiconductors, and even conductors, depending on their composition and structures. Recently, we found that titania- or perovskite-based nanosheets exhibit superior high-κ performance (εr = 100-320) even at a few-nm thicknesses, essential for next-generation electronics. Additionally, nanosheet-based high-κ capacitors exceeded textbook limits, opening a route to new capacitors and energy storage devices.
Another attractive aspect is that oxide nanosheets can be organized into various nanoarchitectures by applying solution-based layer-by-layer assembly. Sophisticated functionalities or nanodevices can be designed through the selection of nanosheets and combining materials, and precise control over their arrangement at the molecular scale. We utilized oxide nanosheets as building blocks in the LEGO-like assembly, and successfully developed various functional nanodevices such as all nanosheet FETs, artificial Pb-free ferroelectrics, spinelectronic devices, magneto-plasmonic materials, Li-ion batteries, etc. Our work is a proof-of-concept, showing that new functionalities and nanodevices can be made from nanosheet-architectures.
[1] M. Osada and T. Sasaki, J. Mater. Chem.19, 2503 (2009) [Review].
[2] M. Osada and T. Sasaki, Adv. Mater.24, 210 (2012) [Review].
10:30 AM - SS11.04
Two-Dimensional Layered Multiferroics Tailored by Self-Assembled Layer Stacking via Interfacial Strain
Wenrui Zhang 1 Aiping Chen 1 Mingtao Li 2 Leigang Li 1 Yuanyuan Zhu 1 Zhenhai Xia 2 Ping Lu 3 Philippe Boullay 4 Lijun Wu 5 Yimei Zhu 5 Judith Driscoll 6 Quanxi Jia 7 Honghui Zhou 8 Jagdish Narayan 8 Xinghang Zhang 1 Haiyan Wang 1
1Texas Aamp;M University College Station United States2University of North Texas Denton United States3Sandia National Laboratories Albuquerque United States4CRISMAT Caen France5Brookhaven National Laboratory Upton United States6Cambridge Univ Cambridge United Kingdom7Los Alamos National Laboratory Los Alamos United States8North Carolina State University Raleigh United States
Show AbstractTwo-dimensional (2D) nanostructures emerge as one of leading topics in fundamental materials science and could enable next generation nanoelectronic devices. Beyond graphene and molybdenum disulphide, layered complex oxides are another large group of promising 2D candidates because of their strong interplay of intrinsic charge, spin, orbital and lattice. In this work, we report the strain-driven self-assembly of Bismuth-based supercells (SC) with a 2D layered structure, which shows very promising room temperature multiferroic properties. The fundamental growth mechanism has further been elucidated using combined experimental tools and first-principles calculations, which reveals the critical role of the strain-driven approach in triggering out the self-assembled atomic layer stacking and has been further demonstrated in other SC candidate systems. This well-integrated theoretical and experimental study inspired by the Materials Genome Initiatives opens up a new avenue in searching and designing novel 2D layered complex oxides with enormous promises.
SS12: Semiconducting Oxides
Session Chairs
Kaushal Singh
Monica Katiyar
Thursday AM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom A
11:15 AM - SS12.01
Correlation Between Strain Energy and Thermoelectric Performance of Al-Doped ZnO Thin Films Deposited on Different Substrates
Paolo Mele 1 Shrikant Saini 3 Hiroaki Honda 1 Takashi Suzuki 3 Koji Miyazaki 4 Kaname Matsumoto 4 Ataru Ichinose 5 Leopoldo Molina-Luna 6 Patrick Edward Hopkins 2
1Hiroshima University Higashi-Hiroshima Japan2Univ of Virginia Charlottesville United States3Hiroshima University Higashi-Hiroshima Japan4Kyushu Inst. Tech. Kitakyushu Japan5CRIEPI Yokosuka Japan6Tech. Univ. Darmstadt Darmstadt Germany
Show Abstractc-axis oriented thin films of Zn0.98Al0.02O (AZO) films were fabricated by PLD on two single crystal substrates [1]: SrTiO3 (STO) and Al2O3, and amorphous silica [2]. TEM images demonstrate typical columnar growth of the films on STO and Al2O3, while films grown on silica present thin natural buffer layers with randomly oriented grains. Films deposited on silica at Tdep = 400 °C show lowest value of out-of-plane thermal conductivity κ: κsilica = 4.89 W/m×K [2], while κSTO = 6.5 W/m×K and κAl2O3 = 6.90 W/m×K [1]. Furthermore, the film deposited on amorphous silica presents higher values of figure of merit ZT = σS2×T/κ (σ = electric conductivity, S = Seebeck coefficient; T = temperature) in comparison with films deposited on Al2O3 and STO: at T = 600 K, (ZT)silica = 0.045, (ZT)STO = 0.03 and (ZT)Al2O3 = 0.04 [1,2]. All the films present ZT superior respect to the corresponding bulk materials [3], which is encouraging for the practical application of AZO thin films for thermal energy harvesting.
The different performance of AZO films on the three substrates is explained in terms of different grain orientations and strain energy as observed at the nanoscale by phase orientation imaging (ASTAR) and strain mapping (autoStrain) in the TEM [4].
[1] P. Mele, S.Saini, H. Honda, K. Matsumoto, K. Miyazaki, H. Hagino, A. Ichinose Appl. Phys. Lett. 102 (2013) 253903
[2] S.Saini, P. Mele, H. Honda, D. J. Henry, P. E. Hopkins, L. Molina-Luna, K. Matsumoto, K. Miyazaki, A. Ichinose, Japan. J. Appl. Phys. 53 (2014) 060306
[3] (ZT)bulkAZO at 600K = 0.012
[4] http://www.nanomegas.com/
11:30 AM - *SS12.02
Novel Transparent Oxide Conductor/Semiconductors
Hideo Hosono 1
1Tokyo Institute of Technology Tokyo Japan
Show AbstractTransparent conducting oxides (TCO) and semiconducting oxides (TOS) are primarily made from a combination of In2O3, SnO2, ZnO, Ga2O3, and CdO.1)#12288;We have concentrated on exploration of novel type TCO/TOS materials beyond these traditional materials. This talk reviews the recent progress of our research along this direction. Concrete materials are as bellows:
(A)Amorphous electride semiconductors:
Electride is a crystal in which electrons serve as anions. Electron-doped 12CaOmiddot;7Al2O3 (C12A7:e) is the first RT-stable electride. We found amorphous C12A7:e2) shows semiconducting properties with a low work function of 3.0eV and chemical inertness.3) This material is a novel type because carrier electrons are present at the interstitial position without belonging to an orbital of a specific ion. Utilizing the low work function, high transparency and chemical inertness we applied the sputtered thin films of this material to an electron-injection material for OLEDs and confirmed lowering the threshold voltage
(B)First GeO2-based TCO: SrGeO3 with cubic perovskite structure4)
This is a fist GeO2-based TCO material and is realized by utilizing unique electronic structure of cubic perovskite, superdegeracy. This idea is applicable for a variety of materials.
Band lineup5)
P/N orientation and carrier dupability including SrGeO3 andC12A7 are comprehensively understood from the band lineup constructed for various materials.
1) Ginley, Hosono and Paine, Handbook of Transparent Conductors, Springer (2010), 2)Kim, Shimoyama, Hosono, Science, 333, 71 (2011), 3) S.Watanabe et al. Proc.SID (2013), 4)H.Mizoguchi, Nat. Commun., 2, 470 (2011).
5) Review: Hosono, Jpn. J. Appl. Phys. 52, 090001(2013)
12:00 PM - *SS12.03
Doping of ZnO and ZnO Alloys: Current Status and Challenges
Klaus Ellmer 1 Andre Bikowski 1
1Helmholtz-Zentrum Berlin fur Materialien und Energie Berlin Berlin Germany
Show AbstractZinc oxide (ZnO), a compound semiconductor, well known for more than 60 years, belongs to the class of transparent conducting oxides (indium oxide, tin oxide, titanium oxide) which are used today on an industrial scale for transparent, thin electrodes in flat panel displays, touch screens, thin film solar cells etc. For these applications the films have to be highly doped up to carrier concentrations of some 1020 cm-3 in order to obtain conductivities above 103 Scm-1. For a high transmission in the near-infrared spectral range a high electron mobility is required, too. For doping ZnO, typically group III elements are used, especially B, Al, and Ga. Though these 3 dopants are widely used today, it is not clear why the electrical activation of these dopants is very different and which intrinsic material data (for instance the ion radius) or preparation parameters influence the doping efficiency. In comparison to elemental semiconductors (Si, Ge), the doping in compound semiconductors is more complex, caused by the availability of more than one host lattice site (cation and anion sites) as well as the possibility of the formation of secondary phases, especially oxidic ones in ZnO.
In this talk the status of the doping of ZnO is reviewed and our recent results of a systematic comparison of the doping of polycrystalline ZnO by Al, Ga and In are presented. We also summarize technological aspects like the effect of different deposition methods on the electrical dopant activation, the formation of secondary phases which deactivate dopants and the role of the equilibrium dopant solubility in the ZnO host lattice.
12:30 PM - SS12.04
Is Zinc Oxide a Potential Material for Future High-Power and High-Frequency Electron Device Applications?
Poppy Siddiqua 2 Walid Hadi 2 Michael Shur 1 Stephen Karrer O'Leary 2
1Rensselaer Polytechnic Inst Troy United States2The University of British Columbia Kelowna Canada
Show AbstractAt the present moment, zinc oxide is primarily being used as an electronic material for low-field thin-film transistor, transparent conducting oxide, sensing, and field emitter device applications. In this paper, we present some recent results on the steady-state electron transport within zinc oxide suggesting that this material may also be considered as an alternative material to silicon carbide and gallium nitride for high-power and high-frequency field effect transistors. The expected device performance that may be obtained from zinc oxide based devices is projected and contrasted with that expected from silicon carbide and gallium nitride based devices.
12:45 PM - SS12.05
Carrier Exchange between Metal Nanostructure and Surrounding ZnO for Transparent Conducting Oxide Application
Po-Shun Huang 1 Jinsun Yoo 2 Hyun Suk Jung 2 Jung-kun Lee 1
1University of Pittsburgh Pittsburgh United States2SKKU Suwon Korea (the Republic of)
Show AbstractThe ability to enhance carrier concentration without compromising the electron mobility is desired for ZnO-based transparent conducing oxide (TCO) materials, since the impurity doping for the high carrier concentration increases the carrier scattering centers. Herein, we demonstrate that ZnO film dispersed with 0-dimensional and 1-dimensional nanostructured Ag offers a promise for TCO with high figure of merit. Unique properties of this Ag-ZnO nanocomposite are attributed to the electron donation and the percolation path. Electrons in 0-dimensional nanoparticles migrate to the surrounding matrix due to the ohmic contact (or low Schottky barrier) between Ag and ZnO. These donated electrons contribute to the electrical conductivity of this ZnO film. We also show that a change from 0-dimensional to 1-dimensional nanomaterials increases the conductivity even further, since the percolation path is formed. When the content of 1-dimensional nanomaterials gets close to the percolation threshold, a continuous network of Ag nanomaterials is formed. By changing the shape and content of Ag nanomaterials, we first investigate the percolation limit of different Ag nanomaterials and explore the relative ratio of electron donation and percolation beyond this threshold. Temperature dependence measurements suggest that there are two different electron transport paths; through the nanomaterial network and the surrounding ZnO matrix. Our results show that the combination of two different mechanisms offers an opportunity to increase the carrier concentration of the oxide without reducing the carrier mobility. In addition, it is found that use of a small amount of the nanomaterials does not deteriorate the optical transmission significantly, which meets the condition of being a high-performance transparent conducting film for displays and solar cells.
Symposium Organizers
Frank Grosse, Paul-Drude-Int fur Festkorperelektronik
Dhananjay Kumar, North Carolina A amp; T State University
Florencio Sanchez, ICMAB CSIC
Tetsuya Yamamoto, Kochi University of Technology
Symposium Support
Los Alamos National Laboratory
SS18: Semiconducting Oxide Thin Films: Growth II
Session Chairs
Minoru Osada
Lidia Lupina
Friday PM, April 10, 2015
Moscone West, Level 2, Room 2011
2:30 AM - *SS18.01
Helicon-Wave-Excited-Plasma Sputtering Epitaxy of Zn-Polar (Mg,Zn)O and (001) Anatase / (100) Rutile TiO2 Films for Optoelectronic Applications
Shigefusa F. Chichibu 1
1Tohoku University Sendai Japan
Show AbstractWide bandgap oxide semiconductors are attracting attention as they show attractive optoelectronic properties. In particular, ZnO has a direct band gap of 3.36 eV and is expected to be one of the candidates of low cost ultraviolet and visible light emitters. Because its exciton binding energy is 59 meV, it is also expected to show rich excitonic features in its optical spectra, even at room temperature. Consequently, ZnO microcavity is expected to exhibit cavity-polariton emissions.
Apart from wurtzite structure, tetragonal anatase (A-) TiO2 is expected to be used as an index (n)-matched transparent semiconducting film (TCF) for visible InGaN light emitting diodes (LEDs), because Nb or F-doped A-TiO2 show n-type conductivity.
In this presentation, a unique sputtering method for epitaxial film growth and multi-functional film deposition, namely helicon-wave-excited plasma sputtering (HWPS), will be introduced. Experimental results of ZnO, MgZnO, and TiO2 epitaxy and dielectric SiO2- and ZrO2 -film deposition for fabricating distributed Bragg reflectors will be presented
3:00 AM - SS18.02
Beyond Organic: Solution- and Mask-Free Formation of Oxide Patterns at Low Temperature Based on a Novel Vapor Jet Printing
Jungmin Choi 1 Seunghyup Yoo 1 JongHyuk Park 2
1KAIST Daejeon Korea (the Republic of)2ETRI Daejeon Korea (the Republic of)
Show AbstractMetal oxides belong to an important class of materials important as semiconductor channels in TFT backplane, transparent electrodes, insulators, and buffer layers. Tunable electronic properties and high transparency are among the main reasons why they can be used in a wide range of applications. But its fabrication often requires expensive vacuum or high temperature equipment. Solution-processing such as inkjet printing can provide a remedy to some degree, but its widespread use is still challenging due to the non-uniformity associated with coffee stain effect. High temperature annealing that is often required for high performance devices could also be a problem for its use in flexible devices.
We here present a novel solution-free technique that can directly print oxide patterns without masks at a relatively low temperature. More specifically, we adopt a vapor jet printing (VJP) that has initially been developed for organic semiconductors. In the organic VJP process, thermally generated organic vapor is carried by inert gas through a small nozzle to deposit organic thin films selectively in a desired location.[1,2] While the VJP technique can be eaily implemented for organic materials, it has been regarded virtually impossible to work with oxide materials, which require too high temperature for vaporization. In this work, such a dilemma is simply overcome by (i) vapor-jet printing of oxide precurors, which are metallo-organic compounds typically evaporable at a low temperature; and (ii) subsequent UV-based conversion process. Optical quality thin films as well as TFTs with mobility greater than 5 cm2/Vsec are realized with the oxide patterns printed by the proposed method, demonstrating its potential in printed electronics.
[1] M. Shtein, P. Peumans, J. Benziger, and S. Forrest, Adv. Mater., 16, 1615 (2004)
[2] C. Yun, J. Choi, H.W. Kang, M. Kim, H. Moon, H. J. Sung, & S. Yoo, Adv. Mater., 24(21), 2857-2862. (2012)
3:15 AM - SS18.03
Structural-Relaxation-Driven Modulation of Electron Concentration in Amorphous Oxide Semiconductors
Han-Wool Yeon 1 Seung-Min Lim 1 Ju-Young Cho 1 Ho-Young Kang 1 Young-Chang Joo 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractAmorphous metal oxides, e.g., amorphous In-Ga-Zn-O (a-IGZO), are fascinating semiconducting materials for large area- and flexible electronics because the structural disorder ironically leads to enhancement of the uniformity of electrical properties as well as the mechanical flexibility compared to the corresponding crystalline oxides. Since short-range order structures are metastable compared to crystal structures, however, amorphous oxides have the potential to occur the structural relaxation (S-R) which gives rise to reduction of the free volume size in amorphous oxides to form more stable structures. The interest thing is that electronic state of O vacancy (VO) in a-IGZO would be affected by S-R. It is suggested that VO surrounded by free volume-type defects acts as electron trap and/or deep donor, while shallow donor is formed when VO is located at dense network. Therefore, S-R affects the electronic state of VO and electron concentration in a-IGZO as a result. Understanding the influence of S-R on electron concentration in a-IGZO and quantification of the S-R effect are important to utilize the a-IGZO into the future electronics and estimate the device reliability against thermal stress.
In this study we investigate the changes of electron concentration in a-IGZO with respect to S-R after post-fabrication annealing using vertical-structured metal/a-IGZO/metal devices. Metal electrodes in our fabricated devices are composed of tungsten and tantalum-aluminum layers, which act non-reactive electrode and gastight layers, respectively. As dot-patterned-a-IGZO in the devices is covered with the metal electrodes, we can solely observe the S-R effect on electrical properties of a-IGZO without environmental (e.g., ambient atmospheres and electrodes) effects. After annealing at 300~450 °C for 1 h, current-voltage (I-V) characteristics of the devices are measured using Agilent 4156C parameters.
As annealing temperature increases, electrical conductance of the devices is increased. After annealing at 300 °C, dominant conduction mechanism of the devices is Schottky conduction. However, as annealing temperature increases to 400 °C, dominant conduction mechanism is changed to Poole-Frenkel (P-F) conduction. P-F barrier energy level is ~0.1 eV, which is consistent with energy level of VO at shallow donor state in a-IGZO. It suggests that shallow donors are generated enough to change the conduction mechanism during annealing at 400 °C. From the dependence of the conductance of the devices on the substrate temperature and theories of conduction mechanisms, we quantify the electron concentration in a-IGZO after annealing at 300 °C, 400 °C, and 450 °C as 1.5 (±0.5)×1015, 6.8 (±1.3)×1016, 4.3 (±1.5)×1017 cm-3, respectively. These values are fitted well with Arrhenius plots and the value of the activation energy is 1.33 eV. We hope that our work open up a new route to modulate the electron concentration in amorphous oxide semiconductors through a S-R.
3:30 AM - *SS18.04
Towards High Performance Oxide-Based Materials and Electron Devices
James S. Speck 1
1University of California Santa Barbara Santa Barbara United States
Show AbstractIn this talk we will provide an overview of recent UCSB experimental work on binary semiconducting oxides - namely SnO2, In2O3, and β-Ga2O3. All three oxides have large bandgaps and relative ease of n-type doping and controlled compensation doping by deep acceptors. Intentionally donor doped SnO2, In2O3 are widely used as transparent conducting oxides for solar cells, displays and LEDs. However, they offer the potential as active semiconductors in majority carrier devices. For high-power and high-temperature vertical electronic devices, β-Ga2O3 is one of the promising materials owing to its wide band gap (4.9 eV) and predicted high breakdown field (8 MV/cm). Bulk beta-Ga2O3 can be grown by highly scalable, low-cost, melt-based methods, in comparison to other power-device materials, such as SiC, GaN, and diamond. Bulk beta-Ga2O3 enables the growth of high-quality beta-Ga2O3 epitaxial films.
We will report on systematic growth studies of SnO2, In2O3, and β-Ga2O3 by plasma-assisted molecular beam epitaxy. We will show the development of growth diagrams for each oxide, followed by systematic donor (shallow) and acceptor (deep) doping and contact studies and initial efforts at heterostructures.
SS19: Semiconducting Oxide Thin Films: Properties II
Session Chairs
Valentin Craciun
Tetsuya Yamamoto
Friday PM, April 10, 2015
Moscone West, Level 2, Room 2011
4:30 AM - SS19.01
High-Throughput Computational Search for High Mobility P- and N-Type Transparent Oxides
Geoffroy Hautier 1 Anna Miglio 1 Joel Basile Varley 3 Vincenzo Lordi 3 Rignanese Gian-Marco 1 Gerbrand Ceder 2 Xavier Gonze 1
1Universite Catholique de Louvain Louvain-la-Neuve Belgium2MIT Cambridge United States3Lawrence Livermore National Laboratory Livermore United States
Show AbstractTransparent conducting oxides (TCOs) are essential to many technologies from solar cell to transparent electronics. Both novel n- and p-type TCOs are highly sought for. High-throughput ab initio computing has emerged as an efficient way to search for new materials in many fields. We report in this talk on the results of a high-throughput computational search for p and n-type oxides with high mobility and transparency.[1,2]
Browsing a computational ab initio database of thousands of oxides, we identify the materials with low electron or hole effective masses and large band gaps. We will present some of the newly found candidates and rationalize the chemical recipes for low hole or electron effective mass oxides. For certain of the candidates, we will discuss in more details their electronic structure and defect behavior (e.g., the p-type candidate B6O [3]). Finally, we will present some first experimental results obtained on some of these computationally identified materials.
[1] Hautier, G., Miglio, A., Ceder, G., Rignanese, G.-M., & Gonze, X. (2013). Nature Communications, 4, 2292.
[2] Hautier, G., Miglio, A., Waroquiers, D., Rignanese, G., & Gonze, X. (2014). Chemistry of Materials.
[3] Varley, J. B., Lordi, V., Miglio, A., & Hautier, G. (2014). Physical Review B, 90, 045205.
4:45 AM - *SS19.03
Spin Transport in ZnO Thin Films
Gene Siegel 1 Megan Prestgard 1 Ashutosh Tiwari 1
1University of Utah Salt Lake City United States
Show AbstractRecently there has been a lot of interest in realizing transparent spintronic devices. Zinc oxide (ZnO) is considered an ideal material for such applications. It is a transparent semiconductor with a large bandgap of 3.4 eV and a high, room-temperature exciton binding energy of 60 meV. Fairly strong spin-Hall angle has also been recently reported in ZnO films via inverse spin Hall effect (ISHE) measurements. These observations are quite intriguing because, in ZnO, the valence band splitting is very small (~ 3.5 meV), so in principle the spin-orbit coupling (SOC) in the material should also be small. The discrepancy between the theory and experiment indicates that the present understanding of the various spin transport/relaxation processes going on in ZnO is far from complete. In order to get a better understanding of these processes, we have performed in-depth, temperature dependent measurements of Hall effect, inverse spin Hall effect and Hanle measurements on high quality ZnO films. Epitaxial ZnO thin films were deposited on c-axis sapphire substrates using a pulsed laser deposition technique. Careful structural, optical and electrical characterization of the films was performed. Temperature dependent Hanle measurements were carried out, using an all-electrical scheme for spin injection and detection, in a non-local geometry over the temperature range of 20 - 300 K. Carrier concentration in these films, as determined by Hall effect measurements, was found to be of the order of 1019 cm-3. It was determined that in such a degenerately doped system it is essential to use Fermi-Dirac statistics to explain the transport of carriers in the system. From the Hanle data, spin relaxation time in the ZnO films was determined at different temperatures. Our analysis of the temperature-dependent spin relaxation time data suggested that the dominant mechanism of spin relaxation in ZnO films is the Dyakonov-Perel (DP) mechanism modified to wurtzite crystalline structure in which a hexagonal c-axis reflection asymmetry is present.
5:15 AM - SS19.05
Thermoelectric Properties of ZnO Thin Films Grown by Metal-Organic Chemical Vapor Deposition
Bahadir Kucukgok 1 Babar Hussain 1 Chuanle Zhou 1 Ian T. Ferguson 2 Na Lu 3
1University of North Carolina at Charlotte Charlotte United States2Missouri University of Science and Technology Rolla United States3University of North Carolina at Charlotte Charlotte United States
Show AbstractThermoelectric (TE) materials have renewed interest from many researchers for last decay for both power generation and energy conservation (waste-heat harvesting). Research in the discovery of best TE materials, such as, bulk materials, complex structures, and the recent low dimensional plays crucial task to achieve high efficiency TE materials. Wide bandgap materials like ZnO can be promising candidate for high efficiency TE power generation owing to its low-cost, nontoxicity, and stability at high temperatures. In this paper, room temperature TE properties of wide bandgap thin film ZnO grown by metal organic vapor deposition (MOCVD) are reported. TE properties of Bulk ZnO are also studied as reference to that of epitaxial ZnO. Moreover, high resolution x-ray diffraction (HRXRD), room temperature photoluminescence (PL) by utilizing deep ultraviolet (DUV) spectroscopy (excitation at 248nm), hall effect, and thermal gradient methods have been employed to investigate the effect of structural, optical, electrical, and thermal properties of the samples, respectively. The effect of doping concentrations and structural defects on Seebeck coefficients of thin film ZnO are systematically studied and discussed in this work.
SS16: Oxide Thin Films: New Growth Processes and Structures II
Session Chairs
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2011
9:00 AM - SS16.01
Reductive Solid Phase Epitaxy of Layered Y2O2Bi Thin Film with Bi2minus; Square Net and its Electronic Transport Properties
Ryosuke Sei 1 Tomoteru Fukumura 1 2 Tetsuya Hasegawa 1 2
1The University of Tokyo Tokyo Japan2CREST, Japan Science and Technology Agency Tokyo Japan
Show AbstractLayered compounds with Bi square net structure show fascinating electronic properties such as the anisotropic Dirac Fermions in SrMnBi2 [1], and the superconductivity associated with heavy and light carriers in CeNi0.8Bi2 [2]. Recently, a series of layered ThCr2Si2-type compounds R2O2Bi (R = rare earth or Y) with unusual Bi2minus; square net were synthesized in polycrystalline powder form [3]. In order to investigate the intrinsic properties of the R2O2Bi, single crystal or epitaxial thin film is desired but their fabrication has not been reported due to the strong reductive state of Bi.
In this study, we succeeded in the fabrication of Y2O2Bi epitaxial thin films by newly developed reductive solid phase epitaxy (RSPE) [4]. Firstly, Y2O3 amorphous thin films were fabricated on lattice matched CaF2 (001) substrates at room temperature by pulsed laser deposition method. Subsequently, Bi and Y mixed powders were sandwiched by the two Y2O3 amorphous films, followed by wrapping with Ta foil, in an Ar-filled glove box. The sandwiched structure was treated by two-step heating in Ar + H2 (5%) gas flow for the strong reduction of Bi and the growth of Y2O2Bi phase via solid phase epitaxy. The fabricated film showed the clear spot-like peak of Y2O2Bi (103) diffraction in two-dimensional XRD pattern, indicating the successful epitaxial growth of Y2O2Bi. This was the first report of single crystalline R2O2Bi compounds, although the surface powder residue hampered the quantitative evaluation of the intrinsic physical properties.
Accordingly, we further improved our RSPE method. We changed the component of a reaction precursor from powders and amorphous film to multilayered film. The multilayered precursor composed of Bi, Y, and Y2O3 layers were deposited at room temperature by sputtering, followed by in situ heating in order to promote the solid phase reaction. The XRD peak intensity of Y2O2Bi (00l) diffraction was enhanced much more than that of our previous sample [4], indicating the significantly improved crystalline quality. The film had a flat surface without secondary phase, so that this film was suitable to evaluate the intrinsic physical properties of Y2O2Bi. In the presentation, we will report the details of our fabrication techniques and the electronic transport properties of Y2O2Bi.
[1] J. Park et al., Phys. Rev. Lett.107, 126402 (2011). [2] H. Mizoguchi et al., Phys. Rev. Lett.106, 057002 (2011). [3] H. Mizoguchi et al., J. Am. Chem. Soc.133, 2394 (2011). [4] R. Sei et al., Cryst. Growth Des.14, 4227 (2014).
9:15 AM - SS16.02
Vanadium Dioxide-Graphene Heterostructure Transistors
You Zhou 1 Jungwon Park 1 Jian Shi 1 Manish Chhowalla 2 Shriram Ramanathan 1 Hyesung Park 3 David Weitz 1
1Harvard University Cambridge United States2Rutgers - The State University of New Jersey Piscataway United States3Ulsan National Institute of Science and Technology (UNIST) Ulsan Korea (the Republic of)
Show AbstractAt interfaces of ionic crystals, often new properties emerge that are considered unexpected. In some cases, these may due to electronic reconstruction and often theories are invoked to explain the experimental observations. However, basic defect chemistry of ceramics suggest simple alternate models to explain the observations, namely due to charge compensation from point defect creation. This is particularly true for transition metal oxides where barriers for defect creation and migration at interfaces are of the order of an eV or less. In this presentation, we will present in-depth experimental studies on vanadium dioxide, a prototypical correlated insulator with emphasis on electric double layer transistors. With graphene as an inert barrier, we will show how emergent conduction at an electrolyte gate-oxide interface can be deterministically controlled by suppressing electrochemical instability. These studies reveal the crucial role of compositional control in our understanding of emergent behavior at complex oxide interfaces and further underscore the importance of understanding ceramic materials science to tackle many body physics problems in correlated electron systems. The studies further allow one to understand the origin of field effect beyond the screening length.
9:30 AM - SS16.03
Copper Oxide Thin Film Alloys with Tunable Optoelectronic Properties
Andriy Zakutayev 1 Archana Subramaniyan 2 Vladan Stevanovic 2 John D. Perkins 1 Ryan O'Hayre 2 David S. Ginley 1 Stephan Lany 1
1National Renewable Energy Laboratory Golden United States2Colorado School of Mines Golden United States
Show AbstractOxide thin films are important for different advanced electro-optical applications, including light-emitting diodes, photoelectrochemical water splitting and solar cells. A prototypical p-type oxide that is currently widely studied for photovoltaics (PV) is Cu2O, with record solar cell conversion efficiencies around 5%. The two long-term obstacles on the way to more efficient Cu2O solar cells and other solar energy conversion devices are relatively low optical absorption due to optically forbidden direct transitions and insufficient p-type doping due to low concentration of copper vacancies. One possible route to address both of these challenges is through alloying of copper oxide to tune its electronic structure, defect chemistry and optical properties.
First principles theoretical calculations predict that alloying Cu2O with ZnO or CdO should enhance its optical absorption by making the forbidden optical transitions allowed and by lowering the band gap. Furthermore, the calculations predict that Cu2O alloyed with Cu2S or Cu2Se should have higher p-type carrier concentration due to copper vacancy binding effect. [1] However, both of these improvements would require percent levels of substitution, which is orders of magnitude above the equilibrium solubility limit of Zn/Cd and S/Se in Cu2O. Therefore, advanced thin film synthesis methods are needed to achieve the desired compositions.
Recently, we demonstrated deposition of phase pure Cu2O thin films at reduced growth temperature by a non-equilibrium PVD process. The growth temperature of phase pure Cu2O thin films was reduced from 600C to 300C by intentionally controlling kinetic parameter (total chamber pressure Ptot) at fixed thermodynamic condition (oxygen partial pressure). A strong non-monotonic effect of Ptot on Cu-O phase formation was found using high-throughput combinatorial-pulsed laser deposition. [2] These studies set the stage for thin film synthesis of the theoretically predicted Cu2-2xZnxO1-ySey alloys.
Here, we experimentally demonstrate that the incorporation of Zn and Se above the thermodynamic solubility limit can tune the optical and electrical properties of Cu2O. Specifically, the absorption and the conductivity can be independently controlled by Se and Zn content respectively, as predicted by theory. The low deposition temperature needed to grow the Cu2-2xZnxO1-ySey alloys leads to some degree of sub-gap absorption and scatter in electrical properties, but these non-idealities can be partially removed after sample annealing. The solar cell simulations results suggest that it should be possible to achieve ~10% efficiency in Cu2-2xZnxO1-ySey solar cells
This work was funded by U.S. Department of Energy, office of Energy Efficiency and Renewable Energy, as a part of a Next Generation PV II project within the SunShot initiative.
[1] Phys. Rev. Appl. 2, 044005 (2014)
[2] APL Materials 2, 022105 (2014)
9:45 AM - SS16.04
Photoluminescence Enhancement of ZnO on Gold Nano-Island Film Due to Photo-Induced Hot-Carrier Transfer
Shang-Hsuan Wu 1 Ching-Hsiang Chan 1 Ching-Hang Chien 1 2 3 Mohammad Tariq Yaseen 1 2 3 Ching-Tarng Liang 1 Yia-Chung Chang 1
1Academia Sinica Taipei Taiwan2National Tsing Hua University Hsinchu Taiwan3Academia Sinica Taipei Taiwan
Show AbstractEnhancement of near band edge (NBE) emission of ZnO ultrathin film in contact with Au nano-island film was observed. In most of previous reports, this phenomenon has been attributed to localized surface plasmons (LSPs) of the metal islands. However, the emission occurs in the UV range, which is far away from the resonance frequency associated with LSPs. Thus, more systematic studies are needed to explore other possible physical mechanisms. Here, we report systematic studies based on photoluminescence (PL), Hall, and photoconductivity measurements. Our PL measurements exhibit significant enhancement in the near band edge emission of the ZnO/Au films in comparison with the ZnO/sapphire (0001) film. The degree of PL enhancement varies for different thicknesses (5-20 nm) of original Au film, which is associated with the nano-island size after the heat treatment. Furthermore, photoconductivity measurements for multi-wavelength excitations show that the conductivity can be improved in ZnO/Au films by tuning the excitation energy below the band gap of ZnO (3.37 eV). Our observations show that for excitation energies away from the Au plasmonic resonant energy (~2.2 eV), the improvement still exists. We thus attribute this effect to the photo-induced hot electrons (holes) in Au transferring into the conduction (valence) band of ZnO as long as the excitation is higher than the offset between the ZnO conduction-band minimum and Au Fermi level. Accordingly, both the PL enhancement and the improvement in conductivity are ascribed to the photo-induced hot-carrier transfer. Our experimental results are consistent with the general features predicted by first principles calculations. This mechanism of photo-induced hot-carrier transfer offers diverse potential applications for nanostructures involving ZnO/Au interfaces in the fields such as photocatalysis, solar energy conversion, sensing, and biological detection.
10:00 AM - SS16.05
Selective Fabrication of Epitaxial Srn+1IrnO3n+1 Compounds from a Stoichiometric SrIrO3 Target byPulsed Laser Deposition
Kazunori Nishio 1 Yasuyuki Hikita 2 Harold Y. Hwang 1 2
1Stanford University Stanford United States2SLAC National Accelerator Laboratory Menlo Park United States
Show AbstractThe iridium containing oxides display a variety of physical properties due to the inherent large spin-orbit coupling effect [1, 2]. The Ruddlesden-Popper (RP) phases of the Sr-Ir-O system, namely SrIrO3, Sr3Ir2O7, Sr2IrO4, have been a model system to study the interplay between spin-orbit coupling and lattice distortions while tuning the dimentionality of the structure. For such studies, epitaxial thin films provide an ideal platform giving the flexiblity to introduce lattice distortion by epitaxial strain in well-defined crystallographic orientations [3]. Commonly, these films are fabricated by pulsed laser deposition (PLD) under highly non-equilibrium conditions, giving the opportunity to stabilize phases with small differences in formation free energies by controlling the primary growth parameters, namely the laser fluence, growth temperature, and oxygen partial pressure.
Here we present a growth study of the different RP phases by on-axis PLD using a single SrIrO3 stoichiometric target focusing on the similarity in the thermochemical property of the Sr-Ir-O system to the Sr-Ru-O system examined previously [4]. By carefully controlling the three primary growth parameters, we were able to selectively fabricate SrIrO3, Sr3Ir2O7, and Sr2IrO4 epitaxial films on SrTiO3 (100) substrates. The two crucial factors defining the phase stability are the high volatility of IrO3 (g) at high temperatures and the Ir/Sr cation ratio in the ablation plume. Under constant laser fluence, the deposited film transforms from SrIrO3 to RP phases of Sr3Ir2O7 and Sr2IrO4 with increase in the growth temperature consistent with the highly volatile nature of IrO3. By increasing the laser fluence, Sr-species are scattered to higher angles than Ir-species, effectively enhancing the deposited Ir contenet at the substrate readily forming the SrIrO3 phase at higher temperatures. Furthermore, oxygen pressure was used to control the formation the formation of IrO3, but also for fine tuning the plume Ir/Sr ratio especially under non-MBE conditions as reported in [4]. We believe that these results demonstrate a general guidline for fabricationg complex oxides with highly volatile species using PLD.
[1] B. J. Kim et al, Science 323, 1329 (2009).
[2] K. Fujiwawa et al, Nature Communications 4, 2893 (2013).
[3] J. Nichols et al, Appl. Phys. Lett. 102, 141908 (2013).
[4] T. Ohnishi et al, Appl. Phys. Express 4, 025501 (2011).
10:15 AM - SS16.06
Self-Assembled, Epitaxial Layered Structures: Leveraging Non-Equilibrium Routes to Produce Novel States of Matter with Exciting Properties
Sungki Lee 2 Zuhuang Chen 2 Lane W Martin 2 1
1Lawrence Berkeley National Laboratory Berkeley United States2University of California Berkeley United States
Show AbstractSelf-assembled oxide nanostructures produced via eutectic phase separation and spinodal decomposition-like routes have drawn considerable interest for their varied properties. Such approaches leverage innate chemical and thermodynamic driving forces that favor the spontaneous separation of two phases into an equilibrium state characterized by potentially complex micro- and nano-structures (i.e., layered structures, vertically aligned rods in a matrix, etc.) and exotic composite properties. Researchers have demonstrated the ability to control the geometry, shape, and size of such phase-separated structures by tuning material composition, growth temperature, strain state, and growth and cooling rates. Deterministic and ordered materials self-assembly in these systems is, however, a challenge. In particular, it is difficult to access sub-micron feature sizes in eutectic systems due to the high processing temperatures and fast cooling rates that must be used. Although spinodal decomposition-like routes have been used to produce nanoscale features, producing long-range ordered arrays of features via this approach is difficult.
In this work, we explore self-assembly routes to produce novel phases, whereby we combine systems with a tendency for spontaneous phase separation with non-equilibrium deposition techniques and thin-film epitaxy. We will discuss examples of a eutectic system (SrTiO3-TiO2) and a spinodal system (VO2-TiO2) grown from single-targets, on epitaxial substrates, using pulsed-laser deposition. In SrTiO3-TiO2, the extreme kinetics of the growth process mean that we can achieve Ti-incorporation that greatly exceeds the thermodynamic solubility limit of Ti in SrTiO3 (~0.5 mol% of Ti in bulk SrTiO3 as compared to ~130 mol% of Ti in SrTiO3 the current study) and the eventual formation of a layered, Ti-rich phase with nominal chemical formula Sr2Ti7O14. This novel material is found to form only on (111)-oriented substrates, has a periodicity of ~1 nm, and can be thought of as being constructed of two SrTiO3-like layers separated by a TiOx-layer. Scanning transmission electron microscopy-based studies map out the structure and valence state of this phase, first principles approaches explore the phase stability and electronic properties, and studies of the dielectric, optical, thermal, and magnetic properties reveal diminished dielectric permittivity (and low dielectric loss), an enhanced band gap, glass-like thermal conductivity, and the potential for two-dimensional antiferromagnetism. We will also discuss phase formation in the eutectics BaTiO3-TiO2 and SrMnO3-MnOx. Finally, we will explore the evolution of layered and columnar structures in the spinodal system VO2-TiO2. We will discuss how growth and ex situ annealing procedures can be used to produce nano-scale layered structures and we will examine the effect of various processing on the metal-to-insulator transition in the VO2 and implications for interesting optical effects.
SS17/TT16: Joint Session: Metal Oxides: Fabrication and Light-Matter Interactions
Session Chairs
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2011
11:00 AM - *SS17.01/*TT16.01
Theoretical Study of Oxide Materials for Energy Applications
Su-Huai Wei 1
1National Renewable Energy Laboratory Golden United States
Show AbstractTransition metal oxides (TM) such as ZnO, In2O3, SnO2, TiO2, and their alloys have many unique physical properties such as structure diversity, superb stability in solution, good catalytic activity, and simultaneous high electron conductivity and optical transmission. Therefore, they are widely used in energy related optoelectronic applications such as photovoltaics and photoelectrochemical (PEC) fuel generation. In this presentation, using first-principles band structure calculations, I will discuss the electronic, optical, and doping properties of oxides and address some fundamental questions related to the unique materials properties of the oxides such as (i) why most of the transparent conducting oxides (TCOs) are n-type and how to design band structure of an transparent oxide so it can be doped both p- and n-type? (ii) Is oxygen vacancy an efficient intrinsic n-type dopant in metal oxides? (iii) To achieve optimal n-type conductivity through extrinsic doping, should we choose dopant substituting on anion site or cation site? (iv) Why amorphous TCO can have good electrical conductivity even without passivation? (v) How to engineer the band structure of oxides through defect control for PEC water splitting?
11:30 AM - *SS17.02/*TT16.02
Wide Bandgap Metal Oxide Nanostructures - Energy Applications
Xiang Liu 2 Yu Hang Leung 2 Aleksandra Djurisic 2 Qian Sun 2 Ka Kan Wong 2 Annie Ng 1 Alan Man Ching Ng 4 2 Wai Kin Yiu 2 Wai Yan Jim 2 Wai Kin Chan 3 Mao Hai Xie 2
1Hong Kong Polytechnic Univ Hong Kong Hong Kong2The university of hong kong Hong Kong Hong Kong3Univ of Hong Kong Hong Kong China4South University of Science and Technology of China Shenzhen China
Show AbstractNanostructures of different wide bandgap metal oxides, such as ZnO, TiO2 and SnO2 are of great interest on energy applications.
ZnO and SnO2 are recognized as potential candidates for the anode materials of DSSC due to their superior electrical properties (e.g. higher electron mobility) comparing to the commonly used TiO2. However, the power conversion efficiencies of ZnO- and SnO2-based DSSC reported were inferior comparing to the TiO2 counterpart. It can be partly explained by the instability of the materials (ZnO in acidic environment), lower injection efficiencies and dye regeneration efficiencies, etc. Detailed study on the material properties is necessary for improving the device efficiency. In the presentation, detailed discussion of the ZnO1 and SnO2 nanoparticle properties and their relationship with dye absorption, electronic properties (e.g. electron lifetime and transport time) and device performance is provided.
TiO2 and SnO2 are materials of significant interest for the anodes of LIB applications due to their advanced properties in comparison to the conventional graphite anode. TiO2 exhibits similar theoretical maximum capacity to graphite (~372 vs. ~335 mAh/g). However, it results in improved battery safety compared to graphite electrodes.. SnO2 has significantly higher specific capacity (~790 mAh/g) but relatively poorer cycling performance. The influence of TiO2 nanostructure properties such as crystal structure (anatase, rutile or mixed)2 and morphologies (nanoparticles or nanotubes) on the performance of LIB with TiO2-based anodes is discussed. The improvement on the cycling performance of SnO2-based LIB by employing SnO2 nanocomposite3 is also discussed.
Reference
K. K. Wong, A. Ng, X. Y. Chen, Y. H. Ng, Y. H. Leung, K. H. Ho, A. B. Djuriscaron;icacute;, A. M. C. Ng, W. K. Chan, L. H. Yu, and D. L. Phillips, ACS Appl. Mater. Interfaces 4, 1254-1261 (2012).
X. Liu, Q. Sun, F. Z. Liu, A. B. Djuriscaron;icacute;, A. M. C. Ng, M. H. Xie, T. Wood, J. A. Zapien, C. Z. Liao, and K. M. Shih, Turk. J. Phys., in press.
X. Liu, F. Z. Liu, Q. Sun, A. M. C. Ng, A. B. Djuriscaron;icacute;, M. H. Xie, C. Z. Liao, K. M. Shih, and Z. F. Deng, ACS Appl. Mater. Interfaces 6, 13478-13486 (2014).
12:00 PM - *SS17.03/*TT16.03
Functional Bixbyite Oxide Layers for GaN- Based UV Detectors on Silicon
Lidia Lupina 1 Adam Szyszka 2 Markus Schubert 1 Peter Storck 3 Thomas Schroeder 1 4
1IHP GmbH Frankfurt (Oder) Germany2Wroclaw University of Technology Wroclaw Poland3Siltronic Muenchen Germany4BTU Cottbus Cottbus Germany
Show AbstractEpitaxial bixbyite oxides on Si with a wide variety of physical properties can serve as a platform for overgrowth by high quality semiconductors, such as Si, Ge and InP. Recently, we reported a step graded double oxide (Sc2O3/Y2O3) buffer layer approach to enable heteroepitaxial growth of virtual GaN substrates on Si(111) wafers. Interestingly, the oxide buffers can be utilized not only as passive growth template to deposit the active GaN layer on Si but also to form active Distributed Bragg Reflectors (DBR) which improve light extraction efficiency and reduce optical losses resulting from the absorption by Si substrate.
Our proof-of-concept molecular beam epitaxy (MBE) experiments show that the application of high quality oxide buffer with high refractive index contrast (n) with respect to Si (n (Y2O3) = 2.1, n (Si) = 4.9 for lambda; = 300 nm) offers the possibility to develop a simple oxide/Si system to the functional form of a highly efficient mirror. Here, only 3.5 supper-lattice periods of Y2O3 (10 nm )/Si (17nm) are sufficient to achieve more than 80% reflectivity over a 100 nm wavelength range in the spectral UV region. A key factor leading to a high performance is the control over structural and optical properties of the Y2O3/Si supper-lattice layer constituting the DBR structure. Our Reflection High Energy Electron Diffraction (RHEED), X-Ray diffraction (XRD), Transmission Electron Microscopy-Energy Dispersive X-ray (TEM- EDX) as well as Reflectance spectroscopy investigation proves that the films are grown with high thickness homogeneity over a 4 inch wafer and exhibit sharp interfaces sufficient for UV applications.
Optimized Y2O3/Si- based DBR are thereafter integrated into test GaN metal-semiconductor-metal (MSM) photodetectors. GaN/Sc2O3//DBR/Si(111) MSM structures exhibit strong enhancement of the UV photo-response compared to the basic GaN/Sc2O3/Y2O3/Si(111) approach without reflectors. Moreover, it is found that a crucial impact on UV detection performance is given by the quality of the Metal-Semiconductor (MS) contacts as the detector dark current depends on the Schottky barrier height. In this respect, it is shown that in-situ deposition of an additional thin Sc2O3 oxide layer between metal and GaN, and thus formation of a Metal-Oxide-Semiconductor (MOS) contact, provides a viable way to increase detector sensitivity by improving the photo- to dark current ratio.
12:30 PM - *SS17.04/*TT16.04
BiVO4 Photoelectrodes for Use in Solar Water Splitting
Kyoung-Shin Choi 1
1University of Wisconsin-Madison Madison United States
Show AbstractMany recent efforts have focused on the development of n-type semiconductors that can serve as efficient photoanodes for solar water oxidation. Desirable photoanodes should have a small bandgap to utilize a significant portion of visible light, and a valence band (VB) edge that is positive enough to provide sufficient overpotential for the water oxidation reaction. The position of the conduction band (CB) edge or the flatband potential of the photoanode is also important because it determines the potential of the photoexcited electrons that will be used for the cathode reaction (i.e., water reduction). If these electrons do not have sufficient overpotential to reduce water, an external bias needs to be provided, effectively reducing the cell efficiency. Bismuth vanadate (BiVO4) has recently emerged as a promising material for use as a photoanode in water splitting photoelectrochemical cells. It is because it absorbs a substantial portion of the visible spectrum (bandgap energy, ca. 2.4 eV) and has a favorable conduction band (CB) edge position very near the thermodynamic H2 evolution potential. Significant advancement in the understanding and construction of efficient BiVO4-based photoanode systems has been made within a short period of time owing to various newly developed ideas and approaches. In this presentation, we will discuss our recent efforts in improving the photoelectrochemical properties of BiVO4 photoanodes, which include an enhancement in photon absorption and charge transport properties. These efforts resulted in an applied bias photon-to-current efficiency (ABPE) for water splitting higher than 2% using all oxide-based electrodes.