Symposium Organizers
Dhananjay Kumar, North Carolina Aamp;T State University
Valentin Craciun, National Institute for Laser, Plasma, and Radiation Physics
Kaushal K. Singh, Applied Materials
Maryline Guilloux-Viry, University of Rennes 1
Symposium Support
Applied Materials, Inc.
North Carolina Aamp;T State University
K3: Data Storage/Rewritable Media
Session Chairs
Monday PM, April 21, 2014
Moscone West, Level 2, Room 2016
3:15 AM - *K3.01
LiCoO2 Thin Films: Towards New Rewritable Media
Ioannis Giapintzakis 1 2
1University of Cyprus Nicosia Cyprus2Univeristy of Cyprus Nicosia Cyprus
Show AbstractSuccessful integration of oxide thin films in the integrated circuits (ICs) industry could have a major impact in a wide range of applications, such as data storage, spintronics etc. LiCoO2 (LCO) is a two-dimensional layered compound, which is commonly used as a cathode electrode in rechargeable Li-ion batteries. LCO&’s interesting electrochemical properties are attributed to redox reactions involving the cobalt-oxygen layers and the intercalation and de-intercalation of Li ions. The growth of high quality epitaxial LCO films on technologically important substrates has been scarcely addressed. This presentation will provide an overview of recent studies designed to explore fundamental aspects of LCO thin film growth by pulsed laser deposition (PLD) as well as the suitability of these LCO thin films as a rewritable media in non-volatile memory applications.
3:45 AM - K3.04
Synthesis of BiFeO3 /SrTiO3 Nanolaminates with High Polarization and Low Leakage Current
Geunhee Lee 1 3 Ram S. Katiyar 3 Orlando Auciello 1 2
1University of Texas at Dallas Richardson USA2University of Texas at Dallas Richardson USA3University of Puerto Rico San Juan USA Minor Outlying Islands
Show AbstractThe lead-free ferroelectric BiFeO3 (BFO) has attracted much attention due to its superior properties in both epitaxial and polycrystalline thin films for potential applications to multiferroic-based devices. The remnant polarization Pr and out-of-plane converse piezoelectric coefficient d33 are comparable to those of the tetragonal, Ti-rich PZT system. However, the BFO films exhibited large coercive fields and a large leakage current, which might limit the applicability of BFO in devices. Here, we report a reduced leakage current behavior of ferroelectric BFO films as we insert an insulating layer like SrTiO3 with nanometer-scale thickness in the middle of BFO layer. The BFO/STO nanolaminate films show still high remnant polarization with reduced leakage current. We will discuss about the mechanism of high polarization and low leakage current behaviors of nanolaminate BFO/STO/BFO structure, and the potential application of BFO-based piezoelectrically actuated MEMS devices for biomedical applications (e.g., biosensors and drug delivery systems), based on the biocompatibility of BFO components.
K4: Composite Coatings and Interfaces
Session Chairs
Monday PM, April 21, 2014
Moscone West, Level 2, Room 2016
4:30 AM - *K4.01
Synthesis and Characterization of Hard and High Temperature Resistant Coatings
Efstathios I. Meletis 1 Minghui Zhang 1 Jiechao Jiang 1 Jaroslav Vlcek 2 Petr Zeman 2 Jiri Kohout 2
1University of Texas at Arlington Arlington USA2University of West Bohemia Plzen Czech Republic
Show AbstractThere is a current need to develop hard, thermally stable and oxidation resistant coatings for high temperature applications such as super high-speed aircrafts, turbine blades, reusable launch vehicles, and other thermal barrier applications. Recently, we have synthesized and characterized two such high potential coatings based on amorphous Si-B-C-N and nanostructured Zr-B-C-N with high-temperature oxidation resistance and stability. In comparison to SiBCN coatings, the substitution of Si by Zr not only changes the specific weight, but adds new characteristics such as electrical (and thermal) conductivity to the properties of the Zr-B-C-N coating. The coatings were synthesized by pulsed magnetron co-sputtering of a single B4C -Si or -Zr target in a nitrogen/argon gas discharge at various ratios. High-quality defect-free coatings (thickness ~4.0 mu;m) with smooth surfaces (Ra le; 4 nm) and good adhesion to substrates were produced. The coatings were characterized by XPS, XRD, cross-section and plan-view HRTEM and nano-indentation. The Si30-32B10-12C2-4N49-51 coatings exhibited extraordinary high temperature resistance (up to 1700 oC), light density (2.4-3.3 g/cm3), high hardness (>25 GPa) and low internal stress (~ 1 GPa).The Zr41B30C8N20 coating deposited in 5%N2+95%Ar gas mixture exhibited a very high hardness (~37 GPa), high electrical conductivity (electrical resistivity of 1.7x10-6 #8486;m) and high oxidation resistance up to 550 °C. The properties of the coatings are discussed in view of their atomic structure and microstructure.
This work is supported by the National Science Foundation under Award NSF/CMMI DMREF- 1335502
5:00 AM - K4.02
Interfacial and Electrical Study of Crystalline Oxidation in Al0.25Ga0.75N
Xiaoye Qin 1 Rafik Addou 1 Hong Dong 1 Jiyoung Kim 1 Robert Wallace 1
1University of Texas at Dallas Richardson USA
Show AbstractAlGaN/GaN high electron mobility transistors (HEMTs) are of significant interest for high power, high frequency and high temperature devices. However, these are known to experience significant surface related effects, such as large leakage currents and frequency dependent current collapse. Oxidation has been found to have a variety of effects on the atomic and electronic structure of nitride surfaces. Therefore, the oxidation layer and the device performance are closely related. Usually, GaN and AlN are found to form a disordered oxide layer related to high density of states when exposure to with O2. Miao et al.1 reported that oxidation of AlN and GaN surface change the density of surface states based on density functional theory (DFT). In their work, a 2 ML crystalline oxide can cause the lowest density of surface states within the band gap. However, experimental evidence of a 2 ML crystalline oxide remains to be established.
In our study, we investigate an O2 plasma treatment at 550 °C of the AlGaN surface by in situ X-ray photoelectron spectroscopy (XPS), low energy electron diffraction (LEED) and reflection high energy electron diffraction (RHEED). Initial results indicate that the O2 plasma exposure is able to generate a crystalline oxide on AlGaN surface and also remove spurious carbon contamination. The interface for Al2O3 deposition after one pulse of TMA and 20 full cycles of ALD is also studied by in situ XPS and LEED. The crystalline oxide is stable during ALD process. Ex situ scanning tunneling microscopy (STM) and electrical measurements from these structures will also be presented.
The work is supported by AOARD under Grant No. FA2384-11-1-4077 and NSF Award No. 0925844.
References
1 M.S. Miao, J.R. Weber, and C.G. Van de Walle, J. Appl. Phys. 107, 123713 (2010).
5:15 AM - K4.03
Using Spectroscopic Techniques to Understand the Effect of Annealing Temperature and Method on Solution Processed Indium Zinc Oxide Thin-Film Transistors
Josephine Socratous 1 Yana Vaynzof 1 Aditya Sadhanala 1 Kulbinder Banger 1 Henning Sirringhaus 1
1University of Cambridge Cambridge United Kingdom
Show AbstractAmorphous oxide semiconductors have, recently, become very attractive for applications in large-area electronics. This is mainly because of their relatively high mobilities, stability, transparency and possibility for large-area deposition using printing techniques. In this work we fabricate thin film transistors using amorphous Indium Zinc Oxide (IZO) as the semiconducting layer. We investigate the effect of temperature as well as annealing method on the performance of the TFTs. The IZO layer is spin-coated from a solution based on commercially available In(NO3)3xH2O and Zn(NO3)2xH2O precursors so that In2O3:ZnO=6:4 [1]. The TFTs exhibit good IV characteristics after four hours of air anneal at 300oC with maximum mobility mu;max=27cm2V-1s-1, onset voltage -0.5Von<0.5V and a saturation current Isatasymp;0.5mA at VG=50V. When the temperature is decreased to 275oC the mobility drops to mu;max=8cm2V-1s-1 and the hysteresis increases. The mobility continues to decrease with decreasing temperature and the transistor stops working at 200oC. Our XPS analysis shows that this is due to incomplete formation of the oxide framework as well as a large number of hydroxide OH species at this temperature. In addition we find that a short vacuum post-annealed process is sometimes beneficial to the performance of the TFT. In order to account for the annealing-dependant charge transport properties, we investigate the density of states in the band gap using a variety of spectroscopic techniques: X-ray Photoelectron Spectroscopy (XPS), Photothermal Deflection Spectroscopy (PDS) and Ultra-violet Photoelectron Spectroscopy (UPS). With XPS we estimate the number of oxygen vacancies and compare it between samples processed differently. We also observe the amount of OH species (both intrinsic and surface adsorbed) and can deduce if a full oxide framework has been formed. Furthermore, we calculate the compositional oxide ratio which agrees with the targeted ratio of In2O3:ZnO=6:4. PDS detects small changes in absorption and we use it to compare the density of states between samples with different processing. We also use UPS to observe the density of filled states near the valence band. The trend between samples with different annealing conditions found using UPS is in agreement with the PDS results.
[1] Y.H. Hwang, J-S Seo, J.M Yun, H. Park, S. Yang, S-K.K. Park, B-S. Bae, NPG Asia Materials , 5, 2013.
5:30 AM - K4.04
Synthesis and Properties of Ni-B Composite Coatings
Ramazan Kahraman 1 Abdul Shakoor 1 Umesh Waware 1 Yuxin Wang 2 Wei Gao 2
1Qatar University Doha Qatar2University of Auckland Auckland New Zealand
Show AbstractNi-B coatings have collection of some distinctive properties like high hardness, wear resistance and decent anti-corrosion properties which make them suitable for automotive, aerospace, petrochemical, textile and electronics industries. Despite their promising properties, further improvement in their properties is essential to cope with the more challenging requirements and new developments. Recently, composite coatings have proven to be an appropriate choice to remarkably enhance the mechanical properties and to combat corrosion of a large variety of materials. In the present study, Ni-B-X (X=ZrO2and Al2O3) composite coatings were synthesized through conventional electrodeposition process. The coatings were deposited on plain carbon steel substrates by using dimethylamine borane (DMAB) as a reducing agent. The compositional analysis of the developed coatings was carried out by inductively coupled plasma (ICP) technique. The structural and morphological characterization of the coatings was conducted with XRD and SEM respectively. The structural analysis shows that the coatings in their as deposited states are amorphous. However, heat treatment at 400 degrees Celcius for 1 hour results in significant improvement in crystallinity. The study of surface morphology reveals the formation of uniform and fine-grained deposits having dispersed second phase particles. It is noticed that incorporation of insoluble ceramic particles (ZrO2 and Al2O3) into Ni-B coatings results in improvement in microhardness.
K1: ZnO-I Thin Films
Session Chairs
Monday AM, April 21, 2014
Moscone West, Level 2, Room 2016
9:30 AM - *K1.01
Probing Structure, Properties and Dynamics of Nanostructures Through Scanning Transmission Electron Microscopy and Theory
Stephen Pennycook 1 2 3 Wu Zhou 1 Jaekwang Lee 1 2 Juan-Carlos Idrobo 1 Myron Kapetanakis 2 1 Junhao Lin 2 1 Matthew Chisholm 1 Sokrates Pantelides 2 1
1Oak Ridge National Laboratory Oak Ridge USA2Vanderbilt University Nashville USA3Vanderbilt University University of Tennessee USA
Show AbstractThe aberration-corrected scanning transmission electron microscope (STEM) now allows direct, real space imaging at atomic resolution with low accelerating voltages to minimize damage. In two-dimensional materials such as graphene and transition metal dichalcogenides, atom-by-atom characterization of atomic position, atomic species, chemical bonding and optical and electronic properties is feasible. Furthermore, through direct momentum transfer, the STEM probe can also reveal the dynamics of small clusters, which can be compared to density functional calculations to determine their energy landscape. Examples will be shown of a Si6 cluster in a graphene nanopore undergoing conformational changes, which in combination with calculations based on density functional theory, reveals the energy landscape of the cluster. Metallic transition metal chalcogenide nanowires can be sculpted directly from their respective dichalcogenide monolayer sheets. The wires are metallic, with Ohmic contacts to the surrounding two-dimensional layers, and are self-healing against beam damage.
Research supported by the U.S. Department of Energy (DOE), Basic Energy Sciences (BES), Materials Sciences and Engineering Division (S.J.P., J.L., S.T.P.), by ORNL&’s Center for Nanophase Materials Sciences User Program, which is also sponsored by DOE-BES (J-C.I.), by a Wigner Fellowship through the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory, managed by UT-Battelle, LLC, for DOE (WZ), by the National Science Foundation (grant no. DMR-0938330; W.Z., J-C.I.), by DOE grant DE-FG02- 09ER46554 (M.K, J.L, S.T.P.) and by the McMinn Endowment (S.T.P.) at Vanderbilt University.
10:00 AM - K1.02
Signature of Two-Dimensional Electron Gas Formation in Solution-Processed Oxide Semiconductor Structures
John G Labram 1 Yen-Hung Lin 1 Paul Stavrinou 1 Thomas Anthopoulos 1
1Imperial College London London United Kingdom
Show AbstractThe discovery and optimisation of semiconductor 2-dimensional electron gases (2DEGs) in the 1970s and 80s led to a revolution within the microelectronics industry, resulting in awards for both the 1985 and 2000 Nobel Prizes. Recently, the formation of 2DEGs has been demonstrated in novel semiconductor systems, such as at the interface between two insulating oxide materials,[1] or in superlattices formed from semiconductor oxides sequentially deposited by sputtering.[2] Here we present strong evidence for the formation of quantised electron states in ultra-thin films of ZnO grown using solution-based techniques, such as spin-casting, at temperatures below 200 °C in ambient atmosphere. Due to the low-dimensionality of the systems, the optical absorption spectra of single ZnO layers with thicknesses < 5 nm exhibit a characteristic blue shift with respect to the bulk material, associated with an increase in the optical band gap.[3] In the case of the thinnest ZnO films, the band gap is observed to widen by approximately 150 meV with respect to the bulk state. By confining a very thin ZnO film between two GaOx barrier layers, we additionally observe room-temperature resonant-tunnelling effects for charge transport perpendicular to the multilayer oxide structure. Solution processed devices are found to exhibit negative differential resistance for a small range of applied voltages, a property which can only be explained by the existence of quantised electron states.[4] The authors feel that this work represents a significant milestone, not just with respect to metal oxide systems, but for semiconductors in general. The potential range of academic and industrial applications of such a system is both vast and exceedingly novel.
[1] A. Ohtomo, H. Y. Hwang, Nature 2004, 427, 423.
[2] K. Abe, K. Nomura, T. Kamiya, H. Hosono, Phys Rev B 2012, 86, 081202.
[3] R. Dingle, W. Wiegmann, C. H. Henry, Phys Rev Lett 1974, 33, 827.
[4] L. L. Chang, L. Esaki, R. Tsu, Appl Phys Lett 1974, 24, 593.
10:15 AM - K1.03
Effects of Ge Nanocrystals on the Optical and Electrical Properties of ZnO Multilayered Thin Films
Abdullah Ceylan 1 Deniz Caliskan 3 Sadan Ozcan 1 Mehmet Copuroglu 4 Sefik Suzer 4 Ekmel Ozbay 2 3
1Hacettepe University Ankara Turkey2Bilkent University Ankara Turkey3Nanotam Ankara Turkey4Bilkent University Ankara Turkey
Show AbstractZnO: Ge nanocomposite thin films with thickness of about 600 nm were synthesized by sequential r.f. magnetron sputtering followed by ex-situ rapid thermal annealing (RTA). RTA was explicitly chosen to avoid Ge-oxide formation as well as minimizing diffusion of surface impurities into bulk of the samples. X-ray diffraction (XRD) patterns have revealed that ZnO preferentially grows along c-axis giving strong (002) diffraction peaks while Ge crystallizes in tetragonal structure showing only (211) diffraction peaks and no sign of oxide formation. Scherrer calculations yield that the Ge crystalline size increases from 10 nm to 26 nm by 45 s RTA at 600C. Absence of Ge-oxide formation has also been confirmed by x-ray photoelectron spectroscopy (XPS). The optical and electrical properties of pure ZnO and Ge nanocrystals embedded ZnO thin films were compared. It has been realized via optical absorption and photoluminescence measurements that ZnO: Ge nanocomposite thin films prepared on z-cut quartz substrates manifest significant Ge crystalline size depended optical activity in the visible region as compared to pure ZnO thin films where there is no optical absorption. Dark and light (white led) I-V measurements performed on the samples prepared on single crystalline Si substrates have revealed that there is a pronounced difference between the currents generated under dark and light conditions. Ge nanocrystals embedded ZnO structure has produced 4 orders of magnitude more current in logarithmic scale. These observations have been attributed to the quantum confinement effect due to Ge nanocrystals leading to an intermediate band formation thereby making the ZnO: Ge structure susceptible to wavelengths in the visible region.
10:30 AM - K1
K1.04 Transferred to Friday as K14.11
Show AbstractK2: ZnO Thin Films
Session Chairs
Monday AM, April 21, 2014
Moscone West, Level 2, Room 2016
11:15 AM - *K2.01
Doping and Non-Stoichiometry in Terms of Electron and Mass Transport in Oxides
Naoki Ohashi 1 2 Isao Sakaguchi 1 Takeo Ohsawa 1 Ken Watanabe 1 Minako Hashiguchi 1 Yutaka Adachi 1 Hajime Haneda 1
1National Institute for Materials Science Tsukuba Japan2Tokyo Institute of Technology Yokohama Japan
Show AbstractDoping is the most conventional way to control conductivity of oxides. However, non-stoichiometry induces carrier injection or carrier trapping, doping behavior is complex compared to the silicon semiconductors. In this paper, effect of doping to oxides will be discussed in terms of electron and mass transport behavior.
Currently, there is a very fundamental but unsolved question of whether non-stoichiometry in oxides is a cause of their native conductivity or not. Although many experimental studies have indicated that oxygen deficiency should be the reason for native n-type conductivity of typical oxides,[1,2] density functional theory predicted that stoichiometry in oxides is not a reason for the conductivity in some oxides, such as ZnO[3] and SnO2[4]. To explain the reason for the apparent inconsistency between experiments and theory, many researchers are recently working to identify the hidden donor in oxides.[5,6] In this paper, we will report our recent results on impurity and defect analyses in typical oxide semiconductors, in particular correlation between hydrogen impurity concentration and electric properties.
Another important issue in oxide devices is contribution of surface and interfaces for their properties. For instances, oxide interfaces are source of electric functionality such as varistors,[7] and it has been pointed that migration of oxygen vacancy is a primary reason for current leakage and breakdown behavior of oxide dielectric devices.[8] In the present paper, activities at grain boundaries in oxide ceramics and oxide based junctions of perovskite-type oxides will be discussed in terms of non-stoichiometry and internal potential caused by potential barriers.
References
[1] Hagemark and Chacka: J. Solid State Chem. 15 (1975) 261
[2] Bonasewicz et al.: Phys. Status Solidi (a) 97 (1986) 593
[3] Oba et al.: Phys. Rev. B 77 (2008) 245202
[9] Varley et al.: Phys. Rev. B 79 (2009) 245206.
[5] Van de Walle: Phys. Rev. Lett. 85 (2000) 1012.
[6] Ohashi et al.: J. Cryst. Growth 306 (2007) 316.
[7] Ohashi et al.: Jpn. J. Appl. Phys. 46 (2007) L1042
[8] Chazono and Kishi: Jpn. J. Appl. Phys.: 40 (2001) 5624
11:45 AM - K2.02
Low Resistance Indium Tin Oxide Contacts to n-GaAs Nanowires
Junpeng Zhang 1 Andrew Chia 1 Ray LaPierre 1
1McMaster University Hamilton Canada
Show AbstractWe report a low resistance indium tin oxide (ITO) contact for GaAs nanowires. GaAs nanowires are being widely used in optoelectronic applications such as nanowire solar cells and photodetectors. ITO has high transmittance and low sheet resistance, which is ideal for these applications. In our work, GaAs nanowires were grown by the Au-assisted vapour-liquid-solid process in a molecular beam epitaxy (MBE) system. Devices were fabricated to estimate the contact resistance to nanowires. First, the space between nanowires was filled with transparent planar cyclotene to support the contacting layer. Next, ITO was deposited by rf sputtering and annealed at 400°C for 30s to contact the top of the nanowire ensemble and minimize sheet resistance. In our measurement, 500 nm thick ITO formed an Ohmic contact with n-doped (n=8×1018 cm-3) GaAs nanowires with a specific contact resistance of 1.4 Omega;#9679;cm2 and a sheet resistance of ~30 Omega;/sq.. Insertion of a 25 nm thick indium layer between the 500 nm thick ITO and the GaAs nanowires resulted in a reduction of specific contact resistance while maintaining high transparency and low sheet resistance. The modified In/ITO (25/500 nm) contact had a contact resistance of 0.13 Omega;#9679;cm2, and sheet resistance of 13 Omega;/sq.. The In/ITO film also had an average transmittance of 89% from 400 to 900 nm, which makes it well suited for nanowire-based optoelectronic applications.
12:00 PM - K2.03
Optimization of Annealing Conditions for ZnO-Based Thin Films Grown Using MOCVD
Anas Mazady 1 Abdiel Rivera 1 Mehdi Anwar 1
1University of Connecticut Storrs USA
Show AbstractZnO, being a transparent conductive oxide, has drawn considerable interests in fabricating transparent electrodes in display devices, transparent electronics, and photovoltaic etc. In this work, effects of thermal annealing on the structural and optical properties of ZnO thin films grown using metalorganic chemical vapor deposition (MOCVD) are investigated. Mahmood et al. [1] performed similar studies on ZnO thin films deposited using reactive e-beam evaporation technique. However, material quality can be significantly improved by employing MOCVD technique which is also a standard technique for mass production in the industries.
ZnO thin films were deposited on p-Si and GaN substrates using MOCVD technique at a constant temperature and pressure of 300 °C and 70 Torr, respectively, with a post growth annealing treatment at 500 - 750 °C under N2 ambient. The scanning electron microscope (SEM) images of the as-grown film on p-Si substrates show granular surface texture with very fine grains. Thermal annealing treatments at 500 and 600 °C result in a smaller RMS roughness. For annealing temperatures higher than 700 °C, large grains were observed with the grain size varying from 34 to 154 nm. In order to investigate the origin of the grains, the samples were annealed at a slower rate of 2.5 °C/min which is 2x times slower than the other samples. The slower annealing rate did not show any observable difference in the grain size compared to the faster annealed samples. This suggests that the observed granular surface texture at higher annealing temperature is not a result of the thermal coefficient mismatch, but rather is the property of the annealing itself. ZnO thin films grown on GaN substrates generally showed better structural properties than ZnO/p-Si which may be attributed to a smaller lattice misfit for the former than the latter. Annealing at temperatures higher than 600 °C resulted in a very smooth surface of the film on GaN substrates. X-ray diffraction (XRD) measurements showed a dominant peak along (0002) and a 43% reduction of the FWHM compared to the as-grown p-Si samples. Room temperature photoluminescence (PL) measurements showed the most dominant peak at ~387.4 nm corresponding to near band-edge (NBE) excitonic emission. Annealing at 600 °C obtained the smallest FWHM of 4.377° among all the p-Si samples which is also 16% smaller than the as-grown p-Si samples. FWHM of ZnO thin films grown on GaN substrates did not show much difference, however, the peak intensity of the 600 °C annealed samples were 69% higher than the as-grown film. All the measurements therefore suggest 600 °C to be the optimum annealing temperature for ZnO thin films grown on both p-Si and GaN substrates. The determination of the optimum annealing conditions for different substrates has important implications in fabricating optimized and efficient ZnO based electronics.
References:
[1] A. Mahmood et al., Phys. Scr. 82 (2010) 065801.
12:15 PM - K2.04
Nanodome Transparent Conductor for Extremely high Performance in a heterojunction Device
Seung-Hyouk Hong 1 Joondong Kim 1 Ju-Hyung Yun 2 Hyeong-Ho Park 3
1Incheon Naional University Incheon Republic of Korea2University at Buffalo, State University of New York Buffalo USA3Korea Advanced Nano Fab Center Suwon Republic of Korea
Show AbstractAn effective light-managing structure has been achieved by using a nano-imprint method. A transparent conductor of indium-tin-oxide (ITO) was periodically nanodome-shaped to have a height of 200 nm with a diameter of 340 nm on a p-type Si substrate. This spontaneously formed a heterojunction between the ITO layer and Si and effectively reduced the light-reflection. The ITO nanodome device response was significantly enhanced to 6010 from the value of 72.9 of a planar ITO film. The transparent conducting ITO nanodome structure efficiently manipulates the incident light driving into the light-absorber and can be applied in various photoelectric applications.
Symposium Organizers
Dhananjay Kumar, North Carolina Aamp;T State University
Valentin Craciun, National Institute for Laser, Plasma, and Radiation Physics
Kaushal K. Singh, Applied Materials
Maryline Guilloux-Viry, University of Rennes 1
Symposium Support
Applied Materials, Inc.
North Carolina Aamp;T State University
K6: Multiferroics I
Session Chairs
Stephen Pennycook
Valentin Craciun
Tuesday PM, April 22, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
2:30 AM - *K6.01
Magnetic Spinel Ferrite Thin Films and Nanostructures
Arunava Gupta 1
1University of Alabama Tuscaloosa USA
Show AbstractThere is considerable interest in spinel ferrite films because of their numerous technological applications in areas such as microwave integrated devices and magnetoelectric coupling heterostructures. We have grown epitaxial spinel ferrite (NiFe2O4, CoFe2O4, LiFe5O8) films using pulsed laser deposition and chemical vapor deposition techniques and carried out detailed studies of their structural, magnetic and optical properties. In particular, we have investigated the formation of antiphase boundaries in epitaxial NiFe2O4 films grown on different substrates and determined the band gap of this material using optical spectroscopy and first principles calculations.In addition to thin films, we have investigated spinel ferrite nanostructures both because of fundamental scientific interest and technological applications arising from the unique properties in reduced dimension. We have synthesized monodisperse nanocrystals and nanostructures of a number of spinel ferrites using facile solution-based methods and investigated their structural and magnetic properties.
Work done in collaboration with M. Althammer, N. Z. Bao, W. H. Butler, R. Datta, B. S. Holinsworth, M. Iliev, S. Kanuri, S. V. Karthik, G. Kim, T. M. Klein, N. Li, M. Liu, P. R. LeClair, J. X. Ma, D. Mazumdar, T. Mewes, D. V. B. Murthy, J. L. Musfeldt, K. R. O&’Neal, N. Pachauri, V. M. Petrov, H. Sato, S. Schäfer, L. Shen, H. Sims, G. Srinivasan, N. X. Sun, Q. -C. Sun, and Z. Zhou.
3:00 AM - K6.02
Nanostructuration in the Lead-Free Piezoelectric K-Ta-Nb-O System: From Perovskite Thin Films to Tetragonal Tungsten Bronze Nanorods Epitaxially Grown by Pulsed Laser Deposition on Oxides Substrates
Valamp;#233;rie Demange 1 Anne Waroquet 1 Quentin Simon 1 Vincent Dorcet 1 Philippe Boullay 2 Valerie Bouquet 1 Stephanie Deputier 1 Maryline Guilloux-Viry 1
1University of Rennes Rennes France2CNRS/ENSICAEN Caen France
Show AbstractCompounds in the K-Ta-Nb-O (KTN) system have attracted great interest for a long time for various applications in microelectronics, electro-optics, and photocatalysis, and nowadays the lead free compositions are of first importance in the context of piezoelectric materials. As an illustration, among the numerous reported phases, the KTa1-xNbxO3 perovskite is well-known to present large piezoelectric coefficients1 and highly tunable dielectric properties for microwaves applications2, as well as attractive photocatalytic activity for water splitting3. Moreover the tetragonal tungsten bronze phases (TTB) are also of major interest for the development of new efficient devices on a reduced scale because they offer a wide range of properties such as ferroelectricity, piezoelectricity or photocatalytical properties. In these works, KTN perovskite thin films were epitaxially grown on different substrates and electrodes by Pulsed Laser Deposition (PLD)2,3,4 which allows the control of both composition, including doping, and structural properties, which strongly impact the morphology and the physical behavior. The control of the deposition conditions enables the synthesis of various phases in the KTN system. In particular KTN nanorods were grown by PLD on R-plane sapphire and (100)SrTiO3.The as-deposited coatings were analysed by X-Ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray spectrometry (EDS) and transmission electron microscopy (TEM). The crystal structure was solved by precession electron diffraction as being a TTB type structure5.
In order to determine the most adapted growth conditions of the pure TTB structure, the influence of deposition parameters, such as temperature, target composition, or target-substrate distance was studied with adopting a “phase diagram” approach.
In this frame the epitaxial relationships of the TTB nanorods, and more generally of the crystallized phases which compete in the chemical system, according to the substrates were determined.
The authors acknowledge financial support from the french CNRS and CEA METSA network. SEM was performed at CMEBA, University of Rennes.
[1] D. Damjanovic, M. Demartin, H. S. Shulman, M. Testorf, N. Setter. Sens. Actuators, Al. 53 (1996) 353
[2] Q. Simon, Y. Corredores, X. Castel, R. Benzerga, R. Sauleau, K. Mahdjoubi, A. Le Febvrier, S. Deputier, M. Guilloux-Viry, L. Zhang, P. Laurent, G. Tanne. Appl. Phys. Lett. 99 (2011) 092904
[3] A. Rousseau, V. Laur, S. Députier, V. Bouquet, M. Guilloux-Viry, G. Tanné, P. Laurent, F. Huret, A. Perrin. Thin Solid Films. 516 (2008) 4882
[4] A. Bouyasfi, M. Mouttalie, V. Demange, B. Gautier, A. Grandfond, S. Députier, S. Ollivier, L. H. Hamedi, M. Guilloux-Viry. Appl. Surf. Sci. 258 (2012) 9297
[5] Q. Simon, V. Dorcet, P. Boullay, V. Demange, S. Députier, V. Bouquet, M. Guilloux-Viry, Chem. Mater. 25 (2013), 2793
3:15 AM - K6.03
Combined Effect of Nanocystalline Size and Oxygen Vacancies on Phase Transformation in Cluster Assembled Nanostructured ZrO2 Films
Elisa Sogne 1 2 Marco Merlini 3 Francesca Borghi 4 Alessandro Podestamp;#224; 4 Paolo Milani 1 4 Cristina Lenardi 1 4
1Fondazione Filarete Milano Italy2European School of Molecular Medicine, Campus IFOM-IEO Milano Italy3Universitamp;#224; degli Studi di Milano Milano Italy4Universitamp;#224; degli Studi di Milano Milano Italy
Show AbstractNanostructured zirconium dioxide (ns-ZrO2) is a material of strategic importance for applications in several technological fields such as catalysis, gas sensing and biomedical applications. Due to the magnification of surface effects, ns-ZrO2 presents radically different properties compared to their bulk counterpart. We synthetize ns-ZrO2 films by supersonic cluster beam deposition (SCBD) using a pulsed microplasma cluster source (PMCS) [1,2]. The mass distribution of the clusters can be controlled in order to tailor the nanostructure of the film. If compared to other synthesis processes (sol-gel, sputtering), this technology offers the advantage of an almost independent control of the film features such as grain size and surface morphology. We have recently demonstrated as a fine tailoring of the physico-chemical properties of zirconia produced by SCBD favorous cell adhesion on ns-ZrO2 and cytoskeleton organization [3].
We have characterized the ns-ZrO2 films with various experimental techniques: AFM, SEM, HRTEM, XPS, XRD. Transmission electron microscopy and x-ray diffraction show that as-deposited films mainly consist of nanocrystals in the cubic phase with size below 10 nm and after annealing at high temperature the dominant phase is monoclinic. The annealing process also induced a growth of the nanocrystallite size revealing a size-dependent effect on the phase transformation. Recently we have performed XRD characterization at ELETTRA facility (Trieste, IT) for determining the structural evolution of the nanocrystallites as function of temperature operating in air or in vacuum. We have observed that the beginning of phase transition from cubic to monoclinic phase starts at different temperature if the annealing has been carried out in air or in vacuum, approximately at 150°C and 450°C, with a correlated significant increase of nanocrystallite size. XPS measurements well mirror this behaviour both for ex-situ and in-situ annealing by showing a peak shifts and changes in line profiles at the same temperature at which the phase changes are detected in XRD measurements. Moreover the as-deposited films appear to be sub-stoichiometric (ns-ZrO2-x) attesting the presence of a large number of defects. Our work offers a further understanding of the electronic structure of ns-ZrO2, crucial for an optimal use of this ceramic material in various applicative contexts.
[1] E. Barborin et al., A pulsed microplasma source of high intensity supersonic carbon cluster beams. J. Phys. D: Appl. Phys. 32, L105-L109 (1999)
[2] P. Piseri et al., P. Production and characterization of highly intense and collimated cluster beams by inertial focusing in supersonic expansions. Rev. Sci Instrum. 72, 2261-2267 (2001)
[3] A V Singh et al., Bottom-up engineering of the surface roughness of nanostructured cubic zirconia to control cell adhesion,Nanotechnology 23 (2012) 475101 (10pp) doi:10.1088/0957-4484/23/47/475101
3:30 AM - K6.04
In-Situ X-Ray Study and Modelling of a Disordered-Ordered Transition in a Fluorite-Related Oxide Thin Film: The Role of the Residual Stress
Rolly Jacques Gaboriaud 1 Fabien Paumier 1 Bertrand Lacroix 2
1University of Poitiers CNRS Chasseneuil Fururoscope France2Universityof CSIC Sevilla Spain
Show AbstractThe fluorite related oxide family includes oxides with the fluorite structure (UO2, CeO2 etc, space group Fm3m) and the majority of the rare earth oxides (lanthanide oxides + yttrium and scandium oxides) that exhibit the so-called cubic-C structure (bixbyite structure, space group Ia3-Th7). These structures are quite similar but the difference comes from the oxygen network that, in the case of the cubic-C, exhibits an ordered network of constitutional oxygen vacancies that is very sensitive to such energetic solicitations as thermal annealings, irradiation or stress. When this network is disordered the cubic-C structure transforms in a fluorite structure and vice versa.
This work studies this phenomenon by in-situ x-ray diffraction in the case of the yttrium oxide that exhibits a wide range of physical and chemical properties providing a large potential of technological applications. The kinetic of this transformation from the disordered structure, due to the deposition technique, to the ordered structure obtained by thermal annealing, is detailed. It is shown that the degree of disorder of the oxygen network is associated to a residual stress that turns out to be a key parameter in the stability and the kinetic of transformation of the different phases present in the thin oxide film. This kinetic is analysed using the Kolmogorov-Johnson-Mehl-Avramy model (KJMA). The experimental results indicate that the transformation from the disordered to the ordered structure strongly depends on the pristine degree of disorder/residual stress level.
When the structure is almost wholly disordered (fluorite structure) the transition toward the ordered structure (cubic-C) that appears at a rather low temperature (300°C) is interpreted as a transformation of phases that takes place by a recrystallization mechanism. This result gives the activation energy of the transformation process and indicates that this transition takes place by a one-dimensional diffusion process. This last result is interpreted from the effect of the residual stress within the thin oxide film. Analysis and modelling of this stress state are therefore given. The residual stress state of the thin oxide film explains why the disordered-ordered transition is a one-dimensional process.
When the degree of disorder/residual stress level is low, the transition toward the quasi-perfect ordered structure (cubic-C) of the yttrium oxide that appears at a rather high temperature (800°C) is interpreted as a classic recovery mechanism of the cubic-C structure.
4:30 AM - *K6.06
Pulsed Laser Deposition of Ultrasmall Nanoparticle-Based Nanostructure Architectures and Thin Films
David Geohegan 1 Masoud Mahjouri-Samani 1 Mengkun Tian 2 Alex Puretzky 1 Christopher M Rouleau 1 Miaofang Chi 1 Mina Yoon 1 Gyula Eres 1 Karren More 1 Gerd Duscher 2
1Oak Ridge National Laboratory Oak Ridge USA2University of Tennessee Knoxville USA
Show AbstractIt is well known that clusters and nanoparticles are formed by the interaction of pulsed laser ablation plumes with background gases, however their role in the synthesis of thin films and nanostructures has not been made clear. Here the synthesis conditions for ultrasmall nanoparticles (UNPs) of different oxides by pulsed laser vaporization are examined with time-resolved, in situ plume imaging, spectroscopy, and ion probe diagnostics. The roles of these UNP “building blocks” in the formation of multidimensional nanostructures and thin films are explored. TiO2 UNPs are the primary focus, beginning with the assembly of porous branched architectures of oxide nanoparticles deposited by PLD that are used for dye sensitized solar cells. High-resolution scanning transmission electron microscopy and electron energy loss spectroscopy reveal that small (< 3-4 nm-diameter) nanoparticles deposited at room temperature do not have a recognizable bulk phase. Annealing of room-temperature deposits and depositions performed at different temperatures reveal the evolution of structure and crystallinity in various nanostructured thin films, ranging from branched nanorods, to oriented nanorods, to nanosheets, and eventually to crystalline thin films. In situ HRTEM annealing experiments reveal some of the key processes for these transformations, including oriented attachment and onset temperatures for sintering and crystallization. Atomistic computational modeling of TiO2 UNPs reveals the variety of metastable nanostructure configurations that are possible, as well as size-dependent melting phenomena important for their integration into larger nanostructures and chemical reactivity important for doping. Implications for the important role UNPs play in the successful formation of stoichiometric thin films deposited by PLD, as well as novel new synthesis strategies opportunities for the controlled assembly of 1D, 2D, and 3D nanostructured architectures, will be discussed.
Research sponsored by the U.S. Dept. of Energy, Basic Energy Sciences, Materials Science and Engineering Div. (synthesis science) and Scientific User Facilities Div. (characterization science).
5:00 AM - K6.07
Indium Tin Oxide Thin Films Deposited by Glancing Angle Deposition Technique: Influence of the Nanostructuration on the Optical Properties and Electrical Conductivity Mechanisms
Fabien Paumier 1 Thomas Chantepie 1 2 Thierry Girardeau 1 Cyril Dupeyrat 2 1
1University of Poitiers Chasseneuil France2Sagem Damp;#233;fense Samp;#233;curitamp;#233; Saint Benoit France
Show AbstractIndium Tin Oxide (ITO) thin films have attracted much attention in the recent years because of a large variety of technological applications in the fields of optoelectronics (transparent electronics). ITO thin films are deposited by GLancing Angle Deposition (GLAD) in a sputtering deposition chamber. The morphology of the columns can be controlled by changing the substrate orientation in respect of the sputtered particles flow. The results show that as the glancing angle increases, the column angle and the porosity of the ITO films increase due to the shadow effects. This work is focused on the relationship between the nanostructuration, the optical and electrical properties. Thin films were studied by combining three types of characterization: i) structural characterization performed by using X-Ray Diffraction and Scanning electron microscopy, ii) optical properties studied in visible, near and far infrared using spectroscopic ellipsometry and Fourier transform infrared spectroscopy, iii) electrical properties investigated by using Hall measurements at variable temperature (80-570K). Temperature dependent Hall Effect measurements combined with FTIR measurements (optical conductivity) were used to find out the shares in scattering mechanisms ascribable to in-grain (phonon, ionized impurities scattering) and grain boundaries as a function of the angle deposition.
For the small angle deposition the optical properties and conductivity mechanisms are closed to the classical behavior of degenerate semiconductors.
For ITO thin films deposited with the highest glancing angles which exhibit large angle inclination of the columns associated with high porosity (the surface/volume ratio of each grain is increased). This particular microstructure, compared to the bulk one, leads to surprising modification of optical properties and conductivity mechanisms with new functionalities maybe due to the importance of the surface effect (anomalous Hall Effect is evidenced).This modification of the conductivity type could be ascribed to a particular surface magnetic effect. Through Hall Effect measurements at variable temperature, Metal-insulator-Transition is observed and magnetoresistance effect is quantified. The MIT temperature can be monitoring by the glancing angle deposition and by post deposition treatments.
Our results establish the large possibilities to modulate the structure and the physical properties of ITO thin films (electrical and optical) using GLAD deposition. This technique is a suitable candidate for tailoring structure (crystal or amorphous), porosity (until 50%) and consequently refractive index from 1.9 to 1.4 in the visible range as well as original transport properties.
5:15 AM - K6.08
Influence of Physical Vapor Deposition Processes on the Performance and Morphology of Vanadium Dioxide Thin Films
Robert E Marvel 1 Robert Harl 2 Valentin Craciun 3 Bridget Rogers 2 Richard Haglund 1
1Vanderbilt University Nashville USA2Vanderbilt University Nashville USA3National Institute for Lasers, Plasma and Radiation Physics Magurele Romania
Show AbstractThe solid-solid phase transition of vanadium dioxide (VO2) from monoclinic to rutile is accompanied by a large change in resistivity and optical properties. The phase transition may be triggered thermally at 67C or optically, where femtosecond laser pulses can trigger the phase transition on sub 100 femtosecond timescale. These qualities make VO2 a very attractive material for many applications, from large-scale window coatings to nanoscale plasmonic and photonic applications. To meet the design requirements for this broad range of applications, a variety of substrates and deposition processes are employed. Near field scanning microscopy and ultrafast spectroscopy measurements have demonstrated the impact film morphology and grain size have on the percolative and ultrafast phase transition behavior. However, little work has been done to understand how different substrates and deposition processes influence the phase transition properties.
Here we compare films deposited onto different substrates by three of the most common physical vapor deposition processes: pulsed laser deposition (PLD), electron beam evaporation and Rf sputtering. Post deposition annealing of films on silicon (100), sapphire (0001) and glass provide crystalline, epitaxial and amorphous substrates for solid phase crystallization to occur. The deposition processes are optimized such that the as-deposited films have the desired 1:2, vanadium:oxygen ratio. We quantify the phase transition properties with optical spectroscopy, examine the morphology by AFM and characterize the composition with XPS and Raman spectroscopy. Information about the substrate/film interface, crystal orientation and density is obtained from X-ray reflectivity measurements. Trends in film morphology are observed that can be attributed to dewetting behavior and specific features of each deposition process. For films deposited by PLD, dewetting dominates the morphology observed on silicon and glass but not sapphire. Sputtering produces films with uniform structure across all substrates with little evidence of dewetting. E-beam deposited films exhibit dewetting behavior, although not as pronounced as PLD and appear to be more susceptible to formation of other oxides of vanadium. In addition, the stability of VO2 relative to the other oxides of vanadium varies with substrate and deposition process.
5:30 AM - K6.09
High-Throughput Synthesis of Oxide Thin Films
D. Pravarthana 1 Morgane Lacotte 1 Adrian David 1 Paul Salvador 2 Wilfrid Prellier 1
1CNRS/CRISMAT Caen France2Carnegie Mellon University Pittsburg USA
Show AbstractInterest in thin film transition metal oxides is driven in part by the potential technological application of devices exploiting intriguing phenomena, i.e. oxide electronics, and in part by the novel structures and properties observed in epitaxial oxide films, using phase, strain, and interfacial engineering. However, in spite of the large number of observations and promise of epitaxial oxide thin films, most of the investigations have been focused on films on low-index commercially-available single-crystal substrates, which have limited the scope of the study.
Here, we develop a high-throughput synthesis process (called combinatorial substrate epitaxy) where an oxide film is grown epitaxially on a polycrystalline substrate. Based on few examples, we will show how functional properties could be investigated across the entirety of epitaxial orientation space, and provide a library of physical property observations. Ultimately, it will expand our understanding of engineering function into transition metal oxides.
5:45 AM - K6.10
Monte Carlo Simulations of Nanowire Formation on Ordered Oxide Surface Terminations
Gertjan Koster 1 Bouwe Kuiper 1 Guus Rijnders 1 Dave H.A. Blank 1
1University of Twente Enschede Netherlands
Show AbstractCommonly used conducting metal-oxide, SrRuO3, shows a fascinating form of self-organization during pulsed laser deposition (PLD) growth on perovskite substrates with an ordered mixed surface termination. This growth mode results in the formation striped arrays of conducting nanowires on an insulating template.[1,2] These epitaxial nanostructures are of interest for studying size effects in ultra-thin films, where also the in-plane size can be controlled. The atomistic nature of this type of growth is not well understood. Therefore, a three-dimensional lattice kinetic Monte Carlo model is used to study the time evolution of SrRuO3 growth on various termination templates. The self-organized growth is simulated by locally varying the activation barrier for diffusion, i.e., changing the local diffusivity. A critical nanowire height behavior is found, which defines two regimes in the time evolution of nanowire growth. Moreover, this model can be applied in general to PLD growth. It is especially relevant for simulating growth involving multiple unit-cell high vertical steps.
[1] B. Kuiper et al. MRS Comm. 1, 17 (2011)
[2] R. Bachelet et al. Chem. of Mater. 21 2494 (2009)
K5: ZnO Nanostructures I
Session Chairs
Tuesday AM, April 22, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
9:00 AM - *K5.01
Novel Two-dimensional Multifunctional Nanostructured Materials
Jagdish Narayan 1
1North Carolina State University Raleigh USA
Show AbstractIndependent control of crystal structure and chemistry can lead to transformative new functional materials and devices. This talk addresses growth, characterization and properties, and fabrication of test device structures from novel two-dimensional (2D) materials. We combine synergistically unique expertise in thin film processing, characterization techniques across the scale with emphasis on STEM-Z and High-resolution EELS of 2-D materials and devices. These materials are grown by laser annealing or by templating the structure of the underlying growth substrate. By laser annealing, near surface regions are modified by introducing defects and /or dopants which lead to desirable 2D electrical and magnetic properties. Templating leads to 2D metamaterials that assume the structure of the growth substrate and exhibit novel properties not otherwise achievable. We have created novel two-dimensional metamaterials such as bcc Ni, NiO, ZnO (wurtzite and zinc blende) and MoS2. High-power pulsed laser annealing will be used to introduce defects in the top few layers of ZnO and NiO and modify the electrical, optical and magnetic properties in a controlled way. In ZnO, ferromagnetism can be introduced into the surface layers; in NiO, n-type layers can be created in the near-surface regions of p-type films, thus leading to oxide p-n junctions in the same material. In YSZ/Si(100) thin films, a conductivity increase over several orders of magnitude is achieved by pulsed laser irradiation. This represents a more practical way of achieving colossal conductivities than use of epitaxial strain. These two-dimensional metamaterials are expected to exhibit novel properties with an exciting potential for next-generation solid-state devices. Another complementary way to create two-dimensional structures is by domain matching epitaxy using silicon and sapphire epitaxial templates with appropriate buffer layers. The most exciting aspect of our approach is that the unique epitaxial single-crystal structure is determined by the substrate, while the composition can be controlled by growth parameters and chamber pressure ambient in oxide materials. For example, the magnetic properties of bcc Ni can be varied from paramagnetic to ferromagnetic just by controlling the strain. Emphasis will be on integration of these novel materials on Si(100) to enhance and impart smart functionality to a computer chip.
K7: Poster Session I
Session Chairs
Dhananjay Kumar
Florencio Sanchez
Tuesday PM, April 22, 2014
Marriott Marquis, Yerba Buena Level, Salons 8-9
9:00 AM - K7.01
New Vapor-Liquid-Solid Growth Modes of Molybdenum Oxide 1D Nanostructures for Energy Applications
Tao Sheng 1 Baobao Cao 2 Haitao Zhang 2
1University of North Carolina at Charlotte Charlotte USA2University of North Carolina at Charlotte Charlotte USA
Show AbstractVapor-liquid-solid (VLS) process is a growth mechanism widely used for the growth of various one-dimensional (1D) nanostructures. Different from the metal catalysts in common VLS growths of 1D nanostructures, we report the growth of molybdenum oxide (MoO3) 1D nanostructures using alkaline oxides. New growth modes of lateral and axial growths have been discovered. Different from the conventional VLS growths where the formation of 1D nanostructures is guided by the catalysts, the lateral growth of MoO3 1D nanostructures is not guided by the catalysts. In the lateral growth mode, MoO3 nanobelts are grown along the basal plane of (010) with catalysts located on the sidewalls of the nanobelts. On the other hand, in the axial growth mode, the MoO3 growth is guided by the catalysts forming tower-like structures of (010) plate stacks. Growth mechanisms of these new modes have been thoroughly investigated to reveal kinetic processes controlling the formation of MoO3 nanostructures. It has been demonstrated that the growth of MoO3 nanostructures can be realized by a variety of sodium or potassium compounds including hydroxides, carbonates, and halides, as well as different alkaline ion-contained substrates such as glass, mica, and indium tin oxide coated glass. With the understanding of the growth mechanisms, morphologies of the nanostructures can be controlled by engineering the surface wettabilities to aqueous solutions: hydrophobic or hydrophilic. Hierarchical structures are also realized via controlled multiple growths. This study realized the growth of MoO3 nanostructures for energy applications in batteries and electrochromic devices and provides new approaches enriching the routes for 1D nanostructure growth via VLS process.
9:00 AM - K7.02
Electric Field Distribution on Grain Boundaries in Polycrystalline LiNbO3 Thin Films
Dmitry A Kiselev 1 Roman N Zhukov 1 Sergey V Ksenich 1 Alexander S Bykov 1 Mikhail D Malinkovich 1
1National University of Science and Technology amp;#8220;MISiSamp;#8221; Moscow Russian Federation
Show AbstractThin films of Lithium Niobate (LiNbO3) posses a number of advantages over bulk material including the possibilities of producing step index profiles, selectively introducing dopants, and the fabrication of multilayer structures. In addition there are certain applications where only thin films can be used as, for example, when a large refractive index difference between the film and the substrate is necessary. The prospect of producing high quality (oriented and possessing low optical loss) thin films of LiNbO3 on silicon substrates is particularly attractive because the silicon provides a rigid and flat substrate ideal for large area processing of devices by lithographic techniques and it allows for the integration of lithium niobate electro-optic and silicon integrated circuit technology. The surface charges (electrons and holes) injected to the ferroelectric surface would influence the data storage density and further impact the bit readout, signal reliability and stability. It is an emerging task to quantitatively determine surface charges densities as well as their exact contributions to the physical properties.
The studied LiNbO3 films were deposited by radio-frequencies magnetron sputtering of the single-crystalline target in Ar/O=1 atmosphere (0.6 Pa) on n-type Si (111) substrates (ρ = 2 Omega;*cm). The subsequent thermal annealing of the obtained structures has been done in air at 700 C for 2 hours. Atomic force microscopy measurements indicate that the surface roughness of the LiNbO3 thin films was 8 nm, which meets the demands for practical waveguiding devices. The local electric field distribution on grain boundaries of polycrystalline LiNbO3 thin film have been investigated by electrostatic force microscopy (EFM) using scanning probe laboratory NTEGRA-Prima (NT-MDT). From EFM images was seen that with increasing voltage the contrast of EFM signal at the grain boundary becomes gradually more intense signal compared to the grains. Also, we made measurement a nanoscale current as a function of bias voltage (I-V characteristic) for grain and grain boundary. Completely distinct conducting processes and resistive switching effects were observed in the grain boundary and volume grain. At lower voltages the electrical conduction is dominated by the grain boundary and is associated with the redistribution of oxygen vacancies in the grain boundary under external electric fields. From the point of view of applications, the results point to the possible application of the modulation of electrical conductivity in thin films of LiNbO3, which are also applicable to other ferroelectric materials and multiferroic.
9:00 AM - K7.03
Particle Size Modeling of Novel Au/alpha;-Fe2O3 Catalysts for Preferential Oxidation of CO for Fuel Cell Applications
Titilayo Shodiya 1 Oliver Schmidt 1 Wen Peng 1 Nico Hotz 1
1Duke University Durham USA
Show AbstractThere is need to replace our use of fossil fuels with more renewable and sustainable forms of fuels. One promising and widely investigated alternative is an alcohol reforming fuel cell power plant, for example powered by biomass-derived methanol in our case. The issue with alcohol reforming is the production of CO as a by-product, which is toxic for the Pt catalyst of a low-temperature Polymer Electrolyte Membrane (PEM) fuel cell, leading to the complete deactivation of the fuel cell in a couple of hours. One possibility to remove CO from a hydrogen-rich reformate gas mixture is Preferential Oxidation (PROX). Typical PROX catalysts include Au/α-Fe2O3, which achieve high CO conversion and reasonable selectivity at temperatures below 100°C. However, previous studies showed that their catalytic performance decreases drastically in the presence of H2O and CO2, two inevitable components of any fuel reformate.
Through a particle size study, we synthesized the inverse of the conventional Au/α-Fe2O3 catalyst producing 5-7 nm Fe2O3 particles and 15-25 nm Au particles. With this catalyst, we achieved the highest levels of CO oxidation ever documented, 99.85%, under the most realistic fuel cell and reformate gas conditions including high levels of H2O and CO2. Complete modeling of the particle growth of this catalyst gave further insight into growth patterns during synthesis, which has yet to be documented for this type of catalyst. During synthesis, samples were taken of the catalyst solution with respect to time, temperature and pH of the solution. These samples were analyzed using TEM, XRD, EDS and specific surface area measurements to determine the particle morphology, composition and size. The CO oxidation activity was then related to the particle size of both species and analyzed with regard to growth time, temperature, and pH. With the thorough understanding of the growth process, the catalyst is modified to improve conversion to 100% and allows for catalyst customization for systems with differing gas mixtures.
9:00 AM - K7.04
Preparation and Photoelectrochemical Property of P-Doped TiO2 Nanonet
Li-Heng Kao 1 Yi-Ting Chen 1 Chen-Hsiang Kao 2 Meng-Liang Chen 1 Fang-Ci Lai 1 Jia-Ci Jhang 1 Nian-Hang Li 1
1National Kaohsiung University of Applied Science Kaohsiung Taiwan2National Feng-Shan Senior High School Kaohsiung Taiwan
Show AbstractUnique hierarchical cancellous-bone-like microstructures, composed of a three-dimensional (3-D) phosphorus doped TiO2 nanonet (nanofiber network), have been fabricated by a facile process that combines the electrospinning technique with the template method. The trabecular form rod-shaped scaffolds have dimensions of approximately 0.5 to 2.5 mu;m and consist of TiO2-xPx nanonet. This hierarchical 3-D nano/micro porous network material creates numerous interconnected pores with a high surface area that enhance the overall efficiency of the network due to increases in the contact with the reaction media. Phosphorus doped into substitutional sites of TiO2 nanofiber network has proven to be indispensable for band-gap narrowing and photoelectrochemical performance, as assessed by UV-visible diffuse reflectance spectrum and anodic photocurrent response.
9:00 AM - K7.07
Synthesis of Ag/TiO2 Particle Using Binary Ionic Liquids for Water Electrolysis
Kye Sang Yoo 1 Soo Hong Kim 1
1Seoul National Unv. of Sci.amp; Tech. Seoul Republic of Korea
Show AbstractConventional preparation of inorganic materials depends strongly on water and organic solvents. Recently, the preparation of inorganic materials using ionic liquids has been focused on alternative direction in materials chemistry. Ionic liquids have been extensively studied in solvent extraction and organic catalysis, but their use in inorganic synthesis has just begun. Ionic liquids, an exceptional type of solvent consisting virtually only of ions, can act as templates and precursors to inorganic materials, as well as solvents. They present many advantages, such as negligible vapor pressures, wide liquids ranges, good thermal stability, tunable solubility for both organic and inorganic molecules, and much synthetic flexibility. In this work, sphere type of TiO2 particle with small size and regular morphology was prepared with binary ionic liquids. The properties of the particles were significantly affected by the composition of ionic liquids used in synthesis. Moreover, Ag particle was impregnated on the prepared TiO2 particle using various ionic liquids to optimize its properties. This particle, Ag/TiO2, was used for electrocatalyst in water electrolysis. The electrocatalytic activity was drastically changed with the type of ionic liquids used in synthesis. Furthermore, the synthesis mechanism was investigated to identify the role of ionic liquids during the preparation of the particles.
9:00 AM - K7.08
Effects of Hydrogen Plasma Treatment on the Electrical Behaviour of Solution-Processed ZnO Thin Films and Transistors
Yesul Jeong 1 Christopher Pearson 1 Yong Uk Lee 2 Jaeeun Hwang 3 Hongdoo Kim 3 Lee-Mi Do 4 Michael C. Petty 1
1Durham University Durham United Kingdom2Centre for Process Innovation Limited Durham United Kingdom3Kyung Hee University Seoul Republic of Korea4Electronics and Telecommunications Research Institute Daejeon Republic of Korea
Show AbstractZinc oxide (ZnO) is emerging as an important semiconductor material, with potential applications such as field effect transistors (FETs), gas sensors and memories. For most of these devices, the material is required in the form of a thin film, which can be very sensitive to ambient conditions. As a consequence, much recent research has focused on improving the stability of ZnO thin films using post-deposition process such as annealing or passivation [1, 2]. This study reports on the effects of atmospheric hydrogen plasma treatment on the in-plane conductivity of solution processed ZnO and FETs under various environments.
In vacuum, both hydrogen-plasma-treated and untreated ZnO film showed Ohmic behaviour with a room temperature in-plane conductivity. When the untreated ZnO film was exposed to a dry oxygen environment, the conductivity rapidly decreased and oscillations in the current were observed. In certain cases, the thin film could be ‘switched&’ reversibly between the high and low conductivity states. In contrast, the conductivity of hydrogen-plasma-treated ZnO film remained relatively unchanged under the different ambient conditions. We suggest that hydrogen can act as a shallow donor, increasing the carrier concentration, and also generate oxygen vacancies by eliminating a layer of surface contamination. These effects will be discussed in detail. Solution processed ZnO thin film transistors treated with a hydrogen plasma under optimum conditions revealed a field effect mobility of ~1cm2 /Vs, an on/off ratio 107 and with little hysteresis in the output and transfer characteristics. Our results therefore indicate that atmospheric hydrogen plasma treatment could play an important role in stabilising the conductivity of ZnO films, and provide the basis for a simple passivation process.
References
[1] X. Xu, L. Feng, S. He, Y. Jin and X. Guo, IEEE Elec. Dev. Letts. , 33 (10), 2012, 1420.
[2] K. Mahmood and S. B. Park, Electronic Materials Letts., 9 (2), 2013,161.
9:00 AM - K7.09
Correlation between Microstructural and Mechanical Properties in Alumina-Zirconia Composites
Camille Rabache 1 2 Jean-Michel Kiat 1 3 Nicolas Guiblin 1 Florence Porcher 3 Guillaume Bouchet 2
1CNRS-ECP Chatenay-Malabry France2NanoE Company Chilly-Mazarin France3CNRS-CEA Saclay France
Show AbstractAlumina-zirconia composites are well-known materials which combine the good mechanical properties of zirconia and the stability properties of alumina. Among them zirconia toughened alumina have lot of potential biomedical applications like orthopedic prosthesis. However most of the fundamental studies which obviously deal with samples synthesized at a laboratory scale shown very different results depending on process conditions. In particular the mechanical properties of these composites are strongly samples-dependent due to different elaboration, shaping and sintering conditions. In this work, we have studied composites produced at a massive scale. The gigantic mechanical properties of these composites are associated to the retention of the high temperature tetragonal structure of zirconia down to room temperature either by adding stabilizing oxides such as yttria or by keeping zirconia grain size below a critical threshold. This stabilization allows toughening by phase transformation. Indeed tetragonal zirconia at room temperature is metastable, if any external stress is applied, zirconia returns to the stable monoclinic phase, and opposes to the failure. This phenomenon is the origin of the outstanding mechanical properties of zirconia. Several parameters can play a key role for the stability of tetragonal zirconia : chemical and doping composition, competition between size and strains effect due to alumina matrix, internal strain etc..
In this study we have studied alumina-zirconia composites with various contents of zirconia and yttria by XRD, neutron diffraction and SEM which allowed separating and understanding the influence of all parameters: composition of composites, grain size, macro and micro-strain, presence of additional phases (monoclinic or cubic zirconia), temperature etc....
We have used an industrial process of mechanical milling in aqueous media to produce alumina-zirconia composites which appears to be very efficient. Indeed composites with relative density above 99% in a concentration range of 2,5 to 50wt% of zirconia and with very fine and well dispersed microstructure are obtained. We have studied bending strength, Vickers hardness and threshold of the fracture toughness.
Correlation between mechanical and microstructural behavior have been studied. Depending on mechanical properties expected by the customer this study allows tailoring the optimum composites: concentration of zirconia, of yttria, grain sizes. For example, if the mechanical properties expected are high bending strength and fracture toughness, a high zirconia content will be preferred. In contrary, if a high Vickers hardness is expected, the zirconia content must be limited.
9:00 AM - K7.10
Sensitive, High-Strain, High-Rate, Bodily Motion Sensors Based on Graphene-Rubber Composites
Conor S Boland 1 Umar Khan 1 Jonathan N Coleman 1
1Trinity College Dublin Dublin Ireland
Show AbstractMonitoring of human bodily motion requires wearable sensors which can detect position, velocity and acceleration. They should be cheap, lightweight, and mechanically compliant and display reasonable sensitivity at high strains and strain-rates. No reported material has simultaneously demonstrated all the above requirements. Here we describe a simple method to infuse liquid-exfoliated graphene into natural rubber to create conducting composites. These materials are excellent strain-sensors displaying up to 1000-fold increases in resistance and working at strains exceeding 500%. The sensitivity is reasonably high with gauge factors of up to 30 observed. More importantly, these sensors can effectively track dynamic strain, working well at vibration frequencies of at least 160 Hz. At 60 Hz, we could monitor strains of at least 6% at strain rates exceeding 6000 %/s. We have used these composites as bodily motion sensors, effectively monitoring joint and muscle motion as well as breathing and pulse.
9:00 AM - K7.11
Characterization and Applications of Nano-Arranged Hybrid Silica
Kyung M. Choi 1
1University of California Irvine USA
Show AbstractA nano-arranged hybrid silica based on alkylene-bridge polysilsesquioxanes has been molecularly designed and synthesized for the creation of new optical properties. Usually, silica is an amorphous; however, the designed hybrid glass has shown a crystalline property due to the nano-peoridicity. The new family of hybrid glasses was prepared by a copolymerization of alkylene-bridged sol-gel monomer and a sol-gel processable chromium precursor. The resulting hybrid glass obtained by a molecular-level mixing technique showed an unusual optical property. In TEM images, it also showed a novel nano-fringe pattern arise from highly aligned lattice fringes of alkyl-spacers. In our laser experiment, when the light passed through the glassy medium, the glass generated a huge acoustic wave. Also, usually, a solid medium generates the linear waves due to the solid lattice frames. Interestingly, the nano-periodic hybrid glass generated a large acoustic wave. The nano-periodic structure contributed to the generation of the effective diffraction grating effect when the light passed through the long carbon-chains. It is a new optical property, which hitherto hasn&’t been found. It can be used for laser devices, which can be effectively generated a high optical grating effect at the molecular scales.
9:00 AM - K7.13
Study on Characterization and Photocatalytic Properties of ZnO Thin Film
Chang-Hao Chen 1 Yen-Hua Chen 1
1National Cheng Kung University Tainan Taiwan
Show AbstractIn this study, ZnO thin films with different deposition parameters are fabricated on the Si substrate by using R.F. magnetron sputtering system. The deposition is carried out at 300, 400, and 500 °C in the atmosphere having an Ar/O2 ratio of 8/2, 5/5, and 2/8, at a total pressure of 5 mtorr. After preparation, the crystal structure of ZnO films is examined by X-ray diffractometer (XRD). The surface roughness and grain size of ZnO films are observed by atomic force microscopy (AFM). The photocatalytic experiments are performed under UV-light irradiation.
From the XRD pattern, the (002) diffraction peak of ZnO films is quite obvious. The intensity of (002) diffraction peak increases with the increasing Ar/O2. However, it shows an irregular trend with an increase of deposition temperature. The grain size of ZnO films has a positive relation with Ar/O2 and substrate temperature. The roughness of ZnO films becomes bigger with the increasing argon pressure. The photocatalytic activity of ZnO films seems good and decreases with an increase of Ar/O2, however, it is not apparent for the substrate temperature. From these findings, it suggests the deposition parameters of Ar/O2 and deposition temperature would influence the crystal orientation, morphology, and photocatalytic efficiency of ZnO films.
9:00 AM - K7.14
Analog Resistive Switching Characteristics of the Maghemite Nanoparticle Assembly with Pt Nanoparticles
Quanli Hu 1 Mira Park 1 Tae-Sik Yoon 2 Young Jin Choi 1 Chi Jung Kang 1
1Myongji University Yongin-si Republic of Korea2Myongji University Yongin-si Republic of Korea
Show AbstractA synapse has essentially two terminals that is similar to the Resistive switching random access memory devices which have a simple metal-insulator-metal structure. The analog resistive switching of maghemite (γ-Fe2O3) nanoparticle assembly with platinum (Pt) nanoparticles was investigated in a Ti/nanoparticle assembly/Pt structure. The use of the colloidal nanoparticles assembly has the benefit of the solution-based processes, which are cost-effective and also compatible to the flexible devices. The γ-Fe2O3 and Pt nanoparticles were chemically synthesized with ~13 nm and ~ 4nm, respectively. The assembly with a thickness of ~70 nm presented analog resistive switching. If only positive (or negative) voltages are applied to the top electrode with the bottom electrode grounded, the resistance is gradually reduced (or increased). After sweeping the opposite voltage of -V (or +V), the resistance is increased (or reduced) again. It also shows the increased magnitude of resistance change with increasing sweep voltage. The electrical conduction mechanism can be explained by the ohmic conduction as the voltage swept from 0 → 1V and 1 → 0V, and the space charge limited conduction as the voltage swept from 1 → 5 → 1V. The repeated potentiation pulsing (+6 V, 10 ms) for 20 times increases the current from 8 × 10-7 A to 1.5 × 10-6 A at a read voltage of 1 V. The subsequent depression pulse (-0.5 V, 10 ms) reduces the current back to 5.7 × 10-7 A. The repeated alternating potentiation and depression pulses exhibit identical tendencies. The endurance properties of the device are demonstrated. The hysteresis of the I-V could be obtained until 100 cycles. The resistance increased with the repeated sweep.
9:00 AM - K7.15
Structure and Ferroelectric Properties of La-Doped BiFeO3/SrTiO3 Superlattice Structure Fabricated by rf Sputtering
Hsin-Yi Lee 1 Shang-Jui Chiu 1 2 Ge-Ping Yu 2
1National Synchrotron Radiation Research Center Hsinchu Taiwan2National Tsing Hua University Hsinchu Taiwan
Show AbstractAsymmetric multiferroic 5%La-doped BiFeO3 (BFO) and paraelectric SrTiO3 (STO) superlattice structure was deposited on LaNiO3 (LNO) electrode by rf magnetron sputtering system. High crystalline quality of the La-doped BFO/STO artificial superlattice structure on LNO electrode have been confirmed by clear main feature and satellite features around (002) STO substrate Bragg peak shown in (002) radial scan spectrum. The results of in-pane crystal truncation rod (CTR) spectrum and the rocking curve indicated that superlattice films with high crystal quality behaved in-plane strain closed to fully strained state on both LNO and Nb-doped STO electrode. The epitaxial relation between the La-doped BFO and STO layers in the superlattice was examined through a (103) azimuthal scan and (103) Lscan. The results show a four-fold symmetry and slight distorted of γ angle of the BFO unit cell, confirming the pseudocubic structure of the La-doped BiFeO3 structure.
The measurement of hysteresis loops showed that La-doped BFO superlattice structure on LNO electrode behaved lower, but stable and saturated ferroelectric properties than that of films with Nb-doped STO substrate in our previous study. The measurement of resistivity and leakage current density of superlattice films demonstrated that resistivity of electrode is the main factor to determine the leakage properties of films. The results of piezoelectric coefficient (d33) measurement demonstrated that the superlattice films behaved better ferroelectric properties on LNO electrode than films Nb-STO substrate. Even with lower polarization values and larger leakage current, La-doped BFO/STO superlattice films with LNO electrode behave much better and intrinsic ferroelectric properties.
9:00 AM - K7.16
PZT Nanofilm-Based, Wafer-Scale Piezoelectric Nano-Resonators
Denis Dezest 1 Fabrice Mathieu 1 Laurent Mazenq 1 Caroline Soyer 2 Jean Costecalde 2 Denis Remiens 2 Liviu Nicu 1
1LAAS CNRS Toulouse France2IEMN-CNRS Lille France
Show AbstractIn this work, we present an unprecedented level of integration of piezoelectric actuation means on arrays of functional nanoresonators at the wafer scale. We use lead titanate zirconate (PZT) as piezoelectric material mainly because of its excellent actuation properties even when geometrically constrained at extreme scale.
For nanoelectromechanical systems (NEMS) to start being a viable alternative to their microscale counterparts (i.e. microelectromechanical systems or MEMS), the fully integrated transduction at the wafer scale represents the ultimate goal to be achieved.
Here, the fabrication method was performed on SOI 4” P-type (100) wafer (340nm/1µm/525µm). Arrays of four cantilevers of different lengths (from 2µm to 10.5µm) and similar width have been placed on the wafer. The width was varied from 1µm to 2.8µm. A piezoelectric stack including top Ti-Pt (12-120nm thick) and bottom LNO (100nm thick) electrodes as well as the PZT material (150nm thick) has been patterned on each nanocantilever at the wafer scale using a UV stepper photo repeater (CANON FPA 3000i4/i5).
The mechanical integrity of the nanocantilevers was assessed by external piezoelectric actuation and optical sensing of the fundamental mode resonant frequency. Measurements were performed in a home-made fully-automated Fabry-Perot interferometer configuration, under secondary vacuum at ambient temperature. The piezoelectric actuation at the nanodevice level was tested by biasing the top and bottom electrodes. The nanocantilevers&’ mechanical responses notably showed linear dependence with respect to the AC applied voltage with a quality factor of 878 at 5.472 MHz for an AC voltage level of 200 mV under secondary vacuum at room temperature.
In conclusion, we demonstrated functional of PZT nanofilm-based piezoelectric actuation on NEMS. This work paves promising ways for NEMS to be used in configurations where transduction capabilities are integrated at the nanodevice level providing effective fabrication process flow at the wafer-scale.
9:00 AM - K7.17
Block Co-Polymer Mediated Co-Assembly of 3D Ordered Novel Graphene Mesoporous TiO2 Thin Films Synthesized by Evaporation Induced Self-Assembly
Anurag Kawde 1 Alexander O'Toole 1 Richard Phillips 1 Adam Lemke 1 Robin Hansen 1 Thomas Murray 1 Eric Eisenbraun 1
1SUNY CNSE Albany USA
Show AbstractIn this study, we report synthesis of a novel hierarchical nanostructure comprising of graphene layers self-assembled into a 3D hexagonal (P63/mmc) mesoporous TiO2 nanostructured thin-film, prepared by evaporation induced self-assembly (EISA) process. A dilute TiO2 sol containing amphiphilic tri-block copolymer, BCP (PEO20-PPO70-PEO20, P123) and graphene oxide was spin-coated on a Si substrate and subsequently aged to accomplish formation of a 3D hexagonal micro phase of BCP template. Aged thin-films after calcination were analyzed under high resolution scanning and transmission electron microscopy suggesting self-assembled graphene layers inside hexagonally closed packed surface mesopores of TiO2 nanostructure. To establish 3D hexagonal mesoporous structure of TiO2 thin films were prepared by EISA without the addition of graphene oxide sol. Structural characterization of these thin-films was performed by HR-SEM and XRD, which confirmed 3D hexagonal mesoporous structure. The X-ray & electron diffraction of mesoporous TiO2 thin-films showed presence of nominally phase pure anatase
9:00 AM - K7.18
A Single ZnO Coated Nanospring Chemiresistor
Pavel Viktorovich Bakharev 1 Vladimir Dobrokhotov 2 David McIlroy 1
1University of Idaho Moscow USA2Western Kentucky University Bowling Green USA
Show AbstractA redox chemical sensor (chemiresistor) was constructed with a single ZnO coated silica nanospring. The chemiresistor response to toluene vapor as a function of the sensor temperature (TNS) and vapor temperature (TV) was observed and analyzed. During the experiment the maximum sensitivity of the single ZnO coated nanospring device was achieved at the sensor temperature (TNS) being 310°C and at the vapor temperature (TV) of 250°C. A comparison of the electrical response characteristics of a single ZnO coated nanospring device with those characteristics of a ZnO coated flat surface was conducted in order to demonstrate the influence of the chemiresistor geometry on the sensor sensitivity. A computational model was developed to simulate an electrical response of the hexagonal polycrystalline ZnO structures deposited on the substrates of different geometries.
9:00 AM - K7.20
Analysis of Dopant Atom Distribution and Quantification of Oxygen Vacancies on Individual Gd-Doped CeO2 Nanocrystals
Daniel Grando Stroppa 1 2 Cleocir J. Dalmaschio 3 4 Lothar Houben 2 Juri Barthel 2 Luciano Montoro 5 Edson R. Leite 4 Antonio J. Ramirez 5
1International Iberian Nanotechnology Laboratory Braga Portugal2Forschungszentrum Jamp;#252;lich Jamp;#252;lich Germany3Federal University of Espamp;#237;rito Santo Samp;#227;o Mateus Brazil4Federal University of Samp;#227;o Carlos Sao Carlos Brazil5Brazilian Nanotechnology National Laboratory Campinas Brazil
Show AbstractThis work reports the analysis of the distribution of Gd atoms and the quantification of O vacancies applied to individual CeO2 and Gd-doped CeO2 nanocrystals by electron energy loss spectroscopy. The concentration of O vacancies measured on the undoped system (6.3±2.6%) matches the expected value given the typical Ce3+ content previously reported for CeO2 nanoparticles. The doped nanoparticles have a core-shell-like distribution of dopant atoms and an atypical amount of O vacant sites (37.7±4.1%). The measured decrease of the O content induced by Gd doping cannot be explained solely by the charge balance including Ce3+ and Gd3+ cations.
9:00 AM - K7.21
Processing and Characterization of Nanoscaled Ni/YSZ Anodes for Solid Oxide Fuel Cells
Julian Szasz 1 Dino Klotz 1 Heike Stoermer 2 Dagmar Gerthsen 2 3 Ellen Ivers-Tiffee 2 3
1Karlsruhe Institute of Technology (KIT) Karlsruhe Germany2Karlsruhe Institute of Technology (KIT) Karlsruhe Germany3Karlsruhe Institute of Technology (KIT) Karlsruhe Germany
Show AbstractSolid oxide fuel cells (SOFC) and electrolyzer cells (SOEC) are preferable operated at temperatures of 600°C or even lower, either for improved durability or efficiency (SOEC). However, this is a challenge, as the electrode activation polarization is a thermally activated process, responsible for a steep decline of performance at lower temperatures. Anode studies report either the use of (i) new materials with enhanced reaction and transport properties, or of (ii), nanoparticles enhancing the catalytic activity within porous mu;m scaled electrodes. However, most options make use of expensive rare earth materials or even noble metals. In contrary, this study shows the benefit of a nanoscaled Ni/YSZ interlayer, which forms at the interface electrolyte/anode by a simple, yet efficient, in-operando treatment. The fundamental processing step is based on a short-time application of a high current density in reverse direction (reverse current treatment - RCT). Transmission electron microscope (TEM) studies prove, that Nickel grains of 20 nm size are embedded in a porous YSZ network, leading to a significant increase of electrochemical active sites (triple phase boundary - TPB). Superior reaction kinetics for the electro-oxidation reaction of hydrogen reduce the area specific polarization losses to 1/15 at 700°C and 5.5% fuel utilization, when compared to mu;m scaled technical anodes.
In this contribution we evaluate the effective reaction mechanisms by means of current/voltage measurements during RCT, electrochemical impedance spectroscopy measurements and post-test analysis by TEM. Proposed mechanisms are: (i) the reduction of the YSZ electrolyte, (ii) an interdiffusion of Ni and Zr by NiXZrY phase formation, (iii) a reoxidation of NiXZrY to the former states Ni and YSZ and the building of pores.
9:00 AM - K7.22
Electrodeposited Cu2O|Zno Heterostructures with High Built-In Voltages for Photovoltaic Applications
Shane Heffernan 1 2 Rob Waddingham 1 William Ecclestone 3 Andrew Flewitt 1
1University of Cambridge Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom3University of Liverpool Liverpool United Kingdom
Show AbstractPhotovoltaic (PV) devices using metal oxides as the semiconducting layers are an expanding area for research. Improvements in low-cost materials processing techniques and the advent of nanomaterials make oxides a viable candidate material for ultra-low cost, application-integrated photovoltaics designed to complement the newly commoditised market for on-grid PV projects. Of these metal oxide materials, the Cu2O|ZnO heterojunction system has shown the most promise thus far, with the prospect of achievable power conversion efficiencies of 6-7%. Electrodeposition of Cu2O offers a facile, ambient, energetically inexpensive and scalable route to growing photon absorbing layers onto ZnO and possesses a parameter space for processing that is still relatively underexplored. Previous work has succeeded only in producing photodiodes with built-in voltages (Vbi) of ~ 0.4V[1]. This is only 60% of what the maximum that band offsets would theoretically predict and what high-temperature processing has achieved[2]. Limitations to Vbi are thought to arise from shunt pathways arising from energetically dispersed interface defects[3] as well as sub-optimal Fermi-levels in the Cu2O layer caused by increased bulk defect densities.
Herein we present methods of improving the built-in voltage of low-cost Cu2O|ZnO heterojunction diodes fabricated through galvanostatic deposition of Cu2O. The roles of Cu2O stoichiometry, disorder and carrier concentration with respect to diode quality are discussed and processing parameters responsible for improved Vbi are presented. The relationship between pH, deposition current, temperature, and diode quality is analysed and a well-defined and understood process window for optimal Cu2O deposition on ZnO is obtained with pH range between 12.2 and 12.55 and current density range between 0.7 and 1.5 mA cm-2. Both parameter windows are found to be narrower than previously reported[4] and much narrower than the processing window for the deposition of Cu2O films. The effects of current density on Cu2O stoichiometry are confirmed and an informed 2-step approach deposition based on the use of different currents is presented for the first time. A Vbi of 0.6 V is achieved, which is the highest reported for cells produced using low temperature processing routes involving electrodeposition and reactive sputtering.
Hydrothermally grown ZnO nanowires are employed to improve photocurrent density in the Cu2O|ZnO system and basic design rules for their morphology are established. Potential improvements and fundamental limitations of the Cu22O|ZnO photoactive heterojunction are discussed.
[1] K. Musselman et al Adv. Mater., vol. 22, no. 35, pp. E254-8, 2010.
[2] T. Minami et al, Appl. Phys. Express, vol. 4, no. 6, p. 062301, 2011.
[3] K. Musselman et al, Adv. Funct. Mater., vol. 21, no. 3, pp. 573-582, 2011.
[4] M. Izaki et al J. Phys. D. Appl. Phys., vol. 40, no. 11, pp. 3326-3329, 2007.
9:00 AM - K7.23
Synthesis of Ultrasmall Functionalized ZnO2 Nanoparticles via Microfluidic Reaction Technology (MRT)
Christian Bergs 1 Andrij Pich 1
1DWI an der RWTH Aachen Aachen Germany
Show AbstractZinc peroxide nanoparticels are widely used in many applications like photocatalysis, pharmacy, cosmetics, as precursors for ZnO and polymer processing. Owing to this versatility, a series of synthesis methods evolved. Usually the compound is synthesized via methods such as hydrothermal synthesis, laser ablation, UV excitation, electrochemical deposition and sol gel synthesis. However, the disadvantages of all these methods are aggregation and high polydispersities of the resulting nanoparticles.
To circumvent these drawbacks, we established a completely new synthesis route to obtain ultrasmall and monodisperse ZnO2 nanoparticles. As starting materials we used zinc acetate, hydrogen peroxide and water and processed them with a Microfluidic Reaction Technology device, which is capable of producing pressures of up to 1400 bar. Additionally it uses a special Y-formed reaction chamber with reaction channel diameters of 75 mu;m. The combination of high process pressure and the special geometry of the reaction chamber results in high shear and impact forces within the chamber, which guarantee a particle size reduction and a monodisperse product. To further improve the reaction system we additionally used Bis[2-(methacryloyloxy)ethyl] phosphate (BMEP) to stabilize and functionalize the particles in-situ. This multifunctional molecule consists of a phosphonate headgroup and two methacrylate tailgroups. The phosponate moiety interacts strongly with the particle surface which results in particle stabilization, while the methacrylate groups generate additional functionalities on the particle surface.
The obtained products were characterized by means of X-ray diffraction (XRD), transmission electron microscopy (TEM), Raman spectroscopy, Zeta-potential measurements and thermogravimetry (TGA).
9:00 AM - K7.24
Large Mobility Increase in the TiO2-Channel Transistor by Using the Defect-Controlled TiO2 Film
Takeaki Yajima 1 Go Oike 1 Tomonori Nishimura 1 Kosuke Nagashio 1 Akira Toriumi 1
1Univ. of Tokyo Tokyo Japan
Show AbstractA TiO2-channel thin film transistor (TFT) on a silicon substrate may control TiO2 surface properties, such as photocatalysis and superhydrophilicity, by sinply applying the gate voltage. As such a device platform, however, a TiO2-channel TFT has shown the field effect mobility (mu;FE) ~ 1 cm2/Vs, an order of magnitude lower than the Hall mobility in a Nb-doped TiO2 film. While the descrepancy would be usually attributed to the interface in conventional semiconductors, the ease of forming ionic defects in TiO2 makes one reconsider the effect of the TiO2 film itself. Here, when the post-annealing temperature for the TiO2-channel TFT was increased up to 600 #9675;C, the in-gap state in the TiO2 film, attributed to the residual oxygen vacancy, decreased in the photoconductivity measurement. Concomitantly, the mu;FE was increased up to ~ 10 cm2/Vs, a record-high mu;FE in the TiO2-channel TFT, highlighting the impace of the defect control in the film on the TFT channel transport.
An amorphous TiO2 film was deposited on the SiO2(119 nm)/n+:Si(001) substrate by pulsed laser deposition (PLD) at room temperature, and post-annealed in nitrogen flow for 1 hour at various temperatures. The optimized oxygen pressure of 1 Pa during PLD achieved a single phase anatase poly-crystal after the post-annealing. Just after the crystallization by post-annealing above 250 #9675;C, the TiO2 film showed a large off current, indicating a large amount of oxygen vacancy in the film. When the annealing temperature was raised to 400-500 #9675;C, the off current was suppressed, but the mu;FE was still ~ 1 cm2/Vs with features indicating Anderson localization. From the photoconductivity, an in-gap state at 2 eV above the valence band minimum identified oxygen vacancy in the TiO2 film [1], and was merged with the conduction band minimum to form a large tail state. By further increasing the post-annealing temperature up to 600 #9675;C, the mu;FE ~ 10 cm2/Vs was obtained without any localization effect, and the photoconductivity showed the in-gap state shrank and the tail state disappeared. These results indicate that, by simply increasing the post-annealing temperature, the non-equilibrium oxygen vacancy in the TiO2 film was decreased, and the electron transport in the TiO2 channel became free from the Anderson localization realizing the high mu;FE in the TiO2-channel TFT.
This work was performed in collaboration with STARC.
[1] V. E. Henrich et al., Phys. Rev. Lett. 36, 1335 (1976).
9:00 AM - K7.25
Controllable Synthesis of TiO2-Based Nanostructured Materials for High-Performance Lithium-Ion Batteries
Hao Bin Wu 1 2 Huey Hoon Hng 1 Xiong Wen (David) Lou 2
1Nanyang Technological University Singapore Singapore2Nanyang Technological University Singapore Singapore
Show AbstractTo develop lithium-ion batteries (LIBs) with higher energy/power density, improved safety, and longer lifetime, there is an urgent demand for new electrode materials. Highly abundant and low toxic titanium dioxide (TiO2) has been studied as a promising anode material for high-performance LIBs. Several unique advantageous features have been identified for TiO2-based anodes, such as improved safety and great potential to operate at high current rates for extended cycle life, which originate from the relatively high working potential, excellent stability, small volume expansion upon lithium insertion, and ease of structural tailoring. However, the practical lithium storage performance is generally hindered by the low electrical/ionic conductivity of TiO2. This problem could be mitigated by utilizing nanostructured TiO2 materials with reduced Li-ion/electron transport length and increased electrode/electrolyte contact area.
We have developed several solvothermal systems for the facile preparation of various TiO2-based nanostructures, including ultrathin anatase TiO2 nanowires, asymmetric anatase TiO2 nanorods with exposed high-index facets, and hierarchical spheres constructed by titanate nanosheets. The unique morphologies and architectures of these low-dimensional nanostructures are expected to show tremendous impacts on their electrochemical properties. Electrochemical investigation reveals that these TiO2 nanostructures are promising candidates as high rate and long cycle life anode materials for LIBs. The high-rate performance can be further improved by forming nanocomposites with conductive matrix. As a demonstration, we have further rationally designed and fabricated hierarchically structured nanocables with TiO2 nanosheets anchored on carbon nanotubes, which exhibit remarkable lithium storage properties with high capacity of ca. 130 mA h g-1 after 300 cycles at 5 C rate (1 C = 170 mA g-1), and reversible capacity of ca. 75 mA h g-1 at 20 C.
References
[1] H. B. Wu, H. H. Hng, X. W. Lou, Direct synthesis of anatase TiO2 nanowires with enhanced photocatalytic activity, Adv. Mater. 2012, 24, 2567-2571.
[2] H. B. Wu, X. W. Lou, H. H. Hng, Titania Nanosheets Hierarchically Assembled on Carbon Nanotubes as High-Rate Anodes for Lithium-Ion Batteries, Chem. Eur. J. 2012, 18, 3132-3135.
9:00 AM - K7.27
Compositional Investigations of Amorphous Indium Zinc Oxide Thin Films Deposited by Combinatorial Pulsed Laser Deposition
Valentin Craciun 1 Emanuel Axente 1 Joerg Hermann 2 Gabriel Socol 1 Catalin R. Luculescu 1 Paul Ionescu 3 Dan Pantelica 3 Aurelian C Galca 4 Catalin Martin 5 L. M Trica 4
1Natl. Inst. for Laser, Plasma, and Radiation Physics Magurele Romania2CNRS - Aix-Marseille University Marseille France3National Institute of Physics and Nuclear Engineering Horia Hulubei Mamp;#259;gurele Romania4National Institute for Materials Physics Mamp;#259;gurele Romania5University of Florida Gainesville USA
Show AbstractThe use of amorphous, transparent, and conductive oxide (a-TCOs) is key for the development of advanced thin film transistors and displays fabricated at room temperature on inexpensive plastic substrates. Recently, amorphous indium zinc oxide (IZO) was shown to exhibit a very good transparency in the visible range and high mobility even when deposited at room temperature. Since the properties and the cost of these films depend on the In/(In+Zn) values, an accurate measurement of this ratio is paramount for future developments and applications. We report on accurate compositional analysis of IZO thin films synthesized using a Combinatorial Pulsed Laser Deposition technique via laser-induced breakdown spectroscopy (LIBS) based on the calculation of the spectral radiance of the laser-produced plasma. Several IZO thin films were irradiated with ultraviolet nanosecond laser pulses and the plasma emission spectra were characterized using time-resolved optical emission spectroscopy. The recorded spectra were then compared to the spectral radiance computed for plasmas in local thermal equilibrium. The time evolution of the plasma was studied to find the optimized recording conditions for which the self-absorption of spectral lines is minimized. In addition, the time-resolved measurements allowed us to determine the Stark broadening parameters of spectral lines used for the LIBS analysis. The metal fractions measured via LIBS were compared to values obtained by complementary measurements using energy dispersive X-ray spectroscopy (EDAX), spectroscopic ellipsometry (SE) and Rutherford backscattering spectrometry (RBS). The results obtained with all methods were found to be in good agreement independently of the relative fraction of indium and zinc that varied for our films from about 60 to 90 and 40 to 10%, respectively.
9:00 AM - K7.31
Electronic Structure and Optical Properties of Cuprous Oxide and Hydroxide
Yunguo Li 1 Pavel Korzhavyi 1
1Royal Institute of Technology (KTH) Stockholm Sweden
Show AbstractThe vast applications of copper in the areas like electronics,1 fuel cell operation,2 and spent nuclear fuel disposal,3,4 demand accurate descriptions of the physical and chemical properties of copper compounds. The existence of cuprous hydroxide was revealed recently.5,6 Due to its complex structure, the determination of the accurate electronic and optical properties is nearly impossible in experiment. Based on the density functional theory calculations, we report the bond nature, momentum resolved energy dispersion, density of states, and optical properties of the cuprous oxide and hydroxide. It is found that the hybrid functional approach can accurately describe the electronic structure and optical properties of cuprous oxide and hydroxide. The calculated electronic structure and optical properties of cuprous oxide are in good correspondence with the experimental data7 and other theoretical results8. The cuprous hydroxide is found to be a semiconductor with an indirect bandgap of 2.73 eV.
[1] Y. Lee, J. R. Choi, K. J. Lee, N. E. Stott, D. Kim, Nanotechnology, 2008, 19(41), 415604.
[2] A. Atkinson, S. Barnett, R. J. Gorte, J. T. S. Irvine, A. J. McEvoy, M. Mogensen, J. Vohs, Nature Mater., 2004, 3(1), 17-27.
[3] P. A. Korzhavy, B. Johansson, TR-11-08, Swedish Nucl. Fuel Waste Manag. Co., Stockholm, 2011.
[4] B. Rosborg, L. Werme. J. Nucl. Mater., 2008, 379(1), 142-153.
[5] P. A. Korzhavyi, I. L. Soroka, E. I. Isaev, C. Lilja, B. Johansson, PNAS 2012, 109, 686-689.
[6] I. L. Soroka, A. Shchukarev, M. Jonsson, N. V. Tarakina, P. A. Korzhavyi, Dalton Trans. 2013, 42, 9585-9594.
[7] M. Hara, T. Kondo, M. Komoda, S. Ikeda, K. Shinohara, A. Tanaka, J. N. Kondo, Chem. Comm. 1998, 357-358; P. E. DeJongh, D. Vanmaekelbergh, J. J. Kelly, Chem. Comm. 1999, 1069-1070.
[8] D. O. Scanlon, G. W. Watson, Phys. Rev. Lett., 2011, 106(18), 186403.
9:00 AM - K7.33
Powerful, Multifunctional Torsional Micro Muscles Activated by Phase Transition of VO2 Thin Films
Kai Liu 1 2 Chun Cheng 1 Joonki Suh 1 Robert Tang-Kong 1 Deyi Fu 1 Junqiao Wu 1 2
1University of California, Berkeley Berkeley USA2Lawrence Berkeley National Lab Berkeley USA
Show AbstractTorsional artificial muscles are difficult to scale down to the micro scale, especially if robust and diverse functionalities are to be integrated inside a very limited space. A simple yet efficient design with judiciously chosen active materials is necessary to deliver powerful outputs. Here we demonstrate a set of micro bimorph coils that function as powerful torsional muscles, driven thermally or electrothermally by a metal-insulator phase transition in the active material vanadium dioxide nanolayer. Reversible torsional motion over one million cycles without degradation is demonstrated, with a superior rotational speed up to ~200,000 rpm, amplitude of 500 degree per mm length, and power density up to ~ 39 kW/kg. These torsional muscles combine multiple functions as torsional motors, micro catapults, proximity sensors, as well as memristors. Being able to sense a distanced object and then respond by rotating to a different configuration, the torsional muscles simulate active neuromuscular systems with all-inorganic materials.
9:00 AM - K7.34
Matching Oxide Semiconductors with Organic Materials for Solar Applications
Hal Gokturk 1
1Ecoken San Francisco USA
Show AbstractCombinations of oxide semiconductors and organic materials are widely utilized in solar applications, dye sensitized solar cells being a prime example. The organic molecule is a good absorber of photons and generates solar carriers efficiently. The oxide semiconductor is a good transporter of charges by virtue of its long range order. The question is how to select the pair so that solar generated carriers would be handed from one to the other with minimal loss. In this research, charge overlap between the organic material and the oxide semiconductor is investigated as a measure to optimize the selection. In order to smoothly transfer charges from one to the other, it is desirable to have a high degree of overlap and close proximity at the interface.
The oxide semiconductor chosen for the study is zinc oxide (ZnO). Organic materials consist of small molecules that include anthracene, tetrathiafulvalene (TTF), naphtalene tetracarboxylicdianhydride (NTCDA) tetracyanoquinodimethane (TCNQ), and terthiophene (3T). Fullerene (C20) is also included in the study as a non-oxide material which can be compared with ZnO. The research is carried out with quantum mechanical calculations using the DFT method with B3LYP functional and Pople type basis sets augmented with polarization functions. Atomic models consist of nanoparticles of ZnO or C20 paired with an organic molecule on the surface of the particle. Optimal positioning of each organic molecule with respect to the nanoparticle is calculated. Based on the resulting geometry, proximity at the closest point, degree of overlap, and binding energy between the organic molecule and the nanoparticle are estimated.
Calculated results are as follows:
Anthracene/ZnO: Proximity 0.46 nm, overlap 50%, binding energy <0.1 eV.
Anthracene/C20: Proximity 0.36 nm, overlap 40%, binding energy ~0 eV.
TTF/ZnO: Proximity 0.26 nm, overlap 70%, binding energy 0.6 eV.
TTF/C20: Proximity 0.69 nm, overlap 50%, binding energy ~0 eV.
NTCDA/ZnO: Proximity 0.34 nm, overlap 50%, binding energy 0.2 eV.
NTCDA/C20: Proximity 0.32 nm, overlap ~0%, binding energy <0.1 eV.
TCNQ/ZnO: Proximity 0.32 nm, overlap 70%, binding energy 0.3 eV.
TCNQ/C20: Proximity 0.34 nm, overlap 60%, binding energy <0.1 eV.
3T/ZnO: Proximity 0.21 nm, overlap ~0%, binding energy 0.3 eV.
3T/C20: Proximity 0.30 nm, overlap ~0%, binding energy <0.1 eV.
Results indicate that the best match with ZnO is TTF. Not only is the proximity to ZnO surface very close, but also binding energy is high enough to withstand thermal disruptions even at high temperatures. TCNQ and NTCDA are the second and third best selections for ZnO. For most of the organic molecules analyzed, ZnO provides a better match than C20.
9:00 AM - K7.35
New Insulating Layer for Phase Change Memory Devices with Low RESET Current
Jiao Zhou 1 Hongkai Ji 1 Tian Lan 1 Junbing Yan 2 Wenli Zhou 1 Xiangshui Miao 1
1Huazhong University of Science and Technology Wuhan China2Wuhan XinXin Semiconductor Manufacturing Corporation Wuhan China
Show AbstractPhase change random access memory (PCRAM) is a promising technology for future nonvolatile storage with the added potential for the impact on dynamic random access memory technologies. To be successful, however, high RESET current of PCRAM poses a critical challenge and has become the most significant obstacle for its widespread commercialization. The nanopore in the insulating layer, which locally blocks the contact between the bottom electrode and the phase change material, should be minimized as small as possible. In this work, we investigate an excellent negative tone resist for high resolution electron beam lithography, HSQ, as the insulating layer in PCRAM devices for the first time. Electron-beam lithography was applied to pattern HSQ films into nanopores with dimensions down to 16 nm. The insulating properties of the patterned HSQ films are also studied, especially under e-beam exposure plus thermal curing. Some critical issues about the thickness adjustment of HSQ films and the influence of PCRAM electrode on electron scattering in e-beam lithography are studied. In addition, the HSQ material was successfully integrated into the PCRAM devices, achieving ultra-low RESET current (sub-100 µA), outstanding on/off ratios (~50), and improved endurance at tens of nanometers. These results are highly meaningful in that significant RESET current reduction can be achieved without the traditional etch-back processes. This method can be applied to the state-of-the-art sub-50 nm PCRAM cells and would also be extendable to other nonvolatile memories such as resistive random access memory.
9:00 AM - K7.36
Facile Hydrothermal Synthesis of Phase Pure Fe3O4 Nanocrystals
R. K. Gupta 1 E. Mitchell 1 J. Candler 1 P. Kahol 2 K. Siam 1 K. Ghosh 3 L. Dong 3
1Pittsburg State University Pittsburg USA2Pittsburg State University Pittsburg USA3Missouri State University Springfield USA
Show AbstractNanostructured magnetic materials have attracted considerable research interest due to applications in catalysis, sensing, pigments, and magnetic recordings. The magnetic material iron oxide exists in many phases such as hematite (α-Fe2O3), maghemite (γ-Fe2O3), and magnetite (Fe3O4), and each phase exhibits different properties. In this research, hydrothermal method was used to synthesize nanocrystals of iron oxide. X-Ray Diffraction (XRD) studies revealed that the synthesized iron oxide is Fe3O4. Absence of any impurity peak in the XRD patterns indicated high purity of the iron oxide. Morphology of the synthesized iron oxide was investigated using scanning electron microscopy. The average particle size of ~65 nm was observed with very uniform size and shape distribution. Energy-dispersive X-ray analysis of the synthesized iron oxide also confirms the formation of the Fe3O4 phase. Magnetic properties of the iron oxide were studied as a function of magnetic field and temperature using SQUID magnetometer. M vs. H behavior at 5K and 300K shows the presence of hysteresis loop, indicating ferromagnetic nature of the sample. It was further observed that saturated magnetization decreases with increase in the temperature. At room temperature the value of coercivity and remanence were 98 Oe and 0.51 mu;B/molecule, respectively. Irreversibility in the zero-field-cooled (ZFC) and field cooled (FC) M vs. T measurements was observed to depend on applied magnetic field. The discontinuity (phase transition) in the M vs. T plots of iron oxide was observed at ~ 120 K. The presence of a phase transition also known as Verwey transition is characteristic of Fe3O4.
9:00 AM - K7.37
Vanadium Oxide Based Temperature Gated Thermal Rectifier
Kedar Hippalgaonkar 1 Jia Zhu 1 Sheng Shen 4 Kevin Wang 2 Yohannes Abate 3 Sangwook Lee 2 Junqiao Wu 2 Xiaobo Yin 1 Xiang Zhang 1 Arun Majumdar 1
1UC Berkeley Berkeley USA2UC Berkeley Berkeley USA3California State Univ at Long Beach Long Beach USA4Carnegie Mellon University Pittsburgh USA
Show AbstractActive heat flow control is essential for broad applications of heating, cooling and energy conversion. Like power electronic devices developed for the control of electric power, it is very desirable to develop advanced all-thermal devices that actively control heat flow without consuming other forms of energy. Here we demonstrate the first temperature-gated thermal rectification using vanadium dioxide beams, in which the environment temperature actively modulates asymmetric heat flow. In this three terminal device, there are two switchable states, which can be regulated by global heating. In the “Rectifier” state, we observed up to 22% thermal rectification. In the “Resistor” state, the thermal rectification is significantly suppressed (below 4%). To the best of our knowledge, this is the first demonstration of solid-state active-thermal devices, with a large rectification in the “Rectifier” state. This temperature-gated rectifier can have substantial implications ranging from autonomous thermal management of heating and cooling systems to efficient thermal energy conversion and storage.
9:00 AM - K7.39
Influence of the Nanostructure on the Transport Properties in Ordered Mesoporous YSZ Thin Films
Matthias T. Elm 1 Jonas D. Hofmann 1 Christian Suchomski 2 Torsten Brezesinski 2 Juergen Janek 1
1Justus-Liebig University Giessen Germany2Karlsruhe Institute of Technology Karlsruhe Germany
Show AbstractMesoporous thin films are solids, which contain a regular structure of nanopores, whose diameter is in the range between 2 and 50 nm. Because of this architecture the films can be described as a 3D network of single interconnected nanowires offering high access to the surrounding gas phase. These properties make mesoporous thin films ideal model systems to study the impact of the gas phase on the transport properties. Here we present the investigation of the transport properties of nanocrystalline mesoporous thin films of 8 mol% yttria-stabilized zirconia (YSZ), which were produced by solution-phase coassembly of chloride and nitrate salt precursors with an amphiphilic diblock copolymer using an evaporation-induced self-assembly process (EISA). The crystallite size of the samples was analyzed by a combination of high-resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). Additionally grazing incidence small-angle X-ray scattering (GISAXS) and Raman spectroscopy measurements were performed to determine the nanostructure of the thin films. For the investigation of the electrical properties of the thin films, interdigitated platinum microelectrodes were prepared on the top surface of the films by photolithography. Using impedance spectroscopy the temperature-dependence of the mesoporous YSZ films was investigated in the temperature range from 200°C to 600°C and at oxygen partial pressures between 1 to 10-4 bar. As will be discussed in detail, the nanostructure leads to a decrease of the total conductivity with a lowered activation energy compared to bulk or microcrystalline YSZ.
9:00 AM - K7.40
Hybrid Transparent Conductive Oxide Nanostructured Materials for Photovoltaic Application
Marwa Abd-Ellah 1 Tong Leung 1
1University of Waterloo Waterloo Canada
Show AbstractNanoscale material manipulation is appealing to improving solar light harvesting and photon-to-electron conversion efficiency for organic-inorganic photovoltaic devices. Recently, we have obtained three different types of heterojunctions, composed of n-type ZnO thin films, nanorods, and nanotubes incorporated with p-type Cu2O thin films, by a facile electrodeposition method. Enhanced performance has been observed for the tubular prototype due to their superior junction surface area and better charge carrier collection.
Unlike the previous methods, the present approach does not produce defects arising from the etching step as required for other tubular structure syntheses. Such defects are known to adversely affect the device performance. A variety of ZnO nanostructures have been prepared in a one-step, catalyst- and seed-layer-free growth process. We demonstrate that the morphology of ZnO nanotubes and nanorods can be easily controlled by modifying the electrolyte conductivity in an amperometric electrodeposition technique. This method also does not require the use of O2 bubbling or any etching step. ZnO nanotubes with high surface areas are found to form in less conductive electrolytes with monovalent anions (Clminus;, NO3minus;, ClO4minus;), while nanorods with smaller surface areas are produced in more conductive electrolytes with divalent anions (SO42minus;, C2O42minus;), all mixed with ZnCl2 at 80 °C. To create the heterojunction, we synthesized nanostructured films of Cu2O (a p-type semiconductor with a band gap of 2.1 eV) by electrodeposition onto the aforementioned optimized ZnO nanostructures. Furthermore, simple annealing can be used to convert the as-prepared Cu2O to CuO with a smaller band gap of 1.2 eV. All the prepared materials have been fully characterized by using a variety of techniques including helium ion microscopy, scanning electron microscopy, atomic force microscopy, X-ray diffraction, and X-ray photoemission spectroscopy.
This study illustrates a promising approach of fabricating nanostructured metal-oxide-based solar cells by an efficient chemical method, which not only reduces cost and simplifies the process but also improves the device performance. Further optimization will be employed by incorporating these new hybrid nanostructured materials with appropriate dopants for further band gap modification, with the goal to realize a high-efficiency solar cell with a maximized absorption energy range.
9:00 AM - K7.41
Controlled Epitaxial Growth of Body-Centered Cubic and Face-Centered Cubic Cu on MgO for Integration on Si
Fan Wu 1 Jagdish Narayan 1
1NCSU Raleigh USA
Show AbstractThe Cu/MgO interface plays a crucial role in applications. Face-centered-cubic (fcc) Cu has been reported to grow on MgO substrate (rock salt structure). However, no body-centered-cubic/tetragonal (bcc(t)) Cu has been stabilized on MgO. The special atomic structure of the bcc(t)/rock salt interface contributes to superior thermal, mechanical, and electrical properties. We report, for the first time, the epitaxial growth of bcc(t) and fcc Cu on Si(100) and Si(111) substrates using MgO(100)/TiN(100) and MgO(111)/TiN(111) buffer layers by pulsed laser deposition. We find that the deposition temperature determines the structure of Cu. At high temperature, only fcc Cu grows on both MgO/TiN(100) and MgO/TiN(111) templates. At room temperature, an epitaxial layer of bcc(t) Cu grows pseudomorphically on a MgO(100) template up to the critical thickness, while on a MgO/TiN(111) template, the majority of Cu is fcc, and bcc(t) Cu exists occasionally in a three-dimensional island shape. The growth of these heterostructures involves epitaxy across the misfit scale by matching MgO{200} planes with bcc(t) Cu{110} planes. The integration of Cu/MgO on the technologically important Si substrate holds tremendous promise, because the novel bcc(t) Cu/MgO structure can be integrated with present-day microelectronic or nanoelectronic devices.
9:00 AM - K7.42
Controlled Synthesis of TiO2 Nanoparticles for the Application of Dye-Sensitized Solar Cells
Chaochin Su 1 Wen-Ren Li 2 Sheng-Han Tsai 1 Shih-Yu Ho 1
1National Taipei University of Technology Taipei Taiwan2National Central University Chung-Li Taiwan
Show AbstractTitanium dioxide (TiO2) is one of the most commonly used materials in many light induced processes such as a semiconductor for working electrode in dye-sensitized solar cells (DSSCs), and a photocatalyst for degrading the harmful molecules, and a sensor material for molecule detection. In particular, DSSCs have recently attracted intensive interest because of their simple construction, low cost, and high efficiency of sunlight to electricity conversion. The controllable synthesis of TiO2 nanoparticles with various morphologies can significantly affect the physical and chemical properties of TiO2. In our previous work, it was found that the preparation of TiO2 with ammonium salts (Ammonium hydroxide) additives could form an ordered arrangement of TiO2 nanocubes. It is interesting to know whether the alkyl groups attached on ammonium salts, such as tetramethylammonium hydroxide (TMAH) and hexadecyltrimethylammonium hydroxide (HTMAH) affects significantly on the morphology and formation kinetics of TiO2 nanocubes. In the present study, we have examined the coordinated and non-coordinated ammonium salts on the TiO2 nanocubes formation to clarify the steric effect of base additive on the synthesis of TiO2. It was found that the alkyl group can act as blocking sites during the TiO2 condensation, inducing a selective and competitive condensation reaction at the terminal groups of titanium hydroxide complexes, and resulting the cube-like morphology. The shape and morphology of TiO2 products are characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), and X-ray diffraction (XRD). SEM and TEM images showed that the effect of coordinated blocking molecule (TMAH) resulting a uniform TiO2 nanocube morphology with highly order arrangement and a particle size is about 20 nm. On the contrary, the non-coordinated blocking molecule (HTMAH) based sample exhibits non-uniform, polygonal morphology and multidisperse particle size in the range of 5 - 80 nm. From these results, it was vindicated that the blocking molecules with different steric effect significantly affect the morphology of final TiO2 nanoparticles. The above TiO2 nanocubes and nanoparticles were applied as photoanode materials in the dye-sensitized solar cells (DSSCs). The correlation between the DSSCs efficiency and TiO2 morphology will be discussed in this presentation.
9:00 AM - K7.43
Semiconductor to Metal Transition Characteristics of Epitaxial VO2/TiO2/Sapphire Thin Film Heterostructures
Mohammad Reza Bayati 1 2 Roya Molaei 1 Roger Narayan 3 Jay Narayan 1
1North Carolina State University Raleigh USA2Intel Corp. Santa Clara USA3NC State University Raleigh USA
Show AbstractThis paper addresses the impact of thin film epitaxy on the semiconductor to metal transition (SMT) characteristics of epitaxial VO2 thin films where a correlation between SMT behavior and residual strain in the lattice is established. The VO2 thin films with controlled in-plane and out-of-plane orientations were epitaxially grown on rutile TiO2-buffered Al2O3(0001), Al2O3(10 0), and Al2O3(1 02) substrates. Monoclinic (M1) VO2 thin films with (001), ( 01), and (100) out-of-plane orientations were grown on TiO2(100)/Al2O3(0001), TiO2(001)/Al2O3(10 0), and TiO2(101)/Al2O3(1 02) platforms, respectively. The in-plane alignments across the interfaces were established to be [010](100)VO2||[010](101)TiO2, [100](001)VO2||[001](100)TiO2, and [010]( 01)VO2||[010](001)TiO2 for Al2O3(1 02), Al2O3(0001), and Al2O3(10 0) substrates. It was observed that the transition temperature increased from about 315 K to 322 K to 351 K when the out-of-plane direction changed from ( 01) to (100) to (001). The SMT characteristics were interpreted based on the residual strain in the VO2 lattice, particularly strain along the c-axis of tetragonal VO2 which turns into a-axis of monoclinic (M1) phase during SMT. This research introduces the VO2 based single crystalline heterostructures as a potential candidate for a wide range of applications where different transition temperatures are required.
9:00 AM - K7.44
Substrate Dependence of Photocatalytic Activity of TiO2 Single Crystalline Thin Films
Mohammad Reza Bayati 1 3 Roya Molaei 1 Roger Narayan 1 2 Jay Narayan 1
1North Carolina State University Raleigh USA2NC State University Raleigh USA3Intel Corp. Santa Clara USA
Show AbstractTiO2 is one of the most promising semiconductors of interest for photocatalytic remediation of environmental pollutants. This study addresses the effect of out-of-plane orientation on photocatalytic efficiency of rutile TiO2 epilayers. Rutile TiO2(100), TiO2(001), and TiO2(101) epitaxial thin films were integrated with c-sapphire, m-sapphire, and r-sapphire substrates. Structure and properties of the epilayers were studied as a function of the crystallographic characteristics of the substrate using XRD (2theta;-theta; and phi; scans), HRTEM, and XPS techniques. The epitaxial relationship across the film/substrate interfaces was established as (100)rutile||(0001)c-sapphire and [001]rutile||[10 0]c-sapphire, (001)rutile||(10 0)m-sapphire and [100]rutile||[0001]m-sapphire, and (101)rutile||(01 2)r-sapphire and [010]rutile||(01 2)r-sapphire. The decomposition rate of 4-chlorophenol by the rutile/sapphire heterostructures under UV illumination was measured. The relative photocatalytic activity of different faces of rutile TiO2 was shown to be in the following order: (001) > (101) > (100). The difference in the photochemical characteristics was attributed to the atomic arrangement on different crystallographic surface planes.
9:00 AM - K7.45
A Facile Synthesis of Titanium Dioxide Dendrite by Pulse Potential Electrodeposition Technique
Sang Ha Lee 1 Youngkwan Lee 1
1Sungkyunkwan University Gyungki-Do Republic of Korea
Show AbstractTitanium dioxide (TiO2) dendrite was prepared by pulse potential electrodeposition technique for the first time. We investigated the influence of various electrochemical deposition conditions on the formation of TiO2 dendrite. The morphology and kinetics were investigated by using scanning electron microscope (SEM) and electrochemical quartz crystal microbalance (QCM). The chemical composition and electrochemical properties of TiO2 dendrite were confirmed by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and cyclic voltammetry (CV). Dendrite structure provides extremely large surface area which provides easy electrolyte access. Therefore, the TiO2 dendrite electrode exhibits highly enhanced electrochemical performance.
9:00 AM - K7.46
Controlled Growth and Morphological Evolution of Cobalt Oxide (Co3O4) Nanowires
Yuan Li 1 Nitin Chopra 1
1The University of Alabama Tuscaloosa USA
Show AbstractNanostructured cobalt oxide (Co3O4) has been widely reported as a promising candidate for catalysts, gas sensors, and electronic devices. Growth of Co3O4 nanowires using chemical, hydrothermal, and electrochemical methods have generally resulted in polycrystalline structure of nanowires with large diameters (> 100 nm). Thus, scalabale and controlled growth of single crystalline Co3O4 nanowires is still a big challenge. Here, we demonstrate a facile approach for the synthesis of Co3O4 nanowires through the chemical vapor deposition using the Co substrate. Various critical growth parameters such as temperature, time, and reactant flux were studied to result in finely tuned morphology and structure of Co3O4 nanowires. These nanowires very thoroughly characterized for phase, crystal lattice, and defects using electron microscopy, Raman spectroscopy, and X-ray diffraction. The optimized Co3O4 nanowires are single crystalline and vertically-standing with a diameter ranging from ~30 to ~50 nm. The growth mechanism of these nanowires were further derived and found to be dependent on reactant flux and stress-induced processes. Further analysis of band gap energy of the Co3O4 nanowires was experimentally estimated to be ~1.6-1.9 eV. Future studies of such Co3O4 nanowires in controlled manner will be focused on chemical sensor, catalysis, and Li-ion batteries.
9:00 AM - K7.47
Bending Characteristics of Multi-Barrier Consisted of MgO Deposited by Atomic Layer Deposition and Hybrid Nanocomposite
Eungtaek Kim 1 Yuncheol Han 1 Kyung Cheol Choi 1 Hyeon-Gyun Im 2 Byeong-Soo Bae 2
1KAIST Daejeon Republic of Korea2KAIST Daejeon Republic of Korea
Show AbstractOLEDs have recently gained recognition as the most promising candidate for flexible displays due to their flexibility, thinness, and lightness. A number of studies focused on realizing flexible displays using OLED devices have been reported. However, OLEDs can be extremely degraded due to water and oxygen. Development of a thin film encapsulation technique that yields barrier characteristics even after bending is therefore an important field of study to commercialize flexible displays. Nevertheless, little is presently known about the barrier characteristics provided by thin film encapsulation using a multi-barrier after bending tests. Upon this background, this paper discusses bending characteristics of a flexible organic/inorganic multi-barrier. Furthermore, we assess the performance of thin film encapsulated OLEDs. The multi-barrier consisted of a silica nanoparticle-embedded hybrid nanocomposite, denoted as S-H nanocomposite, and MgO, used as organic and inorganic materials, respectively. The S-H nanocomposite was spin-coated and UV curing was then conducted. The thickness of the S-H nanocomposite was 200 nm. MgO was deposited by Atomic Layer Deposition (ALD) using bis(ethylcyclopentadienyl)magnesium and water at 70 °C. Nitrogen was used as a carrier gas. We measured the thickness of the MgO film by an ellipsometer and obtained a value of 40 nm. Because a sensitive measurement system is required to measure WVTR values lower than 10^-5 g/m^2/day, we measured the water vapor transmission rate (WVTR) using a Ca test. The result shows that the performance of the 4.5 dyad MgO/S-H nanocomposite was on par with that afforded by glass-lid encapsulation. Furthermore, we conducted bending tests to confirm the flexibility of the multi-barrier composed of a 4.5 dyad MgO/S-H nanocomposite. After 100 iterations of the bending test at 3, 5, and 7 cm, the WVTRs were 5.68×10^-2, 5.18×10^-3, and 2.79×10^-3 g/m^2/day, respectively. It is thus concluded that the multi-barrier composed of ALD MgO and the S-H nanocomposite exhibits barrier performance even after the bending test until the bending radius reached 5 cm. However, the above results do not satisfy the WVTR required for application to flexible OLEDs. This shortcoming is ascribed to the deposition of MgO by ALD in a crystalline form, which allowed easier cracking in the inorganic layer compared to an amorphous inorganic film. To address this we will design a new structure using a neutral plane to reduce the WVTR value after bending tests as further work. Finally, we applied the thin films to encapsulate OLED devices. The life times of the thin film encapsulated OLEDs were identical to that of glass-lid encapsulated OLEDs. It is thus concluded that the proposed multi-barrier is a feasible solution to passivate flexible OLED devices.
9:00 AM - K7.49
Interface-Engineered PVP Dielectric with a ZrO2:B Layer for a Solution-Processed Flexible Amorphous Indium Oxide Thin-Film Transistor
Jee Ho Park 1 Young Bum Yoo 1 Jin Young Oh 1 Sun Woong Han 1 Hong Koo Baik 1
1Yonsei University Seoul Republic of Korea
Show AbstractFlexible amorphous indium oxide (In2O3) thin-film transistors (TFTs) were fabricated at 200 °C on a polyimide (PI) substrate, along with a Poly-4-vinylphenol (PVP) dielectric that was surface engineered by a boron-doped peroxo-zirconium oxide (ZrO2:B) thin film. To deposit an aqueous In2O3 solution on the PVP dielectric, the hydrophobic surface characteristics of the PVP thin film needs to be modified to hydrophilic. To achieve this, we successfully adopted a ZrO2:B thin film as an interface-engineered layer. The PVP and ZrO2:B thin films were analyzed by contact angle measurement, AFM, FT-IR, and XPS. The interface-engineered PVP dielectric had a lower leakage current density (Jleak) of 4.38x10-8 A/cm2 at 1 MV/cm, with no breakdown behavior observed up to a 5 mm bending radius. Finally, a flexible amorphous In2O3 TFT was fabricated on a PI substrate, with the resultant electrical properties investigated under various bended conditions. The electrical properties, such as on/off current ratio (Ion/off) and electron mobility, showed similar values up to 10 mm of bending without degradation, with the device rendered non-activated at 5 mm of bending. Our results suggest that an amorphous channel layer should be used for uniformity under bended conditions, while a ZrO2:B thin-film interface-engineered layer on a hydrophobic surface could be used for low-temperature, solution-processed flexible devices.
9:00 AM - K7.50
Epitaxial Growth of Ferroelectric Pb(Zr,Ti)O3 Layers on GaAs
Benjamin Meunier 4 Lamis Louahadj 1 David Le Bourdais 2 Ludovic Largeau 3 Guillaume Agnus 2 Lucie Mazet 4 Romain Bachelet 4 Philippe Regreny 4 Claude Botella 4 Genevieve Grenet 4 David Albertini 5 Valerie Pillard 2 Catherine Dubourdieu 4 Brice Gautier 5 Philippe Lecoeur 2 Guillaume Saint-Girons 4
1RIBER SA Bezons France2Institut d'Electronique Fondamentale Orsay France3LPN-CNRS Marcoussis France4INL-CNRS Ecully France5INL-CNRS Villeurbanne France
Show AbstractFunctional oxides with perovskite structures present a variety of physical properties (ferroelectricity, piezoelectricity,) that make them very attractive for various applications. Using these oxides in a realistic applicative context requires their integration on semiconductor platforms. In fact, SrTiO3 (STO) substrates, commonly used for the growth of these materials, are small sized and present a high density of structural defects. In particular, combining ferroelectric/piezoelectric oxides to GaAs-based heterostructures open the way for the development of novel optoelectronic devices such as optical memories or agile/tunable optical sources. In the present contribution, we will show how molecular beam epitaxy (MBE) can be used to grow high quality single-crystalline STO thin layers on GaAs substrates, by revisiting and deepening the results published by Liang et al. [i]. We will also show that STO-templated GaAs substrates can be used to integrate ferroelectric single-crystalline Pb(Zr,Ti)O3 (PZT) thin layers.
We will first present a study of the STO/GaAs interface, focusing on the influence of the surface termination and of Ti-based surface treatments on the electrical and structural properties of the STO layers and of the STO/GaAs interface. For this purpose, in-situ reflection high energy electron diffraction and in-situ photoelectron spectroscopy experiments, as well as other structural and electrical characterizations, will be discussed. The reactivity of the GaAs surface to oxygen in a MBE environment will be discussed, and our understanding of the structure and chemistry of the STO/GaAs interface will be presented.
We will also describe a process that allows growing PZT thin layers by pulsed laser deposition (PLD) on STO templates grown by MBE on GaAs. We will show that ferroelectricity of the PZT layers can be achieved [ii]. The influence of the structural quality of the STO template and of the electrical properties of the STO/GaAs interface on the structural and functional properties of the PZT thin layers will be discussed. We will also show that the optical properties of GaAs based quantum well heterostructures on which PZT/STO stacks have been grown are preserved. In the end, we will present some perspectives for using such oxide/GaAs heterostructures in novel optoelectronic devices
[i] Y. Liang, J. Kulik, T. C. Eschrich, R. Droopad, Z. Yu and P. Maniar, Appl. Phys. Lett. 85 1217 (2004)
[ii]. L. Louahadj, D. Le Bourdais, L. Largeau, G. Agnus, L. Mazet, R. Bachelet, P. Regreny, D. Albertini, V. Pillard, C. Dubourdieu, B. Gautier, P. Lecoeur, G. Saint-Girons, Appl. Phys. Lett. Submitted
9:00 AM - K7.51
Hierarchical Nanostructured Transition-Metal Oxides/Hydroxides for Largely Enhanced Supercapacitors Performances
Kalele Mulonda Hercuke 1 Qiulong Wei 1 Aamir Minhas Khan 1 Lin Xu 1 2 Liqiang Mai 1
1Wuhan University of Technology Wuhan China2Harvard University Cambridge USA
Show AbstractTransition metal oxides/hydroxides with layered crystalline structure have demonstrated much interest in the synthesis of electrode for energy storage materials due to their ability to store charge through both redox reaction in the bulk material and fast reversible adsorption of small-sized ions on the surface1, 2. Assembling transition metal oxides/hydroxides in hierarchical architecture with large surface area, stable interface and increased active sites lead to significant improvement of energy and power density, rate capability and cyclability of supercapacitors.
To improve electrochemical performance of supercapacitors, we have rationally designed and synthesized hierarchical nanostructured MoO2/Co(OH)2 by successive electrochemical deposition. The firstly deposited MoO2 thin film on three dimensional nickel foam scaffold serves as substrate and present defect sites on which Co(OH)2 nanoflakes are easily grown by oriented attachment and self-assembly mechanism resulting in very stable interface between MoO2 thin film and Co(OH)2 nanoflakes1.
The hierarchical nanostructured MoO2/Co(OH)2 displays specific capacitance of 800 F/g at 20 A/g with increased Coulombic efficiency of 98%, good cyclability with only 3% capacitance loss after 5000 cycles and better rate capability compared with those of individual components. The enhanced pseudocapacitor performances benefit from the synergistic effect of the hierarchical nanostructure: (1) faster ion diffusion and electron transport at electrode/electrolyte interface, and (2) mitigation of the electrode destruction caused by ion insertion/deinsertion during charge-storage process.
References
(1)Hercule, K. M., et al. (2013). "Synergistic Effect of Hierarchical Nanostructured MoO2/Co(OH)2 with Largely Enhanced Pseudocapacitor Cyclability." Nano Lett. DOI: nl403372n.
(2)Mai, L. Q., et al. (2011). "Fast Ionic Diffusion-Enable Nanoflake Electrode by Spontaneous Electrochemical Pre-Intercalation for High-Performance Supercapacitor." Sci. Rep. 3: 1718.
9:00 AM - K7.52
Two-Dimensional Vanadium Oxides with High Rate Capability for Lithium Batteries
Qinyou An 1 Qiulong Wei 1 Yunlong Zhao 1 Lin Xu 1 2 Liqiang Mai 1
1Wuhan University of Technology Wuhan China2Harvard University Cambridge USA
Show AbstractTwo-dimensional (2D) nanomaterials have been receiving great attention owing to their unique mechanical, electrical, and chemical properties.1,2 Especially, ultrathin 2D nanosheets (NSs) with atomic-scale thickness have structural advantages such as short diffusion distance and large electrode-electrolyte contact area in reversible Li storage process. Vanadium oxides with high capacity, low cost and abundant sources have been extensively investigated as potential cathode materials for next-generation lithium batteries.3
As a new kind of reaction medium, supercritical fluids (SCFs) possess unique properties including gas-like diffusivity, low viscosity, and a density closer to that of liquid than gas.4 However, the use of SCFs based on solvothermal method to design and synthesize layered V2O5 and other more nanomaterials are greatly unexplored.
Based on the Oswald Ripening and SCFs exfoliation mechanism, we designed a facile and mass-produced route to synthesize ultrathin V2O5 NSs with excellent high-rate and long-life performance to serve as the cathode material in rechargeable Li batteries. The ultrathin V2O5 NSs cathode shows high-rate and long-life capability with initial capacity up to 90 mAh g-1 at 15 C and 100% capacity retention after 200 cycles.5 We also designed nanoscroll buffered hybrid nanostructural VO2 (B) composed of nanobelts and nanowires with greatly improved cycle life and rate capability.3 These excellent rate capability is ascribed to the 2D nanostructure that facilitates Li-ion diffusion in the electrode. The as-obtained two-dimensional vanadium oxides have promising applications in rechargeable Li batteries.
References
(1)Ji, H. X., et al. (2012). "Ultrathin graphite foam: a three-dimensional conductive network for battery electrodes." Nano Lett. 12: 2446-2451.
(2)Zhao, X., et al. (2012). "Incorporation of manganese dioxide within ultraporous activated graphene for high-performance electrochemical capacitors." ACS Nano. 6: 5404-5412.
(3)Mai, L. Q., et al. (2013). "Nanoscroll buffered hybrid nanostructural VO2 (B) cathodes for high-rate and long-life lithium storage." Adv. Mater. 25: 2969-2973.
(4)Ziegler, K. J., et al. (2001). "Synthesis of organic monolayer-stabilized copper nanocrystals in supercritical water." J. Am. Chem. Soc. 123: 7797-7803.
(5)An, Q. Y., et al. (2013). "Supercritically exfoliated ultrathin vanadium pentoxide nanosheets with high rate capability for lithium batteries." Phys. Chem. Chem. Phys. 15: 16828-16833.
9:00 AM - K7.53
Microstructure of Luminescent IZO (Indium-Zinc-Oxide)
Julio Ramirez-Castellanos 1 Jaime Moreno 1 2 Andrea M Peche 1 Javier Bartolome 2 Ana Cremades 2 Javier Piqueras 2 Jose M Gonzalez-Calbet 1
1Univ. Complutense Madrid Spain2Universidad Complut Madrid Spain
Show AbstractSeveral members of the homologous series ZnkIn2Ok+ 3 (k = 3, 4, 5, 6, 7, 9, 11 and 13) were prepared by a solid state reaction technique at high temperatures. These materials have potential applications, such as transparent conductors, field emitters, gas sensors, and in luminescence and optoelectronic devices. X-ray diffraction patterns of prepared samples show very well crystallized materials consistent with the expected symmetry of the crystal structures (s. g. R-3m for odd k, and P63/mmc for k even). The structures are characterized by a short axis (3.2 - 3.4 Å) and a long c-axis (42.5 - 33.5 Å) depending on k. No impurity phases were detected.
Complex structures/microstructures have been studied by High Resolution Transmission Electron Microscopy (HRTEM). HRTEM images show these materials as formed by ordered layers of InO octahedra which share edges with layers of (InZnk)Ok+1+ composition along c-axis in such a way that the (001) plane of the structure of ZnO and (111) plane of the In2O3 are epitaxial equivalent to the (001) plane of structure ZnkIn2Ok+3. Extended defects such as twins, dislocations and disordered intergrowths of different terms of the homologous series have been observed.
Cathodoluminescence (CL) measurements show the existence of two emission bands associated with defects, whose characteristics vary depending on the term k of the ternary compound. Dependence of physical properties with the value of k is not only due to the electronic structure. They also strongly depend on the crystal structure since the cation distribution changes depending on the value of k. For this reason, the combined study of structural aspects and physical properties of these oxides, as addressed in this work, is absolutely necessary for a proper discussion of the results.
9:00 AM - K7.54
High-Performance Thin-Film Transistors by Artificial Superlattice Channel Structure
Cheol Hyoun Ahn 1 So Hee Kim 1 Myung Gu Yun 1 Hyung Koun Cho 1
1Sungkyunkwan University Suwon Republic of Korea
Show AbstractOxide-based transparent electronics has gained special attention in recent years due to their wide range of device applications for information display and information storage. Especially, thin-films transistors (TFTs) used oxide-based channel such as ZnO and In-Ga-Zn-O have been emerged as promising alternative materials to Si for the realization of next-generation displays such as large-size, high-resolution, transparent, flexible, and three-dimensional displays, because of their excellent mobility, transparency, and utility of low-temperature process [1]. However, the long-term instability of TFTs used oxide-based channel is the most critical issues under the bias, temperature, and illumination stress. Also, considering next-generation displays (ultrafine-definition, large-scale, and three-dimensional TVs), the fabrication of more high-mobility TFTs is necessary (>30 cm2/Vs).
The fabrication of atomically controlled heterostructures such as multilayer and superlattice structure has shown the new possibilities to generate novel electronic properties in the oxide materials, because of their unique properties such as quantum confinement effect, formation of 2-dimensional electron gas (2DEG) in the oxide interface. The field-effect/high-electron-mobility transistors using epitaxial-grown hetrostructure such as ZnO/MgZnO, GaAs/AlGaAs or AlGaN/GaN were showed extremely high charge mobility due to field-effect-modulated 2DEG at the oxide interface [2,3]. Recently, in order to obtain highly stable oxide TFTs with high mobility for application in backplane of next-generation displays, several groups have attempted to develop robust channels using a bi-layers or multi-layer, which are showed the enhanced devices performance [4]. Therefore, the multi-layer and superlattice with band discontinuities is on method to increase the field effect mobility of TFT devices.
Herein, we have introduced a novel channel structure for the realization of high-performance and high-stability in the oxide TFTs, which was based on the atomically controlled ZnO/Al2O3 superlattice structure. The field effect mobility of the superlattice TFT exhibited higher value (> 27 cm2/Vs) than that of the conventional single ZnO TFTs (~ 4 cm2/Vs). Also, the superlattice TFT were showed high-stability under gate bias stress compared to single ZnO TFTs. The microstructures of the ZnO/Al2O3 superlattice film were analyzed using Atomic force microscopy, X-ray diffraction, and transmission electron microscopy, and compared with single ZnO thin film. The fabricated TFTs were characterized by an HP4145B semiconductor parameter analyzer.
References
[1] K. Nomura et al. Nature (London) 432, 488-492 (2004)
[2] A. Tsukazaki et al. Nature Mater. 9, 889-893 (2010)
[3] N. H. Sheng et al. IEEE Electron Device Lett. 6, 307-310 (1985)
[4] J. C. Park et al. Adv. Mater. 22, 5512-5516 (2010)
9:00 AM - K7.55
Structural Studies of ZrxCe1-xO2 Nanosfibers Employed in Heterogeneous Catalysis of the Gas Phase Oxidation of HCl
Limei Chen 1 Sven Urban 2 Nikolay Tarabanko 2 Bernd Smarsly 2 Peter J. Klar 1 Herbert Over 2
1Justus-Liebig University of Giessen Giessen Germany2Justus-Liebig University of Giessen Giessen Germany
Show AbstractThe catalytic activity of CeO2 in the heterogeneous catalysis process of HCl by oxygen has been recently demonstrated[1] which indicates its potential as a substitute of RuO2 with economic advantages. Unfortunately, pure CeO2 is not chemically stable under harsh reaction conditions and the activity of CeO2 is too low to be economically competitive with RuO2-based catalysts. Here, by doping isovalent elements Zr into the CeO2 lattice, we obtain a ZrxCe1-xO2 solid solution with better redox properties and increased dynamic oxygen storage capacity.
ZrxCe1-xO2 mixed oxide fibers were prepared by electrospinning - a versatile method for producing unidirectional nanostructures. The nanofibers with their well-defined cylindrical (1D) morphology allow one to assess the morphological stability under even harsh reaction conditions[2,3]. Using Raman spectroscopy as well as XRD and SEM we studied ZrxCe1-xO2 nanofibers of different composition (x=0 - 1) with repect to the crystallographic phases prior and after employing them in the catalysis process of HCl by oxygen.
By changing the composition of the ZrxCe1-xO2 mixed oxide, changes of the crystal structure occur. Pure CeO2 nanofibers have cubic structure. By alloying with ZrO2, a cubic/tetragonal phase transition takes place. Pure ZrO2 samples show signals mainly from a monoclinic structure but with indications of the coexistence of a tetragonal minority phase. Raman spectroscopy indicates clearly the presence of a solid solution at each composition. By comparing the fibers of various compositions before and after the HCl oxidation, and by comparing fibers which underwent different reaction conditions, we obtained useful information about the impact of the crystal structure and composition of ZrxCe1-xO2 on its catalytic activity. This approach allows us to optimize this alloy system as a catalyst for recovering HCl waste to pure Cl2.
[1] A. P. Amrute, et al. J. Catal. 286 (2012), 287-297.
[2] C. H. Kanzler, et al. Chem. Cat. Chem. 5 (2013) 2621-2626.
[3] S. Urban, et al. Cat. Lett. (in press, 28.8.2013) DOI 10.1007/s10562-013-1091-6
9:00 AM - K7.57
Electrical Properties at Grain Boundaries Influenced by Cr3+ Diffusion in SnO2.ZnO.Nb2O5-Films Varistor Prepared by Electrophoresis Deposition
Maria Zaghete 1 Glauco Meireles Mascarenhas Morandi Lustosa 1 Leinig Perazolli 1 Joao Paulo Costa 1
1UNESP Araraquara Brazil
Show AbstractRecently researches have been developed to improve the electrical properties of a varistor system. The SnO2-based varistors are been researched to replace ZnO-based varistors because they have good electrical properties and fewer additives are required to improve them. The SnO2 based varistors with addition of 1 % mol of ZnO and 0.05 % mol of Nb2O5 was synthesized by chemical route (Pechini method). The films of SnO2-ZnO-Nb2O5-based varistor with homogenous thickness of 5 mu;m were obtained by deposition of the particles on a substrate of Si/Pt by the electrophoresis technique applying 2 kV of voltage in a cell containing a powder suspension. The sintering of the material was developed using a microwave oven at 1000°C for 40 minutes. A thin layer of Cr3+ ions was deposited on the surface of the films by electrophoresis and was submitted to differents thermally treatments to promote the diffusion of chromium in the grain boundary region improving the nonlinear coefficient. The films were electrically characterized to determine the influence of addition and diffusion of chromium in the properties of the grain boundaries (barrier formation potential and resistivity) The Films were obtained with nonlinear coefficient (α) over 9, breakdown voltage (VR) around 60V, a low leakage current (IF asymp; 10-6 A), grain resistance greater 200 kOmega;.cm, height of the potential barrier over 0,5 eV and resistivity greater than 107 Omega;.cm.
9:00 AM - K7.58
The Effects of Surface Passivation on Hafnium Oxide Thin Films Grown on Gallium Arsenide by Atomic Layer Deposition
Donovan Thomas 1 Curtis D. White 1 Irving Cashwell 1 Aswini Pradhan 1
1Norfolk State University Norfolk USA
Show AbstractReduction of leakage current has gained such importance in the field of CMOS Device Application Research. Over the years, High-K Dielectrics have proven to be a reliable answer for desirable leakage reduction. However, as technology has advanced and has been scaled down by an exponential amount, there has become a need for a more optimal leakage current reduction. Other techniques have been used to achieve reduction for CMOS Devices. Surface Passivation, a technique used to make materials become more passive and less effected by typical environmental factors, is very popular. Dangling bonds on the surface of many materials which cause defect states can be tied up by using the Passivation method. This technique can be used in conjunction with High-K dielectric materials. In our research, we aimed to optimize the growth of Hafnium Oxide (HfO2) on III-V semiconductors; our specific material of choice being Gallium Arsenide (GaAs) for this conducted research. While Hafnium Oxide is a commonly used High-K Material (with a constant of 25), we have a need for lower current leakage due to our desire for very thin films grown by Atomic Layer Deposition. We used Ammonium Sulfide [(NH4)2S] to chemically passivate our GaAs surface before growing our HfO2 thin films. In these experiments we varied and studied effects of our ammonium sulfide solution at different concentrations of 5%, 25% and the stock 44%. We also compared our leakage current results to HfO2 thin films grown on GaAs without surface passivation. Before growing all of our samples, the GaAs surfaces were cleaned using a wet chemical etching method. Our results show that surface passivation used in conjunction with High-K dielectrics provide a solution for lowering leakage current.
9:00 AM - K7.60
Optimization of Hafnium Oxide Grown on InGaAs by Atomic Layer Deposition for CMOS Applications
Irving Kenneth Cashwell 1 Curtis White 1 Donovan Thomas 1 Aswini Pradhan 1
1Norfolk State University Norfolk USA
Show AbstractA study was done to find optimal procedures to grow atomic layer deposited Hafnium Oxide on InGaAs to produce suitable electrical characteristics for MOSCAP device implementation. III-V semiconductors paired with a suitable high-K dielectrics are being utilized to scale down MOSCAP devices as well as increase performance. The InGaAs/HfO2 interface will be studied to ensure an optimal growth temperature is used to give a low interfacial trap density (Dit) as well as sufficient electrical characteristics. Previous studies were done on the III-V semiconductor GaAs which yielded acceptable electronic characteristics. InGaAs is being utilized due to its high electron mobility and large electron to hole mobility ratio. Furthermore, the bandgap energy of InGaAs is very low utilizing less excitation energy. One focus of this research is going to be towards the interfacial oxides that are produces during fabrication as well as the change in properties these oxides produce. This research is conducted to show that atomic layer deposited hafnium oxide on InGaAs at a given temperature would yield optimal electrical characteristics for MOSCAP devices.
9:00 AM - K7.61
Optical Properties and Raman Studies of Partially Edge Terminated Vertically Aligned Nanocrystalline MoS2
Anand Gaur 1 Satyaprakash Sahoo 2 Majid Ahmadi 3 Maxime J-F Guinel 4 Sanjeev K. Gupta 5 Ravindra Pandey 6 Sandwip K. Dey 7 Ram S Katiyar 8
1university of Puerto Rico San Juan USA2University of Puerto Rico San Juan USA3University of Puerto Rico San Juan USA4University of Puerto Rico San Juan USA5MIchigan technological university Houghton USA6MIchigan technological university Houghton USA7Arizona State university Tempe USA8University of Puerto Rico San Juan USA
Show AbstractRecently Two-dimensional (2D), atomic-layered transition metal dichalcogenides have gained much research interest because of a finite band gap and could achieve the ultimate limit of miniaturization by serving as the transistor channel material for low-power nanoelectronic devices. The prototypical molybdenum disulfide (MoS2) is of particular interest due to its demonstrated thickness-dependent band gap, and thermal and optical properties. The present study reports the optical properties and Raman studies of nano-crystalline MoS2 thin films synthesized on insulating substrates by rapid sulphurization of Molybdenum coated films at 530 C. High resolution transmission electron microscopy (HRTEM) experiments reveal that the layers are comprised of edge-terminated vertically aligned (ETVA) nanocrystalline stripes dispersed in <001> oriented regions; the average size of the ETVA regions, consisting of few monolayers, was found to be ~5 nm.. The layers are highly transparent in the wavelength range of 750-620 nm, but the transmittance decreases sharply as the energy of the incident light approaches the band gap of MoS2, and weak excitonic peaks are observed in both the absorption and transmission spectra. A comparison of the room temperature Raman spectrum of the ETVA with that of bulk MoS2 shows that the line shape for both E_2g^1 and A1g modes are significantly broader and few additional Raman modes are observed in the case of ETVA. The phonon confinement model is used to analyze the line shape broadening of the A1g mode, and the calculated grain size is found to be in good agreement with TEM measurements. Moreover, temperature dependent Raman studies were carried out to estimate the temperature coefficient of the A1g mode.
9:00 AM - K7.62
Solution-Based Cadmium Sulfide Thin Film Transistors: Role of High K Delectric Gate Material Grown by Using Atomic Layer Deposition
Hareesh Dondapati 1 Phillip Ha 1 Erin Jenrette 1 Aswini Pradhan 1
1Norfolk State University Norfolk USA
Show AbstractIn very recent years, there has been a considerable interest in the development of thin film transistors (TFTs) and solar cells having solution processed active semiconducting layers as active components. Solution processed active semiconducting active layers are promising candidates for large area device applications. Chemical bath deposition (CBD) is one of the most suitable techniques for fabrication of thin films, because it is a relatively simple and inexpensive method for large area deposition and, at the same time, allows depositing films at a relatively low temperature. Cadmium sulfide (CdS) is a II-VI compound semiconductor with the band gap of 2.42 eV as a bulk. The optical properties and electrical properties of CdS thin films fabricated using CBD have been investigated by many researchers; however the reports on the impact of high k gate dielectric materials deposited by atomic layer deposition (ALD) on TFT device performance is very limited and are not thoroughly explored yet.
Here we report the fabrication and characterization of CdS-TFTs fabricated in a bottom gate, and top-contact configuration. All devices were fabricated on a heavily doped silicon wafer which is also used as gate electrode. Uniform and conducting CdS thin films were fabricated using CBD process. High k dielectric materials such as Al2O3 and HfO2 were deposited using ALD. In particular, we have studied the impact of the thickness of gate dielectric, the influence of CBD temperature and the annealing temperature of CdS on the stability and performance of TFTs fabricated with the resulting CdS films to demonstrate CMOS circuits fabricated at temperatures below 100 °C.
The thin films fabricated on glass substrates were characterized using Uv-VIS-IR spectroscopy and confirmed the bandgap reported in the literature. We have also investigated the effect of annealing temperature on the bandgap of CdS thin films. The morphology of the films was investigated by using Field Effect Scanning Electron Microscopy (FESEM). FESEM results clearly demonstrate highly uniform distribution of grains was observed on as prepared films and with the effect of annealing temperature mild cracks were observed, demonstrate that films are highly stable. The complete transistors fabrication process was carried out by conventional photolithography with a maximum processing temperature of 100 °C. Our current-voltage characteristics reveal that devices having a low leakage current. Our studies suggest that the optimization of gate dielectric material CBD growth parameters and post CdS deposition annealing temperature will enhance the device on and off ratio.
9:00 AM - K7.63
Electrical Properties of Phosphorus-Doped P-Type ZnO Nanowires Synthesized by Hydrothermal Method
Wei-Che Li 1 Chun-Wei Huang 1 Jui-Yuan Chen 1 Ping-Hung Yeh 2 Wen-Wei Wu 1
1National Chiao Tung University Hsinchu Taiwan2Tamkang University Taipei Taiwan
Show AbstractAmong variety of 1-D materials, ZnO nanowires (NWs) has attracted extensive attention due to its specific physical properties. For wide-ranging applications in nanoscale electronic devices, p-type ZnO NWs even have excellent electrical properties. In this work, ZnO NWs were synthesized with P2O5 as a dopant source via the hydrothermal method which used zinc acetate and hexamethylenetetramine (HMTA) mixed solution as the precursor. The morphology and aspect ratio of aligned phosphorus-doped ZnO NWs arrays were examined by field emission scanning electron microscope (FESEM). The effect of various phosphorus-doped concentration were systematically discussed. The X-ray diffractometer (XRD) and the high resolution transmission electron microscope (HRTEM) image indicated that the NWs are single-crystalline with <0001> growth direction. The results of temperature-dependent photoluminescence (PL) spectra revealed that both phosphorus-doped and undoped ZnO NWs show a UV light emission (370-380 nm) and a defect-related emission (400-750 nm). The phosphorus-doped ZnO NWs enhanced defect-related emission intensity. The electrical transport properties and field effect transistors (FETs) confirmed that p-type conductivity of the single phosphorus-doped ZnO NW. The phosphorus-doped ZnO NWs were successfully synthesized by hydrothermal method and showed characteristics of the p-type conductivity.
K5: ZnO Nanostructures I
Session Chairs
Tuesday AM, April 22, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
9:30 AM - K5.02
ZnO Nanostructures on Electrospun Nanofibers by Atomic Layer Deposition/Hydrothermal Growth and Their Photocatalytic Activity
Fatma Kayaci 1 2 Sesha Vempati 1 Cagla Ozgit Akgun 1 2 Necmi Biyikli 1 2 Tamer Uyar 1 2
1Bilkent University Ankara Turkey2Bilkent University Ankara Turkey
Show AbstractDevelopment of novel materials with enhanced photocatalytic activity (PCA) along with stability is one of the intensely researched topics for water purification and waste treatment. The need for such research arises due to water pollution and ever increasing environmental issues threatening the human health severely [1-3]. Metal oxides such as ZnO in the structures of nanoparticles [4a], nanorods [4b-c] and nanofibers [4a] are widely studied for water purification purposes due to their well known PCA. On the other hand, light weight, large surface area and nanoporous structure of electrospun nanofibers are potential characteristics for filtration and related applications [5,6].
Here we fabricate a hierarchy of nanostructured-ZnO depicting a synergy effect to enhance the PCA. These structures are fabricated on electrospun nanofibers by using chemical vapor deposition and liquid phase deposition techniques, namely atomic layer deposition (ALD) and hydrothermal growth subsequently. Firstly, we produce poly(acrylonitrile) (PAN) nanofibers via electrospinning, then ALD process provides a highly uniform and conformal coating of polycrystalline ZnO with a precise control on the thickness (50 nm). In the last step, this ZnO coating depicting dominant oxygen vacancies and significant grain boundaries was used as a seed on which single crystalline ZnO nanorods (average diameter and length of ~25 nm and ~600 nm) with high optical quality were hydrothermally grown. The detailed morphological and structural studies were performed on the resulting PAN/ZnO seed as well as PAN/ZnO nanofibers, and the PCA was tested with reference to methylene blue (MB). The results of PCA are discussed in conjunction with photoluminescence response. The nanorod structures also support the vectorial transport of photo-charge carriers, which is crucial for high catalytic activity. The enhanced PCA is due to the catalytic activity at not only at the surface defects (on ALD seed), but also valance band, and conduction band of ZnO nanorods. In the context of PCA, structural stability and reusability of the PAN/ZnO nanorods are studied and the results indicated almost no decrease in the activity. As a result, we propose these ZnO nanostructures as a potential candidate for waste water treatment.
References
1. Q. Li, S. Mahendra, D. Y. Lyon, L. Brunet, M. V. Liga, D. Li and P. J. J. Alvarez, Water Res., 2008, 42, 4591.
2. F. Meng, S. R. Chae, A. Drews, M. Kraume, H. S. Shin and F. Yang, Water Res., 2009, 43, 1489.
3. M. M. Khin, A. S. Nair, V. J. Babu, R. Murugan and S. Ramakrishna, Energy Env. Sci., 2012, 5, 8075.
4. (a) H. Liu, J. Yang, J. Liang, Y. Huang and C. Tang, J. Am. Ceram. Soc. 2008, 91, 1287; (b) A. Sugunan, V. K.Guduru, A. Uheida, M. S.Toprak and M. R. Muhammed, J. Am. Ceram. Soc., 2010, 93, 3740; (c) Z. Chang, Chem. Comm. 2011, 47, 4427.
5. X. Lu, C. Wang, Y. Wei, Small 2009, 5, 2349.
6. A. Greiner, J. Wendorff, Angew. Chem. Int. Edit. 2007, 46, 5670.
9:45 AM - K5.03
GIWAXS Measurements on Laser Sintered Metal Oxide Nanoparticles
Christian Notthoff 1 Lukas Helmbrecht 1 Alice Sandmann 1 Jens Theis 2 Peng Zang 3 Stephan Roth 3 Markus Winterer 1
1Uni Duisburg-Essen Duisburg Germany2Uni Duisburg-Essen Duisburg Germany3DESY Hamburg Germany
Show AbstractCurrently, there is a great demand for low-cost fabrication methods for various electronic and optoelectronic devices, based on thin film technologies. Ink-jet printing of dispersed nano powders is advantageous compared to other deposition techniques as it can precisely deposit small volumes of dispersions (inks) in a well defined pattern [1,2]. Metal oxide nano powders like ZnO or TiO2 are of special interest, because of their outstanding optical, electrical and thermal properties. For most applications a sintered film is desired and laser sintering is a promising technique. Compared to other methods like oven sintering it has the advantage that the processing time is short and the heat load is localized and controlled. The optical, electrical and thermal properties of the metal oxide nano powders are closely related to structural properties like phase composition, defect density, micro strain and crystallite size. For example the photocatalytic activity of TiO2 is directly related to the phase present [3]. The green/yellow photoluminescence of ZnO is well known in bulk and nanoparticle samples and can be attributed to defects in the ZnO crystal [4]. Recently, we have shown that the use of continuous UV lasers allows sintering of TiO2 and ZnO nano powder films with power densities down to 38 kW/cm2 and a precise control of the powder bed density tuned through the applied laser power [5]. An experiment, where we have laser sintered a thin TiO2 nano particle film on a Si substrate (pure Anatase phase before sintering), shows a complete phase transition to Rutile after sintering. A more detailed understanding of the laser sintering process is reveled from spatially resolved GIWAXS measurements. In this contribution, we will present our first GIWAXS measurements performed at the P03 beamline (HASYLAB) on laser sintered ZnO and TiO2 nano powder films.
References
[1] A. S. G. Khalil, S. Hartner, M. Ali, H. Wiggers and M. Winterer, Journal of Nanoscience and Nanotechology 11, 10839 (2011).
[2] A. Gupta, A. S. G. Khalil, M. Offer, M. Geller, M. Winterer, A. Lorke and H. Wiggers, Journal of Nanoscience and Nanotechology 11, 5028 (2011).
[3] M. Xu, Y. Gao, E. M. Moreno, M. Kunst, M. Muhler, Y. Wang, H. Idriss and C. Woell Phys.
Rev. Lett. 106, 138302 (2011).
[4] M. Scepanovic, M. Grujic-Brojcin, K. Vojisavljevic and T. Srekovic J. Appl. Phys. 109, 034313 (2011).
[5] A. Sandmann, C. Notthoff and M. Winterer, J. Appl. Phys. 113, 044310 (2013).
10:00 AM - K5.04
Electrosynthesized Polystyrene Sulphonate-Capped Zinc Oxide Nanoparticles as Electrode Modifiers for Sensing Devices
Maria Chiara Sportelli 1 Diana Hamp;#246;tger 2 Rosaria Anna Picca 1 Kyriaki Manoli 1 Christine Kranz 2 Boris Mizaikoff 2 Luisa Torsi 1 Nicola Cioffi 1
1University of Bari Bari Italy2University of Ulm Ulm Germany
Show AbstractNanomaterials such as zinc oxide nanoparticles (ZnO-NPs) may offer excellent prospects for designing a new generation of low-cost, flexible, multi-functional bioelectronic devices. In particular, the high surface area exhibited by nanostructures frequently results in an amplification of the biosensor response, while the oxide surface chemistry provides several degrees of freedom for a tunable chemical functionalization leading to complex (bio)-architectures [1]. Moreover, ZnO-NPs are appealing because of their bioactivity/cellular compatibility, and excellent electron transfer and semi-conducting properties.
Electrosynthesis of ZnO nanocolloids is less explored than other wet-chemical approaches such as sol-gel methods, although it offers several advantages in particular for fine-tuning of particle morphology, size, surface chemistry, etc. [2]. Here, we report on a green electrochemical preparation method for ZnO-NPs from aqueous media in the presence of several stabilizers including sodium polystyrene sulphonate (PSS), and at different temperatures. Thus obtained nanocolloids were annealed using different temperatures and substrates.
PSS was selected as main capping agent due to its biocompatibility and thermal stability; additionally, its sulphonate moiety promotes the interaction with functional biomolecules or bioanalytes [3].
Different ZnO nanomaterials were deposited at Si/SiO2-based surfaces and devices, as both pure NP layers and in multilayer structures combining ZnO@PSS particles with organic semiconductors used in our research team for OFET devices [4].
All nanomaterials and layers synthesized herein were characterized by electron microscopy, and UV-Vis, IR and XPS spectroscopies. Advanced XPS data treatment (i.e., angle resolved, mapping mode XPS, etc) as well as investigations using Auger spectra outlining NP spectroscopic size effects enable a detailed elucidation of relevant NP parameters including surface reactivity, thermal stability, etc..
Preliminary experiments on the utility of ZnO-NPs in combination with a bioelectronic OFET device proving signal amplification effects were successfully carried out [4].
[1] S.K. Arya, S. Saha, J. E. Ramirez-Vick, V. Gupta, S. Bhansali, S.P. Singh, Analytica Chimica Acta 2012, 737, 1-21.
[2] M.C. Sportelli, S. Scarabino, R.A. Picca, N. Cioffi, Recent trends in the electrochemical synthesis of zinc oxide nano-colloids, in CRC Concise Encyclopedia of Nanotechnology, Taylor & Francis Group, submitted.
[3] L. Wan, S. Yan, J. Feng, Z. Yang, X. Fan, Z. Li, Z. Zou, Colloids and Surfaces A: Physicochemical and Engineering Aspects 2012, 396, 46-50.
[4] M.D. Angione, S. Cotrone, M. Magliulo, A. Mallardi, D. Altamura, C. Giannini, N. Cioffi, L. Sabbatini, E. Fratini, P. Baglioni, G. Scamarcio, G. Palazzo, L. Torsi, Proceedings of the National Academy of Sciences of the United States of America 2012, 109, 6429-6434.
10:15 AM - K5.05
Spatial Mapping of Exciton Lifetimes in Single ZnO Nanowires
Frank Guell 1 Juan S. Reparaz 2 3 Gordon Callsen 2 Markus R. Wagner 2 3 Joan R. Morante 1 4 Axel Hoffmann 2
1Universitat de Barcelona Barcelona Spain2Technische Universitat Berlin Berlin Germany3Catalan Institute of Nanotechnology Bellaterra Spain4Institut de Recerca en Energia de Catalunya Sant Adria de Besos Spain
Show AbstractThe quest for novel semiconductor materials with improved optoelectronic performance has triggered intense research activities to exploit the great diversity of effects offered by low dimensional systems. In this work, we demonstrate that the recombination dynamics of excitons in ZnO nanowires can be well understood within the concept of optical nanocavities. We investigate the spatial distribution of the lifetimes of the near-band-edge and bound-exciton emissions in single ZnO nanowires with different dimensions by means of temperature dependent and time-resolved spectroscopy. We demonstrate that the lifetime of the excitons is systematically reduced by 30% at the tips of the nanowires with respect to their maximum value at the center, which originates from the combined effect of the cavity-like properties of these nanostructures with the Purcell effect. In addition, show that the model of Rashba and Gurgenishvili is valid even at the nanoscale, i.e. the lifetime of the bound excitons is proportional to the localization energy (Eloc) to the power of 3/2. This result provides a means to understand the spatial dependence of the lifetimes of the near-band-edge emission (NBE), which is not intuitive due to their spatially extended nature.
10:45 AM - *K5.06
Native Point Defect Energies, Densities, and Electrostatic Repulsion across MgZnO Alloys
James Perkins 1 Meredith Meyer 2 Jean-Michel Chauveau 4 5 Andres Redondo-Cubero 3 Adrian Hierro 3 Leonard J. Brillson 1
1The Ohio State University Columbus USA2Columbus School for Girls Columbus USA3Universidad Politecnica de Madrid, Madrid Spain4CRHEA-CNRS Nice France5University of Nice Sophia Antipolis, Nice France
Show AbstractMgZnO alloys are emerging as exciting UV optoelectronic materials based on their large exciton binding energies, small lattice mismatch with ZnO substrates, and ability to create heterostructures for quantum well and superlattices by varying Mg content. Deep level defects in these materials will impact dipole formation, Schottky barriers and heterojunction band offsets, yet how their physical properties depend on alloy content and lattice structure is only now being explored. We have used depth-resolved cathodoluminescence spectroscopy (DRCLS) and nanoscale surface photovoltage spectroscopy (n-SPS) to measure the dependence of native point defect energies and densities on Mg content, band gap, and lattice structure in non-polar MgxZn1-xO (0DRCL spectra exhibit multiple deep level emissions with dominant 1.92 and 2.42 eV features corresponding to zinc and oxygen vacancies (VZn and VO), respectively, previously identified by positron annihilation spectroscopy and processing, with relatively constant bulk densities with slight surface segregation. While c-plane, polar ZnO typically has strong VO near-surface segregation, a-plane nonpolar ZnO exhibits relatively low segregation of both VZn and VO defects, suggesting the role of electric fields in near-surface distribution of these electrically-active sites. The linear band gap variation with Mg up to 52% is consistent with previous measurements. SPS work function changes at photothresholds provided defect level positions with respect to band edges. VO (VZn) energy level movements vs. Mg% parallel valence and conduction band edge variations, respectively, consistent with their orbital-derived nature. Both DRCLS defect densities exhibit a pronounced minimum at ~45% Mg corresponding to similar a and c parameter minima at ~52%. The reduced lattice parameters represent a 3.36% volume decrease, which may serve to inhibit defect formation due to electrostatic repulsion as observed in SrTiO3 and other complex oxides. Likewise, reported Schottky barrier heights decrease from ~1.2 to 1 eV above ~45% Mg, consistent with stronger Fermi level pinning as defect densities rise. These results highlight the coupled electronic and structure changes that occur within the MgZnO wurtzite-to-rocksalt transition region.
Supported by NSF Grant DMR-1305193 (Charles Ying).
11:15 AM - *K5.07
Effect of Electric Field on the Disorder-Order Transformation in MgOnAl2O3 System
Shmuel Hayun 1
1Ben-Gurion University of the Negev Beer-Sheva Israel
Show AbstractThe disorder-order transformation in nano MgOnAl2O3 system as a function of the temperature and the electric filed strength has been studied by modified calvet type calorimeter and FTIR spectroscopy. Nano MgOnAl2O3 powderes (0.952O3 powders are disordered with Al cations located in both tetrahedral and octahedral sites. An enhancement in the order state and a decrease in the temperature onset is observed with increasing of the filed strength. The effect of the electric field on the structure, on the interfacial energy and surface composition of the nano MgOnAl2O3 powders will be discussed.
11:45 AM - K5.08
Type II ZnO/ZnSe Core/Shell Nanowire Arrays on Sapphire Substrate for Photovoltaic Applications
Pierre Galtier 1 Gaelle Amiri 2 Alain Lusson 2 Vincent Sallet 2
1Universitamp;#233; de Versailles Saint-Quentin en Yvelines Versailles France2Centre National de la Recherche Scientifique Versailles France
Show Abstract(Quasi-1D) materials, such as nanowires, have attracted much attention for their potential application in photovoltaic devices due to their large surface-to-volume ratio and their good crystalline quality, leading to an increase of junction surfaces. In particular, type-II heterostructures are expected to have many novel properties that are fundamentally different from the p-n junction because of the spatial separations of carriers. The type-II heterostructure that are reported here, ZnO/ZnSe core/shell nanowires on sapphire substrate, have been grown by metal organic chemical vapor deposition (MOCVD). The morphology and size distribution of the ZnO/ZnSe core-shell nanowire arrays were studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Their crystal structure was examined by X-ray diffraction (XRD). SEM results exhibit well-aligned core/shell ZnO/ZnSe nanowires with a good crystalline quality although some surface roughness is associated to the deposition of ZnSe on the lateral ZnO facets. X-ray diffraction combined with Raman spectroscopy and electron diffraction demonstrate that, whereas ZnO grow along the c-axis with the wurtzite (WZ) structure, ZnSe can be deposited epitaxialy in the zinc-blend (ZB) structure on the M-facet of the wires. HRTEM observations associated to image Fourier filtering reveal that ZB-ZnSe shown by electron diffraction is localized at the interface with ZnO and is about 3 nm thick. WZ-ZnSe is not clearly observed but we cannot exclude that the top of the ZnSe shell is not wurtzite. The ZnO/ZnSe interface is sharp without defects, which is a very important point because structural defects could lead to carrier trapping as well as interfacial recombination, and thus may affect the carrier transport in the ZnO nanowire. Interestingly, we deduced from both electron diffractions and HRTEM images that the (002) lattice spacing of ZnSe is 0.26 nm. This indicates that the layer is under stress. These results are confirmed by optical transmission measurement which reveals an additional contribution at about 2.15eV attributed to the type II interfacial transition between the valence band of ZnSe ZB and the conduction band of ZnO WZ. These observations confirm the potential interest of ZnO/ZnSe core/shell nanostructures for photovoltaic applications.
12:00 PM - K5.09
Effects of Annealing on Structural and Optical Properties of ZnO Nanowires
Anas Mazady 1 Abdiel Rivera 1 Mehdi Anwar 1
1University of Connecticut Storrs USA
Show AbstractWe report, for the first time, effects of annealing of ZnO NWs grown on p-Si substrates. ZnO is a promising material for optoelectronic applications, such as laser diodes, optical sensors, solar cells, and light emitting diodes (LEDs), due to its large direct band gap energy of 3.37 eV and a large excitonic binding energy of 60 meV. Growth of ZnO nanowires (NWs) has been reported on different substrates, with Si substrates being of particular interest in order to maintain CMOS process compatibility. However, the large lattice mismatch of 40% and large difference in the thermal expansion coefficients of 87% between ZnO and Si substrates cause built-in residual stress in the grown ZnO NWs. Proper annealing conditions, as has been investigated in this paper, can reduce this built-in residual stress and hence can improve the crystalline quality and minimize defects of the grown ZnO NWs.
ZnO NWs are grown on p-Si substrates using First Nano EasyTube 3000 MOCVD system. The NWs growth was preceded by a ZnO epilayer growth for 20 min at a constant temperature and pressure of 300 °C and 70 Torr, respectively, to achieve catalyst free growth and better crystalline quality. Three different annealing conditions were investigated. The epitaxial layer was annealed at 635 °C (ETA635) and 800 °C (ETA800) in the first two sets of samples without a post-annealing treatment after the NWs growth. The third set of samples was annealed at 800 °C both after the epilayer and NWs growth (ENTA800). Scanning electron microscope (SEM) images of the grown NWs suggest that while annealing the epilayer at different temperatures (ETA635 and ETA800) does not affect the NWs morphology, annealing the NWs at 800 °C (ENTA800) deteriorates the NWs morphology by decreasing the surface area to volume ratio. Room temperature photoluminescence (PL) measurement was carried out in order to characterize the defect/ trap densities in the samples. A He-Cd laser line at 325 nm was used to excite the samples and an optical chopper, iHR 550 monochromator, and a SR830 lock-in amplifier were used in standard configuration to measure PL. Annealing at higher temperature (800 °C instead of 635 °C) after the epilayer growth resulted in better crystalline quality of the grown NWs (ETA800 was better than ETA635). The morphology of the grown epilayer is better when annealed at higher temperature which in turn results in a better crystalline quality of ETA800 compared to ETA635. Annealing at 800 °C after NWs growth did not affect the trap count as much but deteriorated the morphology of the NWs (ETA800 and ENTA800 have similar trap counts but less number of traps than ETA635). In conclusion, high temperature annealing of ZnO epilayer results in better crystalline quality of the grown ZnO NWs by reducing the number of traps/ defects while annealing of ZnO NWs themselves deteriorates the morphology of the grown NWs.
12:15 PM - K5.10
Hierarchical Nanowires via Oriented Attachment for Energy Storage Devices
Liqiang Mai 1 Yunlong Zhao 1 Lin Xu 1 2 Liang He 1
1Wuhan University of Technology Wuhan China2Harvard University Cambridge USA
Show AbstractHierarchical structures with high surface/body ratios, large surface areas, better permeability and more surface active sites can significantly increase energy density, power density and cycle performance, decrease self-aggregation of electrode material, and have great potential for energy storage devices. In the present work, through the crystal growth mechanism of ‘oriented attachment&’, a series of hierarchical structures nanowires have been obtained, including hierarchical heterostructured nanowires, hierarchical mesoporous nanowires, and hierarchical scrolled nanowires which exhibit outstanding electrochemical performances and show potential applications in energy storage1-4.
To increase faster ion diffusion and electron transport and lead to the improvement of energy density of supercapacitor, our group has synthesized the 3D hierarchical MnMoO4/CoMoO4 heterostructured nanowires1. The ‘substrate&’, MnMoO4, has similar lattice parameters and can guide CoMoO4 nanoparnot;ticle self-assembly and oriented crystallization to form this hierarchical heterostructured nanowires. We fabricated asymmetric supercapacitors. Compare with pure 1D nanowires, hierarchical heterostructured nanowires increase specific capacitance and energy density up to an order of magnitude. Recently, based on the ‘oriented attachment&’, we also synthetized of hierarchical nanostructured material by growing Co(OH)2 nanoflakes uprightly onto MoO2 thin film with the enhanced capacitance of 800 F/g at 20 A/g.
To provide continuous free oxygen diffusion channels, we synthesized hierarchical ‘rods in wire&’ mesoporous La0.5Sr0.5CoO2.91 (LSCO) nanowires. Those LSCO nanorods self-assemble at a low stirring rate and a bigger water pool in microemulsion, and then templated itself for the oriented growth of attached nanorods, which results in the formation of hierarchical mesoporous LSCO nanowires. We fabricated Li-air battery based on hierarchical mesoporous LSCO nanowires, which exhibits ultrahigh capacity, c.a., over 11000 mAh/g, with the improvement of one order of magnitude than LSCO nanoparticles.
References
(1)Mai, L. Q., et al. (2011). "Hierarchical MnMoO4/CoMoO4 heterostructured nanowires with enhanced supercapacitor performance." Nat. Commun. 2: 381.
(2)Zhao, Y., et al. (2012). "Hierarchical mesoporous perovskite La0.5Sr0.5CoO2.91 nanowires with ultrahigh capacity for Li-air batteries." PNAS 109(48): 19569-19574.
(3)Yan, M. Y., et al. (2013). "Nanowire templated semi-hollow bicontinuous graphene scrolls: designed construction, mechanism and enhanced energy storage performances." JACS. Accepted.
(4)Hercule, K. M., et al. (2013). "Synergistic effect of hierarchical nanostructured MoO2/Co(OH)2 with largely enhanced pseudocapacitor cyclability." Nano Lett. DOI: nl403772n.
Symposium Organizers
Dhananjay Kumar, North Carolina Aamp;T State University
Valentin Craciun, National Institute for Laser, Plasma, and Radiation Physics
Kaushal K. Singh, Applied Materials
Maryline Guilloux-Viry, University of Rennes 1
Symposium Support
Applied Materials, Inc.
North Carolina Aamp;T State University
K9: Multiferroics II
Session Chairs
D. Sarma
Kaushal K. Singh
Wednesday PM, April 23, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
2:30 AM - *K9.01
Efficient Multiferroic Oxides Based Solar Cells
Riad Nechache 1 2 Federico Rosei 1
1INRS-EMT Varennes Canada2University of Tor Vergata Rome Italy
Show AbstractSubstantial and controllable bandgap tuning in functional perovskite oxides is becoming gradually important for design and development of highly efficient optoelectronic devices. In particular lowering the bandgap of ferroelectric without altering the physical properties is a promising way to achieve highly efficient photovoltaic solar cells. In addition to their in-built potential originating from their spontaneous polarization, which can be used to efficiently separate the photogenerated electron-hole pairs, low bandgap enables the increase of energy harvesting from the Sun&’s broad spectrum and the reduce of the charge carriers recombination. Based on research reports, recent unsuccessful attempts demonstrate difficulties to fabricate such materials which still remain a great challenge. Here we review recent progress of our group in the exploration of novel oxide materials - both thin film and heterostructure - in pursuit of two major research thrusts: Semiconducting ferroelectric of multiferroics (MF) and solar energy conversion. We will present, the controlled growth and characterization of MF Bi2FeCrO6 (BFCO) thin films and heterostructures via pulsed laser deposition. Our investigation demonstrated that BFCO bandgap can be lowered and tuned, down to 1.4 eV, by tailoring the Fe/Cr cation ordering and the ordered domain size in thin films. We demonstrate highly efficient single and multilayer MF solar cells, up to 8.1%, featuring a low bandgap BFCO layer which largely exceed the previously reported PZT-based PV device performance. The relationship between crystal structure, optical ferroelectric and photovoltaic properties of BFCO will be discussed in details.
3:00 AM - K9.02
High Room Temperature Carrier Density and Mobility in LaTiO3/KTaO3 Heterostructures
Ke Zou 1 Fred Walker 1 Charles Ahn 1
1Yale University New Haven USA
Show AbstractThe generation of metallic conduction in heterostructures composed of two insulators has attracted significant research interest, such as the conduction observed in the LaAlO3/SrTiO3 system. We present precise atomic layer growth of a Mott insulator LaTiO3 on a band insulator KTaO3 by molecular beam epitaxy. In the bulk, KTaO3 is an incipient ferroelectric, and superconductivity has been induced on its surface. In LaTiO3/KTaO3 heterostructures, we observe metallic conduction from T = 2 K - 300 K and high carrier concentrations of ~ 1014 /cm2. At room temperature, the carrier mobility is as high as 25 cm2/Vs in a few nm thick LaTiO3 films, which is higher than the reported value of <10 cm2/Vs in LaAlO3/SrTiO3. These properties make LaTiO3/KTaO3 heterostructures promising for the development of new and faster electronic devices.
3:15 AM - K9.03
Chemical Profiling and Defect Distribution of the Magnetoelectric CoFe2O4/BaTiO3 System Using Transmission Electron Microscopy Techniques
Vaso Tileli 1 Martial Duchamp 2 Anna Karin Axelsson 1 Matjaz Valant 3 Rafal Dunin-Borkowski 2 Neil Alford 1
1Imperial College London London United Kingdom2Jamp;#252;lich Research Centre Jamp;#252;lich Germany3University of Nova Gorica Nova Gorica Slovenia
Show AbstractThe performance of composite magnetoelectric (ME) devices depends primarily on the coupling between the magnetostrictive and piezoelectric attributes of the two component structures. Estimates of the order of the coupling requires understanding of the microscopic mechanism driving the ME behaviour. In thin film structures, it is the interface that determines the detectability of the ME response. Here, we investigate on the very local scale the interface characteristics of the model magneto-electric CoFe2O4/BaTiO3 system using a range of different state-of-art transmission electron microscopy methodologies to determine the structure and chemistry at the interface. Negative spherical aberration corrected transmission electron micrographs reveal an uneven BaTiO3 surface and a disordered CoFe2O4 region extending several nanometres from the interface. Electron energy loss spectra suggest major inter-diffusion across the interface. Fe is found in the surface of BaTiO3 hampering its ferroelectric properties. From the opposite side of the interface, Ba and Ti also diffuse into the CoFe2O4 lowering the magnetic moment of the thin film.
3:30 AM - K9.04
Piezoelectric Thin Films on Flexible Metal Substrates
Sebastjan Glinsek 1 Seung-Hyun Kim 1 Angus Kingon 1
1Brown University Providence USA
Show AbstractOxide thin-film ferroelectrics and piezoelectrics can be employed in micro-electromechanical systems (MEMS) with a broad spectrum of applications1. Some of them, such as energy harvesters, require films to be supported by non-brittle and flexible substrates. Several approaches have been proposed to transfer the processed thin layers from the growing substrate to either polymer or stainless steel2. Even though these approaches do result in good functional properties, they comprise several complex fabrication steps, including chemical etching. By processing the oxides directly on low-cost flexible metal foils MEMS fabrication can be simplified, making this approach interesting from the application point of view3.
Using chemical solution deposition we have prepared two representative types of films: non-lead (K,Na)NbO3-based (NKN) films and lead-based Pb(Zr,Ti)O3 (PZT) films on flexible metal foils and on platinized silicon substrates. After heating at 700°C all the films are polycrystalline single-phase perovskites with homogeneous microstructures.
The measured remanent polarization 2Pr values of the NKN and PZT films on metal foils are 50 and 70 mu;C/m2, respectively, which is more than double than the values measured on the platinized silicon or reported in the literature. We also observed modified domain patterns and extrinsic contributions to dielectric response. Large value of the out-of-plane polarization is in agreement with the theoretical prediction for the case of (001)-epitaxial PZT under compressive biaxial stress4. In fact, from the comparison of the thermal expansion coefficients it follows that the films on metal foils should be under significant compressive stress.
In this contribution we will discuss results on detailed microstructural investigation of the films and their interfaces with the substrates performed using transmission electron microscopy. Furthermore, we will present measurements of the macroscopic strain in the films using two-dimensional X-ray diffraction. The microstructural and stress characteristics will be correlated with macroscopic functional properties and microscopic electromechanical response measured by the piezoresponse force microscopy.
1 C. B. Eom and S. Trolier-McKinstry, MRS Bulletin 37, 1007 (2012).
2 K. Morimoto, I. Kanno, K. Wasa, and H. Kotera, Sensor. Actuator. A-Phys. 163, 428 (2010).
3 A. I. Kingon and S. Srinivasan, Nat. Mater. 4, 233 (2005).
4 N. A. Pertsev, V. G. Kukhar, H. Kohlstedt, and R. Waser, Phys. Rev. B 67, 054107 (2003).
3:45 AM - K9.05
Persistent Photoconductivity in Bulk Strontium Titanate
Marianne C. Tarun 1 Farida A. Selim 1 Matthew D. McCluskey 1
1Washington State University Pullman USA
Show AbstractStrontium titanate (SrTiO3) has novel properties, including a large temperature-dependent dielectric constant, and can be doped to make it metallic or even superconducting. The origin of conductivity observed at the SrTiO3/LaAlO3 interface is a topic of intense debate. In the present work, bulk single crystal SrTiO3 samples were heated at 1200°C, with the goal of producing cation vacancies. These thermally treated samples exhibited persistent photoconductivity (PPC) at room temperature. Upon exposure to sub-band-gap light (>2.9 eV), the free-electron density increases by over two orders of magnitude. This enhanced conductivity persists in the dark, at room temperature, for several days with essentially no decay. The results of positron lifetime measurements suggest that a titanium vacancy defect is responsible for PPC. Light excites an electron from the vacancy to the conduction band, where it remains, due to a large recapture barrier. These observations highlight the importance of defects in determining the electrical properties of oxides and may point toward novel applications such as holographic memory.
M.C. Tarun, F.A. Selim, and M.D. McCluskey, Phys. Rev. Lett. (in press).
4:30 AM - *K9.06
A Tale of Two Systems: High K Bulk Oxide and High TMR Interface
D. D. Sarma 1
1Indian Institute of Science Bangalore India
Show AbstractKeeping in mind the central theme of this symposium, we shall deal with two separate classes of systems, one with a high k and other attractive dielectric properties and the other with a high value of TMR at the room temperature. Such properties are evidently important for the eventual miniaturization of data processing and storage devices. Based on our work [1] underlining the ability of specific disorders to enhance the dielectric constant by suppressing long-range order in microscopically polar materials, I shall discuss the origin of interesting dielectric properties in selected transition metal oxides. In the second instance, I shall discuss open issues related to the interface properties on CoFeB/MgO based magnetic tunnel junctions that are known to give rise to large TMR at the room temperature, employing high energy photoemission to obtain layer resolved information [2], thereby revealing the nature and properties of buried layers.
The work described here is based on collaborative studies involving the following people:
Debraj Choudhury, Sumanta Mukherjee, Johan Akerman, P. Anil Kumar S. V. Bhat, K. T. Delaney, Wolfgang Drube, O. Eriksson, Mihaela Gogoi, J. Gopalakrishnan, Abhijit Hazarika, C. Kakarla, Olof Karis, Ronny Knut, P. Lazor, R. Mathieu, P. Mondal, , P. Nordblad, A. Negi, R.Nirmala, Banabir Pal, Johan Persson, Anindita Sahoo, B. Sanyal, N. A. Spaldin, P. Sujatha Devi, A. Sundaresan, Sebastian Thiess, A. Venimadhav, U. V. Waghmare and D. D. Sarma.
*Also at Department of Physics and Astronomy, Uppsala University, Sweden and Council for Scientific and Industrial Research - Network of Institute for Solar Energy (CSIR-NISE), New Delhi, India.
[1] Debraj Choudhury et al., Appl. Phys. Lett. 96, 162903 (2010); Phys. Rev. B 82, 134203 (2010); Phys. Rev. B 84, 125124 (2011); Phys. Rev. Lett. 108, 127201 (2012); and Sci. Reports 3, 1433 (2013).
[2] Sumanta Mukherjee et al., Unpublished results.
5:00 AM - K9.07
Conditions for Large Polarization and Tetragonality of BaTiO3 Films on SrTiO3 by Pulsed Laser Deposition
Lee Phillips 1 Pascale Gemeiner 2 Vincent Garcia 1 Stephane Fusil 1 Edouard Lesne 1 Eric Jacquet 1 Brahim Dkhil 2 Manuel Bibes 1 Agnes Barthelemy 1
1Unitamp;#233; Mixte CNRS/Thales and Universitamp;#233; Paris-Sud Palaiseau/Orsay France2Laboratoire SPMS, Ecole Centrale Paris Chatenay-Malabry France
Show AbstractThe ferroelectric perovskite BaTiO3 (BTO) is promising for applications including nonvolatile memory and field effect. We have demonstrated giant tunnel electroresistance [1] and ferroelectric control of spin polarization [2] using epitaxial ultrathin films of BTO. These applications exploit the well-known enhancement of the ferroelectric polarization and Curie temperature by epitaxial strain [3]. But to achieve enhanced properties in strained thin films grown by pulsed laser deposition (PLD), the films must be fully strained and of high crystal quality. This presents a challenge for growth on SrTiO3 (STO) substrates that impose a large compressive strain of -2.4%.
We have grown BTO on STO with La0.67Sr0.33MnO3 bottom electrodes by pulsed laser deposition. In the regime of light scattering of the ablated plume, we find a narrow window of deposition conditions in which flat fully-strained films can be grown with high polarization (>30 µC/cm2) and tetragonality (c/a = 1.08). The properties are comparable to the best in the literature [4] and persist to at least 45 nm in thickness. Temperature-dependent UV-Raman spectra show the films possess high Curie temperature >840 K. The optimal conditions for thin films may differ from those for homogeneity in thicker films.
This work received financial support from the French Agence Nationale de la Recherche through project NOMILOPS (ANR-11-BS10-0016) and the European Research Council Advanced Grant FEMMES (contract n°267579).
[1] Garcia et al. Nature 460, 81 (2009)
[2] Garcia et al. Science 327, 1106 (2010)
[3] Choi et al. Science 306, 1005 (2004)
[4] Kim et al. Appl. Phys. Lett. 86, 102907 (2005)
5:15 AM - K9.08
Ferroelectric Domains in Anisotropically Strained (K,Na)NbO3 Thin Films
Jutta Schwarzkopf 1 Dorothee Braun 1 Andreas Duk 1 Martin Schmidbauer 1 Philipp Mueller 1 Martin Albrecht 1
1Leibniz Institute for Crystal Growth Berlin Germany
Show AbstractAlkaline niobate based materials have attracted much interest due to their excellent piezoelectric properties, high Curie temperatures and complex phase diagrams. However, although bulk crystals have been well investigated in the past, piezo-/ferroelectric properties and domains in (K,Na)NbO3 thin films are rarely studied yet. However, understanding and controlling of domains are essential for their further application in nanometer sized electronic devices. Furthermore, epitaxial growth on lattice mismatched substrates has a decisive impact on the stability of ferroelectric phases. In particular, the incorporation of anisotropic lattice strain provides new properties in films which are not observed in corresponding bulk crystals.
In this study (Na,K)NbO3 thin films were epitaxially grown on SrTiO3, TbScO3, DyScO3 and NdScO3 substrates by liquid-delivery spin metal-organic chemical vapor deposition. According to the respective lattice mismatch between substrate and film the films are either compressively or tensily strained. Due to the orthorhombic symmetry of the alkaline niobates and/or of the rare-earth scandate substrates in-plane strain is anisotropic. Ferro-/piezoelectric properties of the films have been investigated by means of a piezoresponse force microscope (PFM) in dual ac resonance tracking (DART) mode. Our results clearly indicate that the alignment of the ferroelectric domains as well as in-plane and out-of-plane component of the polarization vector strongly depends on the choice of the substrates. PFM phase and amplitude images of highly compressively strained (K,Na)NbO3 films on SrTiO3 substrates essentially show small, irregular arranged domains with large in-plane and out-of-plane piezoresponse. Decay of the piezoresponse signal after poling as a function of time is well described by the Kohlrausch-Williams-Watts (KWW) relaxation function with β=0.4, which indicates relaxor behavior. This result is similar to those we obtained for highly compressively strained NaNbO3 thin films grown on NdGaO3 substrates, which were shown to exhibit relaxor properties [1]. When compressive lattice strain is reduced by the growth on TbScO3 and DyScO3 substrates the ferroelectric domains exhibit fourfold symmetry with almost exclusive in-plane polarization component which points to MB domains with P parallel to c (u >> v). In case of tensile lattice strain present in films grown on NdScO3 substrates due to the anisotropic in-plane strain an a1 domain structure is induced superimposed by a ferroelastic lamellae structure. Poling experiments have shown that these domains grow in lateral direction also beyond the area where the DC voltage was applied.
In this contribution the ferro-/piezoelectric behavior of (K,Na)NbO3 thin films will be discussed with regard to the different strain states and phase symmetries of the films evaluated from HRXRD and HRTEM measurements.
[1] R. Wördenweber et al., Appl. Phys. Lett. 103, 132908 (2013)
K10: Poster Session II
Session Chairs
Valentin Craciun
Kaushal K. Singh
Wednesday PM, April 23, 2014
Marriott Marquis, Yerba Buena Level, Salons 8-9
9:00 AM - K10.01
Nanometer Resolution Self-Powered Motion Sensor Based on Micro-Grated Triboelectrification Between Oxide and Polymer Thin Films
Yusheng Zhou 1 Guang Zhu 1 Simiao Niu 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Sciences Beijing China
Show AbstractMeasurement of displacement and speed at the micro- and nano-scale has ubiquitous applications in the scientific and industrial fields such as manufacturing, automation, robotics, and nano-manipulation. Traditionally well-established techniques to detect displacement or speed include optical approaches based on optical interference, electrical techniques based on capacitive sensing, electromagnetic induction, and piezoelectric sensors. However, there is always a trade-off among resolution, working distance and complexity. Furthermore, most of the existing sensing technologies need pre-provided electrical or optical signals in order to detect the mechanical displacement, which inevitably requires external power sources. However, the increased number and density of portable electronic devices and sensing networks today desperately desires low power consumption and/or self-powered sensors.
In this paper, we demonstrate a self-powered, one-dimensional displacement and speed sensing technology using a pair of micro-grating structure that achieves high resolution, large dynamic range and long detecting distance, which overcomes a trade-off dilemma in many existing technologies. The sensor consists of a pair of micro grating structures and works based on coupling of triboelectric effect and electrostatic induction. Relative motion between two gratings leads to periodic separation of two micro-grated dielectric materials that are oppositely charged through triboelectrification. As a result, an alternating electric signal between the metal electrodes placed beneath the dielectric materials can be detected due to electrostatic induction. The displacement and speed can be detected in real time by monitoring the open circuit (OC) voltage and short circuit (SC) current generated by the sensor itself, respectively. With a grating pair having a period of 200 mu;m, the displacement resolution of 173 nm was achieved in tens of millimeters working distance with a linearity error of 0.02%. The speed can be directly detected by the amplitude of the short circuit current, which has a good linearity over a large dynamic range from 5 mu;m/s to 0.1 m/s. The resolution for both displacement and speed sensing can be further improved by reducing the grating period. This new approach for displacement/speed sensing distinguishes itself from the existing technologies by a combination of self-powered, nanometer resolution, long detecting range and non-optical compacted structure, showing extensive potential applications in automation, manufacturing, process control, portable device, et al.
9:00 AM - K10.02
Synthesis and Fabrication of Schottky Barrier Zinc Oxide Hybrid Organic Thin Film Solar Cells for Smart Window Applications
Ebraheem Ali Azhar 1 Micah Tuttle 2 Benjamin Helfrecht 3 Sandwip Dey 2 Hongbin Yu 1
1Arizona State University Phoenix USA2Arizona State University Phoenix USA3Purdue University West Lafayette USA
Show AbstractRecent trends in solar innovation have geared toward Building Integrated Photovoltacs (BIPV) for passive energy harvesting applications. Retrofitting commercial, residential, and automotive windows with these systems calls for solar cells that do not inhibit field of view; additionally, smart window systems powered by electrochromic mechanisms require large voltages to drive mobile ions. As such Zinc Oxide (ZnO) gains much appeal from its wide bandgap and transparency in the visible spectral range making it an attractive candidate. Many solar realizations of ZnO, such as dye-sensitized solar cells and nanowire photocatalysts, have been widely investigated, and a thorough understanding of the photovoltaic mechanism under ultraviolet (UV) illumination would reveal further design concerns for illumination under terrestrial spectral irradiance (AM1.5). In order to effectively use solar energy under these limits, ZnO Schottky photovoltaics were incorporated with organic PEDOT:PSS to form a large surface barrier at a fraction of the cost of conventional Schottky metal deposition.
In this study, Mn(3%)-ZnO films of varying thickness were sputtered on highly doped silicon as well as glass with various conducting layers. After treating the surface of Mn-ZnO with high power oxygen plasma, PEDOT:PSS of varying conductance and thickness were spun-casted atop the ZnO surface, forming an operational Schottky barrier device. Cr/Au, was then deposited at various diameters to study and extrapolate the effect of contact size and device area on the schottky barrier height and open circuit voltage. The photovoltaic device structure was also simulated under Schotkey barrier model to complement the design efforts.
The results have lead to an open circuit voltage as high as 1.9 V with atypical rectifying behavior and reduced short circuit current compared to the full inorganic device. Much of this is attributed to recombination and other loss mechanisms coupled with photovoltaic action. Additional details on the fabrication process as well as device characteristics will be presented.
9:00 AM - K10.03
Structure, Dielectric, and Piezoelectric Properties of (0.95-x) BiFeO3-x PbTiO3-0.05 Pb(Zn1/3Nb2/3)O3 Ternary High Curie Temperature Piezoelectric Ceramics
Jiajia Jiang 1 Jinrong Cheng 1 Jianguo Chen 1
1Shanghai University Shanghai China
Show AbstractA series of solid solutions (0.95-x) BiFeO3-x PbTiO3-0.05 Pb(Zn1/3Nb2/3)O3 (BF-PT-PZN) (x= 0.30, 0.31, 0.33, 0.35, 0.37, 0.40) have been fabricated by solid state reaction method. X-ray diffraction analysis revealed that phase transition from rhombohedral to tetragonal was observed at PT content of 0.35. Values of the dielectric constant εr, dielectric loss tangent tantheta;, Curie tem-perature Tc and piezoelectric constant d33 for the composition x=0.35 were of 250, 0.02, 550 °C and 70 C/N, respectively. Temperature-dependent piezoelectric constant and electromechanical coupling coefficient were stable from room temperature up to 450 oC, about 300 oC higher than that of conventional Pb(Zr,Ti)O3 (PZT) piezoelectric ceramics. All these results together with the good thermal stabilities made the BF-PT-PZN ceramics promising candidates for high tempera-ture piezoelectric applications.
9:00 AM - K10.04
Preparation of Perovskite-Type YAlO3:Gd3+-Pr3+ UV Phosphor Thin Films
Yuhei Shimizu 1 Kazushige Ueda 1
1Kyushu Institute of Technology Kitakyushu Japan
Show AbstractRare earth doped or transition metal doped perovskite-type oxides have promise as phosphor materials due to intense luminescence and high chemical stability. Because the crystal structure is simple, high quality thin films of perovskite-type oxides have been prepared frequently on perovskite single crystal substrates for thin film device application. Although there are some reports on visible light emission of perovskite-type oxide thin films, UV emission of the films has not been investigated extensively.
Recently, we found that Gd3+-Pr3+ co-doped YAlO3 showed an intense UV emission from Gd3+ at approximately 310 nm. In this phosphor, excitation energy is first absorbed by Pr3+ ions and then the energy transfers from Pr3+ to Gd3+ ions, resulting in the intense UV emission from Gd3+. In this paper, preparation of thin films of the Gd3+-Pr3+ co-doped YAlO3 UV phosphor was attempted toward light emission application. Crystal phases, surface morphology, and fundamental optical properties of the thin films were investigated with using three different substrates, silica glass, perovskite-type SrTiO3 (STO) single crystals, and LaAlO3 (LAO) single crystals.
The films of YAlO3:Gd3+-Pr3+ were deposited on the substrates by RF sputtering method using a target of YAlO3:Gd3+-Pr3+ polycrystalline sintered body. As-deposited thin films were annealed at 1000 °C for 1h in air to crystalize them. X-ray diffraction patterns of the thin films were measured to identify the generated phases. Surface morphology of thin films was observed by field emission scanning electron microscope. Fundamental optical properties of the thin films were investigated by transmission spectra and photoluminescence (PL) /PL excitation spectra.
All the as-deposited films were non-crystalline. After annealing, polycrystalline YAlO3:Gd3+-Pr3+ thin films were obtained on the silica glass substrate. (00l)- and (hh0)-oriented films were obtained on the STO and LAO substrates. The annealed thin film on the silica glass and STO substrates had cracks whereas that on the LAO substrate had smooth surface. The annealed thin film on the LAO substrate showed the most intense UV emission from Gd3+ ions. The formation of high quality YAlO3:Gd3+-Pr3+ thin films on the LAO substrates were attributed to a combination of YAlO3 and LAO, which is preferable in respect of thermal expansion coefficient and lattice constants.
9:00 AM - K10.05
Soft Lithographic Printing of Titanium Dioxide and the Resulting Silica Contamination Layer
Travis Curtis 1 Lakshmi V Munukutla 1 Arunachalanadar M Kannan 1
1Arizona State University Mesa USA
Show AbstractSoft lithographic printing techniques can be used to print nanoparticle dispersions with relative ease while allowing for a measureable degree of controllability of printed feature size. In this study, a Polydimethylsiloxane (PDMS) stamp was used to print multi-layered, porous, nanoparticle dispersions of titanium dioxide (TiO2). The gelled patterns were then sintered for use in a dye-sensitized solar cell (DSSC) application. TiO2 samples were initially immersed in a sensitizing agent, though it was discovered chemical absorption was not sufficiently achieved. The result of this observation prompted us to chemically analyze the sample surface for possible contamination.
X-ray photoelectron spectroscopy (XPS) was used to determine the surface constituents of the printed sample. The presence of a secondary peak feature located approximately 2.8 eV above the high resolution O1s core level binding energy peak was attributed to a contamination layer. Fourier transform infrared spectra (FTIR) of the printed sample revealed the presence of vibrational modes characteristic of the asymmetric bond stretching of silica, located at approximate wavenumbers of 1260 and 1030 cm-1.
Soft lithographic techniques are a viable manufacturing technique in a number of disciplines and sintered nano-oxide dispersions are readily used as reaction centers in a number of technologies. The presence of a residual, bonded silicate contamination layer may preclude the soft lithographic printing of chemically active oxide surfaces.
9:00 AM - K10.06
Combinatorial Approach in Optimizing p-Type Semiconducting (Cu,Nb)- Based Oxides for Water Splitting
Katarzyna Skorupska 1 Paria Shahbazi 1 Bruce A. Parkinson 1
1University of Wyoming Laramie USA
Show AbstractTransition metal oxides, due to their stability and low cost, are considered candidate photoelectrodes for a photoelectrochemical (PEC) water splitting cell[1]. However, it is very challenging to find a particular metal oxide material that fulfils all the strict energetic and kinetic requirements to enable photodriven multielectron and multi proton hydrogen and oxygen evolution in a practical device. Therefore a combinatorial approach for testing many multicomponent compositions is justified. A fast and inexpensive ink jet printing method, which is based on printing overlapping metal oxide precursor patterns onto a conductive substrate followed by pyrolysis and photocurrent screening, allows for investigating a large library of compositions. A combinatorial study of copper-niobium oxide compositions will be presented. The highly stable wide band gap Nb2O5 (3.4eV), with a conduction band consisting of empty Nb-based d-orbitals, can be combined with Cu2O where the filled Cu-based d-orbitals make up the uppermost valence band energy. This combination lowers the band gap to allow for visible light absorption originating from band gap transitions consisting of primarily copper-to-niobium (d10-to-d0) excitations. Already two photoelectrochemically active microcrystalline phases (CuNbO3 and CuNb3O8) with band gaps less than 2.1eV were identified with conventional solid sate synthesis methods [2,3]. We will show how the different phases can be produced and optimized by ink jet printing of precursor inks with variation of composition, temperature and pyrolysis atmosphere. The physical and chemical properties of the material are investigated using XPS , XRD and photoelectrochemical measurements to investigate their potential as a photoelectrode for the hydrogen evolution reaction (HER).
1. M. Woodhouse, B.A. Parkinson, Chem. Mater. 20(2008)2495
2. U.A. Joshi, A.M. Palasyuk, P.A. Maggard, J. Phys. Chem. C 115(2011)13534
3. U.A. Joshi, P.A. Maggard, Phys. Chem. Let. 3(2012)1577
9:00 AM - K10.07
Study of Structural, Optical and Photocatalytic Properties of CuO Dandelions
Umesh Kumar Gaur 1 Anil Kumar 2 Ghanshyam Das Varma 3
1I.I.T. Roorkee Roorkee India2I.I.T. Roorkee Roorkee India3I.I.T. Roorkee Roorkee India
Show AbstractCuO dandelion structures formed by self-organized nanoflakes have been synthesized by wet chemical method. The as prepared CuO dandelion structures have been characterized by X-ray diffraction (XRD), FESEM with EDAX, Raman, UV-VIS, Fluorescence spectroscopy and FluoroCube fluorescence Lifetime system. XRD result reveals single phase monoclinic structure of the synthesized sample. FESEM results show that produced microspheres have the average diameter ~ 4 micro meters, which are self organized by nanoflakes of average breadth of ~19 nm and length ~200 nm. Observed value of band gap of the sample is found to be 1.8 eV which is larger than bulk CuO. Lower band gap compare to other oxides make it useful in optical switching and photo detection applications in visible range. Fluorescence spectrum shows the peak at 398 nm under excitation of 320 nm. This emission (398 nm) may be due to the phonons generated by trapped charge carriers. Fluorescence life time has been found to be 3.75 ns under excitation of 320 nm. Raman spectrum shows red shifted behavior compare to bulk CuO. This behavior may be due to the phonon confined in space by crystal boundaries or defects. UV-VIS, fluorescence and Raman spectra demonstrate that the as prepared CuO microspheres exhibit quantum size confinement effects. Furthermore, the photocatalytic properties of as synthesized mesoporous structures have been analyzed by checking photo degradation of rhodamine B (RhB) under UV light irradiation. The results show that about 98% of RhB is degraded in 12h, which is much larger than that of commercial CuO powder. CuO mesoporous structure with lower band gap semiconductor and fluorescence properties may be useful in optoelectronics. This self assembly concept may also be applicable to other metal oxides.
9:00 AM - K10.09
Nucleation and Growth Mechanisms of Epitaxial Ce1-xGdxO2-y Nanostructures
Albert Queraltamp;#243; 1 Maramp;#237;a de la Mata 1 Jordi Arbiol 1 2 Xavier Obradors 1 Teresa Puig 1
1ICMAB-CSIC Bellaterra Spain2ICREA Barcelona Spain
Show AbstractChemical Solution Deposition (CSD) is a powerful bottom-up approach that has been demonstrated very promising for the generation of templates with epitaxial self-organized nanostructures due to the high-throughput production that can be achieved at low cost. However, very little is known about the mechanisms leading to the formation of these nanostructures (nucleation and coarsening). Some works have attempted to study those mechanisms by means of conventional thermal annealing, but the very slow heating rates achieved with this technique may prevent the separation between nucleation and coarsening events. In this regard, Rapid Thermal Annealing (RTA) furnaces may become a key tool in order to discern between nucleation and coarsening, since they can achieve high temperatures in tens of seconds.
We will present our results on the study of nucleation and coarsening mechanisms for gadolinium-doped CeO2 (CGO) nanostructures by introducing the use of RTA furnaces in the CSD methodology. The control of nucleation and stabilization of different CGO heteroepitaxies was obtained through modification of annealing conditions such as temperature (800 to 1100 °C), heating rate (0.5 to 20 °C/s), as well as, substrate structure and orientation ((001)-oriented Y2O3:ZrO2 (YSZ) and LaAlO3 (LAO), and (011)-oriented LAO). For instance, (001)-CGO nanodots were obtained when the substrate was either (001)-oriented YSZ or LAO, while (011)CGO nanowires were grown when it was (011)-oriented LAO.
We have established, independently of the morphology studied, that nanoislands tend to increase their size with temperature. This indicates that the critical size of the first stable nuclei is larger at high temperatures. We have also detected an increase in nanostructures dimensions when the heating rate is reduced. This has been associated to the influence of coarsening at slow rates due to intermediate recrystallization events.
In addition, a thermodynamic analysis was made on the equilibrium nucleation and stable nanoislands morphologies. Nucleation energy barriers and Elastic relaxation, Surface and Interface energies of the different island facets are evaluated for this purpose. HRSTEM analysis enabled the identification of the different island&’s facets, epitaxial structure and the different stages of the growth. This allowed us to ascertain that the nanoisland morphology consists of a trapezoidal-shaped nanopyramid for (001)-CGO nanostructures with (111) lateral facets, while (011)-CGO nanoislands also display a trapezoidal-shaped morphology, elongated along a preferential axis (i.e. [0-11]CGO).
This investigation based on a CSD approach is of general use and can be widely spread to many other functional oxide materials.
9:00 AM - K10.10
Growth of Self-Assembled Nanojunction
Ying-Hui Hsieh 1 Ho-Hung Kuo 1 Chang-Cheng Ju 2 Heng-Jui Liu 1 Ying-Hao Chu 1
1National Chiao Tung University Hsinchu Taiwan2Nanjing Nanjing China
Show AbstractThe miniaturization of electronic devices has been hinged upon lithography technique and ion implanation. However, the limit of lithography technique as well as the control of ion implantation will be two of the most crucial issues to be conquered. In this study, we propose a method to fabricate self-assembled junction in nanoscale to overcome the lithography issue. N- (SrRuO3) and p-type ((La,Sr)MnO3) complex oxides were used and served as a model system to form the p-n junction for providing a possibility of solving the ion implantation problem.
The samples of self-assembled nanoscale p-n junction were fabricated by the pulse laser deposition system assisted with high-pressure reflective high-energy electron diffraction to in-situ monitor the growth situation. During the growth process, for the continuity of crystal structrure, p-type perovskite material connects directly with n-type one instead of fluorite material (CeO2), and therefore the sample is composed of two layers of nanocomposites. The matrix of nanocomposite at each layer is the fluorite material, while the nanostructures at two layers are, respectively, p-type and n-type perovskite materials. Through the growth process, the size of perovskite nanojunction is variable with the ratio between fluorite material and perovskite material, making the size of perovskite nanojunction to nanometer.
In this study, high resolution X-ray diffraction was employed to study the epitaxial relationship of the nanostructures, high resolution TEM was used to explore the interfaces between p-n nanojunciton and between matrix and nanopillars, and conductive atomic force microscopy was employed to probe the electron transport of the nano p-n junction, providing the characteristics of p-n junction. Such a process delivers a new concept to design electronic devices without the processes of lithography and ion implanation for next generation.
9:00 AM - K10.12
Low Temperature Phase Selective Synthesis and Characterization of Core-Shell SiO2@TiO2 Nanoparticles: The Effect of Shell Thickness and Morphology on Photoactivity and Band Gap Energy
Sajjad Ullah 1 Andre Avelino Pasa 2 Jose Javier Saez Acuna 3 Sara Aldabe Bilmes 4 Ubirajara Pereira Rodrigues 5
1University of Sao Paulo Sao Carlos Brazil2Federal University of Santa Catarina Florianopolis Brazil3Federal University of ABC Sao Caetano Brazil4University of Buenos Aires Buenos Aires Argentina5University of Peshawar Peshawar Pakistan
Show AbstractCrystalline TiO2 in anatase phase and nanometric size range is in high demand due its widespread application. In this study, a sol gel approach was used to deposit anatase nanocrystallites on the surface of Stöber silica nanoparticles (200nm in diameter). PorousTiO2 shells with different thickness (10 to 30 nm) were obtained by varying the amount of precursor titanium (IV) isopropoxide, (TiP). The amorphous shell was crystallized using a low temperature (105°C) hydrothermal treatmen resulting on the formation of anatase nanocrystals (4 to 6 nm) with excellent thermal stability towards anatase-to-rutile transformation up to 800°C, as indicated by XRD analysis and Raman spectroscopy. TEM and FEG-SEM study showed the formation of a porous shell consisting of 5±1.5 nm polycrystalline anatase nanoparticles (NPs) on the silica core particles. These small nanocrystallites exhibit quantum size effect and the band gap energy of supported TiO2 is blue shifted by 0.5 eV. EDS analysis confirmed the linear increase in Ti/Si atomic ratio and %Ti (wt.) with increase in volume of TiP and this linear correlation between the theoretical and experimental Ti/Si ratios confirm the reproducible nature of deposition process. The crystallite size did not significantly increase upon increasing the anatase loading or upon thermal treatments at higher temperature. Compared to the uncoated silica, the BET specific surface area also increased by 125 to 380 % depending on the amount of TiP added during synthesis step. Zeta potential measurements showed an apparent silica surface coverage by anatase of 94%. The effect of shell morphology and TiO2 loading on surface area and photoactivity has been studied and compared among different CSNs. The CSNs immobilized as thin films on glass/silicon show good self-cleaning activity towards stearic acid and crystal violet.
9:00 AM - K10.13
Preparation and Electrochemical Property of Reduced Graphene Oxide Composite Electrodes Containing Ionic Liquids
Seok Kim 1 Jieun Kim 1 Yuna Kim 1
1Pusan National University Busan Republic of Korea
Show AbstractElectrical double-layer capacitors (EDLC) that store energy by charge separation at the electrochemical interface between electrode and an electrolyte have been received much attention as the new energy storage devices due to their high power density, a long cycle life and fast charging-discharging rates compared to conventional capacitors [1]. Carbon materials with high surface area such as activated carbon and carbon nanotubes are the examples of EDLC electrode.
Reduced graphene oxide (rGO), one-atom-thick of sp2-bonded carbon, are promising materials due to their high surface area, excellent electronic conductivity and good mechanical flexibility [2]. rGO is an ideal carbon electrode material for EDLC because of their high surface area that is capable of storing a much capacitance on the surface. However, rGO tends to aggregate when obtained by chemical reduction method because of intersheets van der Waals interaction. It results not only a lower specific capacitance because of the decrease of effective surface area but also poor stability and electrical conductivity. So it is very important to prevent the aggregation of rGO for improving the electrochemical performance of EDLC [3].
Recently, ionic liquids (IL) have been attracted as an effective media for the exfoliation of rGO sheets directly from graphite anode. The properties of ionic liquids (IL) include low vapor pressure, wide electrochemical stability, and high ionic conductivity [4]. By modifying surface of rGO with ionic liquids, each rGO sheets effectively stabilized via electrostatic and cation-π interactions [5].
In this research, reduced graphene oxide (rGO)/ ionic liquids (IL) composites with different weight ratios of IL to rGO were prepared by a facile method. The structure of these composites was examined by transition electron microscopy (TEM), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), and X-ray photoelectron spectroscopy (XPS). Also, the electrochemical analysis of the prepared composites was carried out by performing cyclic voltammetry (CV), galvanostatic charge-discharge, and electrochemical impedance spectroscopy (EIS). Among the prepared composites, the one with a weight ratio of rGO to IL of 1:7 showed the highest specific capacitance of 147.5 Fg-1 at a scan rate of 10 mVs-1. In addition, the rate capability and cycle performance of the composites were enhanced compared to pristine rGO. These enhanced properties are suitable as electrode materials for the better performance EDLC.
References
[1] Conway, B. E. Electrochemical Supercapacitors: Scientific Fundamentals and Technological Applications; Kluwer Academics and Plenum: New York, 1999.
[2] M. S. Oh, S. Kim, Electrochim. Acta, 2012, 78, 279.
[3] S. K. Park, S. Kim, Electrochim. Acta, 2013, 89, 516.
[4] J. Lu, J. Yang, J. Wang, A. Lim, S. Wang, K. P. Loh, ACS nano, 2009, 3, 2367.
[5] J. Y. Park, S. Kim, Int. J. Hydrogen. Energy, 2013, 38, 6275.
9:00 AM - K10.14
Inkjet-Printed In2O3 Thin-Film Transistor at Below 200oC
Jun Seok Lee 1 Hunho Kim 1 Woon-Seop Choi 1
1Hoseo Univ Asan Republic of Korea
Show AbstractFew studies have examined In2O3 solution-processed In2O3 TFTs, even fewer studies on inkjet-printed In2O3 TFTs because it is not easy to obtain reasonable properties. A low processing temperature was examined using a combustion process, in which an oxide precursor, an oxidant, and a stabilizer were mixed in the solvents. Many chemical species and impurities had a negative effect on the device performance and stability at low temperature process. In the present study, a single precursor in a single solvent system, to minimize carbon based impurities and residuals from precursor and solvent, was used for a self-combustion In2O3 process by optimizing inkjet process. To the best of the authors&’ knowledge, this is the first report of inkjet-printed In2O3 TFTs with good characteristics at relatively low temperatures (le; 200oC). High performance In2O3 TFTs could be prepared by inkjet-printing method at below 200oC with a single precursor and solvent formulation. The self-combustion reaction took place to have electrical property of In2O3 at low temperature of 147oC, which was confirmed by XPS and thermal analysis. Interestingly, the In2O3 films annealed at 170 and 200oC showed oxide lattice formation and oxygen vacancies with a hydroxyl peak. The electrical properties after post annealing at 200oC were as follows: a mobility of 3.98 cm2/Vs, a threshold voltage of 1.83 V, a subthreshold slope of 0.4 V/dec, and an on-to-off current ratio of 108, which are the best properties by an inkjet process thus far. The positive bias stability was dramatically improved by post annealing and good negative bias stability was obtained.
9:00 AM - K10.15
Structural and Electrical Properties of Crystalline HfSiOx and ZrSiOx Dielectrics Grown by ALD
Barry Hutchinson 1 Brendan Sheehan 1 Shane O'Brien 1 Paul Hurley 1 Scott Monaghan 1 Ian M Povey 1
1Tyndall / University College Cork Cork Ireland
Show AbstractWe demonstrate that atomic layer deposition can directly produce a crystalline phase of the dielectrics hafnium silicate and zirconium silicate that exhibit excellent electrical properties as grown and are stable at CMOS processing temperatures (le;1050°C). Electrical data is presented for a wide range of MIM capacitive structures with oxide thicknesses ranging from 2 nm to 350 nm. The materials are shown to possess enhanced k values, negligible charge trapping, a high breakdown electric field and an effective zero alpha (quadratic voltage coefficient of capacitance), while exhibiting low leakage current density at device operating voltages. In addition to the electrical characterisation, structural, chemical and physical properties are presented and discussed with respect to elucidating the unusual material properties that could negate the impasse of integration of passives into CMOS.
9:00 AM - K10.16
From Ba1-xSrxTiO3 (with 0 le; x le; 1) Nanoparticle Synthesis in Ssupercritical Fluids to Advanced Nanostructured Ferroelectric Ceramics
Gilles Philippot 1 Geoffroy Chevallier 2 Claude Estournes 2 Catherine Elissalde 1 Mario Maglione 1 Cyril Aymonier 1
1Bordeaux Institut of Condensed Matter Chemistry (ICMCB) Pessac cedex France2Centre Inter-universitaire de Recherche et damp;#8217;Ingamp;#233;nierie des Matamp;#233;riaux (CIRIMAT) Toulouse Cedex 09 France
Show AbstractOxides materials are of main interest in modern technology and find many applications especially in the electronic domain where the requirements in term of size, performance, functionalities and reliability are always going further. For example, concerning multilayer ceramic capacitors, the reduction of layers thickness allows an increase of their volumetric efficiency and a key parameter is the use of highly crystallized nanoparticles to avoid grain boundaries defects leading to capacitance loss. Consequently industries need a reliable production of high quality nanomaterials at low cost. The conventional processes such as the solid state one reaching their limits, it is necessary to develop other synthesis processes to fulfill those expectations and the supercritical fluids technology can be presented as a very promising alternative, actually some industrial plants are already running.
Based on this reliable and scalable process it is possible to produce in a single step high quality nanoparticles at moderate temperature (<400°C) and in tens of seconds. For example we are able to synthesize in continuous well crystallized BaxSr1-xTiO3 (with 0 < x < 1) nanoparticles of 20 nm with a narrow size distribution (<6 nm) over its entire solid solution.
This presentation will focus first on the presentation of this technology and the characterization of the produced barium strontium titanate powders. Then on the realization and characterization of nanostructured ceramics made with those powders and processed via spark plasma sintering (SPS).
In comparison to the conventional sintering process, for which the main limitation for nanopowders consolidation is the duration of the thermal cycle, Spark Plasma Sintering enables very high heating rates and short dwell times of few minutes leading to enhanced sintering kinetics. Using this technique it is possible to make dense materials keeping the initial grain size of the nanoparticles enabling thus to determine size effect, grain boundaries and defects influence on the final dielectric properties of the ceramics.
9:00 AM - K10.17
Step Defects on the TiO2 Rutile (110) Surface: How to Get One Step Ahead of Steps
Trevor P Hardcastle 1 Che R Seabourne 1 Rik MD Brydson 1 Ken JT Livi 2 Andrew J Scott 1
1University of Leeds Leeds United Kingdom2John Hopkins University Baltimore USA
Show AbstractStep defects comprise a significant proportion of many solid material surfaces. The atomic arrangements and free energies of steps can significantly influence the overall surface chemistry of a material, so gaining a proper understanding of steps is an important part of surface characterization. The energy of a step can be calculated within a density functional theory (DFT) periodic slab/vacuum supercell framework by calculating the total surface energy of a high-index slab which simulates terraces bound by the steps of interest, and then extracting the component of this total high-index surface energy which is solely attributable to the step. However, for certain materials, such as TiO2 rutile, there is a severe difficulty associated with this approach. For TiO2 rutile, it is well known that surface energies calculated using DFT slab models converge in a very slow and oscillatory manner with increasing slab thickness. This is really just a consequence of the fact that slabs of computationally practical size are effectively thin films, and are therefore afflicted with slowly decaying surface-surface interactions. For TiO2 rutile, this oscillation occurs because the electron orbitals in the ground state hybridize differently depending on whether the slab in question has an even number or an odd number of oxide layers in it. This makes it very difficult to reliably extract the step energy from a high-index slab because the step energy is very small compared to the total surface energy of the slab, and yet this surface energy depends very sensitively on the slab chemical formula. Furthermore, high-index slabs are structurally more complicated than simple low-index slabs, so the resultant electron orbital hybridization in the ground state is also more complicated.
In recently published work, we present a systematic new approach to overcome this difficulty and thereby reliably calculate step energies for such materials. The method consists of systematically extracting i) the terrace energy per unit area, ii) the step energy per unit length and iii) the step-step interaction energy per unit area from a series of slabs of progressively higher thickness and progressively higher Miller index. The chemical formulae of these slabs are systematically controlled, thereby exposing the convergence behaviour of these three energetic components with increasing supercell size individually. The significant advantage of this approach is that the terrace energy components extracted from this procedure can be cross-checked, layer-by-layer, with values calculated directly and separately using increasingly thick low-index slabs which host that same terrace. We have applied this method to the TiO2 rutile (110) surface and have used the calculated step energies to predict island shapes which show strong agreement with islands observed in published scanning tunneling microscopy (STM) data.
9:00 AM - K10.18
alpha;-Fe2O3 (0001) Surface as a Model Catalyst: Morphology and Electronic Structure
Sara Barja 1 2 Leonid Lichtenstein 1 David Schuppisser 2 Miquel Salmeron 1 2 3 Alexander Weber-Bargioni 2
1Lawrence Berkeley National Laboratory Berkeley USA2Lawrence Berkeley National Laboratory Berkeley USA3University of California Berkeley Berkeley USA
Show AbstractSemiconductor based photo-catalysts have been studied for many years as a potential solution for clean, large-scale hydrogen fuel production, as well as to degrade pollutants in contaminated water. However, the efficiency of these processes is still too low to be practical. Fundamental understanding of the correlation between catalyst&’s morphology, energy level alignment between catalyst and reactant and the local photo catalytic activity is crucial for a systematic optimization of the mechanisms behind photo catalytic reactions, a key to enhance the impact of green chemistry.
Here, we present our first results correlating surface morphology, surface electronic structure and local distribution of photo excited carriers with atomic resolution in the α-Fe2O3(0001) model catalyst. Our tool is Low Temperature Scanning Tunneling Microscopy/Spectroscopy in Ultra High Vacuum with and without band gap illumination (480 nm).
α-Fe2O3(0001) single crystal -hematite phase- exhibits fundamental properties ideal as a model system for solar catalytic process: it is an n-type semiconductor with a band gap of approximately 2.2 eV, earth-abundant, relatively easy to synthesize, cheap and environmental benign. In addition, depending on the different conditions of temperature and oxygen partial pressure during α-Fe2O3(0001) single crystal preparation under Ultra High Vacuum conditions, a mixture of Fe2O3 (0001), Fe3O4 (111) and FeO (111) surfaces is commonly observed 2,3.
In particular, in this work we show how the local electronic structure of the Fe3O4 (111) surface domain is modified due to the presence of different point-defects (Fe- or O-vacancies and Fe- adatoms) that have each a unique signature in the tunneling spectra.
We found that under illumination the distribution of photo excited carriers is governed by the local surface potential variations. Hence, by comparing the electronic structure under illumination to the one obtained in the dark, we can determine the distribution of optically excited charge carriers that will drive the photochemical reaction. Our ultimate goal is to understand and eventually predict how the morphology, optically excited electronic structure and local photo catalytic rate are correlated for a systematic development of novel artificial photo catalytic systems.
References
1. Walter, M. G. et al. Solar Water Splitting Cells. Chemical Reviews 110, 6446-6473 (2010).
2. Condon, N. G. et al. Scanning tunnelling microscopy studies of α-Fe2O3(0001). Surface Science 397, 278-287 (1998).
3. Tang, Y., Qin, H., Wu, K., Guo, Q. & Guo, J. The reduction and oxidation of Fe2O3(0001) surface investigated by scanning tunneling microscopy. Surface Science 609, 67-72 (2013).
9:00 AM - K10.19
Highly Ordered Metal Oxide Nanowells: Extremely Sensitive and Selective Gas Sensors for Breath Analyzers
Young-Seok Shim 1 2 Min-Gyu Kang 3 Yeon Hoo Kim 1 Koo Tak Hong 1 Taemin Kim 1 Chong-Yun Kang 3 Wooyoung Lee 2 Ho Won Jang 1
1Seoul National University Seoul Republic of Korea2Yonsei University Seoul Republic of Korea3Korea Institute of Science and Technology Seoul Republic of Korea
Show AbstractThe inherent advantage of the high surface-to-volume ratio of nanostructures and advanced functional materials has attracted enormous attention for diverse applications including solar cells, ultra-fast battery electrodes, sensors and fuel cells. Especially, highly ordered nanowell array based on metal oxides is an innovative and effective design strategy and key candidate for such applications. However, most nanowells are synthesized by imprinting method based on metal mold, which is complex and limited to a few materials.
In this study, we report a simple and progressive fabrication for highly ordered vertical nanowell films based on SnO2, WO3, and In2O3 using soft-template method with polystyrene beads and laser irradiation. The various nanowell films are designed to have confined volumes and hole diameters without additional processes because the geometry of such structures is dominantly dependent on the distribution of laser intensity. Moreover, no external chemical reaction are required for both inner and outer wall of nanowell films which can be functionalized by metal nanoparticles such as Au, Pt, Ag and Pd using electron beam evaporator. These highly ordered bare and metal-functionalized nanowell films can be used as chemoresistive gas sensors. We demonstrate various nanowell sensors based on SnO2, WO3 and In2O3 which exhibit ultrahigh response with detection limits down to parts per trillion levels and fast response/recover time. In particular, metal-functionalized nanowell films exhibit the selectivity to various gases including NO2, CH3COCH3, C2H5OH, NH3, CO, H2, and C6H6 due to catalytic effect of Au, Pt, Ag and Pd. These results show that the high surface-to-volume ratio of nanowells and metal catalysts on wall of nanowells plays an important role in the gas sensing performance. It can be effectively applied in breath analyzers to diagnose symptoms such as asthma, lung cancer and diabetes from human breath.
9:00 AM - K10.20
The Effects of Evolution of Phases and Forming Gas Annealing on Ferroelectric Properties of Thin Hf0.5Zr0.5O2 Films
Taehwan Moon 1 Minhyuk Park 1 Hanjoon Kim 1 Yujin Kim 1 Woongkyu Lee 1 Hyokyeom Kim 1 Cheolseong Hwang 1
1Seoul National University Seoul Republic of Korea
Show AbstractRecently, it was reported that HfO2 thin films can be ferroelectric when they are doped with various elements, such as Si, Y, Al, Zr, and Gd.[1-5] The ferroelectricity of HfO2-based films is believed to result from the formation of non-centrosymmetric Pbc21 orthorhombic phase (o-phase). Interstingly, the solid solution of isostructural HfO2 and ZrO2 also can give ferroelectric properties in wide Hf:Zr composition range, where none of the undoped materials is ferroelectric.[6] However, the systematic study on the phase evolution of ferroelectric doped-HfO2 films is still necessary. In this presentation, the effects of annealing temperature (Tanneal) and film thickness (tf) on the crystal structure and ferroelectric properties of atomic-layer-deposited Hf0.5Zr0.5O2 films on TiN electrode are examined. The Hf0.5Zr0.5O2 films consist of tetragonal, orthorhombic, and monoclinic phases. The orthorhombic phase content, which is responsible for the ferroelectricity in this material, is almost independent of Tanneal, but decreases with increasing tf. In contrast, increasing Tanneal and tf monotonically increases (decreases) the amount of monoclinic (tetragonal) phase, which coincides with the variations in the dielectric constant. The remanant polarization was determined by the content of orthorhombic phase as well as the spatial distribution of other phases.
In addition, the effects of forming gas annealing (FGA), which is inevitable for any type of Si-based memory devices for achieving appropriate transistor performance, on the ferroelectric properties of Hf0.5Zr0.5O2 films are also studied.[7] For the case of conventional ferroelectrics such as Pb(Zr,Ti)O3, their ferroelectricity is significantly degraded by FGA. Although the H-incorporation during FGA degrades the ferroelectric properties of HZO films, the degree of degradation was much lower compared with other ferroelectrics, such as Pb(Zr,Ti)O3. Pt top electrode worked as a catalyst for H-incorporation, and maximum 2Pr loss of ~40% occurred. However, the insertion of a ~20-nm-thick TiN layer between Pt and HZO decreased the degradation to ~12%. HZO is more resistant to degradation by FGA compared with the conventional ferroelectrics, which is a highly promising result for next-generation ferroelectric memory.
[1] T. S. Böscke et al., Appl. Phys. Lett. 99, 102903 (2011).
[2] J. Müller et al., J. Appl. Phys. 110, 114113 (2011).
[3] S. Mueller et al., Adv. Funct. Mater. 22, 2412 (2012).
[4] J. Müller et al., Nano Lett. 12, 4318 (2012).
[5] S. Mueller et al., J. Sol. St. Sci. Tech. 1, N123 (2012).
[6] M. H. Park et al., Appl. Phys. Lett. 102, 242905 (2013).
[7] M. H. Park et al., Appl. Phys. Lett. 102, 112914 (2013).
9:00 AM - K10.21
Formation and Metastability of Manganite Brownmillerite Films Transformed by an Epitaxial Oxygen Getter Layer
Howie Joress 1 Audrey E. White 1 2 Matthew J. Ward 1 Arthur R. Woll 1 Joel D. Brock 1 3
1Cornell University Ithaca USA2Cornell University Ithaca USA3Cornell University Ithaca USA
Show AbstractBrownmillerite (BM) phases, which are related to Perovskite phases but contain ordered oxygen vacancies, are known to have better oxygen ion conductivity due to their ordered oxygen vacancies compared to their Perovskite counterparts and are therefore of interest as a potential cathode material for solid oxide fuel cells (SOFC). (La,Sr)MnO3-x (LSMO) is a common cathode material for SOFC. By using an oxygen poor, epitaxial Strontium Titanate (STO) capping layer an LSMO epitaxial film can be reduced from xasymp;0 to x=.5 and the transition from the Perovskite phase to a Brownmillerite (BM) phase can be induced.
Here we present our study of this transition by using real-time in-situ x-ray diffraction (XRD) during film growth by pulsed laser deposition to observe the formation and decay of the BM phase. In previous work, the manganite BM phase was metastable, deteriorating after STO deposition has stopped, and could only be stabilized by quenching from the growth conditions. We demonstrate that the BM phase can be repeatedly formed following decay by depositing additional STO. This suggests that the BM metastability is due to re-oxidation of the LSMO layer. Using this regrowth, we systematically study the effects of various deposition parameters on the growth and stability. We find a strong correlation between the formation and stability of the BM phase and oxygen pressure during STO growth. By depositing the STO capping layer at our chamber's base vacuum level (10-7 Torr) we were able to stabilize the BM phase. We also explored the effect of rate of STO deposition, capping layer thickness and deposition temperature on formation and stability. After characterizing the parameter space of the transformation, we were able to grow the BM phase without the aid monitoring by real-time XRD.
9:00 AM - K10.22
Oxide Thin Film Transistors Using Vanadium Doped Zinc Tin Oxide
Myeong Gu Yun 1 Yong Hun Kwon 1 Sung Woon Cho 1 So Hee Kim 1 Hyung Koun Cho 1
1Sungkyunkwan University Suwon-si Republic of Korea
Show AbstractAmorphous oxide semiconductors (AOSs) such as ZnSnO (ZTO), InZnO, InGaZnO, HfInZnO, etc. have been actively investigated as a channel layer for thin film transistor (TFT) due to their applicability to displays with high resolution, high frame rate, large area, transparency, and/or flexible substrates. This usefulness is originating from their high mobility, good uniformity, low temperature processibility and good transparency. Among AOS TFTs, ZTO TFT have attracted many researchers because of their comparable or higher electrical performances and much lower raw material costs than InGaZnO TFTs which have already appeared in the related industries and markets. However, unlike InGaZnO TFT, the poor stability of ZTO TFT under various stresses such as bias, temperature, illumination and their complexes still remains as a huge obstacle to industrial applications. Especially, the illumination stress is a main issue to have to be solved for applications to AMLCD and transparent displays since TFTs are always exposed to light. Here, we propose that the ZTO thin film with an in-situ grown vanadium doped ZTO (VZTO) layer can be used as a channel layer for TFT with both high mobility larger than 10 cm2V-1s-1 and high stability under illumination stress. In addition, anomalous electrical behavior of VZTO thin films was found. The experiments can be divided into four parts. First, we investigate whether VZTO thin films can act as a channel layer for TFT. Here, we obtained very negative results: the VZTO thin films behaved like semi-insulators. However, in second part of the experiments, we found that ZTO/VZTO bi-layer TFTs had good electrical properties and drastically enhanced photo-stability. Third, we confirmed that the VZTO thin film on a ZTO layer act as a semiconductor unlike the one on SiO2 gate insulator. Finally, in order to understand the anomalous electrical behavior and enhanced photo-stability, we performed analyses by X-ray photoelectron spectroscopy (XPS) and ultraviolet-visible spectrophotometry.
9:00 AM - K10.23
Polyelectrolytes Assisted Synthesis of Nano-sized Cerium Oxide with Silver Nanoparticles for CO Oxidation
Worarin Meesorn 1 2 Stephan Thierry Dubas 1 Apanee Luengnaruemitchai 1 Thanyalak Chaisuwan 1
1The Petroleum and Petrochemical College, Chulalongkorn University Bangkok Thailand2The Institute for the Promotion of Teaching Science and Technology (IPST) Bangkok Thailand
Show AbstractCerium oxide (CeO2), support for catalysts used in preferential carbon monoxide oxidation (PROX), was synthesized into nano-scale by using anionic polyelectrolyte as a capping agent. In this research work, the effects of capping agent concentration was investigated by using various concentration of poly(4-styrenesulfonic acid-co-maleic acid) (PSS-co-MA). An aqueous solution of a cerium nitrate precursor [Ce(NO3)3.6H2O] was added dropwise to the solution of PSS-co-MA capping agent under gentle stirring for 1 h at 60 oC. The pH of mixed solution was kept at 9.0 by adjunction of sodium carbonate (Na2CO3) aqueous solution. The white precipitate of CeO2 was then washed with deionized water and dried. Ag/CeO2 catalysts were further synthesized by adding a 1mM silver nitrate (AgNO3) solution to the CeO2 followed by sodium borohydride (NaBH4) reduction. The catalyst was then dried overnight at 100 oC. The prepared Ag/CeO2 catalysts were characterized by Particle Size Analyzer (PSA), UV-Visible spectrophotometer (UV-Vis), X-ray diffraction (XRD) and The Brunauer-Emmet-Teller (BET) method. The experimental results showed that the particle size of CeO2 was affected by the PSS-co-MA concentration. The increase in PSS-co-MA concentration causes more negatively charged spread over the ceria surface to stabilizing the sphere in solution. The CeO2 supports with nano-size were obtained by using 10 mM of PSS-co-MA solution.
9:00 AM - K10.24
Resistive Switching Properties of Amorphous TiO2 Film Grown on a TiN/SiO2/Si Substrate at Room Temperature
Beom-Seok Lee 1 Ji-Hyeon Lee 1 Bo-Yun Kim 3 Kwon Hong 4 Sahn Nahm 1 2 3
1Korea University Seoul Republic of Korea2Korea University Seoul Republic of Korea3Korea University Seoul Republic of Korea4SK Hynix Semiconductor Inc Icheon Republic of Korea
Show AbstractAmorphous TiO2 film was grown on a TiN/SiO2/Si substrate at room temperature (RT) and Pt was used as a top electrode. The Pt/TiO2/TiN device showed a bipolar switching behavior, which exhibited the set and reset voltage of -1.5 V and 2.0 V, respectively. Forming was required for the switching behavior of this device and the variation of the resistance with the increase of the device area was negligible in both low- and high-resistance states. Therefore, the formation of the conducting filaments could be responsible for the switching behavior of the amorphous TiO2 film. According to the XPS analysis, the oxygen ions were found in the TiN electrode when the device was in LRS but they were not found in the TiN electrode in HRS. Therefore, it is considered that a conducting filament is consisted of the oxygen vacancies. In addition, the detailed defect structure of the amorphous TiO2 film was investigated in this work and the switching behavior of the Pt/TiO2/TiN device was discussed based on the defect structure of the film. Moreover, the Pt/TiO2/TiN device exhibited a stable bipolar resistive switching behavior over 300 cycles, which did not degrade after 104 sec at RT and 85oC, indicating that this device is good candidate for the ReRAM device.
9:00 AM - K10.25
Resistive Switching Behavior of (Na0.5K0.5)NbO3 Lead-Free Piezoelectric Thin Films for the Application to Memristors
Bo Yun Kim 1 Beom Seok Lee 3 Jin-Seong Kim 2 Kwon Hong 4 Sahn Nahm 1 2 3
1Korea University Seoul Republic of Korea2Korea university Seoul Republic of Korea3Korea University Seoul Republic of Korea4Hynix Semiconductor Inc. Icheon Republic of Korea
Show Abstract(Na0.5K0.5)NbO3(NKN) ceramics have attracted a considerable amount of attention as promising lead-free piezoelectric ceramics, because of their good piezoelectric properties and biocompability. In particular, the NKN thin films and nanowires have been investigated for the application to the nanogenerators, which can be used as a power source of the nanodevices. In this work, we have investigated the resistive switching properties of the NKN films for the application to the memristor, which can be used as the artificial synapse. The NKN films were grown on a TiN and Pt electrode at temperatures lower than 300oC. The Pt/NKN/TiN device exhibited a bipolar resistive switching behavior. According to the analysis of impedance spectroscopy and X-ray photoelectron spectrometer, the current mechanism of the Pt/NKN/TiN device in low- and high-resistance states was identified as the Schottky emission. Furthermore, since the Pt/NKN/TiN device showed a continuous change from the HRS to LRS, this device could be used as an artificial synapse. On the other hand, the Pt/NKN/Pt device exhibited the unipolar switching behavior, which can be explained by the formation of the conducting filaments. In addition, the NKN films were also grown on the Pt/polyimide and their switching behaviors were investigated.
9:00 AM - K10.26
Resistive Switching Characteristics of Nickel Oxide Thin Film with Transparent Electrodes
Woo Jin Song 1 Sang Ik Lee 2 Mi Ra Park 1 Quanli Hu 1 Abbas Yawar 1 Tae-Sik Yoon 3 Young Jin Choi 1 Bae Ho Park 2 Chi Jung Kang 1
1Myongji University Yonginsi, Choeingu Republic of Korea2Konkuk University Seoul Republic of Korea3Myongji University Yonginsi Republic of Korea
Show AbstractResistive random access memory (RRAM) technology is considered as a serious candidate for NAND Flash replacement due to promising scalability. The switching characteristics of
transition metal oxide (TMO) thin films demonstrate high potential for applications in fast operating speed and high-density of next-generation nonvolatile memory, memristor and neuromorphic devices. Since aluminum-doped zinc-oxide (AZO) and indium tin oxide (ITO) thin films are conductive, it is meaningful to analyze the resistive switching characteristics using them as electrode for the transparent electronic devices. We fabricated two types of NiO devices with the resistive switching behaviors and devices are AZO/NiO/AZO and ITO/NiO/AZO structure. These devices show the typical resistive switching characteristics. The resistive switching properties are caused by the distribution of oxygen ions and oxygen vacancies at the NiO/AZO interfaces. The migration of oxygen ions and vacancies in the NiO thin film can be controlled by the positive or negative biases applied to the top electrode, which is testified by Transmission Electron Microscopy and Optical Transmission Spectroscopy. Thus once set process occurred at positive or negative bias voltages, reset process occurs at any of positive or negative bias voltages. Reportedly, the NiO thin film shows the unipolar resistive switching characteristics for Pt/NiO/Pt. After forming process, set and reset processes were repeatedly occurred for the same polarities. With the nearly symmetric unipolar switching characteristics, it is also possible to make set at one polarity bias voltage and reset at an opposite bias voltage, i-e, bipolar characteristics
9:00 AM - K10.27
Monolithic, 3D Nanoshell Titania: Their Enhanced Photocatalytic Activity and Recyclability
Changui Ahn 1 2 Junyong Park 1 2 Kisun Kim 1 2 Bumsoo Kim 1 2 Seokwoo Jeon 1 2
1KAIST Daejeon Republic of Korea2KAIST Daejeon Republic of Korea
Show AbstractNanostructured titania (i.e. nanoparticles, nanowires, and nanotubes) has attracted considerable interest for use in water purification applications due to high photocatalytic activity. Despite the high photocatalytic activity of the nanostructured titania, the difficulty in recycling of titania particles inhibits practical application to water purification systems. Here we successfully fabricate monolithic, three dimensional (3D) nanoshell (21 nm) titania with high uniformity over large areas (~1Chi;1 inch2) using proximity field nanopatterning (PnP). Patterned polymer by PnP serve as a template for titania coating through low-temperature atomic layer deposition (ALD). Larger surface area and better light absorption capability of the 3D nanoshell titania can be precisely controlled by the number of ALD cycles. The 3D nanoshell titania shows not only three times higher photocatalytic activity than that of equivalent thin film titania, but also good recyclability without performance degradation up to 5 cycles unlike thin film made of titania nanoparticles (P25, Degussa) due to the monolithic form. Further use of the newly developed nanomaterials in oxide or metallic form will be discussed with some preliminary results.
9:00 AM - K10.28
Synthesis of Ag-Doped Hierarchical ZnO Nanostructure and Its Acetylene Gas Sensing Properties
Kwan-Woo Lee 1 Duy-Thach Phan 1 Gwiy-Sang Chung 1
1University of Ulsan Ulsan Republic of Korea
Show AbstractZinc oxide (ZnO) is an excellent candidate for the fabrication of optoelectronic and electronic device due to the high chemical stability, wide band gap of 3.37 eV and large exciton binding energy (60 meV) at room temperature. Especially, ZnO material in nanoscale has been showed high performance for gas sensor as high sensitivity, fast charge diffusion rate due to very high surface-to-volume ratio and large penetration depth. Above all, many researchers are working to maximize the area of which the gas touching. Recently, three-dimensional (3D) hierarchical have attracted much interested due to their excellent size area and unique properties for gas sensor. By the way, synthesis methods of hierarchical ZnO nanostructures are complicated procedures, non-uniform of surface, high equipment cost and low efficiency like chemical vapor deposition (CVD), sol-gel, ultrasonic-assisted, and precipitation methods. Furthermore, it is not simple that metal formed like composite with ZnO hierarchical structures for increasing selectivity and conductivity of gas sensor. In this work, pure ZnO hierarchical-based-structure were prepared using a simple hydrothermal method, and silver (Ag) nanoparticles doped-ZnO hierarchical structures were readily composited and uniform by assisted ultraviolet light. The structure and morphology of the resultant products were characterized by X-ray diffraction (XRD), scanning electron microscope (SEM), and EDS. Ag doped ZnO hierarchical structures were average size of 2~3 mu;m and highly uniform. In addition, the gas sensing properties of as-prepared products were investigated using resistivity-type sensor. 5 at% Ag doped ZnO hierarchical structures sensor exhibited good performance for acetylene gas in comparison with un-doped ZnO hierarchical structures. In addition, Ag increases the electric conductance of the prepared ZnO hierarchical structures. Predictably, 5 at% Ag doped ZnO hierarchical structures sensor exhibited extremely performance for acetylene gas sensing. The sensor based on Ag doped ZnO hierarchical structures have linear response property from 50-1000 ppm of acetylene gas at 200 °C. The response values with 1000 ppm acetylene at 200 °C are 5% and 70% for pure ZnO hierarchical structures and Ag-doped-ZnO hierarchical structures, respectively. The sensor also showed fast response and recovery time of 10 seconds and 30 seconds. Moreover, Ag doped ZnO hierarchical structures sensor showed excel selectivity towards hydrogen and carbon monoxide at optimal working temperature of 200 °C.
9:00 AM - K10.29
The Study of the Memristive Gas Sensor Based on a Single VO2 Nanowire
Sung-Hwan Bae 1 Koo Tak Hong 1 Gwirang Kim 1 Jun Young Cho 1 Ho Won Jang 1 Chan Park 1 2
1Seoul National University Seoul Republic of Korea2Research Institute of Advanced Materials, Seoul National University Seoul Republic of Korea
Show AbstractIn this work, we report, for the first time, two-terminal memristive gas sensor based on a single VO2 nanowire which can not only provide switchable resistances(ohm) in a large range of about four orders of magnitude but also maintain the resistances by a low bias voltage. The VO2 nanowires were synthesized by hydrothermal process followed by thermal annealing at 400C in N2 atmosphere to form monoclinic VO2 phase. XRD and DSC results showed no signs of the presence of second phases are observed at ~68°C and ~59°C, respectively,. The phase transition of the single VO2 nanowire was driven by the bias voltage of ~0.34 V without using any heating source at room temperature. For the gas sensor application of the single VO2 nanowire, switchable and retainable resistance which can be variable with gas and its pressure was investigated. The resistances can be switched by voltage pulses, and the non-volatile property can be achieved through self-Joule heating generated by a low bias voltage of 0.3 V. Furthermore, the amount of resistance difference can be changed by the kind and the pressure of the gas. A memristor gas sensor based on single VO2 nanowire can be operated by voltage source without using any additional heating source; voltage pulse, bias voltage, zero bias voltage for writing, reading, and erasing, respectively. The development of a gas sensor device based on VO2 nanowire which can be operated by voltage source can open perspectives for low power consumption, low cost fabrication process, high density and high performance with reliable and non-volatile switching characteristics in the memristor application.
9:00 AM - K10.30
Evolution of the Shape of the Conducting Channel in Complementary Resistive Switching Transition Metal Oxides
Kyung Jean Yoon 1
1Seoul National Univ. Seoul Republic of Korea
Show AbstractResistive switching phenomenon has been rigorously studied over recent years amid the great expectations for their potential use as the non-charge based non-volatile memory as well as an element in the artificial neuromorphic circuits (i.e. memristor). Transition metal oxides have been known to be the most promising resistive switching materials owing to the naturally inherent high non-stoichiometry within themselves. According to these studies, unipolar resistive switching (URS) phenomena in this material, where set operation occur under the same bias polarity, is attributed to the formation and rupture of local conducting filaments, which is proven to be basically composed of the metallic oxygen-deficient Magnéli phases (TinO2n-1, where n = typically 4 or 5). Bipolar resistive switching (BRS) is characterized with the opposite bias polarity when performing the set and reset switching, and has been so far ascribed to the localized drift of oxygen vacancies along the direction of electric field, which causes the Schottky barrier modulation at the electrode/thin film interface.
Making use of the BRS at the filament ruptured region, this paper suggests a system, where ultimate control of the defect distribution and local conduction path in a BRS Pt/TiO2/Pt sample, which was in a unipolar reset state, is provided by means of voltage pulsing. Combined analysis upon the time-transient current during the voltage pulsing and the resistance status obtained in the voltage sweep mode confirms and gives the detailed physical understanding and kinetic behaviors for the desired phenomenon in the system. The power consumption during the ion migration-based resistive switching is the key determinant of the conduction states, or the resistance of the memory. The data retention of the on-state in the BRS was critically dependent on the shape of the rejuvenated conduction channel. The required time to lead the rejuvenation of the conducting channel was ~70-100 ns when the threshold voltage for the BRS set of ~1 V was applied.
The limited amount of oxygen vacancies in this system basically allowed reversible switching-diode-like current-voltage curves, which was also confirmed in another Magnéli-phase-containing Pt/WO3/Pt sample. Such careful control of the defect distribution allowed the achievement of a complementary resistive switching (CRS) curve even from a single switching layer. The unlimited vacancy source in the Pt/TiO2/TiO2-x/Pt sample did not allow switching-diode type and the CRS behavior.
9:00 AM - K10.31
Grain Size Engineering for Ferroelectric (Hf,Zr)O2 Films by Insertion of Thin Al2O3 Layer
Han Joon Kim 1 Min Hyuk Park 1 Yu Jin Kim 1 Taehwan Moon 1 Cheol Seong Hwang 1
1Seoul National University Seoul Republic of Korea
Show AbstractRecently, it was reported that HfO2 can show ferroelectric properties when doped with various dopants such as Zr, Si, Y, and Al. The ferroelectricity within these materials originate from the formation of non-centrosymmetric Pbc21 orthorhombic phase.[1]
The domain dynamics during the ferroelectric switching of an arbitrary ferroelectric material could be analyzed by piezoresponse force microscope (PFM), which could be a great help in understanding the principles behind the ferroelectricity of HfO2-based ferroelectric films. However, the methods are no longer useful for the films thinner than 10nm due to their small displacement under sensing limit of PFM. The authors already reported that the ferroelectricity of (Hf,Zr)O2 thin films is significantly degraded when the thickness of the thin films increases over 20nm. The reason is that the ferroelectric orthorhombic phase transforms into monoclinic phase alongside with the grain growth (increase in grain size).[2] Thus, it is necessary to grow thin films with the grain size of less than the critical size to make the materials still have the ferroelectricity even when the film becomes thicker than ~ 20nm.
In this study, Al2O3 layers are inserted in the (Hf,Zr)O2 layer (20nm in total thickness) with various manners. In ZAZ (ZrO2/Al2O3/ZrO2) stack system, which has widely been used as the high-k dielectric layer for DRAM capacitor, the inter-layer Al2O3 controls the grain size of the adjacent ZrO2 layers by interrupting continuous grain growth of the ZrO2 layers.[3] In this experiment, HZAHZ ((Hf,Zr)O2/Al2O3/(Hf,Zr)O2) thin film shows the greatest remnant polarization value and dielectric constant. It was found that the transformation into the monoclinic phase and grain growth are suppressed effectively by inserting the 1-nm-thick Al2O3 layer in between the two 10-nm-thick (Hf,Zr)O2 layers based on the observation by X-ray diffraction and by scanning electron microscope, respectively. The HZAHZ shows significant fatigue effect, however, which is supposed to be due to the increase of the charge trapping at HZO/Al2O3 interfaces during the repeated switching. Detailed analysis on the structure and electrical properties of the variously stacked thin film structures will be presented.
[1] J. Müller, T. S. Böscke, U. Schröder, S. Mueller, D. Bräuhaus, U. Böttger, L. Frey, and T. Mikolajick., Nano Lett. 12, 4318 (2012)
[2] M. H. Park, H. J. Kim, Y. J. Kim, W. Lee, T. Moon, and C. S. Hwang., Appl. Phys. Lett. 102, 242905 (2013)
[3] H. J. Cho, Y. D. Kim, D. S. Park, E. Lee, C. H. Park, J. S. Jang, K. B. Lee, H. W. Kim, Y. J. Ki, I. K. Han, Y. W. Song., Solid-State Electronics 51, 1529 (2007)
9:00 AM - K10.32
Understanding the Growth Mechanism of ZnO Nano-Rods by PLD : Plume Splitting Effect
Se-Yun Kim 1 Kwang-Min Jo 1 Ho-Chang Lee 1 Myung-Eon Kim 1 Joon-Hyung Lee 1 Jeong-Joo Kim 1 Young-Woo Heo 1
1Kyungpook National University Daegu Republic of Korea
Show AbstractIn this paper, we introduced the plume splitting in PLD process. The plume splitting phenomena refers to the ablated particle(hereinafter referred to as A.P) from the surface explosion becoming slow ion and further becoming ion clusters due to the collision with background gas. If the background gas is in the chamber, the main component of A.P is fast ion with excitation and high kinetic energies (hereinafter referred to as K.E) in the initial stage. However, the A.P collides with the gas, and as the collision distance decreases, the speed of the A.P reduces, and accordingly, that of K.E also reduces. In this stage, most ions heading to the substrate become slow ions. As the moving distance increases, the possibility of forming ion clusters rises, and as the pressure increases, the clusters are formed at the closer location from the target. Since the ion clusters arriving at the substrate are likely to form nanostructures due to a decline in K.E.
Through this background, growth of ZnO nano-structures on c-plane sapphire has been investigated using PLD(Nd:YAG 4th harmonic 266nm). The temperature, oxygen pressure, distance between substrate and target are shown to be an effective parameter in controlling the diameters of ZnO nano-rods grown by PLD. Substrates were placed at distances of 45, 70 and 100mm in front of the substrate. The growth temperatures of ZnO thin films were at 400, 500, 600 and 700oC during each deposition.
The diameters of ZnO nano-rods on (0001)Al2O3 substrates were observed as ~200, ~70 and ~40nm at growth temperature of 700oC and oxygen pressure of 30mTorr and the distance from target of 45, 70 and 100mm, respectively. The evolution of nano-rod diameter according to the distance was explained by bombardment effect of high energy species, such as ablated particles, and cluster formation by plume splitting.
9:00 AM - K10.33
Application of the Wang-Landau Algorithm to Study Electric-Field Effects in Ferroelectrics
Saad BinOmran 1
1King Saud University Riyadh Saudi Arabia
Show AbstractDuring the past decades, the number of applications using ferroelectric materials, such as piezoelectric transducers and actuators, has dramatically increased [1,2]. Electric field plays an important role in these materials, since varying the magnitude and/or direction of electric field can change the symmetry of the crystallographic phases, leads to the appearance of the spontaneous polarization in nominally-paraelectric materials, and can shift the transition temperature [3-6]. Another interesting electric-field-related property in ferroelectrics is the electrocaloric effect, that is associated with the change in temperature under the application and/or removal of the electric field [7-9].
Here, a first-principles-derived scheme is used to gain insight into the effect of electric field on the ferroelectric properties of BaTiO3. Such insight originates from the use of Wang-Landau algorithm within Monte Carlo simulations. Such algorithm is an efficient and accurate method for the study of phase transitions and computes the density of states, g(E). One can then calculate most thermodynamics quantities for all temperature with one single simulation! Moreover, the free energy (F) and the entropy (S) can be readily calculated (unlike in conventional Monte Carlo Metropolis simulations), therefore shedding light into phase transitions and extracting important and subtle quantities (such as electrocaloric effect).
In our presentation, we will show the polarization as a function of temperature for various applied electric field of BaTiO3 bulk as modeled by 14x14x14 supercell that is periodic along any direction. Moreover, the effect of the electric field on the character of phase transitions will be revealed by showing the free energy (F) versus the internal energy (U) curves. The electrocaloric effects in BaTiO3 will also be discussed. We hope that our results will be of benefit to many scientists and will lead to new strategies for the design of materials.
This work is supported by the National Plan for Science, Technology and Innovation under the research project No. NANO-673-2. L.B. also acknowledges ONR Grants N00014-11-1-0384 and N00014-08-1-0915, ARO Grant W911NF-12-1-0085, NSF grants DMR-1066158 and DMR-0701558, and the Department of Energy, Office of Basic Energy Sciences, under contract ER-46612.
9:00 AM - K10.34
Solution Plasma Synthesis of ZnO-Deposited Bacterial Cellulose Nanofibers for Water Disinfection
Nattakammala Janpetch 1 Ratana Rujiravanit 1 2
1Chulalongkorn University Bangkok Thailand2Chulalongkorn University Bangkok Thailand
Show AbstractAmong inorganic antimicrobial agents, ZnO has been proven to be an attractive one because of its effective antimicrobial activity besides a non-toxic, environmentally friendly substance with reasonable cost. It is alternative means to clean up germ contamination in water that causes the indisposition in humankind. At the present time, solution plasma has been considered to be an emerging green innovative technology for synthesis of nanoparticles of various kinds of metals including ZnO in nanosize. In addition, Bacterial cellulose (BC) is bio-nanofibers produced via biosynthesis pathway in Acetobacter xylinum (strain TISTR 975). BC is alternative biopolymer materials used in water filtration by reason of three-dimensional non-woven network of nanofibers as well as small pore size. Therefore, BC is an eco-friendly porous material that would be challenged for water purification application. In this study, ZnO was synthesized by using solution plasma technique and in situ impregnated into the BC matrix. Due to its size in nano-scale, highly porous structure and high surface area, the BC matrix has shown to be a good supporting material for the deposition of ZnO particles. Because of the high wet strength of the BC matrix, the ZnO-deposited BC can be used for eradication of bacteria in contaminated water. Morphology, chemical structure, crystal structure, and chemical composition of the ZnO-deposited BC as well as ZnO contents in the BC matrix were characterized by using SEM, TEM, ATR-FTIR, XRD, XPS, and TGA. The antimicrobial activity of the ZnO-deposited BC was also investigated against Escherichia coli and Staphylococcus aureus.
Acknowledgments
- Center of Excellence on Petrochemical and Materials Technology, Thailand
- The Petroleum and Petrochemical College, Chulalongkorn University, Thailand
9:00 AM - K10.35
Luminescence of Ln3+ Ions in CaMO3 (M=Sn, Zr, Hf) Perovskites
Kazushige Ueda 1 Yuhei Shimizu 1
1Kyushu Institute of Technology Kitakyushu Japan
Show AbstractPerovskite-type materials such as cubic SrTiO3 have high symmetry in the crystal structure. Accordingly, lanthanide ions doped into the cubic perovskites usually does not show intense luminescence originating from f-f transitions. However, in several distorted perovskites, luminescence from lanthanide ions can be observed, for example, red luminescence was observed in Pr3+-doped orthorhombic CaTiO3 and green luminescence was observed in Tb3+-doped orthorhombic CaSnO3. These observations motivated us to examine luminescence of lanthanide ions in a series of orthorhombic CaMO3 (M=Sn, Zr, Hf) perovskites. In this study, luminescence of Ln3+ ions such as Gd3+ and Tb3+ observed in CaMO3 (M=Sn, Zr, Hf) perovskites will be reported and discussed.
In Gd3+-doped samples, Gd3+ luminescence originating from 6PJ-8S7/2 transition was observed in CaHfO3 and CaZrO3 but not observed in CaSnO3. A broad luminescence band was observed in CaSnO3. The difference was probably derived from the smaller energy gap of CaSnO3 than CaHfO3 and CaZrO3. In Tb3+-doped samples, Tb3+ luminescence originating from 5D4-7FJ transition was observed in all samples. In contrast, Tb3+ luminescence from 5D3-7FJ transition was observed only in CaHfO3 and CaZrO3 but not in CaSnO3. The difference was attributed to not only the small band gap of CaSnO3 but also relative energy levels of the conduction band and 5D3 level of Tb3+ ions. In order to understand the differences in Ln3+ luminescence, evaluation of the Ln3+ energy levels in each host lattices were attempted.
9:00 AM - K10.37
Deep-Ultraviolet Photoconductivity in beta;-Ga2O3 Thin Films Grown on Sapphire
Zhenping Wu 1 2 Peigang Li 1 2 Weihua Tang 1 2 Guijun Lian 1 2
1Beijing University of Posts and Telecommunications Beijing China2Beijing University of Posts and Telecommunications Beijing China
Show AbstractBeta gallium oxide (β-Ga2O3) has stimuli enormous interests owing to its wide band gap (~ 4.9 eV), which is the largest one among the transparent conductive oxides (TCOs). Therefore, β-Ga2O3 is a promising candidate for transparent electronic devices, gas sensor, phosphors and ultraviolet (UV) photodetector. However, it is a great challenge to deposit epitaxialβ-Ga2O3 films on conventional single crystal substrates due to the monoclinic crystal structure of β-Ga2O3. Meanwhile, most of the reports about the Ga2O3 films were in amorphous or polycrystalline type. In order to get low interface defect and better electronic property, high quality single-crystal film is required. Using laser molecular beam epitaxy, we have successfully fabricated epitaxial β-Ga2O3 thin films on sapphire substrates. The reflection high-energy electron diffraction (RHEED) observations have revealed that a layer-by-layer growth of Ga2O3 was achieved at optimized deposition conditions. The results indicate that Ga2O3 films are grown with a highly epitaxial feature. Photoresponse characteristics of Ga2O3 films have been analyzed for ultraviolet photodetector applications. The influence of trap levels on the slow and fast rising components of the photoresponse characteristics and the origin for a fast and a stable response have been identified. The magnitude of the photocurrent and the rise time are found to decrease considerably with increasing number of trap levels.
9:00 AM - K10.38
Effect of the Deposition Conditions on the Structural and Electrical Properties of Cr2O3 and Cr2O3:Mg Grown by PLD And MBE: A Comparative Study
Elisabetta Arca 1 Leo Farrell 1 Daragh Mullarkey 1 Igor Shvets 1
1Trinity College Dublin Dublin Ireland
Show AbstractStructural and electrical properties of Cr2O3 and Cr2O3:Mg can be severely different depending on the deposition condition and on the technique used to grow it. In particular, electrical properties can differ by more than an order of magnitude depending on the technique used and on the deposition condition, despite of the fact that the same amount of dopant (Mg) is incorporated in the films. In this study we investigated optical, structural and electrical properties of undoped and Mg-doped Cr2O3 deposited by both pulsed laser deposition (PLD) and molecular beam epitaxial (MBE). A comparative study between the properties of the films will be presented, trying to correlate the observed differences to the defect chemistry of the forming acceptor level associated with the Mg-dopant.
9:00 AM - K10.39
Photoelectrochemical Properties of Hematite One-Dimensional Nanostructures for Enhanced Solar Water Splitting
Do Hong Kim 1 2 Young-Seok Shim 1 Cheon Woo Moon 1 Seon Yong Lee 1 Gu Tak Hong 1 Ki Tae Nam 1 Jong-Heun Lee 2 Ho Won Jang 1
1Seoul National University Seoul Republic of Korea2Korea University Seoul Republic of Korea
Show AbstractHematite has recently stimulated in-creasing attention because of their promising applications in fields such as solar hydrogen generation by water photoelectolysis. For this applications, since the device performance largely depends on the surface-to-volume ratio of the hematite electrodes or catalysts, tremendous efforts have been devoted to synthesize hematite nanomaterials with large specific surface areas.
Among them, hematite nanorods have attracted great interest owing to the facile synthesis of them using glancing angle deposition method (GLAD). Porous one-dimensional nanostructures are produced as a result of self-shadowing during film growth with substrate position control. By changing the angle of incidence and the ratio of the deposition rate the rotation rate, the morphology can be varied from villi-like nanorods to vertical columns.
Herein, we report facile synthesis of porous thin films based on hematite nanostructures with large specific surface area for water splitting cells. The obtained efficiency is among the highest reported values for a hematite based photoelectrochemical cell. This enhancement in the photoconversion efficiency is related to the unique structural properties of the fabricated porous hematite films. The additional virtue, the simplicity in the synthesis method, would broaden the applications of the porous hematite thin films to various devices including sensors, batteries, and photochromic glasses.
9:00 AM - K10.40
Uniform Ultrathin Films of Vanadium Pentoxide from Dip-Coating
Colm Glynn 1 2 Donal Creedon 1 Colm O'Dwyer 1 2 3
1University College Cork Cork Ireland2Tyndall National Institute Cork Ireland3University of Limerick Limerick Ireland
Show AbstractVanadium pentoxide (V2O5) has attracted much attention for its use in Li-ion batteries1 and as part of a catalyst composite material.2 When deposited as thin films, V2O5 has shown promise in modern thin film technologies, as an interfacial buffer layer in polymer solar cells3 or a thermal sensing layer in uncooled infrared detectors.4 V2O5 thin films can be deposited using a variety of deposition techniques and precursors, such as physical vapour deposition, atomic layer deposition and pulsed laser ablation. The infrastructure required to form vanadium oxide films with low roughness and good uniformity motivated a search for methods to improve thin film formation from dip-coating of liquid based precursors. In this work, uniform thin films of both amorphous and crystalline V2O5 with controllable thicknesses are prepared through an alkoxide precursor and dip-coated deposition.
Ultrathin films were synthesised from vanadium triisopropoxide mixed with IPA at two different concentrations. Low and high concentrations vary the nanofluid that forms during hydrolysis, causing unwanted effects characteristic of dewetting and nucleation from liquids on many surfaces. The initial amorphous V2O5 formed on ITO glass have a uniform surface morphology and after thermal treatment orthorhombic V2O5 is formed which retains the uniform surface. Both pre and post-thermal treatment, the rms roughness of the films is below 1 nm. The thickness of a single layer of V2O5 thin film from the low and high concentrations is 15 nm and 40 nm respectively. By dip coating successive layers upon each other a desired thickness can be achieved.
Due to environmental conditions and the chosen substrate, the dewetting mechanism of the thin films formed from the low concentration precursor can cause the formation of pinholes on the surface of the film during hydrolyzation. Using a polymer assisted deposition technique, the formation of the pinholes that form from heterogeneous nucleation effects can be suppressed while retaining the low surface roughness of the thin film. This improvement is accomplished through the addition of PEG to the precursor.
By altering the concentration and additives of the precursor the changes to the optical and morphological attributes of the thin films were studied. By examining these changes, thin films of amorphous or orthorhombic V2O5 can be prepared where the morphology can be adjusted to be uniformly smooth or rough depending upon the intended application.
References
1. J. Livage, Solid State Ionics 86-88, Part 2 (0), 935-942 (1996).
2. A. Nie, H. Yang, Q. Li, X. Fan, F. Qiu and X. Zhang, Industrial & Engineering Chemistry Research 50 (17), 9944-9948 (2011).
3. G. Li, C.-W. Chu, V. Shrotriya, J. Huang and Y. Yang, Applied Physics Letters 88 (25), - (2006).
4. R. T. R. Kumar, B. Karunagaran, D. Mangalaraj, S. K. Narayandass, P. Manoravi, M. Joseph, V. Gopal, R. K. Madaria and J. P. Singh, Materials Research Bulletin 38 (7), 1235-1240 (2003).
9:00 AM - K10.41
Heterogeneous Nucleation Effects During Vanadium Pentoxide Thin Films Formation from An Alkoxide Precursor
Colm Glynn 1 2 Donal Creedon 1 Colm O'Dwyer 1 2 3
1University College Cork Cork Ireland2Tyndall National Institute Cork Ireland3University of Limerick Limerick Ireland
Show AbstractUltrathin films of vanadium pentoxide (V2O5) were formed through hydrolysis of a precursor composed of vanadium triisopropoxide and isopropyl alcohol (IPA). The formation of V2O5 thin films by both dip-coating and drop-casting of these alkoxide based precursors produces interesting dewetting and heterogeneous nucleation effects more commonly seen in polymer-nanoparticle composite films and nanofluids. The dewetting and nucleation effects of the liquid precursor on surfaces was found to limit the spreading uniformity of the V2O5 thin films and produced a range of surface defects in the form of pinholes and hillocks on the solidified surface.
The formation of surface defects was found to be a by-product from precursors with a low concentration of alkoxide to IPA due to the rate at which the hydrolysis occurred. The structure of the pinholes that form on the surface of the films is influenced by the substrate; pinholes formed on Au have an average diameter of 20-30 nm while those formed on ITO have an average size >200 nm. The characteristic thin films exhibiting pinhole formation produced on both ITO and Au coated glass had an average thickness of 10-15 nm for each successive dip-coated layer.
Dewetting and nucleation effects occur while the V2O5 film forms an amorphous solid during the hydrolysis step where the alkoxide decomposes to an oxide forming a nanofluid of oxide clusters in a liquid that eventually solidifies to a solid oxide film. We show that this process results in the formation of pinholes and hillocks on the surface. Unlike spinodal decomposition that are defined by a constant wavelength of interfeature distance, the pinholes from heterogeneous nucleation effects in oxide film growth from liquid precursors are shown through FFT analysis not to have any spinodal features. After a thermal treatment, orthorhombic V2O5 crystalline thin films are formed. Crystallites with an average height of 35 nm are present on the surface after the thermal treatment for both types of films formed on Au and ITO.
The formation of the pinholes and the processes that lead to heterogeneous nucleation can be suppressed by a polymer-assisted synthesis technique where PEG is added to the precursor prior to deposition. The resulting V2O5 thin films retain a low thickness per layer while exhibiting no nucleation or dewetting defect effects resulting in very smooth, uniform films.
9:00 AM - K10.42
Novel Cu-Sn Based Earth-Abundant Multicomponent Oxide for Solar Cell Application
Li-Lun Kuo 1 Tri-Rung Yew 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractThe development of multicomponent materials has gained a major interest in recent years. The exploration of enhanced performance, cost-effective, and reliable new functionalities oxide is also in demand.
In this study, Cu-Sn based multicomponent oxide composed of earth-abundant elements were selected following Gibbs free energy rule. Multicomponent oxide could possess higher mixing entropies and favor the formation of single phase with nanocrystalline structure following Gibbs free energy rule. Furthermore, by selecting different elements of multicomponent oxide, cocktail effects result in composite effect on properties could be controlled, wherein the interactions between the different elements.
The Cu-Sn based multicomponent oxide were prepared as sputtering targets for solar cell applications. The RF sputter and E-gun systems were applied to deposit oxide thin films. The band gap of the oxide films deposited by E-gun was adjusted by controlling the oxygen ratio of the oxide films via annealing process.
The structural, electrical, chemical, and optical properties of the oxide thin films were investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD), transmission electron microscopy (TEM), α-step, Van der pauw measurement, Hall effect measurement, energy dispersive x-ray spectrometry (EDX) and ultraviolet-visible spectroscopy (UV-vis).
9:00 AM - K10.44
Transparent, Conducting and Catalytically Active Thin Films Made from Layering Metal Oxides and Gold Nanoparticles
Clair Chew 1 Ivan Parkin 1 Claire Carmalt 1 Peter Bishop 2 Carmen Salcianu 2
1University College London London United Kingdom2Johnson Matthey plc Sonning Common, Reading United Kingdom
Show AbstractThe presentation will showcase the versatility of aerosol assisted chemical vapour deposition (AACVD) in synthesising metal oxide and gold nanoparticle thin films. Controlled selection of material layers allow for a unique combination of properties for novel applications.
One of the metal oxides we will present is stannic oxide (SnO2). Even though SnO2 is a widely established transparent conducting oxide (TCO) it has potential for improvement, either by extrinsic doping (commonly with fluorine) or through tuning of deposition conditions. The second metal oxide presented in this work is titanium dioxide (TiO2). TiO2 is a wide band gap semiconductor that can absorb light and create electron hole pairs which migrate to the surface and react. This process gives TiO2 its photocatalytic properties important for applications such as hydrogen generation and self-cleaning coatings.
The sought after properties of these metal oxide systems have both been shown to improve by creating composites with gold nanoparticles (AuNPs). Deposition of AuNPs with a variety of sizes without the use of commons surfactants will be shown possible by AACVD. AuNPs exhibit absorption via surface plasmon resonance (SPR) in the visible light region creating a local electric field. In simple terms this feature of AuNPs has been reported to improve the photocatalytic property of TiO2. Furthermore, Au:SnO2 composites exhibit better gas sensing abilities and improved transparent electrode performance. Even on its own AuNPs possess an impressive list of catalytic abilities.
Layered metal oxide and gold nanoparticle films have been deposited onto different substrates i.e. glass wool and float glass, using AACVD ideal for different applications. AuNPs deposited here have been proven to act as a selective catalyst in organic reactions. Optical and electronic values show the unique coexistence of SPR absorption from AuNPs with TCO properties (industrial standards) making the materials very promising for use in novel optoelectronic technology.
9:00 AM - K10.45
Silica Fiber Reinforced Sodium Silicate Based Silica Aerogel Monoliths Prepared by Ambient Pressure Drying
Zaidong Shao 1 Xuan Cheng 1 2 Ying Zhang 1 2 Xiaoxiang Zhang 1
1Xiamen University Xiamen China2Xiamen University Xiamen China
Show AbstractThe superhydrophobic silica fiber reinforced silica aerogel monoliths were prepared by using less expensive sodium silicate as a main silica precursor through a rapid and cost-effective route via ambient pressure drying. The sodium silicate was pretreated by ion exchange process and methyltriethoxysilane (MTES) as a co-precursor silica source. The silica fiber was dispersed in silica sol followed by gelation. The hydrogel was aged and alcoholized in ethanol solution, modified by trimethylchlorosilane (TMCS) as a surface modification reagent. The addition of silica fiber prevents the crack generation of sodium silicate based silica aerogel during the ambient pressure drying. Accordingly, the density, cumulative pore volume, water contact angle and thermal conductivity of 0.11 g/cm3, 3.48 cm3/g, 152° and 22.4 mW/(m.K), were achieved, respectively.
9:00 AM - K10.46
Optimisation of Channel Energetic Disorder and Carrier Traps in Perhydropolysilazane Based SiOx Gate-Dielectric for Metal-Oxide Based Thin-Film Transistors
Richa Sharma 1 2 Jochen Brendt 1 Alexey Merkulov 1 Veit Wagner 2
1Evonik Industries AG Marl Germany2Jacobs University Bremen Bremen Germany
Show AbstractPerhydropolysilazane (PHPS) based dielectrics are widely investigated as an inter-layer-dielectric where an annealing temperature of at least 650 °C is used for complete oxide conversation in H2O or dry O2 ambience [1]. However, as a gate dielectric layer processed in air, a minimum annealing temperature of at least 450 °C is found to be necessary [2]. This work investigates a very simple method of fabricating SiOX layer from PHPS under atmospheric conditions with post annealing temperature of 350 °C only. This is compatible with solution processed metal oxide semiconductor, (iXsenic S, Evonik Industries AG) based TFTs in bottom gate top contact configuration.
It is realized that the energetic disorder parameter (γ) [3] in the channel is improved upon UVO treatment immediately after the pre-annealing (PA) step. This is due to the uniform oxide conversion at the surface introduced by UVO process. Afterwards, the sample is post-annealed at 350°C. However, UVO induced oxide conversion is also detrimental as it produces positively charged traps in the channel. This follows from corresponding hysteresis analysis from electrical measurements. A balance between these two favourable and unfavourable effects of the UVO treatment is obtained by controlling the PA temperature. At higher PA temperature, the weaker surface Si-O bonds are reduced already before the UVO treatment. This correlation slows down the oxide conversion introduced by UVO and decreases the formation of positive traps at the surface with increasing PA temperature. The interpretation is supported by XPS measurements obtained from the surface of the formed dielectrics. At the same time, increasing PA temperature inhibits the energetic uniformity introduced by UVO induced oxide conversion. For this reason, increasing PA temperature results in increasing γ. However, the increase in γ does not hinder the increase in mobility due to the decrease in positive traps near the channel interface. A sudden steep rise in γ beyond 300 °C outweighs the beneficial effect of increasing PA temperature. A maximum field effect mobility is found at 300 °C pre-annealing of 3.1 cm2/Vs (Vissenberg-Matters model [4]). This mobility is comparable to the mobility of 4.5 cm2/Vs obtained in the same configuration with comparatively defects free thermally grown SiO2 as gate dielectric. This is an extremely promising result to realize all solution processed TFTs based on wide band gap metal-oxide semiconductors.
[1] S-D Kim et al., Semicond. Sci. Technol., Vol. 28 (2013) 035008
[2] H. Thi et al., IEEE Transactions on Electron Devices., Vol. 60 (2013) 1-5
[3] M.C.Hamilton, et al., Chemistry of materials, Vol 16 (2004) 4699-4704
[4] M.C.J.M Vissenberg and M.Matters, Physical Review B., Vol 57 (1998) 12964-12966
9:00 AM - K10.47
Thermal Stability of Post-Growth-Annealed Ga-Doped MgZnO Films Grown by the RF Sputtering Method
Hsueh Kuang-Po 1 Cheng Po-Wei 1 Lin Wen-Yen 1 Chiu Hsien-Chin 2 Wang Hsiang-Chun 2 Sheu Jinn-Kong 3 Yeh Yu-Hsiang 3
1Vanung University Chung-Li Taiwan2Chang Gung University Tao-Yuan Taiwan3National Cheng Kung University Tainan Taiwan
Show AbstractZinc oxide (ZnO) is a transparent conductive oxide (TCO) and a well-known wide bandgap material capable of forming transparent contact layers (TCL) in LEDs. Another wide bandgap material, MgZnO (MZO) alloy, has received increasing attention because of its highly tunable bandgap, from 3.37 eV of wurtzite (WZ) ZnO to 7.8 eV of rock salt (RS) MgO, which makes it a promising candidate for deep ultraviolet optoelectronic devices and high-power electronics. The fabrication of MgZnO films to expand the usable wavelength range and improve the efficiency of quantum confinement structures is an important task in band-gap engineering.
This study investigates the effects of thermal annealing on Ga-doped MgZnO (GMZO) films. GMZO films were deposited by a radio-frequency magnetron sputtering system using a 4 inch ZnO/MgO/Ga2O3 (76/19/5 wt %) target. This study measures and reports the Hall results, X-ray diffraction (XRD), and transmittance data. The as-deposited film did not show Hall results because of high resistivity. However, increasing the annealing temperature decreased resistivity from 2.23 x 104 #8486;-cm to 1.47 x 103 #8486;-cm. The highest mobility of 11.18 cm2/V-s was obtained after 900 °C annealing. The concentration of all of the annealed GMZO films was about 1 x 1019 cm-3. XRD results show that the GaO, ZnO, MgO and MgO2 peaks in as-grown GMZO film. The absorption edges of these as-grown GMZO films shifted toward the short wavelength of 319 nm under 80% transmittance, implying that band gaps can be tuned by changing the Mg content of the GMZO layer.
9:00 AM - K10.48
Optical and Electrical Properties of FTO Thin Film Deposited by Spray Pyrolysis for Energy Efficient Window Coatings
Changho Choi 1 Chih-hung Chang 1
1Oregon State University Corvallis USA
Show AbstractTransparent conducting oxides (TCOs) are gainng interest due to their simultaneous achivement of high optical transparency in the visible region and high electrical conductivity. These materials are very efficient in energy efficicient window coatings that should reflect broadband infrared heat radiation while transmming the light in the visible region. Among a variety of TCOs, fluorine-doped tin oxide (FTO) has been widely investigated because of its chemical stability along with the high electrical conductivity and high visible transparency. In this study, fluorine-doped tin oxide (FTO) thin films were fabricated by using the spray pyrolysis method. Thickness of the films were varied to discuss the thickness dependence on the electrical and optical properties of the films. Various charactrizations were carried out to investigate the FTO thin films, including X-ray diffractometry, scanning electron microscopy, and atomic force microscopy. The optical properties of the films were studied by obtaining UV-Vis-NIR spectrum. Hall effect measurement was also performed to study the electrical properties of the FTO thin films.
9:00 AM - K10.50
Thermal Plasma Annealing of Zinc Oxide Thin Films: Effects on Optical and Electrical Properties
Michael Kinsler 1 Kwok-Siong Teh 1 Rabiah Harrison 1
1San Francisco State University Daly City USA
Show AbstractNanocrystalline zinc oxide thin films were deposited and subsequently plasma-annealed in an in house-designed and built rapid thermal plasma chemical vapor deposition system utilizing a 13.56 MHz RF power source. The specimens were deposited in an 99.97% argon and 0.03% oxygen environment with a background pressure of 1.15 PSIA. Deposition conditions were kept constant so that there were minimal variations between the films prior to annealing. This ensured that the annealing process temperature was the only variation in the experiment. The maximum temperature during deposition is 600C. The annealing process was performed in the same system, however the nickel crucible containing the pure zinc precursor is removed and replaced by a dual nickel plate annealing platform. The plates of the annealing platform all for even heating of the specimen but do not allow for direct contact between the plasma and the zinc oxide film. The annealing process is performed in a pure argon environment at 1.15 PSIA and the temperature range tested was from 300C to 800C. Grain growth from a 75nm average grain size in the as-deposited films to approximately 100nm in the annealed films was observed in the temperature range from 400C to 800C, however significant out-of-plane growth is noticed above 600C. The films in their as-deposited states had a transmittance in the UV and visible spectrums in the low 80% range while the annealed films had approximately 90% transmittance. The annealed films were also measure to have a decrease in resistivity of approximately 0.0004Omega;-cm from 0.0005Omega;-cm to 0.0001Omega;-cm.
9:00 AM - K10.51
High Performance Low Temperature Oxide Transistors via Spray Coating-Combustion Synthesis
Xinge Yu 1 2 Nanjia Zhou 1 Tobin Jay Marks 1 Antonio Facchetti 1
1Northwestern University Evanston USA2University of Electronic Science and Technology of China Chengdu China
Show AbstractSolution process metal-oxide (MO) semiconductors have emerged as the next generation thin film electronics, owing to their high carrier mobility even in amorphous state, large-area, low-cost, optically transparency, which can be applied in flat-panel display, sensor arrays, and flexible circuitry. Recent impressive progresses of low-temperature “sol-gel” MO electronics have been made via “combustion synthesis”. However, due to the gaseous production during the condensation and densification, high-quality solution-processing MO films with precise thickness control complete in one step still remains a challenge. Here we demonstrate a new route to high performance solution-processed MO electronics with thickness control by using spray-coating combustion synthesis (SCCS), which demonstrates a flexible MO thin-film transistors with mobilities greater than 10 cm2V-1s-1 at process temperature as low as 200 °C. Meanwhile, the SCCS IGZO films are even comparable to the sputtered oxide films.
9:00 AM - K10.53
Emission Diversity of ZnO Nanocrystals with Different Growth Temperatures
Erick Velazquez Lozada 1 Tetyana Torchynska 1 Jose Luis Casas Espinola 1
1Instituto Politamp;#233;cnico Nacional Mamp;#233;xico D. F. Mexico
Show AbstractPhotoluminescence (PL), scanning electronic microscopy (SEM) and X ray diffraction (XRD) have been studied in ZnO nanocrystal films prepared by sol-gel technology with variation of temperatures in the range 400 and 450 °C and process durations (3 - 10 min). It is shown that the ZnO films prepared at low duration (3 min) are characterized by the amorphous phase. With increasing of the process duration to 10 min the ZnO re-crystallization and additional oxidation take place at the growth temperature, which is more effective at 450 °C that at 400 °C.
XRD show that crystal phase appeared is wurzite with the parameters of hexagonal crystal lattice equal to a = 3.2498 Å and c = 5.2066 Å. The size of ZnO nancrystalls was estimated on the base of XRD results using the Scherrer formula. This size was equal to 20-70 nm in dependence of crystallization duration. This size was confirmed by SEM study as well. The oxidation and crystallization processes are accompanied by the essential transformation of PL spectra of ZnO films. PL spectra of ZnO films in amorphous phase are characterized by the four PL bands with the peaks at 1.6, 2.1, 2.5 and 2.8 eV. In the crystalline phase samples the intensity of PL bands at 2.1, 2.5 and 2.8 eV decreases and the intensity of 1.6 and 3.1 eV enlarges. The reasons of emission transformation and the nature of optical transitions related to the studied PL bands have been discussed.
9:00 AM - K10.54
In-Situ TEM Study of Amorphous to Cubic Phase Transformation in Alumina Films Prepared by Atomic Layer Deposition
Yuzi Liu 1 Junling Lu 2
1Argonne National Laboratory Argonne USA2University of Science and Technology of China Hefei China
Show AbstractAmorphous alumina has been widely used as an insulator separate layer in various devices because of its excellent dielectric properties. Here we present the results of in-situ transmission electron microscopy study on the structure transition of the alumina thin films prepared on sapphire and Si by atomic layer deposition (ALD). The electron beam induced phase transformation was observed in both samples. It was found that the substrate affects the nucleation and the growth of the cubic alumina. The quasi-epitaxial growth phenomena on sapphire was observed which did not take place in the film grown on Si. The cubic alumina grown along with <111> direction which is perpendicular to the substrate surface plane of (0001). There were twins formed during the phase transition. The energy consumed in this phase transition is very low and there is no thickness shrinking comparing with the previously reported work[1]. This might be in favor of the residual molecules during the amorphous layer growth by ALD.
This work was performed at the Center for Nanoscale Materials, a U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences User Facility under Contract No. DE-AC02-06CH11357.
1. Levin, I., L.A. Bendersky, D.G. Brandon, and M. Rühle, Cubic to monoclinic phase transformations in alumina. Acta Materialia, 1997. 45(9): p. 3659-3669.
9:00 AM - K10.55
Thermodynamics of Formation of Na0.5RE0.5TiO3 (RE = Ce, La) Loparites
Claudio Carvalho 1 2 Alexandra Navrotsky 2
1Universidade Estadual Paulista - UNESP Ilha Solteira Brazil2University of California - Davis Davis USA
Show AbstractNowadays, we know that rare earth (RE) elements have fundamental roles in technological industries, mainly in the fabrication of semiconductor devices such as transistors, CIs, optical glasses, and LASERs. The main source of RE elements comes from the Earth&’s crust, and in the past decade production mostly came from Chinese producers that sell it all around the world at non-competitive prices. From the industrial, environmental, and research point of view, RE compounds must be obtained by optimized processes that make them low cost, ecologically sustainable and available to create new technologies. Therefore, learning more about the basic science of RE minerals, including their thermodynamics is highly desirable. Thermodynamic data are needed to understand all steps of the industrial RE cycle - prospecting, mining, extraction, assembling devices, assessing device lifetime and degradation, recycling, and disposal. In this work, we studied the enthalpy of formation, ΔH0f, at 298 K, for NaxCe1-xTiO3 with x=0.1-0.5. Compositions were determined by high temperature oxide melt drop solution calorimetry at 977 K using molten sodium molybdate 3Na2O.4MoO3 solvent.
9:00 AM - K10.56
Large-Area Nanowire Transistors Based on Highly Aligned Metal Oxide Nanowires
Tae-Sik Kim 1 Sung-Yong Min 1 Tae-Woo Lee 1
1POSTECH Pohang Republic of Korea
Show AbstractOne-dimensional nanostructures of various metal oxide materials have attracted enormous attention recently. This interest has come not only from the point of view of their fundamental scientific interest, but also from their potential applications in a variety of functional devices. Nanostructured metal oxides have potential applications in nano-electronics, optical, sensor and magnetoelectronic devices due to the physical properties of their electronic structure.
In spite of the many benefits of metal oxide nanostructures, a reliable process for large-scale and controlled structures of highly aligned nanowire parallel arrays must be developed because inorganic nanowires are mostly grown vertically on substrates and thus have been transferred to the target substrates. For high performance nano-electronics and various applications, nanowires should be fabricated directly on the device substrate without requiring any additional transfer process.
Here we fabricated lateral aligned inorganic semiconducting nanowire transistors using highly aligned ZnO and IGZO nanowires, which was fabricated using high-speed electrohydrodynamic nanowire printing (ENP). With ENP, metal oxide nanowires can be aligned on the device substrate in a direct, continuous, and controllable manner.
We investigated the ZnO and IGZO nanowire properties by varying the preparation conditions. And we can improve the crystallinity and electrical conductivity of ZnO nanowires by adding the ZnO nanoparticle additive. We believe that our approach to generate controlled metal oxide nanowires will be a promising strategy in the field of flexible and transparent nano-electronics.
9:00 AM - K10.58
The Effects of Template on the Characteristics of Piezoelectric Materials
Jaesung Song 1 In-Sung Kim 1 Soon-Jong Jeong 1 Min-Soo Kim 1
1KERI Changwon Republic of Korea
Show AbstractNa0.5K0.5NbO3 (NKN) has drawn greater attention owing to their ultrasonic applicability and is also considered to be promising candidates for a lead-free piezoelectric system. However, it was also found that sintering NKN ceramics under atmospheric pressure is difficult, in general, and their piezoelectric properties are insufficient for practical applications. To improve the sinterability and piezoelectric properties, various dopants have been investigated. Among them, 1mol% Li2O excess (Na0.51K0.47Li0.02)(Nb0.8Ta0.2)O3 (NKLNT) ceramics were reported to have excellent electromechanical responses by controlling the microstructure. In addition, many researchers have developed textured ceramics by using reactive template grain growth (RTGG) processing. The piezoelectric properties of the textured NKN-based ceramics were comparable to those of unmodified PZT. RTGG is believed to be an effective process to improve the piezoelectric properties of the ceramics. In this study, 1mol% Li2O excess NKLNT textured ceramics with high piezoelectric properties were investigated. Plate-like perovskite NaNbO3 template crystals synthesized by a topochemical reaction method were used. The effects of template on sinterability, texturing and piezoelectric properties in the ceramics were investigated.
KEYWORDS
Lead-free, Piezoelectric, RTGG, NKN.
9:00 AM - K10.60
Terahertz Conductivity of Solution-Phase-Derived RuO2 Nanoskins Deposited on Insulating Substrates
Ani Khachatrian 1 Joseph S Melinger 1 Paul Cunningham 1 Edwin Heilweil 2 Irina Pala 1 Christopher Chervin 1 Jeffrey C Owrutsky 1 Jeffrey Long 1 Debra R Rolison 1
1Naval Research Laboratory Washington USA2National Institute of Standards and Technology Gaithersburg USA
Show AbstractThin films of conductive RuO2 underpin applications in electronics, electrochemistry, and optoelectronics [1,2]. Chervin et al. recently reported a bench-top protocol for sub-ambient temperature deposition of ultrathin RuO2 coatings from solution [3], referred to here as nanoskins. When deposited on flat substrates (e.g., Si or quartz) and calcined at 200°C, the ~10-nm thick RuO2 nanoskin consists of ~2-3 nm disordered rutile nanoparticles and retains a relatively high DC electrical conductivity in the range of 500-1000 (Ohm cm)-1. The combination of high surface area and high electrical conductivity suggests that these nanoskins can function in a variety of ways, e.g., as electrocatalytic surfaces [4] or as transparent conductors [5]. In this presentation, we investigate the nature of electrical conductivity in RuO2nanoskins at THz frequencies at equilibrium, and under non-equilibrium conditions following ultrashort photoexcitation. We use terahertz time-domain spectroscopy to characterize the frequency-dependent real and imaginary part of the complex conductivity from approximately 200 GHz to 3 THz. We compare the THz response measured from RuO2 nanoskins to that measured from traditional ultrathin RuO2 films produced by sputtering. The frequency dependence of the complex conductivity shows evidence of strong localization effects in nanoskins, whereas sputtered films exhibit a more conventional Drude-type response. We will correlate the THz spectroscopy measurements for these two types of films with film morphology and X-ray diffraction measurements of crystallinity.
[1] D. Adams et al., J. Phys. Chem. B 107, 6668-6697 (2003).
[2] Y.S Huang and P.C. Liao, Sol. Energ. Mater. Sol. Cells 55, 179-197 (1998).
[3] C.N. Chervin, A.M. Lubers, K.A. Pettigrew, J.W. Long, M.A. Westgate, J.J. Fontanella, D.R. Rolison, Nano Lett. 9, 2316-2321 (2009).
[4] J.J. Pietron, M.B. Pomfret, C.N. Chervin, J.W. Long, D.R. Rolison, J. Mater. Chem. 22, 5197-5204 (2012).
[5] J.W. Long, J.C. Owrutsky, C.N. Chervin; D.R. Rolison, J.S. Melinger, U.S. Patent Application 20110091723, 21-Apr-2011.
9:00 AM - K10.61
Doping and Confinement Synergy of The KTN Ferroelectric Thin Films for Low Loss Tunable Devices at Microwaves
Fatou Cisse 1 2 Yonathan Corredores 1 Arnaud Le Febvrier 2 Xavier Castel 1 Ronan Sauleau 1 Stephanie Deputier 2 Valerie Bouquet 2 Ratiba Benzerga 1 Maryline Guilloux-Viry 2
1University of Rennes Rennes France2University of Rennes Rennes France
Show AbstractFerroelectric oxide thin films are promising candidates to build miniaturized and reconfigurable planar devices at microwaves since their dielectric permittivity can be tuned under an external DC electric field. The KTa0.5Nb0.5O3 (KTN) lead-free ferroelectric oxide exhibits one of the highest permittivity tunability (from 700 to 200 at 10 GHz) under moderate biasing (80 kV/cm), but its significant dielectric loss (loss tangent asymp; 0.3 at 10 GHz) strongly contributes to the global insertion loss of the devices.
In this study, two solutions are investigated to reduce the loss: an intrinsic route consists in the doping of the KTN material with MgO (3% in mol) to decrease its loss tangent. The MgO-doped KTN thin films (500 nm-thick) are grown by pulsed laser deposition on r-plane sapphire substrate that is well adapted for microwave applications. X-ray diffraction performed in different modes evidenced the KTN perovskite phase and a preferential orientation with a texture. The coplanar waveguide (CPW) devices (transmission lines and stub resonators) are patterned on the ferroelectric samples using silver metallization, photolithography and wet etching operations. The dielectric characteristics (relative permittivity and loss tangent) of the MgO-doped KTN films are retrieved from microwave measurements (between 1 GHz and 20 GHz) on matched transmission lines using the conformal mapping method. Frequency agility and insertion loss of the stub resonators are then measured and compared with those of stub resonators printed on pure KTN films.
A second route to reduce more the insertion loss of the reconfigurable devices aims at confining the MgO-doped KTN material only in efficient regions of the stub resonator and to remove it in ‘non-critical&’ areas. An original fabrication process based on laser local micro-etching at lambda; = 248 nm of the doped ferroelectric layer has been developed for this purpose, with fluence and shoot number optimization.
Finally, microwave measurements are performed on stub resonators patterned on the confined MgO-doped KTN thin films, compared to those printed on pure KTN samples and discussed.
K8: Ferroelectric/Multiferroics
Session Chairs
Maryline Guilloux-Viry
Riad Nechache
Wednesday AM, April 23, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
9:15 AM - *K8.01
Ferroelectric Tunnel Junction for Memristive Applications
Andramp;#233; Chanthbouala 1 Soren Boyn 1 Vincent Garcia 1 Stamp;#233;phane Fusil 1 Karim Bouzehouane 1 Cyrile Deranlot 1 Stamp;#233;phane Xavier 4 Neil Mathur 2 Alexandre Gloter 3 Hiroyuki Yamada 1 Manuel Bibes 1 Agnes Barthelemy 1
1Unitamp;#233; Mixte de Physique CNRS/Thales Palaiseau France2University Cambridge Cambridge United Kingdom3Universitamp;#233; Paris Sud Orsay France4Thales Reasearch and Technology Palaiseau France
Show AbstractIn 1971, Esaki et al. proposed to couple ferroelectricity, with quantum-mechanical tunnelling in ferroelectric tunnel junctions composed of metallic electrodes with a ferroelectric tunnel barrier. In these ferroelectric tunnel junctions, large changes in the resistance are observed and correlated with the direction of the ferroelectric polarisation of the barrier [1]. This give rise to large electroresistance phenomena (TER) that amounts to 75000% for a 3nm BaTiO3 tunnel barrier as revealed by scanning probe microscopy. This resistance switching in solid-state ferroelectric tunnel junctions is large, fast, stable, reproducible and reliable electroresistance offering new opportunities for ferroelectrics in future data storage [2].
By controlling the domain ferroelectric domain configuration, they also offer the opportunity to obtain reproducible intermediate different resistance states. Voltage-controlled domain configurations in these ferroelectric tunnel barriers yield memristive behaviour with resistance variations exceeding two orders of magnitude and a 10 ns operation speed. Using models of ferroelectric-domain nucleation and growth, we explain the quasi-continuous resistance variations and derive a simple analytical expression for the memristive effect. Our results suggest new opportunities for ferroelectrics as the hardware basis of future neuromorphic computational architectures [ 3].
1] Nature 460, 81 (2009) ; Appl. Phys. Lett. 96, 042901 (2010)
2] Nature Nanotechnology 7, 101 (2012)
3] Nature Materials 11,860 (2012); ACS Nano 7, 5385 (2013)
We acknowledge financial support from the European Research Council (ERC Advanced Grant FEMMES, No. 267579)
9:45 AM - K8.02
Magnetotransport Measurements in La0.825Sr0.175MnO3/PbZr0.2Ti0.8O3 Heterostructures
Daniele Preziosi 1 Xavier Marti 2 Ignasi M. Fina 1 Marin Alexe 1 3 Dietrich Hesse 1
1Max Planck Institute Halle(Saale) Germany2Charles University Prague Czech Republic3University of Warwick Coventry United Kingdom
Show AbstractThe coupling between electric and magnetic properties of functional materials is nowadays the topic of an intense scientific research. Artificial heterostructures made by thin films, showing separately magnetic and ferroelectric properties, represent a viable route toward the improvement of the magneto-electric coupling. In this respect epitaxial thin film heterostructures of magnetic La0.825Sr0.175MnO3 (LSMO) and ferroelectric PbZr0.2Ti0.8O3 (PZT) thin films have been grown by pulsed laser deposition on SrTiO3 (STO) and LaAlO3 (LAO) single crystals. Accordingly, different strain states in the manganite have been engineered. Magnetotransport measurements performed in both different ferroelectric polarization states reveal the interesting role of the latter on the competing ground states of the LSMO. Accumulation and depletion of charge carriers at the ferroelectric/manganite interface produce a modulation of the longitudinal resistivity that is a function of not only the ferroelectric polarization state but of the magnetization direction of the LSMO as well.
10:00 AM - *K8.03
Monolithic Integration of Complex Ferroelectric Oxides on Silicon - A Route Towards Low Power Logic Devices
Catherine Dubourdieu 1 2 John Bruley 1 Thomas M. Arruda 3 Agham Posadas 4 Jean Jordan-Sweet 1 David J. Frank 1 Eduard Cartier 1 Sergei V. Kalinin 3 Martin M. Frank 1 Alexander A. Demkov 4 Vijay Narayanan 1
1IBM T.J. Watson Research Center Yorktown Heights USA2CNRS - Institut des Nanotechnologies de Lyon Ecully France3Oak Ridge National Laboratory Oak Ridge USA4The University of Texas at Austin Austin USA
Show AbstractCurrent nanoelectronics is facing major challenges related to power dissipation issues while shrinking of the transistor continues. In order to address this power dissipation bottleneck, new device concepts have been proposed such as the negative capacitance ferroelectric field-effect transistor (FET) [1]. Introducing a ferroelectric as a gate oxide could decrease the sub-threshold slope below the thermodynamic limit of 60 mV/dec in FETs operating at room temperature. So far, negative capacitance effect has not been demonstrated experimentally in a FET using a ferroelectric oxide. The reason for the lack of experimental demonstration resides in the major difficulties to integrate ferroelectric oxides on Si with a high quality interface and - just as importantly - to obtain a polarization perpendicular to the Si surface. There are also many other applications (memories, piezoelectric sensors, piezotronics, electro-optic devices) that could benefit from the integration of epitaxial ferroelectrics on a semiconductor platform.
In this talk, I will briefly review the challenges associated with the monolithic integration of complex oxides on a semiconductor and more particularly with the integration of ferroelectrics. I will then present a study on BaTiO3 films epitaxially grown on Si(001) by molecular beam epitaxy using SrTiO3 as a template [2]. Reversible switching of the ferroelectric polarization is evidenced by piezoresponse force microscopy with polarization pointing perpendicular to the silicon substrate. The nano-domain structure will be discussed on the basis of geometrical phase analysis of HR-STEM images. I will conclude with ongoing perspectives on integration in field-effect transistors and new field-effect device concepts that aim at resolving the flaws of the original device.
[1]. S. Salahuddin and S. Datta, Nano Letters 8, 405 (2008)
[2]. C. Dubourdieu et al., Nature Nanotechnology 8, 748 (2013)
10:30 AM - K8.04
The Origin of the Ferroelectricity in Thin Hf1-xZrxO2 Films: Microstructure and In-Plane Tensile Stress Formed during Island Coalescence
Min Hyuk Park 1 2 Ju-Young Cho 1 Han Joon Kim 1 2 Yu Jin Kim 1 2 Deok Yong Cho 3 Hyo Kyeom Kim 1 2 Woongkyu Lee 1 2 Il-hyuk Yu 1 2 Taehwan Moon 1 2 Tae Yeong Koo 4 Young-Chang Joo 1 Cheol Seong Hwang 1 2
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3Seoul National University Seoul Republic of Korea4Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractRecently, it was recently reported that HfO2 thin films can show ferroelectric properties when doped or alloyed with various elements, such as Si, Y, Al, Zr, and Gd.[1] This could revolutionize the field of ferroelectric memories, because this technology is compatible with conventional Si technology. The technology is also appropriate for three-dimensional capacitor fabrication, which is inevitable for ultra-high density devices, thanks to the small thickness (~10 nm, conventional ferroelectrics with perovskite structure require thickness ~100 nm) and matured atomic layer deposition (ALD) technique. It is evident that the robust ferroelectricity in such materials can be achieved by forming non-centrosymmetric orthorhombic phase (o-phase, space group Pbc21), which is thermodynamically unstable phase in the ALD temperature and pressure window (200 - 300 oC and a few torr). Therefore, it is necessary to examine the possible origins for the evolution of such unexpected phase. Kisi et al., who observed Pbc21 o-phase from ZrO2 for the first time, suggested that the large tensile stress along the c-axis of tetragonal phase (t-phase, P42/nmc) of ZrO2 is the origin of the formation of the non-centrosymmetric phase.[2] In their report, the required tensile stress was ~3.6 GPa, which is a very large value, but such level of stress could be achieved in thin films. The authors already reported that the 2c/(a+b) ratio of HfO2, ZrO2, and HZO, and 2c/(a+b) ratio of HfO2 is much smaller than that of ZrO2.[1] (It should be noted that the longest axis of o-phase was considered as c-axis for the sake of convenience.) It was predicted that the large difference of 2c/(a+b) ratio of o- and t-phase of ZrO2 prevents the formation of o-phase in pure ZrO2. For the case of HfO2, on the other hand, the stable monoclinic phase (m-phase, P21/c) is formed with very small grain size based on the surface energy argument.[3] Therefore, it was concluded that m-phase of HZO can be prevented with relatively large film thickness and the difference of 2c/(a+b) ratio of o- and t-phase is small enough to be overcome by in-plane film stress. In this presentation, the origin of the ferroelectricity in Hf1-xZrxO2 material system is examined based on the in-plane stress and microstructure of thin films. The unexpectedly huge tensile stress of >10 GPa could be observed in thin HfO2, ZrO2, and Hf0.5Zr0.5O2 films with thickness of ~5 nm, and the stress was relaxed rapidly with increasing thickness. The huge stress is believed to originate the island coalescence in the Volmer-Weber type growth of the films as suggested by Nix and Clemens. [4]
[1] M. H. Park et al., Appl. Phys. Lett. 102, 242905 (2013).
[2] E. H. Kisi, J. Am. Ceram. Soc. 81, 741 (1998).
[3] M. Shandalov et al., J. Appl. Phys. 106, 084322 (2009).
[4] W. D. Nix and B. M. Clemens, J. Mater. Res. 14, 3467 (1999).
10:45 AM - K8.05
A Facile Route for Producing Single-Crystalline Epitaxial Perovskite Oxide Thin Films
Andrew R Akbashev 1 Guannan Chen 1 Jonathan E Spanier 1
1Drexel University Philadelphia USA
Show AbstractWe report how a low vacuum pressure process followed by a few-minute annealing enables epitaxial stabilization, producing high-quality, phase-pure, single-crystalline epitaxial, and misfit dislocation-free BiFeO3(001) thin films on SrTiO3(001) at sim;450°C less than current routes. We also present on functional property characterizations of these films. These results unambiguously challenge the widely held notion that atomic layer deposition (ALD) is not appropriate for attaining high-quality chemically complex oxide films on perovskite substrates in single-crystalline epitaxial form, demonstrating applicability as an inexpensive, facile, and highly scalable route1.
Work supported by the NSF and the Nanoscale Research Initiative of the SRC within the Nanoelectronics and Beyond in 2020 (NEB 2020) program (DMR 1124696), and the authors also acknowledge ARO (W911NF-08-1-0067) for support.
1A. R. Akbashev, G. Chen and J. E. Spanier, Nano Lett. ASAP (2013).
11:30 AM - *K8.06
Multiferroic CoFe2O4-BaTiO3 Epitaxial Heterostructures on Si(001)
Mateusz Scigaj 1 Nico Dix 1 Ignasi Fina 1 Romain Bachelet 1 Benedicte Warot-Fonrose 2 Vassil Skumryev 3 Gervasi Herranz 1 Josep Fontcuberta 1 Florencio Sanchez 1
1Institut de Ciencia de Materials de Barcelona (ICMAB-CSIC) Bellaterra Spain2CEMES-CNRS Toulouse France3Universitat Autonoma de Barcelona Bellaterra Spain
Show AbstractMultiferroic materials are appealing for its application in microelectronic devices, but coexistence of ferroelectricity and ferromagnetism in a single material is generally restricted to low temperatures. Artificial multiferroics, combining ferroelectric (FE) and ferromagnetic (FM) phases are an alternative. High quality multiferroic FM/FE heterostructures can be fabricated on oxide single crystals, but its integration with silicon is elusive. We show here that high quality epitaxial CoFe2O4/BaTiO3 bilayer can be grown on buffered Si(001). The use of a complex LaNiO3/CeO2/YSZ buffer layer structure is a key to cause high epitaxial compressive stress on BaTiO3, and thus favours c-axis orientation. Then, CoFe2O4/BaTiO3/LaNiO3/CeO2/YSZ heterostructures were deposited on Si(001) in a single process by pulsed laser deposition assisted with high-pressure reflection high energy electron diffraction (RHEED). Detailed characterization by RHEED, atomic force microscopy, X-ray diffractometry and high resolution transmission electron microscopy confirm high structural quality of the CoFe2O4/BaTiO3 heterostructures. Remarkably, CoFe2O4/BaTiO3 bilayers display good multiferroic properties, with high values of magnetization and polarization at room temperature.
12:00 PM - *K8.07
Nanostructured Lead-Free Piezoelectric Na0.5Bi0.5TiO3-BaTiO3 Whiskers
Deepam Maurya 1 Shashank Priya 1
1Virginia Tech Blacksburg USA
Show AbstractRealization of nanostructured materials with high piezoelectric response has been challenging due to decrement in piezoelectricity with the reduction in grain size. Size effect has presented fundamental limitation in all the well-known perovskite oxides. In this presentation, we demonstrate nanostructured lead-free piezoelectric Na0.5Bi0.5TiO3-BaTiO3 (NBT-BT) whiskers synthesized using Na2Ti6O13 (NTO) as a host structure, that overcomes the limitation imposed by size effect. The structural rearrangement during the synthesis of these lead-free NBT-BT whiskers was found to occur via translation of the edge shared octahedra of NTO into a corner sharing coordination in order to minimize the free energy of the system. The atomic pair distribution function (PDF) and Raman scattering analyses revealed an average structure of rhombohedral type. However, the high resolution transmission electron microscopic (HR-TEM) analysis revealed local monoclinic-type structural distortions, indicating a modulated structure at nanoscale in the morphotropic phase boundary (MPB) composition of the lead-free NBT-BT whiskers. The piezoresponse force microscopy (PFM) directly indicated the presence of piezoelectricity in these nanostructured whiskers. We further investigated the structural differences in bulk and nanostructured NBT-BT for providing a fundamental understanding of piezoelectric response in this A-site disordered lead-free piezoelectric system. A comprehensive understanding of the basic mechanisms controlling the magnitude of piezoelectric response in nanostructured whiskers will be provided.
Symposium Organizers
Dhananjay Kumar, North Carolina Aamp;T State University
Valentin Craciun, National Institute for Laser, Plasma, and Radiation Physics
Kaushal K. Singh, Applied Materials
Maryline Guilloux-Viry, University of Rennes 1
Symposium Support
Applied Materials, Inc.
North Carolina Aamp;T State University
K12: Magnetism and Magnetic Materials II
Session Chairs
Florencio Sanchez
Kaushal K. Singh
Thursday PM, April 24, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
2:30 AM - *K12.01
Controlled Growth of Metal-Oxide Core-Shell Nanoparticles: Structure, Morphology and Functional Properties
Sergio D'Addato 1 2
1CNR-NANO Modena Italy2Universitamp;#224; di Modena e Reggio Emilia Modean Italy
Show AbstractMetal-oxide core-shell nanoparticles (NP) have been the object of a vast amount of material research studies in the last years, because of their technological applications, like nanomedicine, magnetic recording, photovoltaics and catalysis. The possibility to achieve an accurate control of the oxide shell thickness, crystallinity and of the oxide/metal interface quality is a fundamental step for the optimization of the desired NP magnetic properties. We performed detailed studies of pre-formed, mass-selected Ni@NiO, FePt@MgO, Ag@MgO and CexOy NP. Growth of the NP was carried out with a NP gas aggregation source equipped with a mass-quadrupole filter. The NP oxide shells were produced by oxidising the NP with different procedures (deposition in oxygen atmosphere, post-annealing in air, co-deposition of NP with Ni or Mg vapour in oxygen). XPS core-level analysis gave information about the chemical state of the atoms in the core and in the oxide shell, while SEM has been used for investigation of the NP morphology. High quality HR-TEM and STEM-HAADF data allowed an in-deep analysis of the NP atomic structure, in both core and shell areas. For instance, in the case of Ni@NiO NP, FC/ZFC magnetization curves and hysteresis cycles were recorded. In this way, the relation between magnetic properties and oxide shell structure has been assessed systematically, showing the role played by the control of the formation of oxide on the exchange bias and interparticle magnetic interaction. Recent results on FePt@MgO and Ag@MgO will be discussed, demonstrating the possibility of this technique in case studies of material science for relevant technological application.
3:00 AM - K12.02
Study of Thickness Dependent Magnetic Properties of La0.5Sr0.5MnO3 Thin Film
Kamlesh Yadav 2 Hari Krishna Singh 3 Kamlesh Kumar Maurya 4 Ghanshyam Das Varma 1
1I.I.T. Roorkee Roorkee India2Central University of Punjab Bathinda India3National Physical Laboratory New Delhi India4National Physical Laboratory New Delhi India
Show AbstractIn the present work thickness dependent magnetic properties of La0.5Sr0.5MnO3 (LSMO) thin films have been studied. A series of LSMO films with thicknesses ~30, 60, 125 and 300 nm have been deposited on (001)-oriented LaAlO3 (LAO) substrate using dc magnetron sputtering. X-ray diffraction (XRD) and high resolution XRD results show that all the films are under compressive strain, coherently grown, have good crystalline quality and are epitaxial in nature. It is found that the Curie temperature (TC) of all LSMO films deposited on LAO substrate is lower than that of bulk LSMO. The paramagnetic to ferromagnetic transition at TC is followed by antiferromagnetic ordering at TN in all the films. Interestingly, very small variations are observed in TC with increasing film thickness. However, TN is observed to increase with increasing film thickness. It is due to the structural disorders created in strain relaxation process which results in spin disorder and enhance the electron scattering and localization. The increase in TN is relatively large (~21 K) for 60 nm thin film. It may due to the higher density of defects which is introduced in the film as the thickness increases above 30 nm. The temperature-dependent resistivity of 60 nm thick film shows two insulator to metal (I-M) like transitions at ~ 215 K and 156 K and a metal to insulator (M-I) transition at ~ 85 K. It shows that the Jahn-Teller (J-T) distortion might provide a freedom for the occurrence of I-M transition. The results are explained on the basis of strain induced Jahn-Teller (J-T) distortion and phase separation scenario.
3:15 AM - K12.03
Substantially Enhanced Insulator-to-Metal Transition in Self-Assembled Porous VO2 Thin Films with 1-Dimensional Nanorods
Koo Tak Hong 1 Seok Hoon Choi 1 Jaeho Kim 1 Taemin Kim 1 Ho Won Jang 1
1Seoul National University Seoul Republic of Korea
Show AbstractThere are lots of limits of Si-based electronic devices like scalability, size, density, response time. To overcome these limits, an enormous number of studies about oxide semiconductor have been carried out for a long time. Among the oxide materials under research, vanadium dioxide(VO2) is most fascinating material that shows the insulator-to-metal transition(IMT). If IMT is applied to the devices, it makes the electric properties of devices great because the devices can response external signal or stimulation faster and easier. Moreover, the transition can be triggered by various ways like thermal, electric, magnetic, optical, and strain stimulations. However, for VO2, the threshold energy of the IMT transition is so high that VO2 can be hard to be used in real devices.
In this work, we report substantially enhanced IMT in self-assembled porous VO2 thin films with 1-dimensional nanorods. We deposit porous VO2 thin film nanostructures by using glancing angle deposition. The individual 1-dimensional VO2 nanorods in the films connected with each other via narrow necks, which play a critical role in the IMT driven by electrical field and H2 adsorption. In the narrow necks, large Schottky barriers are built. We found that the heights of these barriers significantly vary with electrical current and H2 adsorption. We discuss details in correlation between local nanostructures and device performance. Low-voltage IMT and ultrahigh H2 sensing response in self-assembled porous VO2 thin films with 1-dimensional nanorods suggest that the control of nanostructures in porous VO2 thin films is very critical for realizing high performance devices based on VO2.
3:30 AM - K12.04
Effect of Gd-Substitution at Y-Site on the Structural, Magnetic and Dielectric Properties of Y1-xGdxMnO3 (x=0, 0.05, 0.1) Nanoparticles
Samta Chauhan 1 Saurabh Kumar Srivastava 1 Amit Singh Rajput 1 2 Ramesh Chandra 1
1IIT ROORKEE Roorkee India2IIT ROORKEE Roorkee India
Show AbstractEffect of Gd substitution at Y-site on the structural and magnetic properties of Y1-xGdxMnO3 (x=0, 0.05, 0.1) nanoparticles prepared by conventional solid state reaction method has been studied. The structural study using X-ray diffraction pattern indicates the hexagonal structure with P63cm space group for all the samples. The average particle size for all the samples lies in the range of 40-60 nm as confirmed by X-ray diffraction and transmission electron microscopy analysis. The change in a and c lattice parameters confirm the substitution of Gd at Y-site. Magnetization versus temperature measurements show enhanced magnetic moment and an increase in Neel temperature with increase in Gd-doping concentration. Spin glass behavior is observed at low temperature in all the samples. Exchange bias effect has been observed at 2 K after field cooling the samples which is ascribed to the formation of antiferromagnetic-ferromagnetic (AFM-FM) core-shell structure of the nanoparticles. A significant improvement in the dielectric properties of Gd-doped samples has also been observed.
3:45 AM - K12.05
Study of LSMO-Organic Semiconductor Interface for Future Hybrid Spin-Valve Devices
Sayani Majumdar 1 Himadri S. Majumdar 2
1Aalto University Espoo Finland2VTT Technical Research Center of Finland Espoo Finland
Show AbstractThe mixed-valence manganite La1minus;xSrxMnO3 (LSMO) is an important material for spintronics due to its intrinsic magnetoresistance properties, electric-field tunable metal-insulator transitions, and half-metallic band structure. In hybrid spin valve devices, LSMO has been used extensively as one of the ferromagnetic electrodes due to its stable, behavior in air and in contact with the organic semiconductors (OS), matching work-functions with the OS, better impedance matching with the semiconductors etc. However, not only the LSMO but the properties of the LSMO-OS interface are also very crucial for the giant magnetoresistance (GMR) response of organic spin valves (OSVs). Several experiments have focused on the electronic and spin injection properties of such interfaces. In our recent experiments we have observed interfacial dipole formation at the LSMO-OS interface by magneto-transport experiment and by fitting the transport data with the Cheung and Cheung model. This interfacial dipole modifies the barrier height for the injection of spin-polarized carriers from LSMO to the OS layer. For different crystalline qualities of the LSMO film starting from epitaxial on STO to textured on MgO, and finally to completely polycrystalline LSMO on quartz, the application and removal of OS introduces different GB-like defects in the vicinity of the LSMO surface. This result is of high importance for obtaining efficient spin injection in the spin valve devices and will be presented in detail in the talk.
4:15 AM - K12.06
Trimeron Lattice Relaxation in Magnetite Nanowires - Crossing the Verwey Transition from above TV.
Johannes Gooth 1 Tim Boehnert 1 Jan Gluschke 1 Bacel Hamdou 1 Sven Barth 2 Detlef Goerlitz 1 Robert Zierold 1 Kornelius Nielsch 1
1Universitamp;#228;t Hamburg Hamburg Germany2Vienna University of Technology Vienna Austria
Show AbstractUnderstanding metal-insulator transitions in strongly correlated oxides requires full knowledge about the crystal and charge structure above and below the transition point, a specific temperature, pressure or electric field. One of the oldest and best-known correlated oxides is magnetite (Fe3O4). More than 60 years ago Verwey discovered that bulk magnetite undergoes a phase transition at 122 K marked by a sharp change in electronic, magnetic, thermal, and structural properties. Ever since then Fe3O4 and in particular the so-called Verwey transition has been an object of extensive research and great controversy. At present the crystal and charge structure above and below the Verwey temperature as well as the melting of the trimeron lattice into the charge-fluctuating state, equivalent to crossing the Verwey transition from below TV, has been disentangled. However, the freezing dynamics of the fluctuating charges into the trimeron lattice has not been analyzed to date.
We report on the relaxation of the electric field excited state in single crystalline magnetite nanowires below the Verwey temperature determined by current driven ac resistance measurements. Fe3O4 nanowires were grown in a cold-wall low pressure chemical vapor deposition (CVD). We attribute the relaxation process to trimeron lattice formation via hopping transport after excitation to the charge-fluctuating state. Our results reveal the dynamic of the Verwey transition, crossing TV from above. Trimeron lattice formation occurs on the millisecond time scale around TV, continuously slowing down its dynamics as temperature is decreased. We show, that the charge ordering process in magnetite needs to be activated by 0.13 eV. This work shows how nanostructures can help to understand fundamental material properties, inaccessible in bulk material.
We gratefully acknowledge financial support of the Deutsche Forschungsgemeinschaft (DFG) via the Graduiertenkolleg 1286 “Functional Metal-Semiconductor Hybrid Systems” and project NI 616/18-1 “Template-based synthesis of nanotube and nanowire ferrofluids and their magnetoviscosity” as well as the state of Hamburg to the Excellence Cluster “Nanotechnology for Medicine.”
4:30 AM - K12.07
Microplasma Spray Deposition of Nanostructured Oxides for Catalytic, Sensing, Magnetic, and Photonic Applications
Travis Koh 1 Andrew Pebley 1 Ian Chiles 1 Michael Gordon 1
1UCSB Santa Barbara USA
Show AbstractIn this work, we present a hybrid plasma spray deposition technique, based on geometrically-confined, supersonic microplasma jets, which can realize a wide range of metal oxide nanoparticles and nanostructured thin film materials (CuO, PdO, NiO, SnO2, Fe2O3, NiO/NiFe2O4) on virtually any surface. Organometallic precursors are dissociated in a hollow cathode microplasma jet under different reducing/oxidizing atmospheres at high pressure (1-100 torr), creating a directed flux of active metal and oxide species (e.g., atoms, ions, clusters, and nanoparticles) for the subsequent growth of nanostructured films. Interaction of the jet afterglow with the background gas can create additional species (e.g., excited neutrals, radicals, etc.) which participate in film growth. By varying supersonic jet flow characteristics, plasma current, precursor flux, source distance, and deposition time, deposits ranging from isolated nanoparticles to films of fibers, aggregates, nanowires, and dense columns can be realized. The talk will highlight our recent efforts [1-5] in oxide nanomaterial synthesis via microplasmas with emphasis on the physics of the jet source, dynamics of the growth process, and applications such as CuO nanowires for gas sensing and solar cell electrodes, electrocatalysis with alloy nanoparticles, and NiO/NiFe2O4 nanogranular films for magnetic exchange bias applications.
[1] T. Koh and M.J Gordon, Thin film deposition with high pressure capillary micro-discharges under different supersonic flow and shock regimes, J. Phys. D: App. Phys., in press (2013).
[2] T. Koh, I. Chiles, and M.J Gordon, Slit-based supersonic microplasma jets: scalable sources for nanostructured thin film deposition, Appl. Phys. Lett. 103, 163115 (2013).
[3] T. Koh and M.J Gordon, Spray deposition of nanostructured metal films using hydrodynamically-stabilized, high pressure microplasmas, JVST A 31, 061312 (2013).
[4] T. Koh and M.J. Gordon, Growth of nanostructured CuO thin films via microplasma-assisted, reactive chemical vapor deposition at high pressure, J. Crystal Growth 363, 69 (2012).
[5] T. Koh, E. O'Hara, and M.J. Gordon, Microplasma-based synthesis of vertically-aligned metal oxide nanostructures, Nanotechnology 23, 425603 (2012).
4:45 AM - K12.08
Surface Magnetism and Excellent Conductivity of RuO2: Implications for the Electrolysis of Water
Engin Torun 1 Changming Fang 1 Gilles A. de Wijs 1 Robert A. de Groot 1
1Inorganic Molecules and Materials Nijmegen Netherlands
Show AbstractRuO2 is important because of its low overvoltage and excellent electrical conductivity. Using first principle calculations we show that the RuO2 (110) surface, which shows the lowest overvoltage, possesses local magnetic moments. The latter property provides the essential degree of freedom to obtain magnetic oxygen out of a non-magnetic water without violating conservation of angular momentum. This can be one of the reasons why RuO2 is one of the best anode known. The low temperature high electric conductivity of RuO2 is another important
property. Like graphene RuO2 also shows Dirac point which is very close but not always exactly at the Fermi level. Using first principle calculations we show that the position of the Dirac point relative to the Fermi level of the RuO2 is very sensitive to the c/a ratio.
5:00 AM - K12.09
Modulated Magneto-Thermal Response of La0.85Sr0.15MnO3 and (Ni0.6Cu0.2Zn0.2)Fe2O4 Composites
Hyun-Cheol Song 1 Deepam Maurya 1 David Gray 2 Dhananjay Kumar 3 Shashank Priya 1
1Virginia Tech. Blacksburg USA2Prime Photonics Blacksburg USA3North Carolina Aamp;T State University Greensboro USA
Show AbstractThermoelectric devices that convert thermal energy directly into electrical energy have been widely investigated. However, thermoelectric energy harvesters typically show low output power and efficiency at low temperature gradients. Further, there is increasing difficulty in enhancing the magnitude of figure of merit (ZT) in bulk materials. To overcome these limitations, we present the concept of magneto thermoelectric generator (MTG) that exploits the ferromagnetic phase transition of soft magnetic materials to drive the movement of a serpentine spring with piezoelectric plates whose mechanical energy is converted to electrical energy. To operate MTG, the fundamental challenge lies in synthesizing soft magnetic materials that exhibit magnetic Curie temperature close to the room temperature while possessing high saturated (Ms) and remnant (Mr) magnetization with small coercive field (Hc). La1-xSrxMnO3 system is known to exhibit the insulator to metal phase transition at room temperature that can be tuned by modulating the Sr (x) content. In this study, we describe the composite material design using base La0.85Sr0.15MnO3 (LSMO) composition and modifying with Ni0.6Cu0.2Zn0.2Fe2O4 (NCZF) in order to achieve large Mr and Ms, while maintaining it soft magnetic nature. Systematic experimental investigations were conducted on the newly designed composites using magnetic force microscope (MFM), superconducting quantum interference device (SQUID) magnetometer, X-ray diffraction (XRD) and energy-dispersive X-ray spectroscopy (EDS). We further provide mechanistic model that accounts for the domain structure, phase, and microstructure to describe the magnetic behavior as a function of temperature.
5:15 AM - K12.10
The Role of Nitrogen and Magnetism in MgO Thin-Films
K. M Sreekanth 1 Mukes Kapilashrami 2 Jinghua Guo 2 V. K Rao 1
1Royal Institute of Technology Stockholm Sweden2Lawerence berkeley National Labs Berkeley USA
Show AbstractThin films of MgO were deposited on glass/Si substrates by rf/dc sputtering from 99.99% pure metallic Mg, and equally pure ceramic MgO targets. The purpose of this study is to understand the role of nitrogen and the magnetic properties of MgO films obtained on sputter deposition from metallic Mg target in a controlled [Nitrogen (N2) + Oxygen partial pressure (PO2)] atmosphere as against those deposited using an equally pure ceramic MgO target in argon + identical oxygen ambience conditions while maintaining the same total pressure in the chamber in both cases. The ‘as-obtained&’ films from pure Mg target are found to be predominantly X-ray amorphous, while the ceramic MgO target gives crystalline films, (002) oriented with respect to the film plane. The films consisted of nano-crystalline grains of size in the range of about 0.4 to 4.15 nm. Both the types of films are found to be ferromagnetic to much above room temperature. We observe unusually high maximum saturation magnetization (MS) values of 13.75emu/g and ~4.2emu/g, respectively for the MgO films prepared from Mg and MgO targets. The values of MS obtained for our films are larger than anything reported in literature for sputtered MgO films.[1] Most of the data reported on sputtered MgO films in literature have been on films deposited by using Ar- as the sputtering gas. In the present case, nitrogen is a strong component along with oxygen as sputtering gas. The sputtering efficiency in the presence of nitrogen is lower than that for argon.[2] Since, the RTFM in MgO is related to defects, the density of the presence of defect concentrations would be higher in N2- sputtered films than in conventional Ar-sputtered films. Moreover, it is known that substitution of nitrogen for oxygen leads to holes in N 2p states and form local moments, and that coupling with O 2p electrons could produce ferromagnetic ordering.[3-5]
Detailed synchrotron x-ray spectroscopy reveal a distinct shoulder feature on the O K absorption edge reflecting the unoccupied oxygen 2p states is evident in the intrinsic thin films that becomes more pronounced upon introduction of nitrogen impurity ions into the host oxide.
More details of our comprehensive extensive studies of the dependence of ferromagnetism on the nitrogen and oxygen pressure as well as the effect heat treatment on the magnetic properties will also be presented.
*This project has been funded by the VINNOVA Hero-M Centre of excellence at KTH.i
** author for correspondence: [email protected]
References:
1. C. M. Araujo, et al, Appl.Phys.Lett.96,232505(2010).
2. E. C. Knox-Davies, et.al, J. Appl. Phys., 99, 073503 (2006).
3. Bo Gu, Nejat Bulut, et al, Phys. RevB79, 024407 (2009).
4. Phivos Mavropoulos, et al., Phys. Rev.B80, 184403 (2009)
5. Matteo Pesci, et. Al., J. Phys. Chem.C 114, 1350 (2010)
5:30 AM - K12.11
Phase Transition in Strain-Controlled (100)-Oriented Nd0.5Sr0.5MnO3 Thin Films
Di Lu 1 Yasuyuki Hikita 2 Arturas Vailionis 3 4 Hiroki Sato 2 5 Bongju Kim 4 Takeaki Yajima 2 5 Christopher Bell 2 Harold Y. Hwang 2 4
1Stanford University Stanford USA2SLAC National Accelerator Laboratory Menlo Park USA3SLAC National Accelerator Laboratory Menlo Park USA4Stanford University Stanford USA5The University of Tokyo Kashiwa Japan
Show AbstractHalf-doped manganite perovskites exhibit metal-insulator transitions, associated with a change of resistivity up to several orders of magnitude, by changing the temperature or applied magnetic field. The origin of this phenomenon in bulk is believed to be due to the competition between the charge ordering (CO) phase and the ferromagnetic (FM) phase [1]. However, for epitaxial thin films, only those with (pseudocubic) (110)-orientation have shown CO-FM transition [2]. For (100) oriented films grown on traditional perovskite substrates, such as SrTiO3 (STO) or LaAlO3, no CO-FM transition has been reported so far [2, 3].
Here we grew (100)-oriented Nd0.5Sr0.5MnO3 (NSMO) thin films on STO substrates and changed their strain state by inserting a few nanometers of perovskite-like flexible buffer layer Sr3Al3O3. We observed that the electronic and magnetic properties of the NSMO films changed systematically with the strain state. At a certain strain state, a clear metal-insulator transition as a function of temperature was observed. This transition was identified as a CO-FM transition, as confirmed by the agreement between the transition temperatures measured by X-ray elastic scattering, electronic transport and magnetization measurements. Moreover, we also observed new CO peaks suggesting a different ordering pattern compared to bulk [4] or (110)-oriented thin films [5]. These results suggest a way to create and study novel electronic ground states that are unexplored in films grown on the rigid substrates used up to now.
References:
[1] Y. Tokura et al., Phys. Rev. Lett. 76, 3184 (1996).
[2] M. Nakamura et al., Appl. Phys. Lett. 86, 182504 (2005).
[3] W. Prellier et al., Appl. Phys. Lett. 75, 397 (1999).
[4] C. H. Chen et al., Phys. Rev. Lett. 76, 4042 (1996).
[5] Y. Wakabayashi et al., Phys. Rev. Lett. 96, 017202 (2006).
5:45 AM - K12.12
Thickness Dependent Magnetic Properties of Co-Sputter Deposited Ni-Mn-Al Heusler Alloy Thin Films
Archana Mishra 1 2 Saurabh Kumar Srivastava 1 Paritosh Dubey 1 Arvind Kumar 2 Ramesh Chandra 1 Davinder Kaur 2
1IIT Roorkee Roorkee India2IIT Roorkee Roorkee India
Show AbstractNi-Mn-Al thin films have been deposited using DC/RF magnetron sputtering from three different targets of Ni, Mn and Al. The off-stoichiometric films have been deposited for 2 min, 5 min, 10 min and 15 min. The elemental composition of thin films is Ni54Mn27Al19 which has been carried out using an energy dispersive X-ray analysis. The XRD patterns of the films reveal cubic B2 structure. The observation of cubic structure shows that the films exhibit high temperature austenite phase at room temperature. To further confirm the phase present in the films, the crystal structure of the films has been examined by transmission electron microscopy. Magnetization versus temperature curves reveal an anomaly around 280 K associated with a thermal hysteresis of ~ 5 K. The presence of temperature-induced first order structural transition shows the signature of martensitic transition close to 280 K. A splitting between ZFC and FC curves is observed at low temperatures ~ 150 K which have been further studied by ac susceptibility measurements. A Spin glass state has been observed which is not of conventional type but a re-entrant spin glass (RSG) which appears due to the interaction between the randomly distributed magnetic clusters. A large exchange bias (250 Oe) has been observed at low temperature (5 K) associated with the coexisting ferro- antiferromagnetic interactions. The exchange bias is found to be dependent on the thickness of the film.
K13: Poster Session III
Session Chairs
Maryline Guilloux-Viry
Sergio D'Addato
Thursday PM, April 24, 2014
Marriott Marquis, Yerba Buena Level, Salons 8-9
9:00 AM - K13.01
Dependence of Bi-Nb-O Film Properties on the Bi:Nb Ratio of the Precursor Solution
Tomoki Ariga 1 Satoshi Inoue 1 Shin Matsumoto 2 Kousuke Ajisaka 2 Tatsuya Shimoda 1 2
1Japan Advanced Institute of Science and Technology Nomi Japan2Japan Advanced Institute of Science and Technology Nomi Japan
Show AbstractOxide thin films are widely used for high performance capacitors. We reported high relative dielectric constants (εr ~ 170) and low dielectric losses (tanδ < 0.01) in Bi-Nb-O (BNO) films deposited by chemical solution deposition (CSD). Here, we investigated the effects of varying the Bi:Nb ratio of the precursor solution on the BNO films.
BNO thin films were prepared by CSD, starting with bismuth(III) 2-ethylhexanoate 2-ethylhexanoic acid solution (Bi-2EH) (Wako Pure Chemical Industries, Ltd.) and niobium 2-ethylhexanoate (Gelest, Inc.). The solvents were 2-methoxyethanol and butanol. First, Bi-solutions were prepared by mixing Bi-2EH with 2-methocyethanol (Bi-1; 0.2 mol/kg), and with butanol (Bi-2; 0.2 mol/kg). Both Bi-solutions were stirred for 40 min at 110°C, cooled, and mixed at 1:2 mass ratio. Nb-solution was similarly prepared. To compare the properties of BNOs fabricated from different precursors, Bi and Nb-solutions were mixed at molar ratios of 1:1, 2:3, and 1:2. The precursors were spin coated onto the lower platinum electrodes and dried on a hot plate for 5 min at 250°C (5 repeats). Using rapid thermal annealing apparatus, we annealed the samples in oxygen for 20 min at 500°C, 550°C, and 600°C. For the upper electrode to measure the εr and tanδ, platinum was sputtered onto each BNO film. Sputtering was followed by a second round of annealing in oxygen for 20 min at 500-600°C.
The crystal structures of the BNO samples were studied by XRD. The Bi:Nb = 1:1 samples showed an amorphous phase at 500°C, a cubic pyrochlore phase at 550, and a predominantly cubic pyrochlore phase with a slight β-BiNbO4 phase at 600°C. The Bi:Nb = 2:3 sample showed an amorphous phase at 500°C, mixed cubic pyrochlore and amorphous phases at 550°C, and a pyrochlore phase with a slight β-BiNbO4 phase at 600°C. The peaks of the pyrochlore phase in the Bi:Nb = 2:3 samples were sharper than in the Bi:Nb = 1:1 samples, indicating larger pyrochlore crystals in these samples. The Bi:Nb = 1:2 samples were amorphous below 550°C, and cubic pyrochlore structures appeared only at 600°C. Thus, we inferred that crystallization temperature would increase with Nb ratio of precursor solution.
The εr and tanδ were measured by an impedance analyzer. At 1 kHz, εr of the BNO films prepared from Bi:Nb = 1:1 was approximately 60, 150, and 150 for samples annealed at 500°C, 550°C, and 600°C, respectively. For Bi:Nb = 2:3, εr increased to ~240 and ~250 at 550°C and 600°C, respectively, and for Bi:Nb = 1:2, it was ~180 at 600°C. In all samples, tanδ was below 0.01. Thus, we found the Bi/Nb ratio of precursor solution has a strong influence on εr. In the Bi:Nb = 2:3 samples, the maximum εr was over 50% higher than that obtained in the Bi:Nb = 1:1 samples.
In conclusion, εr of BNO films depends on the Bi:Nb ratio of the precursor solution. A molar ratio of 2:3 yielded the highest εr (~250). These results show the potential applicability of CSD-fabricated BNO films for ceramic capacitors.
9:00 AM - K13.02
Luminescence of Electron Beam Excitation in Transparent Perovskite Phosphor Films
Hiroshi Takashima 1 Masayoshi Nagao 1
1National Institute of Advanced Industrial Science and Technology Tsukuba Japan
Show AbstractPerovskite oxides have revolutionized modern electronics and optics, and their possible uses are continuously being explored for the development of new devices due to their diverse physical properties. We have studied photoluminescence (PL) and electroluminescence (EL) properties of perovskite phosphor films. Pr-doped Ca0.6Sr0.4TiO3 perovskite films were grown epitaxially on SrTiO3 (001) substrates and their intense red luminescence was observed at room temperature. The PL intensity was markedly increased by post-annealing treatments, and the maximum PL intensity was obtained by the combination of relatively low-temperature film growth at 600 °C and sequential rapid post-annealing at 1000 °C. 1 We have discovered perovskite thin film electroluminescence (PEL), opening a new optical application of regarding perovskite materials. Complete epitaxial growth of all of the layers and very flat interfaces with monoatomic steps have been obtained. With increasing driving voltage, the intensity of electroluminescence dramatically increases. The sharp electroluminescence peak at around 610 nm at 12 V becomes much stronger with increasing ac voltage. High-quality red color is produced and the working voltage for whole-surface electroluminescence is as low as 10 V. 2 In this study, we examined their cathodoluminescence (CL) properties. The films were grown on double-side polished SrTiO3 (001) substrates by pulsed laser deposition using a single-phase Pr0.002(Ca0.6Sr0.4)0.997TiO3 polycrystalline target. During film growth, the SrTiO3 substrates were heated to 600°C for film A or 800°C for film B, and the oxygen partial pressure was controlled at 100Pa. An ArF excimer laser was used that had a repetition rate of 4Hz and a fluence of around 1.2J/cm at the target surface. In order to take out luminescence excited by the electron beam from the side opposite to with a film adhesion side, the both-side polished substrate which has about 70% (at 500nm) of transparent was used. The field emitter with a gate electrode was used for the source of electrons. Transmittance of film with both-side polished substrate after 1000°C post-annealing treatments was 66 % (at 500nm), indicating that the transmittance of the epitaxially grown Pr0.002(Ca0.6Sr0.4)0.997TiO3 film is very high. When the phosphor thin film was irradiated with the electron beam, the cathodoluminescence peak was observed at around 610 nm. The electron beam accelerating voltage dependence of luminescence shows luminescence intensity increases with the increase in applied voltage at irradiation current 4 mu;A and it is as high as over 50 cd/m2. These results clearly show that CL has been successfully obtained in the perovskite films. By using thin film phosphor for FED, high resolution could be realized and it is efficient and also possibility of a long-life.
9:00 AM - K13.03
Structure, Magnetic and Electrical Transport Properties of Co-Doped Polycrystalline TbMnO3
Shunli Wang 1 Yihui Zou 1 Peigang Li 1 Chaorong Li 1
1Zhejiang Sci-Tech University Hangzhou China
Show AbstractWith the aim to study the effect of Co doping on the physical properties, single phase TbMnO3(TMO) and TbMn0.5Co0.5O3(TMCO) samples were synthesized by the solid sintering reaction method. The results of X-ray diffraction (XRD) showed that the samples were of single phase orthohombic perovskite structure, and Co partially occupied the Mn sites of TMO, leading to a reduction of cell volume. The temperature dependence of the field cooled(FC) and zero field cooled (ZFC) magnetization were measured, a meta-magnetic behavior near 92 K was observed for TMCO, which was considered to be related to the coexistence and competition between the ferromagnetic (FM) order and anti-ferromagnetic (AFM) order. The temperature dependent resistance (R-T) was measured. In compare with TMO, TMCO showed a better conduction, and the activation energy decreased from 0.2765 eV for TbMnO3 to 0.1951 eV for TMCO.
9:00 AM - K13.04
Study of Tungstated Zirconia Modified with Palladium as Catalyst for n-heptane Isomerization
Silvia Patricia Ramirez 1 Lifang Chen 2 Jin An Wang 1 Jesus Miguel Ramos 1 Edgar Hernandez 1
1Instituto Politamp;#233;cnico Nacional Mexico City Mexico2Instituto Politamp;#233;cnico Nacional Mamp;#233;xico City Mexico
Show AbstractFour WO3-ZrO2 supports were synthesized using (NH4)6W12O39 as precursor varying the content of WO3 to 5%, 10%, 15% and 25% wt. it was used sol-gel technique. The materials obtained were characterized by using the techniques of X-ray diffraction and Scanning Electron Microscopy. It was found species promoted by the precursor, the presence of crystalline phase WO3, and at least two phases of ZrO2 were identified, tetragonal and monoclinic, also identified that in case of the crystalline particles have a rough surface ZrO2, while WO3 crystalline particles identified a smooth surface.
Catalysts were prepared from 1% wt. Pd/ (5%, 10%, 15%, 25%wt.)WO3ZrO2 supports impregnated with palladium, using the technique of wet impregnation. The characteristics of the surface and structural catalysts were characterized by various advanced techniques: Fourier transform infrared spectroscopy of pyridine adsorption, Raman spectroscopy and photoelectron spectroscopy induced by X-rays. The results of the acidity of catalysts synthesized show the existence Broslash;nsted and Lewis acids sites that can be held to 300 °C. With Raman spectroscopy, it was possible to know the molecular surface structure of WO3 and ZrO2 species and identify the characteristic bands of monoclinic and tetragonal phase of ZrO2 and WO3 phase. The XPS technique identified the chemical species present and their respective oxidation states.
Finally, we examined the catalytic properties of the materials in a microreactor system installed for the isomerization reaction of n-heptane, by varying the experimental conditions. And the results demonstrate the influence of the structure of precursor materials, and the influence of the species produced in the catalytic reaction. The better conversion was obtained using the catalyst of 1% Pd/25% WO3ZrO2 to 300 °C (60.8%), the catalyst is selective towards the isomers, as the percent of cracking is very small, so it&’s a good material for this reaction.
9:00 AM - K13.05
Periodic Mesoporous Cyclic Oragnosilicas Using Block Copolymer Templates Under Weak Acidic Conditions
Eun-Bum Cho 1
1Seoul National University of Science and Technology Seoul Republic of Korea
Show AbstractPeriodic mesoporous benzenesilica and thiophenesilicas were prepared using commercial Pluronics (e.g. P123, F127) and lab-made PEO-PLGA-PEO block copolymer templates under various strong and weak acids. Highly ordered cyclic benzene- and thiophene-silicas can be prepared using P123 and F127 block copolymers under weak acid FeCl3 as well as a strong acid such as HCl. While ordered structure of benzene- and thiophene-silica was also obtained under very weak Lewis acid such as boric acid using a PEO-PLGA-PEO block copolymer. The structural stability was enhanced more using PEO-PLGA-PEO block copolymer especially in preparing thiophene-silica. In the presentation, the detailed SAXS, nitrogen adsorption, TEM, and NMR analysis will be introduced to confirm the mesopore structures and the chemical composition. Furthermore, mesoporous organosilicas using pentablock copolymer containing PLGA block will be introduced as a progressive research work.
9:00 AM - K13.06
Effect of Oxygen Deficiency of the ZnO Hole Blocking Layer on the Suppression of Dark Current for Amorphous Selenium Based Photodetectors
Tung-Yuan Yu 1 Fu-Ming Pan 1 Cheng-Yi Chang 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractZinc oxide is a direct wide band gap oxide semiconductor with the band gap in the near ultraviolet (UV) spectral region (3.3 - 3.4 eV) and a large exciton binding energy of 60 meV. Owing to many advantageous material and optoelectronic properties, ZnO has received extensive research on optoelectronic applications, such as photodetectors and blue/UV light-emitting diodes. Among the wide variety of promising applications, the use of ZnO as the hole blocking layer (HBL) in organic photovoltaic devices has been proposed because of its n-type nature and the wide band gap. In this study, we prepared ZnO thin films by reactive sputter deposition (RSD) and used the thin films as the HBL in amorphous selenium (a-Se) based photodetectors to suppress the dark current due to the hole injection from the anode to the a-Se photoconductor layer. We first RSD-deposited a 50-nm thick ZnO thin film on the indium doped tin oxide (ITO) glass, followed by thermal evaporation deposition of a-Se of 13 um in thickness at room temperature. Aluminum was sputter deposited on the a-Se layer as the cathode electrode. By varying the oxygen flow rate during the ZnO deposition, we fabricated a series of a-Se photodetector samples, in which the HBL has different oxygen deficiencies. Surface morphology of the ZnO thin film is independent on the oxygen flow rate according to secondary electron microscopy and atomic force microscopy. X-ray diffraction analysis shows that the ZnO thin film with the oxygen flow rate (RO2) of 20 sccm (ZnO-20) has a better crystallinity than other ZnO thin films with different RO2 (10, 30, 40 and 50 sccm). Raman analysis indicates that the ZnO-20 thin film is likely to have more point defects than other thin films. X-ray photoelectron spectroscopy (XPS) study shows that the ZnO-20 thin film has more oxygen deficiency. Although the green photoluminescence (PL) band is centered at 550 nm for all the ZnO thin films, the ZnO-20 thin film has the largest peak height ratio of the green band to the edge emission peak at 377 nm, revealing that the ZnO-20 thin film may have more oxygen vacancies than the other films, which are widely suggested to be responsible for the green band emission. According to deep level transient spectroscopy (DLTS) measurement, two hole traps are present in the ZnO-20 thin film; one is at 0.94 eV and the other at 0.24 eV above the valence band edge. The former deep hole trap is usually suggested to be associated with oxygen vacancy defects. For the dark current measurement, the a-Se detector is biased at a voltage spanning from 0 to 900 V. The magnitude of the dark current appears to be related to the amount of the oxygen vacancies in the ZnO thin film. We found that the a-Se photodetector with the ZnO-20 HBL has the lowest dark current. Moreover, the ZnO-20 photodetector demonstrates the highest breakdown field (> 50 V/um).
9:00 AM - K13.07
Efficient Removal of Engineered Nanoparticles from Water Using Renewable Resources
Ramakrishna Mallampati 1 Pin Jin Ong 1 Suresh Valiyaveettil 1
1National University of Singapore Singapore Singapore
Show AbstractAbstract
The increasing use of engineered nanoparticles (NP) in industrial and household applications is expected to lead the contamination of environment. Results from ecotoxicological studies showed that certain NPs induce significant toxicity to living organisms. Unlike, other pollutants, nanomaterials are difficult to remove due to differences in size, shape, composition, charges and stability in water. In this study, we employed biopeels to extract ZnO, TiO2 and SiO2 nanoparticles from water. The structure of adsorbents was characterized using FESEM, TEM, EDS and XRD. The adsorption capacity of biopeel towards different NPs was investigated with varying contact time intervals. Results showed that biopeels can adsorb nanoparticles efficiently. The adsorption mechanism was investigated based on the surface charge of the pollutants and the functional groups present on the adsorbent. It is expected that such a simple and efficient method can solve the nanotoxicity problem significantly.
Acknowledgement: The authors thank the Environment and Water Industry Programme Office (EWI) under the National Research Foundation of Singapore (PUBPP 21100/36/2, NUS WBS no. R-706-002-013-290, R-143-000-458-750, R-143-000-458-731) for their financial support to carry out this work.
9:00 AM - K13.09
Effects of Carbon Residues under Nitrogen Plasma on Hafnium-Indium-Zinc Oxide FET
Yong-Hee Choi 1 2 Ho-Kyun Jang 1 Jae-Sung Kim 1 Min-Kyu Joo 1 3 Junhong Na 1 Gyu Tae Kim 1
1Korea University Seoul Republic of Korea2Samsung Electronics Co. Ltd. Hwasung Republic of Korea3Minatec Grenoble France
Show AbstractAmorphous Hafnium-Indium-Zinc Oxide (a-HIZO) was introduced as an active channel layer of thin film transistors (TFTs) due to its robust electrical properties against the bias instability. We presented the effect of nitrogen plasma treatment for reduction the width of the hysteresis on transfer characteristic was used through the existence of carbon residue on HIZO thin film. Carbon residue including HIZO by-products during HIZO etching process with photoresist (PR) was remained on the surface in despite of ashing process, which was estimated by redeposition of HIZO on PR due to the high bonding energy between hafnium and oxygen. These etching residues resulted degradation of electrical characteristics such as on-off current ratio and deviation from linearity in drain current and gate voltage. It was assumed that the carbon residue was showed with high electrical conductivities presented. The width of the hysteresis was not also improved even though nitrogen plasma was treated. We investigated changes of electrical properties after dipping process of HIZO FET with chemical solution for the removal of etch residue (PlasmaSolv EKCtrade;, Dupont) for verifying the effect of carbon residue.
9:00 AM - K13.10
Effect of Lithium Doping on the Inkjet-Printed Indium Zinc Oxide Thin-Film Transistor
Jun Seok Lee 1 Hunho Kim 1 Woon-Seop Choi 1
1Hoseo Univ Asan Republic of Korea
Show AbstractRecently, oxide TFTs have been developed focusing on the high mobility and low temperature process through various methods, one of them is a doping of alkali materials to TFT for increasing charge carrier concentration. ZnO based materials, IZO, ZTO and IGZO, have been reported for active channel materials in oxide TFTs. Among those ZnO based materials, IZO is a promising material that can be used both as transparent electrodes and semiconductor. In previous experiment, we reported the low temperature processed IZO TFT, and found good TFT characteristics at low concentration of zinc. In this study, we investigated the effect of Li doped IZO TFTs by inkjet process annealed at low temperature. We have confirmed Li acted as shallow donors in IZO TFTs, and investigated electronic properties of IZO TFTs according to the Li concentration. We demonstrated increased electrical characteristics as lower of the lithium doping concentration. When lithium concentration of 0.01M to IZO TFT with annealed at 230C, the TFT shows good electrical characteristics, a mobility of 0.8cm2/V1S-1, an on/off current ratios of 4.2×106, a threshold voltage(Vth) of -4.8V, and a subthreshold slope of 0.4 Vdec-1 with stable bias stability. Turn on voltage and on-off current ratio were improved by the influence of substrate temperature on Li doped IZO. Increasing the substrate temperature leads to the formation of dot-shaped patterns in size with better uniformity, and lithium doping of IZO TFT can lead to either interstitial or substitutional doping depending on doping level. Interstitial doping was observed for lithium concentration le; 1% and found to yield the best TFTs with maximum electron mobility. For doping concentration > 1% it was shown that substitutional average crystal size and interplanar spacing accompanied by a significant reduction in the electron mobility.
9:00 AM - K13.11
Piezoelectric Virus Films for Energy Generation
Minjun Park 1 Taejin Jeon 1 Joon-Hyung Jin 1 Jong-Ryeul Sohn 2 Byung Yang Lee 1
1Korea University Seoul Republic of Korea2Korea University Seoul Republic of Korea
Show AbstractHierarchically-organized natural and biomimetic materials such as bones, collagen fibrils and peptide nanotubes display piezoelectric properties. However, they are not utilized as energy generation materials due to their weak piezoresponse and lack of control of their piezoelectricity in the molecular level. Here, we report a novel biological piezoelectric material based on M13 bacteriophage and its application in electronics and piezoelectric energy generation (Nature Nanotechnology 7, 351 (2012)). The 5-fold helical symmetry and non-centrosymmetry enables the piezoelectricity of the individual bacteriophage. The piezoelectricity of the phages can be genetically tuned by controlling the amount of charge in the coat proteins, as confirmed by piezoresponse force microscopy. Furthermore, controlled self-assembly of the M13 phage enabled the formation of large-area multilayer of highly-ordered smectic phage films exhibiting similar mechanical properties to collagen but much higher piezoelectric coefficient (7.78 pm/V). Using these films, we fabricated energy-generating devices and they generated up to ~6 nA and 400 mV of short circuit current and open circuit voltage, respectively, enough to drive microelectronic components such as liquid crystal displays. Our novel biological piezoelectric material will pave the way towards environmentally safe piezoelectric energy materials and diverse biomedical applications.
9:00 AM - K13.12
Multilayer BiFeO3/PbTiO3 Multiferroic Ceramic Composites by Tape Casting
Guoxi Jin 1 Jianguo Chen 1 Dalei Wang 1 Rui Dai 1 Jiajia Jiang 1 Jinrong Cheng 1
1Shanghai University Shanghai China
Show AbstractWith the fast development of tape casting method and its application in ceramic industry, it not only made efficient design of ceramic electronic devices and complicated composites structure possible, but also enlarged ceramic modification process. In this paper, multilayer BiFeO3/PbTiO3 (BF/PT) multiferroic ceramic composites, instead of BF-PT solid solution ceramics, were prepared by tape casting method. The well fabricated casting films of BiFeO3 and PbTiO3 were respectively obtained within the optimized slurry in previous studies. Then BF/PT ceramic composites were obtained after being laminated orderly from the tapes. The microstructure, dielectric, ferroelectric, piezoelectric and magnetic properties of composites with different lamination types or composition were discussed.
9:00 AM - K13.14
Characterization Study on Solution Processed Zinc Oxide Nanorods Prepared by Hydrothermal Method
Chee Yern Ching 1
1Faculty of Engineering University of Malaya Kuala Lumpur Malaysia
Show AbstractSolution processing of zinc oxide (ZnO) is an effective and low-cost method of large surface area fabrication. This paper reports on the fabrication and properties of ZnO nanorods synthesized via a low-temperature hydrothermal process on an FTO (F-doped SnO2) substrate covered with a ZnO seed layer. These nanorods were characterized using field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high resolution transmission electron microscopy (HRTEM), photoluminescence (PL) measurements, and UV-vis spectroscopy (UV-vis). The resulting nanorods exhibited vertical orientation and uniform distribution on the substrate with a hexagonal crystal structure. Morphological changes of these nanorods and their aspect ratios were systematically investigated as a function of the deposition time and precursor concentration. Both XRD and HRTEM results indicated that the ZnO nanorods preferentially grew along the (002) direction. The synthesized ZnO nanorods possessed a UV emission peak of approximately 387 nm and a relatively stronger orange-red emission peak between 625 nm and 675 nm. The optical transmission showed a high transparency of 85-90% for the seed layer and 70% for nanorods.
9:00 AM - K13.15
Memory Characteristics of MAHAS Flash Memory with Various CTL Thickness
Heedo Na 1 Jinho Oh 1 Kyumin Lee 1 Hyunchul Sohn 1
1Yonsei University Seoul Republic of Korea
Show AbstractRecently, charge trap storage devices are developed to replace the conventional floating-gate flash memories for high performance memory opeperation in dense memory cell array. However, memory reliability characteristic degradations have arisen from the tunnel oxide thickness scale down in the ‘ONO&’ (SiO2/Si3N4/SiO2) type charge trap memory. To overcome this limitation, high-k dielectrics have been attempted by replacing the charge trap layer (CTL) SiNx / blocking layer (BL) SiO2. Especially, HfO2 and Al2O3 have been mainly developing for CTL and BL, respectively. But, it is still required to investigate the high-k dielectrics scale down for improvement of memory performance. In this study, optimized thickness of the HfO2 CTL was investigated in Junctionless devices with poly silicon channel. Increase Step Pulse Program (ISPP) characteristic in HfO2 CTL device show less sensitive to thickness scale down than SiNx CTL device. But, under the 6nm of HfO2 thickness, ISPP slope degradation was observed by high applied voltage pulse. It is expected that amount of tunneling electron through the Al2O3 BL is increased by decrement of dielectric thickness. By program/erase results, 4.6nm SiNx CTL device show poor erase characteristic comparison with HfO2 CTL device. Consequently, very slow program and erase operation during endurance test was observed in SiNx CTL device. For these results, we are expected that optimized HfO2 CTL can be replaced conventional SiNx CTL for next generation NAND flash memory.
9:00 AM - K13.16
CO Gas Sensing of Pt Decorated PdO Nanoflakes at Low Temperatures
Yu-Ju Chiang 1 Fu-Ming Pan 1 Kuang-Chung Li 1 Yi-Chieh Lin 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractCarbon monoxide (CO) is a colorless, odorless, tasteless, toxic gas. Effective and reliable monitoring of trace CO gas is important to avoid poisoning accidents due to CO inhalation. N-type oxide semiconductors, such as SnO2 and ZnO, are often used as the sensing material in CO gas sensors operated at temperatures above 300oC. In this study, we prepared PdO nanoflake thin film by reactive sputter deposition, which were then decorated with Pt nanoparticles by sputter deposition, and studied the CO sensing activity of the p-type oxide semiconductor in the temperature range between 25 and 250oC. The CO sensing behavior of the PdO thin film dramatically depends on the sensing temperatures. For the PdO thin film without the Pt decoration, the CO sensing reaction at low temperatures (25-100oC) is governed by oxygen ionosorption mechanism, while the sensing behavior at temperatures ge;200oC can only be realized by the oxygen vacancy model. Most interestingly, we observed an oscillatory CO sensing response around 150oC with an abnormal recovery behavior. The decoration of Pt nanoparticles greatly improves the sensor signal of PdO although the temperature dependence of the CO sensing behavior is similar for the two sensors. The enhancement in the sensor signal (SS) increases with the Pt coverage, and is more than twice for 1000 ppm CO sensing at 100oC (SS= 2.4). Moreover, the Pt decorated PdO sensor can distinctly measure 250 ppm CO mixed in the dry air at 50oC, while the bare PdO nanoflake hardly detect the CO adsorption. We propose a model to explain the sensor signal enhancement, which is based on the modification of the space charge layer in the PdO nanoflake by dissociative oxygen adsorption on Pt nanoparticles at low temperatures. The oxygen adsorption induces charge transfer between Pt and the PdO nanoflake resulting in the increase in the conductivity of the PdO nanoflake, and consequently improves the sensing activity of the Pt-PdO sensor toward CO via the oxygen ionosorption mechanism. At temperatures >100oC, the Pt nanoparticles is slightly oxidized according to X-ray photoelectron spectroscopy (XPS). The surface oxidation may impede dissociative oxygen adsorption on the Pt nanoparticles, and thus little charge transfer occurs between the Pt and PdO. As a result, the sensing signal enhancement of the Pt decorated PdO thin film is insignificant at high temperatures.
9:00 AM - K13.17
Thin Film Transistor Operation by Means of Polarization Effect of Liquid Crystals from Remote Gate
Kyung-Woo Park 1 Myeong-Eon Kim 1 Se-Yun Kim 1 Kwang-Min Jo 1 Ho-Chang Lee 1 Young-Kyoo Kim 2 Young-Woo Heo 1 Jeong-Joo Kim 1 Joon-Hyung Lee 1
1Kyungpook National University Daegu Republic of Korea2Kyungpook National University Daegu Republic of Korea
Show AbstractThe rod-shaped liquid crystal (LC) molecules have the property of orientation characteristic changes very sensitively by electric or magnetic fields. This anisotropic geometry allows preferential alignment along one spatial direction. A liquid crystal display (LCD) uses the light modulating properties of liquid crystals by controlling the electric field applied across the LC layer in each pixel.
The rod-shaped LC molecules can change its orientation along the electric field within few milliseconds. When LC molecules are aligned by an electric field, the very end of the molecules will have like charges. If the charge density on a semiconductive active layer of a thin-film transistor (TFT) is high enough to attract or repel electrons (holes) in the active layer, the LC molecules can play a role of gate signal messenger of the TFTs, that is ‘switch-on&’ and ‘switch-off&’.
In this study, a new concept for TFT operation method which is different from the general TFTs is attempted. TFTs composed of a source, an indium gallium oxide (IGO) active layer, a drain and an electrically isolated remote gate is manufactured. A part of the active layer is opened and LC covered the whole TFT. By applying a positive gate voltage, the polarized LC molecules contacting active layer induced electric field which led the TFT switch-on. The TFT showed very sensitive and wonderful performances compared to the conventional TFT with oxide insulating layers. The Vth = -1.2V, SS = 0.96V/dec, Ion/off = 10^6.
9:00 AM - K13.18
High Electrically Conductive Aluminum-Doped Zinc Oxide Nanorods Based Anti-Reflection Layer for Ohmic-Contact with Silver Top Electrodes in the Chalcogenide Thin Film Solar Cell
Ji-Hyeon Park 1 Su Jeong Lee 1 Tae Il Lee 1 Sung-Hwan Hwang 1 Kyeong-Ju Moon 1 Jae-Min Myoung 1
1Yonsei University Seoul Republic of Korea
Show AbstractThis study proposes a simple strategy to obtain an anti-reflective layer for chalcogenide thin-film solar cells, wherein aluminum (Al)-doped ZnO nanorods (NRs) film is directly grown onto a metal-doped ZnO film using a low-temperature hydrothermal process. In case of commercial thin-film solar cells, the electrical contact between the n-type ZnO NRs and the screen-printed silver (Ag) electrodes tends to be Schottky contact. This creates a high contact resistance owing to the electrical Schottky barrier between the n-type ZnO NRs and the Ag, thereby deteriorating the overall efficiency of the solar cell. In this work, we have circumvented this issue by doping ZnO NRs with Al, in order to change the nature of electrical contact from Schottky to Ohmic, while still maintaining the excellent anti-reflection (AR) performance.
We experimentally verified this strategy by extending this concept to a conventional CuInS2 (CIS) thin-film solar cell. Results indicate that the Al-doping significantly reduced the electrical contact resistance between the Ag top electrode and the AR layer. The Al-doped ZnO NRs exhibited low average reflectance (4.5%) over the entire visible and near-infrared range, and changed the nature of electrical contact between the Ag electrode and the AR layer from Schottky to Ohmic. Finally, the CuInS2 solar cell coated with the Al-doped ZnO NRs exhibited huge enhancement in photovoltaic efficiency from 9.57 to 11.70% due to the lowering series resistance and the increase in the short-circuit current density, when compared to that of a solar cell without the AR layer.
9:00 AM - K13.20
Grain Boundary Effect on the Set Voltage in Polycystalline NiO Capacitors Showing the Unipolar Resistance Switching
Keundong Lee 1 Sang-Chul Na 2 Woogil Lee 2 Inrok Hwang 3 Sangik Lee 1 Jae-Jun Kim 2 Min Chul Chun 2 Bo Soo Kang 2 Bae Ho Park 1
1Konkuk University Seoul Republic of Korea2Hanyang univ. Ansan Republic of Korea3Korea Institute of Science and Technology Seoul Republic of Korea
Show AbstractResistance switching (RS), showing bistable resistance states triggered by external voltage bias, has been considered to be one of the most promising physical phenomena for the next-generation nonvolatile memory device. To commercialize the RS as a practical memory device, several problems should be solved. One of them is the set voltage distribution. The set voltage is a voltage where a transition occurs from the high resistance state (HRS) to the low resistance state, called the set process. Since the mechanism of the set process is very similar to the dielectric breakdown, the set process tends to occur randomly. For a stable writing operation, the set voltage distribution should be suppressed as much as possible. In this study, the set voltage distribution is investigated with polycrystalline NiO thin films having various thicknesses. We observed that the set voltage is less scattered as the NiO film thickness is thinner. To figure out this thickness effect to the set voltage, we conducted the impedance spectroscopy on the HRS. In the Cole-Cole plot, the data are depicted as asymmetric and depressed arcs. According to the brick layer model, the half circle is separated into the effects of the less conducting grains and the more conducting grain boundaries. We find that the conductive grain boundaries play a key role such as a lightning rod, and then the set voltage distribution is reduced.
9:00 AM - K13.21
Composition Ratio-Dependent Morphological Evolution of Tungsten-Molybdenum Oxide Nanocomplex Electrodes for Supercapacitor Application
Jinjoo Jung 1 Do Hyung Kim 1 Hayeon Won 1 Doyeong Jeong 1 Jinsik Ju 1
1Kyungpook National University Daegu Republic of Korea
Show AbstractTungsten-molybdenum oxide nanocomplexs (TMONCs) were synthesized by utilizing solvothermal method with hexacarbonyl tungsten, hexacarbonyl molybdenum, and ethyl alcohol. The detailed morphology of TMONC was strongly dependent on the composition ratios of W/Mo source in the precursor. The shape of NTMOCs was basically nanosphere and was evolved into urchin-like nanostructures comprised of the nanowires added to the sphere shell with increased the ratio of W/Mo in the precursors. The length of the nanowires on nano-urchins was proportionally increased with increasing the ratio of W/Mo in the precursor. The electrochemical (EC) properties of EC double layer capacitor using NTMOC/MWCNT/ hybrid composite films coated on a PET substrate, 1M H3PO4 electrolyte, and Au current collector were characterized by cyclic voltammetry, galvanostatic charge/discharge tests, electrochemical impedance spectroscopy. The optimized EC properties were presented in the supercapacitors with the TMONC electrodes fabricated from a W/Mo ratio of 1:1, showing a specific capacitance ~ 200F/g and an energy density of ~ 4.1 kW/kg. The high performance of TMOCN-based supercapacitors can be achieved by the optimization of both composition and morphology based on the composition ratio-dependent morphological evolution.
9:00 AM - K13.22
Atomic Layer Deposition of p-Type Copper(I) Oxide (Cu2O) Thin Films and Application to p-Cu2O/n-Si Nanowire Photodiode
Hangil Kim 1 Seung-Joon Lee 1 Taehoon Cheon 1 2 Sang-Kyung Choi 3 Kyung Yong Ko 4 Hyungjun Kim 4 Soo-Hyun Kim 1
1Yeungnam University Gyeongsan-si Republic of Korea2Daegu Gyeongbuk Institute of Science amp; Technology Daegu Republic of Korea3Chungnam National University Daejeon Republic of Korea4Yonsei University Seoul Republic of Korea
Show AbstractCopper oxide thin films is very attractive materials due to many applications including gas sensors, resistive RAM, photovoltaics, catalysist, anode in batteries, p-type thin film transistors (TFT), other all-oxide transparent electronics. Copper oxide has two types of structure; they are cupric oxide (CuO) and cuprous oxide (Cu2O). CuO is a p-type semiconductor and has a band gap of 1.21-1.51 eV. Cu2O is also a p-type semiconductor having a band gap of 2.0-2.6 eV [1] and mobility of 5 cm2/Vs at room temperature (293K) [2]. Among them, Cu2O is the most studied because of its high optical absorption coefficient in the visible range (380 ~ 700nm) and its reasonably good electrical properties. To prepare the deposited Cu2O thin films, there are various techniques such as sputtering [2], chemical vapor deposition [3], and electrodeposition [4]. These techniques are enough to prepare deposition, but with device scaling down and more complex, another deposition technique is needed for Cu2O thin films. In this aspect, Atomic layer deposition (ALD) is an attractive and versatile technique allowing the growth of highly conformal oxide films at low temperatures. Although much work has been devoted to the growth of metallic Cu interconnects by ALD, very little attention has been put on the growth and characterization of copper oxides [5, 6]. F-containing precursor of trimethylvinylsilyl-hexafluoroacetyla
cetonato copper(I), which has a vapor pressure of 1 torr at 60 degree celsius [5], and nonfluorinated copper precursor of bis(tri-n-butyphosphane)acetylacetonato copper(Ι) [6], which has a vapor pressure of 0.015 torr at 98 degree celsius was used to make ALD-Cu2O thin film. In this study, ALD-Cu2O films were deposited on thermally grown SiO2 and sapphire substrates at a deposition temperature ranging between 160 and 220 degree celsius using bis(1-dimethylamino-2-methyl-2-butoxy)copper(II) and water vapor. This precursor is thermally more stable and has good properties, which are free of fluorine in the precursor and highly volatile. The vapor pressure is quite higher (1.2 torr at 80 degree celsius) than other Cu precursors. The properties of ALD-Cu2O films were analyzed with various tools; carrier concentration, conductivity, Hall mobility by Hall measurement, microstructure by plan-view transmission electron microscopy, step coverage by cross-sectional view transmission electron microscopy, phase using XRD, composition by Rutherford backscattering spectrometry, chemical bonding by X-ray photoelectron spectroscopy, and optical band gap by UV-VIS-NIR. The developed ALD-Cu2O process was applied to fabricate the nanowire photodiode. First, N-type Si nanowire arrays were prepared by electroless etching method using HF (Hydro-fluorine acid) and AgNO3 (Silver-nitrate) on n-type Si substrate. And then p-type Cu2O was deposited conformally on these Si nanowires.
9:00 AM - K13.24
Rapid Microwave Preparation of Titanium Based Phosphors as an Alternative to Rare Earth Luminescence Materials
Jose Miranda Carvalho 1 3 Cassio Cardoso Santos Pedroso 1 Maria Felinto 2 Lucas Rodrigues 1 3 Hermi Felinto Brito 1 Jorma Holsa 3 1
1University of Sao Paulo Sao Paulo Brazil2Institute of Energy and Nuclear Research Sao Paulo Brazil3University of Turku Turku Finland
Show AbstractSkyrocketing prices of the rare earth oxides can be an issue in the research, manufacture and application of some strategically important materials. Nowadays, the most important and commercially available luminescence materials are based on the rare earths, e.g. Y3Al5O12:Ce3+, SrAl2O4:Eu2+;Dy3+. Novel non-rare earth doped materials are needed as alternative phosphors due to the fluctuating prices as well as challenging separation and purification of the rare earths. The most common non-rare earth doped materials use Ti3+, Mn2+ and Cr3+ as the dopants. The Ti3+ (a 3d1 ion) luminescence arises from the eg → t2g d-d transition which experiences a high influence from the crystal field, thus enabling the color tuning of emission. Another interesting feature of Ti3+ is its high emission efficiency which allows the use of even ppm Ti3+ concentrations. For example, the notorious ZrO2 emission is due to Ti3+ present as an impurity with no higher than 100 ppm amount. The main drawback of Ti3+ as an emitting center is the complicated redox chemistry involving the Ti3+ and TiIV pair and the participation of TiIV in charge transfer processes during the excitation. The excitation of the Ti3+ species is in the UV range (250-350 nm) which may be a shortcoming in some practical applications; however, efforts could be made to avoid this issue.
In addition to the spectroscopic complications, the manufacture of luminescence materials can be difficult and tedious. Frequently a specific valence of the dopant is desired which requires a special atmosphere during the sintering. The microwave-assisted solid state reactions that rely on dielectric heating have attracted the attention of materials scientists since the 1980s. Potentially offering very rapid reaction rates and the opportunity to use inexpensive domestic microwave ovens, the microwave manufacture of a variety of materials has been made possible. The microwave method yields products with very good homogeneity and relatively high surface area due to a low temperature gradient during the heating and very short reaction time. The rapid microwave solid state manufacture is also a recognized way to reduce significantly the energy consumption and thus the production costs. In the present work, the microwave sintering will be discussed as a rapid and convenient method of manufacture of various Ti3+ doped oxide and oxysulfide phosphors. Different methods will be compared in terms of the luminescence output, concentration quenching, and quantum yield.
9:00 AM - K13.25
Application of Surface Enhanced Raman Spectrometry to Fast Antibacterial Characterization of Poloxamer-Modified Montmorillonite Clay Against E-Coli
Yung-Tang Nien 1 Meng-Ru Hu 1 You-Sheng Lin 1 In-Gann Chen 2
1National Formosa University Yunlin County Taiwan2Naional Cheng Kung University Tainan Taiwan
Show AbstractThe aim of this study is to understand the antibacterial activity of poloxamer-modified montmorillonite (MMT) clay and to correlate with the surface enhanced Raman spectrometric (SERS) technique. Modified MMT clay was first examined for characterizations of morphology, composition, crystal structure, thermal behavior, and Fourier transform infrared (FTIR) and X-ray photoelectron spectrometry (XPS), exhibiting a weight fraction of approximately 24 % of poloxamer, and mainly consisting of Ca, Mg, Al, Si, and O elements in the clay. Pathogenic Gram-negative bacteria, Escherichia coli (E. coli), were chosen as the antibacterial evaluation indicator of this clay by determining the minimum inhibitory concentration (MIC) in nutrient broth (NB). The amount of cations releasing into NB was also analyzed via inductively coupled plasma mass spectrometry (ICP-MS). Poloxamer-modified MMT clay with a concentration of 2310 ppm was found to depress the growth of E. coli and exhibited a high calcium concentration of approximately 101 ppm releasing into NB. However, the above antibacterial characterization procedure usually cost at least 48h to reveal the result. A calibration line was first established between the amount of E. coli and Raman intensity in order to reveal the survivals of E. coli in NB after contacting with MMT for 24h. Commercial SERS substrates with various metal materials and nano-scale sizes were chosen as the measurement template of antibacterial activity. It was found that SERS is one fast and quantitative method to characterize the antibacterial activity as compared to the conventional inoculation method. More details about the characterizations of poloxamer-modified MMT and antibacterial test results both by the inoculation method and SERS technique will be presented in the meeting.
9:00 AM - K13.26
Synthesis and Electrical Characterization of ZnO and TiO2 Thin Films and Their Use as Sensitive Layer of pH Field Effect Transistor Sensors
Jessica Colnaghi Fernandes 1 Marcelo Mulato 1
1University of Samp;#227;o Paulo Ribeiramp;#227;o Preto Brazil
Show AbstractOxide materials have being widely studied for sensors applications due to their higher selectivity and good sensitivities. Zinc oxide (ZnO) and titanium oxide (TiO2) are not so expensive materials and they present a large response as a function of pH [1], making them a good choice to be applied as sensitivities layers in pH EGFET sensor devices. The EGFET device is composed for a commercial MOSFET attached to an ion sensitive electrode used as its extended gate [2]. The electrode is the only part immersed into the buffer solution. Different deposition techniques lead to different film properties, such as the size and the roughness of the grains, and consequently the porosity, directly influencing the sensitivity of these films when applied as sensitivity layers of ionic sensors. This study presents a comparison between different deposition techniques of these materials and their further electrical characterization. The tested deposition techniques were dip-coating, spin-coating, spray-pyrolysis and electrodeposition. The electrical characterizations were based on cyclic voltammetry and EGFET fabrication. The range of the tested buffer solutions pHs varied from 2 to 12. The aim of this study is to standardize the deposition technique which presented the lower cost and higher sensitivity to apply in the fabrication of the optimized layer of a pH sensor. Optimization is mainly determined by the largest sensitivity. The sensitivities varied from 8.4 to 35.2 mV/pH for ZnO thin films and from 12.3 to 22.5 mV/pH for TiO2 thin films. Some films presented a linear response only for alkaline pHs while others presented a linear response for a pH range from 2 to 12. Most of the films showed good stability, i.e. low degradation. We will discuss how a deposition technique significantly alters the final properties of the thin films. A comparison with literature data will also be performed leading to the final guide-lines in the search for the optimized conditions, what will facilitate next studies for biosensors development.
[1] Fraga, T. M., et al. ICCDCS (2012).
[2] Batista, P. D. and Mulato, M. J Mater Sci. vol. 45, pp. 5478-5481 (2010).
9:00 AM - K13.27
Amorphous and Highly Nonstoichiometric Titania Thin Films Close to Metal-Like Conductivity
Thomas Leichtweiss 1 Ralph A. Henning 1 Julius Koettgen 2 Ruediger M. Schmidt 3 Bernd Hollaender 4 Manfred Martin 2 Matthias Wuttig 3 Juergen Janek 1
1JLU Giessen Giessen Germany2RWTH Aachen University Aachen Germany3RWTH Aachen University Aachen Germany4Forschungszentrum Jamp;#252;lich GmbH Jamp;#252;lich Germany
Show AbstractRecently Nagarajan et al. reported that strongly oxygen deficient gallium oxide films (GaOx) show a new type of chemically driven insulator-metal transition.[1] Amorphous phases with a composition roughly described by GaO1.2 and with electrically insulating behavior showed conductivity jumps of several orders of magnitude upon heating. This phenomenon is related to an internal redox disproportionation reaction, leading to the precipitation and growth of transparent and insulating Ga2O3 nuclei in the GaOx matrix, which loses even more oxygen. This leads to the increase of the Fermi level such that the GaOx matrix attains metal-like conductivity - caused by an internal solid-state reaction.
Here, we present similar phenomena in ultra-reduced titanium oxide (TiOx) films prepared by pulsed laser deposition (PLD) at room temperature. As in the case of GaOx we take advantage of the possibility to deposit films with composition and structure far from thermodynamic equilibrium by PLD: Samples in their state as-deposited have an average composition of TiO1.6, are optically absorbing and show electronic conductivities in the range of 10 S/cm. These films are metastable and consist of grains of cubic titanium monoxide (γ-TiO) embedded in an amorphous TiO1.77 matrix. Upon annealing in argon atmosphere the electrical conductivity of the films increases and comes close to metal-like conductivity (1000 S/cm) whereas the local structure is changed: Nanocrystalline grains of metallic Ti precipitate in the amorphous matrix due to an internal solid state disproportionation.
We will also address first results on the photoelectrochemical water-splitting abilities of the films.
[1] L. Nagarajan, R. A. De Souza, D. Samuelis, I. Valov, A. Börger, J. Janek, K.-D. Becker, P. C. Schmidt, M. Martin, Nat. Mater. 2008, 7, 391.
9:00 AM - K13.28
Room-Temperature Solution-Processed Transition Metal Oxides as Efficient Carrier Extraction Layer for High Performance Organic Solar Cells
FengXian Xie 1 Xinchen Li 1 C. D. Wang 1 Wallace C.H. Choy 1
1the University of Hong Kong Hong Kong Hong Kong
Show AbstractAn essential aspect in designing efficient and stable organic solar cells (OSCs) is the engineering of interfacial carrier transporting layers between the organic layer and metal electrodes. Among various materials available for interfacial layers, transition metal oxides (TMOs) have great potential owing to their wide range of energy level aligning capabilities. Bearing the compatibility with large-area, low-cost, high-throughput production and all-solution technology, we propose a one-step method to synthesize low-temperature solution-processed TMOs such as molybdenum oxide and vanadium oxide for hole transport layers through the synthesis of hydrogen molybdenum bronze and hydrogen vanadium bronze. Interestingly, the hydrogen metal oxide bronzes (HMOs) are dispersed uniformly and stably into water-free solvents which are particularly beneficial to the device stability and processing. With low temperature treatment or even at room temperature, the TMO films with small amount oxygen vacancies exhibit high film quality and desirable electrical properties. Through the analysis of UPS and XPS results, we identify the importance of oxygen vacancies for TMOs as HTL. Notably, the synthesized HMOs can be dispersed uniformly and stably into water-free solvents. By using our TMOs to make OSCs with polymer blend of P3HT:PCBM, the power efficiency (PCE) reaches 4% (vs 3.7% for PEDOT:PSS control device) and PCE of 7.75% using polymer blend of PBDTTT-C-T:PCBM (vs 7.24% for the corresponding PEDOT:PSS control device) [1]. Recently, we have achieved solution process of TMOs at room temperature [2] and the PCE performance can reach about 8% in inverted OSCs with polymer blend of PBDTTT-C-T:PCBM as the active layer. Consequently, the results of our newly-synthesized TMOs demonstrate that oxygen vacancy plays an essential role for TMOs as effective HTL for applications on organic electronics.
[1] F. Xie, W.C.H. Choy, C. Wang, X. Li, S. Zhang, J. Hou, "Low-temperature Solution-Processed Hydrogen Molybdenum and Vanadium Bronzes for Efficient Hole Transport Layer in Organic Electronics", Adv. Mat., vol. 25, pp.2051-2055, 2013.
[2] X.C. Li, W.C.H. Choy, F. Xie, S. Zhang and J. Hou, "Room-Temperature Solution-Processed Molybdenum Oxide as Hole Transport Layer with Ag Nanoparticles for Highly Efficient Inverted Organic Solar Cells", J. Mater. Chem. A, vol. 1, pp.6614-6621, 2013.
9:00 AM - K13.29
Low Temperature Synthesis of CeO2:Eu3+ Nanophosphor Using Trimesic Acid Precursors
Ivan Guide Nunes da Silva 1 Maria C.F.C. Felinto 2 Hermi Felito de Brito 1
1USP Samp;#227;o Paulo Brazil2IPEN Samp;#227;o Paulo Brazil
Show AbstractThe rare earth (RE) complexes of 1,3,5-benzenetricarboxylic acid (TMA) present favorable thermal properties to prepare oxides at low temperatures and were synthesize as reported in the literature. The luminescent nanophosphors were obtained by annealing the complexes at 500, 600, 700, 800, 900,1000 and 1100 °C.
With improvements made lately in the nanoscience and nanotechnology, the photonic, structural and morphological properties of the CeO2 doped with Eu3+ nanomaterials have been widely investigated. These phosphors have been prepared with various methods, e.g. hydrothermal, sol-gel, spray pyrolysis, combustion, chemical vapor deposition, thermolysis and coprecipitation. In the thermolysis route, it is of great advantage to use the Ce(TMA):Eu3+ (0.1, 0.5 and 1.0 %) complexes as precursors to produce CeO2:Eu3+ materials at low temperature. The decomposition occurs at low temperature (ca. 450 °C), producing the oxide around 500 °C. In this work, the preparation, characterization and luminescence properties of CeO2:Eu3+ nanomaterials are reported.
The decomposition temperature decreases for all complexes with increasing Eu3+ concentration. The X-ray powder diffraction patterns confirmed the formation of the CeO2 phase, indicating the total decomposition of the organic phase during annealing. The crystallinity of the oxides increased with increasing annealing temperature. Calculated with the Scherrer&’s equation, the crystallites grow from 7 to 40 nm between 500 and 1100 °C. The luminescent properties were investigated by the excitation and emission spectra as well as lifetime measurements of the 5D0 emitting level of Eu3+. The intense hypersensitive 5D0→7F2 transition indicates a centrosymmetric site containing the Eu3+ ion.
The Ce(TMA):Eu3+ complexes proved to be suitable precursors for the preparation of the Eu3+ doped CeO2 nanophosphors at low temperature.
9:00 AM - K13.30
High Pressure and Temperature Dependent Raman Spectroscopic Studies of Ternary Rare-Earth Oxide SmGdO3
Yogesh Sharma 1 Satyaprakash Sahoo 1 Pankaj Misra 1 Ram S Katiyar 1
1University of Puerto Rico Sanjuan USA
Show AbstractThe high pressure (up to 8GPa) and temperature dependent (80-1150K) Raman studies has been carried out on ternary rare-earth oxide SmGdO3 (SGO). The structural phase transition in SGO was revealed by pressure dependent Raman spectroscopic measurements. A reversible pressure-induced phase transition from monoclinic to hexagonal phase has been observed across the pressure value of 4GPa which remains preserve upto 8GPa. Raman spectra showed that the high pressure phase with 4 Raman modes transformed to the monoclinic phase with 17 Raman signature modes after release of pressure. The monoclinic phase at room temperature and atmospheric pressure was also confirmed by Rietveld refinement of X-ray diffraction data. The high pressure hexagonal phase was verified with the previous reports on constituents&’ rare-earth sesquioxides Gd2O3 and Sm2O3 [Phys. Rev. B 78, 064114 (2008) and J. Appl. Phys. 113, 113502 (2013)]. The temperature dependent Raman spectroscopic studies have been carried out to further investigate the temperature dependence of the phase transition. However, no such phase transition was observed in the temperature range of 80-1150K.
9:00 AM - K13.31
ZnO-Nanorod Array Based Chalcopyrite Solar Cells
Wiebke Ohm 1 Wiebke Riedel 1 Uemit Aksuenger 2 Yang Tang 2 Jie Chen 2 Sophie Gledhill 1 2 Martha Ch. Lux-Steiner 1 2
1Freie Universitamp;#228;t Berlin Berlin Germany2Helmholtz-Zentrum Berlin Berlin Germany
Show AbstractZnO nano-rod arrays (ZNA) can be grown as a sub-wavelength structure, which allows a continuous refractive gradient at an interface, displaying due to the so-called “moth eye” effect reduced reflectivity [1]. Larger, less well-orientated nano-rods exhibit high haze factors and can be exploited for their light trapping effect. In this work we prepare ZNA via electrodeposition which is a simple, low temperature (75 °C) and scalable process. We can produce ZNA with tailorable dimensions by controlling various deposition parameters including seed layer, deposition time and potential [2].
With a sputtered intrinsic ZnO seed layer we obtain well oriented nano-rods with good crystalline quality confirmed by x-ray diffraction and photoluminescence measurements respectively. Diameters from 50 nm to a few hundred nm can be achieved with length ranging from a few ten nm to above 1 µm. The morphology, optical transmittance and reflectance were investigated.
An initial galvanic deposition step was developed as an alternative seed layer process. Thereby, we can increase the packing density by a factor of 6 linked to a decrease in diameter by a factor of 2 compared to nano-rods grown without seed layer. ZNA with this alternative seed layer are, in total, not so dense and thicker compared to intrinsic ZnO seed layer. Thus, they exhibit high haze factors for both transmitted and reflected light, beneficial for light trapping applications where high scattering is desired.
Effective use of ZNA as an anti-reflection coating (ARC) in chalcopyrite solar cells was demonstrated [3].
Currently we investigate ZNA implemented as new architectures for chalcopyrite solar cells such as a textured p-n junction in superstrate configuration and structured transparent back electrode in bifacial solar cells.
The ZnO nano-rod/chalcopyrite contact with different buffer layers was analysed for prospective implementation of ZNA in superstrate configuration or as textured back electrode. Furthermore we explored stability aspects as well as covering and filling ability with the absorber material.
[1] S.J. Wilson, M.C. Hutley, Optica acta, 1982 (29) 7, 993-1009.
[2] W. Ludwig et al., Phys. Stat. Sol. (a), 2013 (8) 1557.
[3] J. Chen et al., Sol. Energy Mater. Sol. Cells, 2011 (95) 1437.
Acknowledgements:
The research leading to these results has received funding from the European Union&’s Seventh Framework Programme FP7/2007-2013 under grant agreement number 284486.
9:00 AM - K13.32
Inkjet Printing of Transparent SiO2-TiO2 Hard Coatings and Their Mechanical and Optical Properties
Shih-Jung Ho 1 Hsueh-Shih Chen 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractInkjet printing is a promising cost-effective process for depositing well-defined patterns and has drawn much attention in recent years. It has been considered in various industrial applications such as chemical/bio-sensors, solar cells, displays, electronic devices and so on. On the other hand, SiO2-TiO2 has advantages of thermal stability, mechanical properties, and chemical/environment resistance. We have used the inkjet printing process to prepare SiO2-TiO2 thin films on both glass and flexible substrates. The amorphous SiO2-TiO2 films show high transparency, high hardness, and good chemical stability according to the results from X-ray diffraction, UV-Vis spectrometer, scanning electron microscope (SEM). The injected SiO2-TiO2 thin film is being considered to be a protection layer for electronic devices.
9:00 AM - K13.34
Photoluminescence Behavior of LaNiO3, MgTiO3 and LaNiO3/MgTiO3 Thin Films Prepared by PLD
Tatiana Martelli Mazzo 1 2 Leilane Roberta Macario 3 2 Luiz Fernando Gorup 1 2 Valerie Bouquet 2 Stamp;#233;phanie Damp;#233;putier 2 Sophie Ollivier 2 Elson Longo 3
1Universidade Federal de Samp;#227;o Carlos (UFSCar) Samp;#227;o Carlos Brazil2Insitut des Sciences Chimiques de Rennes Universitamp;#233; de Rennes 1 Rennes France3Instituto de Quamp;#237;mica de Araraquara - UNESP Araraquara Brazil
Show AbstractThe increasing demand for optical electronic devices and the miniaturization of circuitry systems has spurred the development of highly dielectric materials with active optical properties. In this work, we report the synthesis and characterizations of MgTiO3 (MTO), LaNiO3 (LNO) thin films and LNO/MTO multilayer thin films prepared by pulsed laser deposition (PLD) on Si (100) substrates. MTO targets were prepared by solid-state reaction from stoichiometric mixtures of MgO2 and TiO2 powders, calcined in air at 900 °C for 2 h and sintered at 1200 °C for 2 h. LNO targets were prepared by solid-state reaction from stoichiometric mixtures of La2O3 and NiO powders, calcined in air at 1100 °C for 10 h and sintered at 1100 °C for 10 h. X-ray Diffraction (XRD) carried out on the targets confirmed the presence of the expected MTO and LNO single-phases. MTO and LNO thin films were prepared by PLD for 30 and 20 min, respectively, under an oxygen pressure of 0.3 mbar. The LNO/MTO heterostructures were prepared by PLD for 50 min under an oxygen pressure of 0.3 mbar. All of the depositions were performed using a KrF excimer laser (Tuilaser Excistar, lambda;=248 nm, nu;=4 Hz, 220 mJ/pulse) mainly at 700 °C. These deposition conditions allowed to obtain thin films with a thickness from 200 to 400 nm. The samples were investigated by XRD and scanning electron microscopy and the photoluminescence (PL) properties at room temperature were studied. The MTO and the LNO thin films were polycrystalline and the corresponding diffraction peaks were indexed in the rhombohedral structure in accordance with JCPDS cards n° 01-079-0831 for MTO and n° 00-033-0711 for LNO, respectively. The LNO/MTO multilayer films were polycrystalline and presented diffraction peaks of both MTO and LNO phases. The PL results revealed that the LNO thin films presented no PL emission whereas an intense broad luminescence infrared centered at 724 nm appeared for the MTO thin films. For the LNO/MTO multilayer system the PL spectra revealed an intense broad greenish luminescence with a maximum centered at 454 nm and a weak infrared emission centered at 754 nm. The addition of the LNO layer to a MTO layer led to a change in the PL emission with the presence of this intense emission at the visible green region. We believe that two kinds of defects (intrinsic and extrinsic) are present in these structures. The infrared emission is a more low energetic emission and can be related to deeper defects in the band gap region which are originated by the [TiO6]-[TiO6] complex cluster tilting of the MTO material. The green emission is a more energetic light and can be related to shallow defects which may be originated by the presence of the conductive LNO layer. The two clear and distinct emissions can be controlled by the presence of the LNO material thus the LNO/MTO heterostructures permit a strong versatility that can be used in optical electronic devices.
9:00 AM - K13.36
Comparative Study of the Antimicrobial Effect of Different Antibiotics Mixed with CuO, MgO and ZnO Nanoparticles in Staphylococcus Aureus, Pseudomonas Aeruginosa and Escherichia Coli
Raul Aleno Torres 1 Mariel del R. Baez Miranda 1 Marangelie Feliciano Sanchez 1 Eulalia Medina Perez 1 Lourdes Diaz Figueroa 1 Edmy J Ferrer Torres 1
1Interamerican University of Puerto Rico Mercedita USA
Show AbstractDue to the rapid advance of the emergence of resistant microorganisms to different antibiotics, it has had to resort to other areas of science to create new antimicrobial agents. It is possible that Nanotechnology has a great impact in this area since the nanoparticles can improve the antimicrobial effect of the antibiotics. In this study we used three different metal oxides nanoparticles, the MgO, ZnO and CuO. These nanoparticles were selected because binds to the surface of the bacteria and cause membrane damage leading to cell death1 and because of their optical properties. The aim of this study is to develop new methods that are more effective against resistance bacteria, developing antibacterial agents using different nanoparticles. Antibacterial activities against Escherichia coli (ATCC 10536) and Pseudomonas aeruginosa (ATCC # 10145), and Staphylococcus aureus (ATCC BAA-1026) were investigated by determining the minimum inhibitory concentration (MIC). This study was conducted to evaluate the antibacterial effects of a combination of nanoparticles together with different concentrations of three antibiotics, Gentamicin, Cephalexin and Co-Trimoxazole. The results showed that some nanoparticles are effective of inhibit growth in these microorganism by increasing the effectiveness of the antibiotic. Therefore, the present study indicates that the combination of the nanoparticles with antibiotics may be applicable as a new antimicrobial agent.
9:00 AM - K13.39
Precise Diameter Controlled Magnesium Oxide (Mgo) Nanowires by Pulsed Laser Deposition Technique and Their Physical, Structural and Biological Properties
Dang The Ninh 1 Seyram Gbordzoe 1 Kwadwo Mensah-Darkwa 1 Dhananjay Kumar 1
1North Carolina A amp; T State University Greensboro USA
Show AbstractOne-dimensional (1D) nanostructures have shown great promises in both fundamental and application studies due to their size, structure and properties. In this study, magnesium oxide nanowires (MgO-NWs) with tunable diameters have been grown on a magnesium oxide (100) single crystal substrate using a pulsed laser deposition (PLD) technique. Magnesium oxide was chosen because of its foremost properties of high melting point, high hardness, high tensile strength, excellence diffusion barrier, and good biocompatibility. In fabrication of MgO nanowires, gold has been used as a catalyst. Our study has identified the most critical parameters for fabrication of single-crystalline MgO nanowires growth that include substrate temperature, ambience pressure, and catalyst size. In this work size controllability of oxide nanowires formed via vapor-liquid-solid mechanism is desired of the oxide nanowire-base biological application. It is observed that the diameter of MgO-NW depends on the diameter of the gold catalyst. We have varied the diameter of nanowires between 12-46 nm.
9:00 AM - K13.40
Effect of Dopant Oxidation State and Annealing Atmosphere on the Functional Properties of ZnO-Based Nanocrystalline Powders and Films
Miguel Santiago Rivera 2 3 Gina Marie Montes Albino 1 3 Oscar Perales Perez 3
1UPRM Mayaguez USA2UPRM Mayaguez USA3UPRM Mayaguez USA
Show AbstractAmong various semiconductor oxides that are expected to become suitable platforms for multifunctional applications, zinc oxide (ZnO) is one of the most attractive alternatives. The effective incorporation of dopant species into ZnO host structure should induce changes in its physical and chemical properties enabling the establishment of novel multi-functional properties. Doping from 0 at.% to 2 at.% with transition metal ions, such as Fe, Mn and V ions and the subsequent exchange interaction between available spins of the magnetic species are expected to induce a ferromagnetic behavior. This ferromagnetic functionality will enable the application of this material in data storage and spintronics-based devices. The present research addresses the study of the effect of the type and oxidation state (2+ and 3+) of dopant species and the influence of the annealing atmosphere (air or nitrogen) on the structural and functional properties of nanocrystalline ZnO-based powders and films. Magnetization of 2.14 emu/g and coercivity of 138 Oe were exhibits for 2 at.% Fe+3doped ZnO at 500omicron;C in nitrogen atmosphere powders. Also XRD confirm the structure formation and crystallite size was around 26nm.
9:00 AM - K13.41
Effect of Annealing Temperature and Defect Structure of ZnO Support on Highly Active Gold Catalyst in Oxidation of Benzyl Alcohol at Low Temperatures
Reza Shidpour 1 Manouchehr Vosoughi 1
1University of California Riverside USA
Show AbstractGold nanoparticles supported on ZnO nanostructures were prepared through a simple chemical-thermal method and
characterized by SEM, TEM, XRD, BET and photo luminescence (PL) spectroscopy. Effect of annealing temperature on catalytic activity of these Au/ZnO nanocatalysts were investigated by aerobic oxidation of benzyl alcohol. The results indicated that the catalyst with ZnO nanowire support annealed at 300 oC exhibited more activity than Au/ZnO catalyst supported on ZnO nanoparticles annealed at 600 oC. The Au/ZnO-nanowire achieved to increase the benzaldehyde selectivity and yield to 93.7 % and 85.6 %, respectively, at 60 oC whereas in Au/ZnO-nanoparticle the benzaldehyde selectivity and yield to 85.1 % and 69.9 %, respectively at 80 oC. The XRD and PL spectroscopy revealed that the supports have interstitial zinc (Zni), oxygen vacancy (VO-2) defects definitely but there is no evidence for interstitial oxygen (Oi) and zinc vacancy (VZn) defects and single ionized charged oxygen vacancy (VO-).
9:00 AM - K13.42
Influence of Substrate Temperature and Post Annealing on Structure and Magnetic Properties of Pulsed Laser Deposited Fe50-Ni50 Films
Sally Ahmed Ibrahim 1 Svitlana Fialkova 1 Kwadwo Mensah-Darkwa 1 Sergey Yarmolenko 1 Dhananjay Kumar 1
1NC Aamp;T State University Greensboro USA
Show AbstractAs the need for smaller data storage devices in the market continues to grow, the study of new combinations of self-assembled magnetic nanoparticles/films is greatly needed. In this research, Fe50-Ni50 films were synthesized using a Pulsed Laser Deposition technique. The films were analyzed using x-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and physical properties measurement system (PPMS). Films were deposited from Fe-Ni alloy target (50%-50% composition), deposition was conducted in vacuum, at substrate temperatures varying from liquid nitrogen temperature (77K) to 873K. The films were annealed in a vacuum chamber at 873K for 1 hour. The study reveals that the substrate temperature has significant effect on the structure of the films and their magnetic properties. It was shown that additional thermal treatment improved the quality of films in terms of narrow grain size distribution. Magnetic properties were also found to improve significantly after post annealing process.
9:00 AM - K13.43
Photoactivated Metal-Oxide Gas Sensing Nanomesh by Using Nanosphere Lithography
Tsu-Hung Lin 1 Yi-Wen Chen 1 Yu-Hsuan Ho 2 3 Wei-Cheng Tian 2 Pei-Kuen Wei 3 Horn-Jiunn Sheen 1
1National Taiwan University Taipei Taiwan2National Taiwan University Taipei Taiwan3Academia Sinica Taipei Taiwan
Show AbstractA photoactivated ZnO nanomesh with precisely controlled dimensions and geometries is fabricated by using nanosphere lithography process. The nanomesh structures effectively increase the surface-to-volume ratio to improve the sensing response under the same testing gas. And the periodical nanostructures also increase the effective light path and lead to more efficient light activation for gas sensing. With the increase of the photoinduced oxygen ions by UV illumination, a distinguished sensing response is observed at room temperature. In the optimized case, the sensing response (ΔR/R0) of the ZnO nanomesh at the butanol concentration of 500 ppm is 97.5%, which is 4.54 times higher than the unpatterned one.
9:00 AM - K13.44
A Room-Temperature-Operated Tungsten Trioxide Based Gas Sensor Integrated with Green OLED Activation
Shih-Wei Li 1 Cheng-Xian Lin 1 Yu-Hsuan Ho 1 2 Wei-Cheng Tian 2 Horn-Jiunn Sheen 3 Pei-Kuen Wei 1
1Academia Sinica Taipei Taiwan2National Taiwan University Taipei Taiwan3National Taiwan University Taipei Taiwan
Show AbstractWe demonstrated a visible light-activated WO3 gas sensor operated at room temperature. The nanogrinding technique was applied to the sensing material to increase its surface-to-volume ratio and improve the sensing capability. The feature size of the grinded WO3 nanoparticles was reduced to about 50 nm, which were diluted in IPA solution and spin-coated on the interdigitated ITO electrodes as the sensing layer. The highly efficient and reliable organic light-emitting devices (OLEDs) were integrated with the proposed sensor to be the activation light source. Due to the characteristics of area light emitting, the OLEDs provided not only efficient but also uniform activation for the gas sensing layers. From the sensing response measurement for the wavelength dependence, the green light was observed to be the most suitable activation light source for WO3, which is fitting well to its optical bandgap. And green OLEDs are also thought to be more efficient and reliable compared to red and blue OLEDs. With the increase of the photoinduced oxygen ions by photo-activation, the sensing response for ethanol gas was 4.7 times higher than the one without OLED illumination.
9:00 AM - K13.45
Structural, Electronic, and Phonon Properties of Copper Oxide Phases from First Principles
Markus Heinemann 1 Marcel Giar 1 Raphael Knecht 1 Bianca Eifert 1 Christian Heiliger 1
1Justus-Liebig-University Giessen Germany
Show AbstractWe present ab initio density functional theory (DFT) investigations on structural, electronic, and phonon properties of the copper oxide phases Cu2O, CuO, and Cu4O3. Further, we compute the evolution of the lattice parameter of the ternary alloy Cu2O1-xSx by a substitutional supercell approach. Phonon band structure and density of states for all three copper oxide phases are derived from a supercell small displacement method. The splitting of the LO and TO modes at the Γ point is obtained by properly taking into account the non-analytical contributions to the dynamical matrix in the limit q → 0. We futher present electronic band structure calculations for the three copper oxide phases and discuss the influence of different approaches for the exchange correlation functional. We compare the DFT+U approach to hybrid functionals and quasiparticle calculations within the framework of the GW approximation. Using the hybrid functional approach we investigate the phase stability of the three copper oxide compounds in different temperature and pressure domains [1].
[1] M. Heinemann, B. Eifert, and C. Heiliger, Phys. Rev. B 87, 115111 (2013)
9:00 AM - K13.47
Rapid Microwave Preparation of Praseodymium Red Persistent Luminescence Phosphor
Cassio Cardoso Santos Pedroso 1 Jose Miranda Carvalho 1 Maria Eugenia Liberado Fernandez 1 Maria Claudia Franca da Cunha Felinto 2 Lucas Carvalho Veloso Rodrigues 1 3 Hermi Felinto Brito 1 Jorma Holsa 3
1University of Samp;#227;o Paulo Samp;#227;o Paulo Brazil2Institute of Nuclear and Energy Research Samp;#227;o Paulo Brazil3University of Turku Turku Finland
Show AbstractPersistent luminescence is a phenomenon where the material emits light (usually visible) from seconds to hours after the removal of an excitation source which can be visible light, UV radiation, electron beam, plasma beam, X-rays, etc. Currently is known that persistent luminescence, a special case of thermally stimulated luminescence, results from the storage of the excitation energy to traps and its subsequent release induced by the thermal energy available at room temperature. The applications of these persistent luminescent materials are mainly in emergency lighting, safety signage and bio-imaging. The discovery of new persistent luminescence materials using Eu2+ as activator in mid 1990s created a new benchmark for the performance emitting in visible range, around 24 h after ceasing the irradiation[1]. Despite this predominance, persistent luminescence materials with other activator ions like trivalent rare earth (R3+) can be found. One example is Lu2O3:R3+ which shows very efficient persistent luminescence, but it demands preparation at very high temperatures (1700 °C) at vacuum or under H2 atmosphere[2,3].
Persistent luminescence materials are commonly obtained by ceramic method, which consists in sintering the oxide precursors at very high temperature in controlled atmosphere. This method usually yields large particles with very low surface area. Besides, the long reaction time needed increases the costs of production. Some possible alternatives to the ceramic method on the materials processing are sol-gel, Pechini, combustion and rapid microwave solid-state synthesis. This last presents advantages like the short processing time, selective dielectric heating, energy saving and the possibility of using domestic microwave ovens[4]. The present work will discuss the pioneer synthesis of the red persistent luminescence material Lu2O3:Pr,Hf by rapid microwave preparation. The different approaches of the synthesis were compared in terms of phase purity, crystallinity, particle shapes, trap depths and densities and finally persistent luminescence duration and intensity.
[1] Matsuzawa, T.; Aoki, Y.; Takeuchi, N.; Murayama, Y. J. Electrochem. Soc. 1996, 143, 2670minus;2673.
[2] Rodrigues, L.C.V.; Brito, H.F.; Holsa, J.; Stefani, R.; Felinto, M.C.F.C.; Lastusaari, M.; Laamanen, T.; Nunes, L.A.O.; J. Phys. Chem. C, 2012, 116, 11232-11240.
[3] Trojan-Piegza, J.; Zych, E.; Hölsä, J.; Niittykoski, J.; J. Phys. Chem. C, 2009, 113, 20493-20498.
[4] Birkel, A.; Denault, K.A.; George, N.C.; Doll, C.E.; Héry, B.; Mikhailovsky, A.A.; Birkel, C.S.; Hong, B.-C.; Seshadri, R.; Chem. Mater., 2012, 24, 1198-1204.
9:00 AM - K13.48
Effect of Ca and Ag Doping on the Functional Properties of BiFeO3 Nanocrystalline Powders and Films
Gina Marie Montes Albino 1 2 Oscar Juan Perales Perez 2 Boris Renteramp;#237;a Beleno 2 Yarilyn Cedeno Mattei 2 Walter Rivera Guzman 3 Omar Movil 3 2
1UPRM Mayaguez USA2UPRM Mayaguez USA3UPRM Mayaguez USA
Show AbstractThe present work addresses the systematic evaluation of the influence of the incorporation of dopant species (Ca+2,Ag+1) on the structural and functional properties of bismuth ferrite (BFO) nanocrystalline powders and films. Pure and doped BFO powders and thin films were synthesized by a modified sol-gel method. The concentration of the doping species varied from 0 at% to 10 at%. The development of the host BFO structure was confirmed by XRD analyses of samples annealed at 700omicron;C for one hour in nitrogen atmosphere. Thicknesses of films varied between 80nm and 200nm, depending on the concentration of Ca+2 species. Doped BFO exhibited a magnetic behavior that turned from paramagnetic into ferrimagnetic with the increase of Ca+2 concentrations. Ferroelectric properties will also be presented and discussed. Doped bismuth ferrite can be considered a promising candidate material to improve the performance in data storage and processing devices.
9:00 AM - K13.50
Comparative Kinetic Study and Characterization of MgO, ZnO and CuO Nanoparticles
Anthony Lopez Collazo 1 Carolina Pellicier 1 Angel Colon 1 Edmy J Ferrer Torres 1 Eulalia Medina Perez 1
1Interamerican University of Puerto Rico Mercedita USA
Show AbstractSynthesis of ZnO , MgO and CuO nanoparticles was done using sonochemical method. Colloidal and powder nanoparticles were obtained using zinc sulfate hydrate, magnesium chloride and copper sulfate as zinc, magnesium and copper sources respectively. Tetramethyl ammonium was employed as a surfactant. Polyvinyl Alcohol (PVA) and Polyethileneglycol (PEG) were used as stabilizers. Characterization, following synthesis, was done using optical spectroscopy (Ultraviolet Spectroscopy), Infrared Spectroscopy (FT-IR), X-ray diffraction (XRD) and Scanning Electron Microscopy (SEM). Kinetics studies were done to further information on nucleation and growth. These studies include changes in: reactants concentrations, sonication time and titration rate and heating time. Optical studies show the formation of the clusters and the nanostructures. The absorption bands for the nanostructures formation were centered in 358 nm for ZnO nanoparticles and 335 nm for MgO nanoparticles.
9:00 AM - K13.52
Very Low Temperature Solution and Vapor Growth of ZnO NWs and Slivers: Crystal Properties and Opto-Piezo-Electric Device Integration
Matthew Erdman 1 John Nogan 2 Brian Swartzentruber 2 Katherine Jungjohann 2 Tito Busani 1 Olga Lavrova 1
1University of New Mexico Albuquerque USA2Center for Integrated Nano Technologies Albuquerque USA
Show AbstractThe use of ZnO nanostructures in electrical, optoelectrical, and piezoelectric devices have been on the rise due to the relative ease of self assembling nanostructure growth of ZnO. We will present the growth and characteristics of 1-D, or NWs, and 2-D, or slivers, structures in solution based growth and in a very low temperature, between 75 C and 95 C, vapor phase growth processes respectively. The 1-D structures present a crystalline structure with a large badgap of ~3.2-3.3 eV depending upon the growth conditions. In vapor phase we were able to grow a so called 2-D nano sliver structures. These structures result to be the first principle growth of the NWs and are self limited in growth. The crystal quality of the 1-D NW and the 2-D NS is comparable to the high temperature growth counterparts.
The obtained nanostructures were characterized by X-ray diffraction, by scanning electron microscopy, energy dispersive X-ray spectroscopy and Transmission Electron Microscopy (TEM). Electrical properties of single nanowires were analyzed by conductive Atomic Force Microscopy (AFM), while the piezo characteristics were demonstrated using a TEM-piezo probe.
Both the 2-D and the 1-D structures have demonstrated favorable electrical, optical, and piezoelectric characteristics to be used in an integrated device in which preliminary results have been collected and presented. Also core shell properties in bio-harvesting devices is presented.
9:00 AM - K13.53
Properties of Hydrogen Doped Vanadium Oxide Thin Film and Its Application as Antireflection Coating
Yong Zhao 1 Gulten Karaoglan-Bebek 2 Md Nadim Ferdous Hoque 1 Mark Holtz 3 Ayrton A Bernussi 1 Zhaoyang Fan 1
1Texas Tech University Lubbock USA2Texas Tech University Lubbock USA3Texas State University San Marcos USA
Show AbstractVanadium dioxide (VO2) undergoes dramatic electrical conductivity change by several orders of magnitude across its metal-insulator phase transition (MIT) that happens at ~ 68 C, accompanied by significant optical property change. It was reported previously that hydrogen doping can stabilize the metallic state of VO2 to much lower temperature. However, we found that instead of hydrogen doping, thermal treatment of VO2 in hydrogen environment by introducing high density of oxygen vacancies can also significantly reduce VO2 resistivity at room temperature in its insulator state. Here we report our new results on the study of hydrogen treated VO2 thin films through catalytic doping to clarify the effects of these two mechanisms. In addition, we also report the application of these thin films, with controllable conductivity to behave as an anti-reflection (AR) coating at terahertz (THz) frequencies. The AR condition can be achieved for temperatures within the VO2 phase transition window; however, the typical sharpness of the MIT limits the practical use of VO2 as AR coating film. With hydrogen treatment, we can tune its electrical and optical properties around room temperature for the application as broadband terahertz antireflection coating.
9:00 AM - K13.54
Robust Method for Growth of SnO2 Nanowires Directly from Commercial FTO Substrates and Solution-Deposited FTO Coatings via High-Temperature Reduction
Derek R Miller 1 Pat Morris 1 Sheikh Akbar 1
1The Ohio State University Columbus USA
Show AbstractSnO2 nanowires were grown directly from commercial FTO with and without added catalysts by a high-temperature reduction process. This process does not require an upstream source nor an expensive deposition process, and proceeds by simple restructuring of the commercial FTO surface in a tube furnace. Addition of catalysts allows the nanowire growth to occur below 550C, which is below the softening point of the glass support. A sacrificial layer of FTO can also be deposited via solution methods to protect the original commercial film. This solution-deposited FTO layer has also been used to grow SnO2 nanowires by the same process from silicon substrates, demonstrating its versatility and applicability to Si-based devices. This process produces nanowires that have few defects and are well-bonded to the substrate. Several metallic catalysts have been found to promote this growth and produce variations in nanowire morphology. Growth conditions also have a significant effect on the aspect ratio and morphology of the nanowires. Additionally, branched heterostructures have been created from these nanowires by a subsequent microwave-assisted hydrothermal processing treatment. These heterostructures are advantageous because all of the photoactive material in the film is directly bonded to the SnO2 nanowires, which provide an efficient charge transfer path to the external circuit. This architecture limits recombination my minimizing the number of interfaces that an electron must traverse to be collected as current. A comparison in performance of several variations of these heterostructures in dye-sensitized solar cells will be presented.
9:00 AM - K13.56
Germanium Quantum Dots Embedded in Oxide Thin Films for High-Efficiency, Fast Photodetectors
Pei Liu 1 Salvatore Cosentino 3 Son Le 1 Sunghwan Lee 2 David Paine 2 Alexander Zaslavsky 2 Salvatore Mirabella 3 Maria Miritello 3 Isodiana Crupi 3 Antonio Terrasi 3 Domenico Pacifici 2 Patrick Flanigan 4
1Brown University Providence USA2Brown University Providence USA3Universitamp;#224; di Catania Catania Italy4Brown University Providence USA
Show AbstractOxide thin films play an important role in semiconductor device engineering. Particularly, oxide thin films containing silicon and germanium quantum dots have received much attention due to their promising application for silicon-based opto-electronics and photovoltaics, with various techniques invented to grow such films. In this work, we report results of high responsivity, fast photodetectors based on oxide thin films containing Ge QDs. Ge QDs embedded oxide thin films have been fabricated through co-sputtering of Ge and SiO2 targets, with properly controlled annealing time and temperature. The Ge composition ratio is controlled through the actual sputtering powers on each target. The Ge QDs contained oxide thin film is used as the active “insulating” layer on top of Si or Ge substrates to form a metal-insulator-semiconductor (MIS) structure, in which a transparent conducting layer deposited on top acts as the metal gate.
A strong photoresponse can be observed in these devices by measuring the current-voltage I(V) characteristics as a function of incident wavelength from 400-1100nm and the reflectivity of these devices. Peak steady-state responsivity up to 4A/W can be obtained at applied bias of 10 Volts, with internal quantum efficiency well above 100% over a large wavelength range. Two photoconduction regimes are clearly observed under low and high bias respectively, suggesting different photoconduction mechanisms are at work in each regime. The response time of these devices is strongly dependent on the oxide film thickness, as can be shown in time resolved photoconduction experiments. The response time tau; is ~1µs for an oxide layer thickness of ~230nm, and a minimum tau; ~40ns can be achieved with thickness of ~60nm. Also, the transient measurement shows a turn-on current overshoot suggesting even higher values of peak responsivity can be possibly achieved due to electric field enhancement caused by charge redistribution in the oxide layer. To further investigate the gain and charge transport mechanisms, we performed lateral electrical measurements on the oxide thin films containing Ge QDs deposited on glass substrates under various conditions. Four point probe experiments are carried out with and without illumination and as a function of probe-to-probe separation distance varied between 100nm to 1.2mu;m to determine the role of photogenerated charge and charge trapping on the photoconduction and transport mechanisms, and to elucidate the gain mechanisms leading to high efficiency photodetectors.
9:00 AM - K13.57
The Oxidation of Cobalt Nanoparticles into Kirkendall-Hollowed CoO and Co3O4:The Processing, Composition Control and Atomic Structural Transformations
Don-Hyung Ha 1 Liane M. Moreau 1 Shreyas Honrao 1 Richard G. Hennig 1 Richard D. Robinson 1
1Cornell University Ithaca USA
Show AbstractThe metal oxidation process has been widely utilized for bulk metal oxide synthesis, but it is not well-investigated for nanomaterials. Unlike bulk materials, nanoparticles (NPs) generally show size- and shape-dependent kinetics and thermodynamics. In NPs, metal oxidation reactions often result in hollow structures due to the nanoscale Kirkendall effect arising from the difference in diffusion rates between the anions and cations. In this work we examine the mechanism for the formation of hollow CoO and Co3O4 NPs through the oxidation of ε-Co NPs by characterizing the atomic structural changes and diffusion processes during the chemical transformation. During this chemical transformation from ε-Co to CoO, the single-crystal spherical ε-Co NPs convert to polycrystalline hollow CoO and then to Co3O4 NPs due to the nanoscale Kirkendall effect.
Through investigations using XRD, EXAFS, TEM, and DFT calculation, we elucidate the atomic structural change and diffusion mechanisms during the oxidation from ε-Co to two phases (CoO and Co3O4) of cobalt oxide NPs. Previous work had not extensively investigated and resolved the structural developments for the nanoscale Kirkendall effect. Our DFT calculations and experimental results suggest that a two-step diffusion process is responsible for the Kirkendall hollowing of ε-Co into CoO NPs. The first step is O in-diffusion by an indirect exchange-mechanism through interstitial O and vacancies of type I Co sites of the ε-Co phase. This indirect exchange mechanism of O has a lower energy barrier than a vacancy-mediated diffusion of O through type I sites. This complex diffusion is due to the unique phase of the starting cobalt, and this result provides insights to understand how the metal oxidation process could differ in nanomaterials. When the CoO phase is established the Co then diffuses outward faster than the O diffuses inward, resulting in a hollow NP. The lattice orientations during the transformation show preferential orderings after the single crystalline ε-Co NPs are transformed to polycrystalline CoO and Co3O4 NPs. Our Co3O4 NPs possess a high ratio of {110} surface planes, which are known to have favorable catalytic activity. The Co3O4 NPs can be redispersed in an organic solvent by adding surfactants, thus rendering a method to create solution-processable colloidal, monodisperse Co3O4 NPs. These insights on the oxidation mechanism provide an important step towards understanding the mechanism so that oxidation transformations can be predictively harnessed.
[1] D.-H. Ha, L.M. Moreau, S. Honrao, R.G. Hennig, and R.D. Robinson, J. Phys. Chem. C. 117, 14303 (2013)
9:00 AM - K13.58
Synthesis and Characterization of NaNbO3 Mesostructure by a Microwave Assisted Hydrothermal Method
Maria Zaghete 1 Guilhermina Teixeira 1 Josamp;#233; Varela 1 Elson Longo 1
1UNESP Araraquara Brazil
Show AbstractNaNbO3 based materials have attracted a great interest in the scientific community, mainly because of their promising application in lead-free devices. However, the synthesis of NaNbO3 structures becomes complex due to the tendency to form intermediate Na2Nb2O6 nH2O phase. The microwave hydrothermal synthesis of NaNbO3 powders was performed starting from NaOH (Quemis) e Nb2O5 (Alfa Aesar 99%). The reaction was carried out in a Teflon vessel model XP-1500 (CEM Corp.), in a MARS-5(CEM Corp.) microwave digestion with an output power of 600 W. In order to prepare the suspensions, 0.696 g of Nb2O5 were added to 30 mL of a 7.5 mol.L-1 NaOH solution. This resultant dispersion was transferred into Teflon vessels and placed inside the microwave furnace. The synthesis was carried out at 180 °C for different times periods (1, 2, 3 and 4 hours). The use of this synthesis presents many advantages because it is a quick and homogeneous way to crystallize ceramic powders. Based on XRD is possible to conclude that for 4 hours of synthesis a pure NaNbO3 product without secondary phase, with orthorhombic crystal structure and lattice parameters a=5.5687 Å, b= 15.523 Å and c= 5.5047 Å according to the Joint Committee on Powder Diffraction Standars database (JCPDS) n. 33.1270 is obtained. Raman and FE- SEM characterizations show the influence of the synthesis time in the structure and morphology of the resultant material. The presence of the Na2Nb2O6.H2O metastable phase with fiber morphology obtained for times smaller than 4hours can be transformed into sodium niobate phase using heat treatment at 600C for 2hours in a tubular furnace. The particles of sodium niobate with morphology of wires and cubes were deposited on substratatos Si / Pt by electrophoresis process and sintered at 800C for 30 minutes in microwaves&’ furnace . The characterization of these films indicated value of 20 µC/cm2 for films of niobate with morphology of wires, turn the morphology of cubes did not show remanent polarization. Considering these results it can be concluded that the morphology implemented ferroelectric property of sodium niobate .
9:00 AM - K13.59
ZnO Nanowire Synthesis Using M13 Bacteriophage Template
Tam-Triet Ngo-Duc 1 Chung Hee Moon 1 Marzieh Tousi 2 Elaine D Haberer 1 3
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA
Show AbstractOver the past decade, the synthesis of Zinc Oxide (ZnO) nanowires has been extensively studied. With a wide band gap (3.37 eV) and high exciton binding energy (60 meV) at room temperature, ZnO has electronic and optoelectronic properties suited for applications, ranging from photocatalytic to thermoelectric devices. There are several approaches to synthesize ZnO nanowires via chemical, physical and solution-based techniques [1]. However, there have been few studies on the biomineralization of ZnO nanowires through the use of peptides or viral templates [2-4]. Bio-directed synthesis of ZnO offers an alternative way to grow ZnO nanowires under ambient conditions. In this study, we report the biomineralization of ZnO on a modified M13 bacteriophage template. With a length of 880 nm and a diameter of 6.6 nm, M13 bacteriophage provides an ideal nanowire template due to its relatively high aspect ratio. Furthermore, the phage provides a highly structured viral protein coat with an organized and dense array of ZnO-binding peptides which serve as nucleation sites along the length of the virus. Through biopanning, a technique used to isolate phages with strong affinity to ZnO, two different phages, displaying the VPGAAEHT and DRQVDATA peptides on the major coat protein, were identified. Binding studies of the phages were conducted to confirm ZnO affinity. It was found that these phages were approximately 80 and 60 times, respectively, more apt to bind to a ZnO thin film than the wild-type control phage. In order to test the phages ability to nucleate and grow ZnO, experiments were carried out using a reported peptide-based chemistry [3]. In these experiments, the phages were dispersed in Zn(NO3)2 solution. This resulted in the nucleation of ZnO nanocrystals along the entire length of each phage. Transmission electron microscopy with energy dispersive spectroscopy (EDS) was used to characterize the size, composition, morphology, and crystallinity of the ZnO nanocrystals. Furthermore, Raman spectroscopy was used to confirm the material composition. We have demonstrated the successful synthesis of ZnO nanowires through the use of a filamentous viral template under ambient conditions. [1] R. K. Joshi, et al., Chemical Society Reviews 41, 5285 (2012); [2] M. Umetsu, et al., Advanced Materials 17, 2571 (2005); [3] Z. Wei, Y. Maeda, et al., Angewandte Chemie International Edition 50, 10585 (2011); [4] S. Balci, et al., Electrochimica Acta 54, 5149 (2009).
9:00 AM - K13.60
High-Mobility Indium-Zinc-Tin-Oxide Thin-Film Transistors with Low Indium Contents
So Hee Kim 1 Cheol Hyoun Ahn 1 Myeong Gu Yun 1 Hyung Koun Cho 1
1Sungkyunkwan University Suwon-si Republic of Korea
Show AbstractCurrently, oxide semiconductors have various applications, such as thin-film-transistors (TFTs), light-emitting diodes (LEDs), solar cells, and chemical/photo sensors due to high mobility and absorption coefficient. In particular, transparent amorphous oxide semiconductors such as In-Ga-Zn-O, In-Zn-O, and Zn-Sn-O have been emerged as promising alternative materials to amorphous Si for the realization of next-generation TFTs, because of their excellent field effect mobility, optical transparency, and relatively low-temperature process.
Generally, high mobility oxide-TFTs have been realized through the channels with high indium contents, such as In-Ga-Zn-O and In-Zn-O. Recently, many groups have studied In-free/poor based oxide TFTs with high-mobility and high-stability. Among In-free oxide semiconductors, Zn-Sn-O TFTs have superior bias stability compared to the In-rich oxide semiconductors. Although the Zn-Sn-O TFTs have relatively high bias stability, the fabrication of high-mobility TFTs is necessary for application in next-generation displays.
In this study, we investigated the performance of the In-Zn-Sn-O TFTs with low In contents. The In-Zn-Sn-O films were deposited on Si/SiO2 substrate by rf sputtering technique, where Zn-Sn-O and In-Sn-O targets were used to control the film chemical composition. The active layer was patterned by photolithography. And, Ti/Au(30/70 nm) was used as the source and drain electrodes. The devices were subjected under two-step thermal annealing: 350°C for 2 hours at N2 and 250°C for 5 min at air to improve electrical properties.
References
[1] P. Barquinha,z L. Pereira, G. Gonccedil;alves, R. Martins, and E. Fortunato, Electrochemical
and Solid-State Letters,(2008) 11 .9. H248-H251
[2] Kenji Nomura1, Hiromichi Ohta1, Akihiro Takagi2, Toshio Kamiya1,2, Masahiro Hirano1
& Hideo Hosono , NATURE, (2004) | VOL 432 |
[3] Kachirayil J. Saji, Madambi K. Jayaraj, Kenji Nomura,Toshio Kamiya,
and Hideo Hosono Journal of The Electrochemical Society, 155 _6_ H390-H395 (2008)
9:00 AM - K13.61
Study of Degradation in Organic Bulk Heterojunction Solar Cells under Variable Conditions
Vinamrita Singh 1 Swati Arora 2 Ram Pal Tandon 1
1University of Delhi Delhi India2Zakir Husain College, University of Delhi Delhi India
Show AbstractThe motive of manufacturing thinner, flexible and low cost solar cells can be fulfilled by organic based photovoltaic devices (OPVs). However, there is still a need to increase both the efficiency and operational life-time of these devices. In the present work, attempts have been made to study the degradation mechanism for our fabricated solar cells (ITO/PEDOT:PSS/P3HT:PCBM/Al) and theoretically analyse the experimental data under variable conditions with the help of mathematical model. The internal junctions and interface states play an important role, which is observed experimentally through the change in the cell parameters taken at regular time intervals. The devices were studied for degradation in air and vacuum. These effects were theoretically analysed taking into account the activities at the interfaces, which showed good agreement between the theoretical and experimental current-voltage characteristics. It was found that the density of states (of the order of 10E11 cm-2eV-1) increased at the interfaces to an order of 10E12 cm-2eV-1 in 350 hours for cells kept in air, while remained of the order 10E11 cm-2eV-1 in vacuum, the thickness of interface layer also increased by 50 % in air, explaining the decrease in current density. The calculated charge carrier mobility (~ 8×10E-4 cm2V-1s-1) and carrier lifetime also showed different decreasing trends with respect to the storing conditions. These were further confirmed with capacitance-voltage measurements. These studies help in outlining the causes of degradation to eradicate the factors responsible for short shelf-life, which is currently the need of the hour.
K11: Magnetism and Magnetic Materials I
Session Chairs
Monica Katiyar
Ashutosh Tiwari
Thursday AM, April 24, 2014
Marriott Marquis, Yerba Buena Level, Salon 7
9:15 AM - *K11.01
Structural and Magnetic Properties of Pulsed Laser Deposited NiO Thin Films
Monica Katiyar 1 Vikram Verma 1
1Indian Institute of Technology Kanpur Kanpur India
Show AbstractNiO thin films have been deposited on Si substrates using pulsed laser deposition technique. The effect of various experimental parameters, including the number of pulses, laser energy and frequency, oxygen pressure and substrate temperature, on the structure and morphology of NiO thin film has been studied. All the films are polycrystalline even when deposited at room temperature. Films deposited at high energy (3.5 mJ/mm2), high oxygen pressure (100 Pa) with 10 Hz repetition laser frequency have resulted in (200) preferred orientation. The texture changes to (111) when film is deposited at higher substrate temperatures or at lower oxygen partial pressure (10 Pa). Films which exhibit (200) preferred orientation are cracked whereas the (111) orientated films are smooth, dense and continuous for the same number of pulses. The crystallite size in the prepared films is in the range of 4-33 nm. Magnetic behavior is correlated with the crystallite size of NiO films. Films with smaller particle size in the range of 4 to 6 nm display superparamagnetic behavior whereas large particle size films exhibit antiferromagnetic behavior. Optimized parameters for the deposition of smooth antiferromagnetic NiO pinning layer (for spin valve applications) are 10 Pa oxygen pressure, 2 mJ/mm2 laser energy and 5 Hz laser frequency.
Next, we studied three samples having different crystallite size, to find out the origin of the intrinsic magnetism in the undoped antiferromagnetic NiO thin films. The low temperature magnetic properties were studied using superconducting quantum interference device magnetometer (SQUID) measurements at temperatures 300K, 60K and 10K. A detailed magnetization study of NiO thin films as a function of magnetic field and temperature confirms that (200) textured film shows the transition from superparamagnetism to ferromagnetism at temperature 60K and 135 K for samples having crystallite size 4 and 6 nm, respectively. Whereas for (111) orientated films having larger average particle size, the behavior remains antiferromagnetic with temperature. The exchange bias is observed in (200) orientated films, when the hysteresis loop is taken in the field cool mode and explained on the basis of core-shell structure. The number of uncompensated spins per particle were calculated at the room temperature and found that the they are proportional to the cube root of the total number of spins per particle, further supporting the fact the our NiO films follows the case, with the uncompensated spins randomly distributed over the surface. The volume fraction of the uncompensated spins decreases with the particle size and confirms that the dipolar interaction is absent in our case. The effective magnetic anisotropy constant is calculated and found that it is decreasing with increase in the particle size.
9:45 AM - K11.02
Cobalt Doping Effect on the Magnetic and Dielectric Properties CaCu3Ti4O12 Ceramics
Chunhong Mu 1 Chao Wang 1 Yuanqiang Song 1 Jihua He 1
1School of Energy Science and Engineering, University of Electronic Science and Technology of China Chengdu China
Show AbstractCaCu3Ti4O12 (CCTO) is well known for its colossal dielectric constant in a broad temperature and frequency range. Cobalt doped CaCu3Ti4-xCoxO12 (CCTCO) with x=0, 0.2, 0.4 were synthesized and the effects of doping on the microstructure, dielectric and magnetic properties of CCTCO ceramics were investigated in this study. X-ray diffraction (XRD) analysis confirmed the formation of single-phase for the undoped samples sintered at 1323 K for 10 h. Both XRD and Energy dispersive X-ray spectroscopy (EDX) confirmed the presense of CuO rich phase at grain boundaries of CCTCO. Cobalt doping had a striking effect on the CCTCO crystalline micrographs characterized by scanning electron microscopy (SEM), i.e., the micrograph of undoped CCTO was polyhedral particle type, while it was changed into typical sheet-like grains with preferential orientation for the micrographs of 5 at.% and 10 at.% cobalt doped CCTCO. As for the dielectric properties, their second relaxation process were clearly separated in low frequency region for CCTCO samples comparing with that of CCTO, while the dielectric constant values were slightly changed by cobalt doping. Based on the relaxation separation by cobalt doping, a multi-relaxation mechanism was proposed to explain the origin of the colossal dielectric constant. In addition, the permeability spectra measurements indicated CCTCO with good magnetic properties, showing the initial permeability (mu;prime;) as high as 10 and low magnetic loss (mu;prime;prime;asymp;0.15) below 1 MHz. And the interesting coupling between the polarization and magnetization was discussed. The coexistence of dielectric and magnetic properties indicated a glimmer of potential application in the field of future nanoelectronic and spintronic devices.
10:00 AM - K11.03
Novel Approach towards Combining Plasmonic, Magnetic, and Semiconducting Nanostructures into Peapod-Type Nanocomposites
Shiva Adireddy 1 2 Cecilia E. Carbo 1 2 Jose M. Vargas 2 Leonard Spinu 2 John B Wiley 1 2
1University of New Orleans New Orleans USA2University of New Orleans New Orleans USA
Show AbstractThe controlled assembly of nanoscale components is important for the production of nanocomposites with directed properties. Recently, we demonstrated flexible methods to synthesize multi-walled hexaniobate nanoscrolls (Adireddy et al. MRB 2013) and magnetic nanopeapods (Adireddy et al. Chem Mater. 2013) in high yield. We have further extended the versatile approach for the fabrication of Au@hexaniobate and bi-functional plasmonic-magnetic Au-Fe3O4@hexaniobate nanopeapods. Multi-walled hexaniobate nanoscrolls and partially filled MFe2O4@hexaniobate peapods were successfully used as templates for the controlled in situ growth of Au nanoparticles and nanorods. The resulting peapod structures exhibited high filling fractions and long-range morphological uniformity. Optical and magnetic studies revealed structural phenomenon, inter-particle interactions and coupling effects. This method may allow a creative route to combine various nanomaterials for potential applications in a variety of areas including catalysis, solar conversion, thermoelectrics, and multiferroics.
10:15 AM - K11.04
Tuning the Growth Mode of Fe3O4 on SrTiO3 (001) through Control of the Growth Energetics
Jarrett A Moyer 1 3 Lane W Martin 2 3 Peter Schiffer 1 3
1University of Illinois at Urbana-Champaign Urbana USA2University of Illinois at Urbana-Champaign Urbana USA3University of Illinois at Urbana-Champaign Urbana USA
Show AbstractSpinel ferrites, in addition to being composed entirely of earth abundant elements, contain many physical properties that make them ideally suited for inclusion in next-generation device applications, including high magnetic critical temperatures, large magnetic moments, and tunable resistivities. The main barrier to incorporating these oxides within all-oxide heterostructures and devices, however, is the large lattice mismatch between the spinel ferrites and the perovskites, which is on the order of 7%. In this work, we use the prototypical spinel ferrite, Fe3O4, and perovskite substrate, SrTiO3, to examine how the energetics of the growth environment can lead to different growth modes for the spinel ferrite. We grew 20 nm thick films of Fe3O4 on SrTiO3 (001) substrates using reflection high-energy electron diffraction (RHEED)-assisted pulsed-laser deposition (PLD) in a 20 mTorr background that is a 2000:1 mixture of Ar:O2, which is the gas mixture necessary for persistent layer-by-layer growth for Fe3O4 when grown on the nearly commensurate substrate of MgO. By monitoring the crystalline structure during growth with RHEED, we have identified three distinct growth regimes that are dependent on the energetics of the growth environment. The high-energy regime, which corresponds to either high growth temperatures or large laser fluences, produces films that are highly crystalline, fully relaxed, and have surface morphologies that consist of large islands. Conversely, in the low-energy regime, corresponding to low growth temperatures or laser fluences, the films are strained, pseudo-amorphous, and atomically flat. Interestingly, a third, metastable state is obtained during the crossover from the low- to high-energy growth regimes. Films grown in this intermediate growth regime are highly crystalline, fully relaxed, and begin growing in a conventional 3-dimensional island mode. After a few nanometers, however, we observe via RHEED the coalescence of the islands, and, eventually, the islands completely merge, forming an atomically flat surface. To examine how these different growth regimes affect the physical properties of Fe3O4, we have conducted transport and magnetometry measurements. Surprisingly, there was little difference in the electronic and magnetic properties between films grown in all three growth modes/regimes. This work demonstrates that, by entering growth regimes that are not typically accessed in thin-film growth, it is feasible to grow atomically flat spinel ferrites with high quality electronic and magnetic properties, which will enable the incorporation of these materials into novel perovskite-based oxide devices.
10:30 AM - K11.05
Magnetic and Optical Properties of Mn-Doped SnO2 Films
S. Sujatha Lekshmy 1 Anitha V s 1 K. Joy 1
1Mar Ivanios College Thiruvananthapuram India
Show AbstractMagnetic nanoparticles have drawn much attention due to their potential in magnetic recording as well as many biological and medical applications such as magnetic separation, hyperthermia treatment, magnetic resonance contrast enhancement and drug delivery.
The magnetic fields generated by these nanoparticles can be used for diagnostics in MRI etc.
Manganese doped tin dioxide (SnO2:Mn) possess interesting physical and chemical properties. The physical and chemical properties of the particles themselves like the size, shape, crystallinity and composition, will control the magnetic properties and response of the particles to magnetic fields. Our work is rooted to control the properties of the particles as well as tailor their magnetic properties for specific applications. In this study, SnO2:Mn films with different Mn concentrations (0-5 mol%) were deposited on the glass substrates by sol-gel dip coating technique. XRD patterns shows tetragonal structure for the SnO2:Mn films for all the concentrations and crystallite size decreased as Mn doping concentration increased from 0 to 5mol%. SEM micrographs revealed that the surface of all films were continuous, smooth, uniform and without micro cracks. The grains are found to be homogenously distributed for all films.The magnetic property shows that pure SnO2 film is diamagnetic and 1- 3 mol% SnO2:Mn films posses room temperature ferromagnetism.SnO2:Mn films with 5 mol% Mn concentration posses paramagnetic behaviour. The optical properties of the films revealed that transmittance of the films decreased with increase in Mn doping concentration. The optical energy band gap values (3.55 eV-3.71 eV) increased with the increase in Mn doping concentrations. Such SnO2:Mn films with structural, optical and magnetic properties can be used as dilute magnetic semiconductors.
11:15 AM - *K11.06
Complex Magnetotransport Phenomena in Ultrathin Film La0.5Sr0.5CoO3-delta; on SrTiO3
Srinivas Polisetty 1 Shun Wang 1 2 Shameek Bose 1 Chris Leighton 1
1University of Minnesota Minneapolis USA2Shanghai Jiao Tong University Shanghai China
Show AbstractThe formation of electronic and magnetic “dead layers” at interfaces in complex oxide heterostructures is a general issue of considerable fundamental interest, with important implications for devices. In our recent work we have employed epitaxial thin films of the perovskite cobaltite La1-xSrxCoO3 (LSCO) [1] (a material of interest for solid oxide fuel cells, catalysis, gas separation mebranes, oxide spintronics, etc.), as a model system to probe the origins of electronic and magnetic property degradation at oxide interfaces. In SrTiO3(001)/LSCO the dramatic suppression in magnetization and conductivity in the very thin film limit occurs due to interface-induced magnetic phase separation, nanoscopic ferromagnetic metallic clusters forming in a non-ferromagnetic insulating matrix [2]. This is driven by O vacancy formation near the interface, related to a novel mechanism of strain relaxation based on O vacancy ordering [2,3]. This defect ordering can be controlled via strain and crystallographic orientation [3], creating a novel means to tune interfacial magnetism and transport. In the current work we highlight the remarkable array of complex magnetotransport phenomena that accompany the interface-induced electronic and magnetic inhomogeneity [4] in SrTiO3(001)/LSCO. As the film thickness is reduced from 70 Å to 30 Å (8 unit cells) a percolative metal-insulator transition occurs, along with large magnetoresistance effects due to both measuring and cooling fields. This inter-cluster magnetoresistance provides an extraordinarily sensitive probe of electronic and magnetic inhomogeneity, to the point where inter-cluster interactions can even be tracked across the thickness-driven percolation transition [5]. We also detect clear signatures of the 108 K symmetry-lowering transition in the SrTiO3(001) substrates, which induces transport anomalies around 108 K, significant temperature hysteresis, and stochastic discontinuities in film resistivity [6]. We argue that the interplay between the thickness evolution of the magnetic/electronic inhomogeneity, and the strain-mediated influence of the substrate phase transformation on the film transport properties, provides a qualitative understanding of these phenomena.
Work at UMN supported by NSF and DoE (specifically neutron scattering).
[1] Torija, Sharma, Fitzsimmons, Varela, Wu and Leighton, J. Appl. Phys. 104, 023901 (2008); Sharma, Gazquez, Varela, Schmitt and Leighton, J. Vac. Sci. Technol. 29, 051511 (2011).
[2] Torija, Sharma, Gazquez, Varela, He, Schmitt, Borchers, Laver, El-Khatib and Leighton, Adv. Mater. 23, 2711 (2011).
[3] Gazquez, Bose, Sharma, Torija, Pennycook, Leighton and Varela, APL Mater. 1, 012105 (2013).
[4] Sharma, Gazquez, Varela, Schmitt and Leighton, Phys. Rev. B. 84, 024417 (2011).
[5] S. Wang, S. Bose, S. Polisetty and C. Leighton, unpublished.
[6] S. Polisetty, S. Bose, S. Wang and C. Leighton, unpublished.
11:45 AM - K11.07
Enhanced Electric Formation of Functional Long-Range Stripe Nanostructures Based on a Morphotropic Phase Boundary
Chan-Ho Yang 1 5 Kwang-Eun Kim 1 Byung-Kweon Jang 1 Yooun Heo 2 Jin Hong Lee 1 Myoungho Jeong 3 4 Jeong Yong Lee 3 4 5 Jan Seidel 2
1KAIST Daejeon Republic of Korea2KAIST Daejeon Australia3Institute for Basic Science Daejeon Republic of Korea4KAIST Daejeon Republic of Korea5KAIST Daejeon Republic of Korea
Show AbstractMorphotropic phase boundary systems have attracted considerable attention because of their abnormally large piezoelectric susceptibilities. Recently, a strain-driven morphotropic phase boundary in which tetragonal-like monoclinic phase (T) and another poly morphic phase (R') coexist, has been found in BiFeO3 (BFO) films grown on LaAlO3. Since the mixed phase regions in BFO films possess large piezoelectric response and ferromagnetic moment, they have potential for electromechanical and magnetoelectric applications. There have been many efforts to control the T-R' phase boundary (T-R' PB) of BFO films, but the density of T-R' PB region is not uniform and relatively hard to align the T-R' PBs in the pure BFO phase with large scale continuity. In this talk, we report that La 5% substitution into BFO thin films improves the formation of well-aligned straight stripe nanostructures with excellent good spatial continuity at least up to ~16 µm. Moreover, we will discuss of electronic conduction at the interfacial phase boundary. The deterministic control of the one-dimensional rewritable straight stripe nano-structures provides formation of various exotic domain structures such as charged domain walls and vortex structure.
12:00 PM - K11.08
Spin-Polarization Transfer in Colloidal Magnetic-Plasmonic Au/Iron Oxide Hetero-Nanocrystals
Paolo Ghigna 1 Francesco Pineider 1 Cesar de Julian Fernadez 1 Valeria Videtta 1 Awni al Hourani 1 Davide Cozzoli 1 Claudio Sangregorio 1
1Universitamp;#224; di Pavia Pavia Italy
Show AbstractWe report on the unprecedented direct observation of spin-polarization transfer across colloidal magneto-plasmonic Au@Fe-oxide core@shell nanocrystal heterostructures. A magnetic moment is induced into the Au domain when the magnetic shell contains a reduced Fe-oxide phase in direct contact with the noble metal. An increased hole density in the Au states suggested occurrence of a charge-transfer process concomitant to the magnetization transfer. The angular to spin magnetic moment ratio for the Au 5d states, which was found to be equal to 0.38, appeared to be unusually large when compared to previous findings. A mechanism relying on direct hybridization between the Au and Fe states at the core/shell interface is proposed to account for the observed transfer of the magnetic moment.
12:15 PM - K11.09
Structure and Transport Properties of Topotactically de-Intercalated Hollandite Type ATi8O16 (A = K, Ba)
Pouya Moetakef 1 Amber Larson 1 Timothy Stacey 3 Daniel Taylor 2 Efrain Rodriguez 1
1University of Maryland College Park USA2University of Maryland College Park USA3University of Maryland College Park USA
Show AbstractHollandite-type compounds exhibit one-dimensional channels of octahedrally coordinated metal atoms, allowing them to act as molecular sieves. Originally studied by mineralogists for nuclear waste immobilization, the structure also provides an intriguing target for catalysis, energy storage in batteries, and energy conversion applications. Here we report on the structure and properties of metastable Hollandites ATi8O16 (A is either a monovalent or divalent cation). One dimensional channels in these compounds would allow for the transfer of electrons or molecules throughout this layer. However, cations persist in the channels, impeding transport. De-intercalation of the A cation is an important step in this regard. So far, current de-intercalation techniques employ strong oxidizing aqueous solutions which are susceptible to replacing the A-cations with protons (in form of H3O+ or H+). Dry de-intercalation techniques would provide the advantage of removing cations without proton substitution.
In this work the growth of metastable Hollandite-type ATi8O16 single crystals was achieved using a unique molten salt evaporation technique, in addition to the solid state synthesis of Hollandite powder. All reactions were performed under reducing conditions. In addition to X-ray diffraction, neutron diffraction was employed for structural analysis. Subsequently, cations were de-intercalated from ATi8O16 without the use of oxidizing solutions. X-ray diffraction studies showed that the new Hollandite phase is TiO2. Upon washing with water, protons (in form of H3O+ or H+) entered the channels as observed by X-ray diffraction. Upon cation exchange, structural transformations were observed which are indicative of proton exchange with the A cation. Stability of the Hollandite phases in air and vacuum was assessed before and after de-intercalation processes using X-ray diffraction with in-situ heating capabilities. Electrical and magnetic characterization showed paramagnetic insulating behavior. Electronic structure calculations were also performed to identify underlying mechanisms of the observed magnetism and charge ordering.
Symposium Organizers
Dhananjay Kumar, North Carolina Aamp;T State University
Valentin Craciun, National Institute for Laser, Plasma, and Radiation Physics
Kaushal K. Singh, Applied Materials
Maryline Guilloux-Viry, University of Rennes 1
Symposium Support
Applied Materials, Inc.
North Carolina Aamp;T State University
K15: Sol Gel and Plasma Assisted Oxide Coatings II
Session Chairs
Friday PM, April 25, 2014
Moscone West, Level 3, Room 3022
2:30 AM - K15.01
Rheological Properties of the ITO Gel and Its Application for Forming Nano-Patterns by Imprinting
Daisuke Hirose 1 Toshihiko Kaneda 3 6 Takaaki Miyasako 3 4 Phan Trong Tue 2 Yoshitaka Murakami 2 4 Shinji Kohara 5 1 Jinwang Li 2 3 Tadaoki Mitani 2 3 Eisuke Tokumitsu 1 2 3 Shogo Nobukawa 1 Tatsuya Shimoda 1 2 3
1Japan Advanced Institute of Science and Technology Nomi Japan2Japan Advanced Institute of Science and Technology Nomi Japan3Japan Science and Technology Agency (JST), ERATO, Shimoda Nano-Liquid Process Project Nomi Japan4JSR Corporation Yokkaichi Japan5Japan Synchrotron Radiation Research Institute (JASRI) Kouto Japan6Toray Battery Separator Film Co., Ltd. Nasu-Shiobara Japan
Show AbstractPrinting of small size devices with a sub-micron dimension has been a long desire in this field. Here, we propose a new printing method (we name it rheology printing) for metal-oxide patterns. Excellent oxide transistors with channel lengths around 500 nm were successfully printed by this method (1). This printing utilizes a viscoelastic or rheological transformation of a precursor gel when imprinted. The imprinted pattern shows very small shrinkage during post-annealing; metal-oxide condensation at imprinting occurs. The viscoelastic property and metal-oxide condensation, which guarantees a high shape fidelity to the mold, are related to the cluster structure in the precursor gel. This method has worked for patterns down to several tens of nanometers. Excellent processability using the rheology printing process has been confirmed with InSnO(ITO), ZrO2, InGaZnO, LaNiO3 and RuO2 materials.
In this report we introduce the case of indium tin oxide (ITO) as an example. The ITO solution was made from indium acetylacetonate, tin acetylacetonate dissolved in propionic acid. The thermal analysis revealed that ITO precursor is in a gel state between 100 °C and 225 °C. To investigate the temperature dependence of rheology properties, a pellet sample of the gel dried at 100 °C were measured using a rheometer. The elastic modulus E&’ and the viscous one E” were measured by changing the temperature from room temperature up to 300°C. A sharp peak was observed in the tan δ (=E&’&’/E&’) around 190 °C. This clearly shows that a viscoelastic transformation like a glass transition occurs. This viscoelastic property of ITO gel can be used to make a precise ITO pattern. We coated an ITO gel thin film with a thickness of 200 nm on a SiO2 substrate at 100 °C. The imprinting was performed using the SiO2 mold by changing the temperature and pressure. Very good patterns were obtained under the conditions where the pressure more than 5 MPa and the temperature just above 170 °C. The examples were cube patterns which were imprinted by the cavity-mold having the dimension of 0.5 um x 0.5 um x 0.35 um (height). The shrinkage at imprinting gel was around 20.0% while the shrinkage after post-annealing at 450 °C was only 12.5%. It is surprising to see that there is very little deformation by post-annealing. As a result, the volume ratio of the final pattern (post-annealed) to the initial one (cavity) is 70.0%. This is a quite large number compared with the other direct imprinting methods where the ratio from several % to a few tens % has only been obtained. It is found that the good imprinting properties of ITO is closely related to the structure of the ITO gel that is composed of assembled nanostructures of approximately 1 nm in diameter, consisting of a central InaOb core surrounded by an organic functional group shell.
Reference
(1) T. Kaneda et al., submitted to the Journal of Materials Chemistry C (under publishing).
2:45 AM - K15.02
Photonic Crystallization of Barium Strontium Titanate Thin Films Using Visible Flash Light and UV Irradiation
Hyun-Jun Hwang 1 Soo-Chul Lim 2 Joonah Park 2 Hak-Sung Kim 1
1Hanyang Unversity Seoul Republic of Korea2Samsung Advanced Institute of Technology, Samsung Electronics Yongin Republic of Korea
Show AbstractThin films made of high dielectric constant materials based on alkaline earth titanates of BaTiO3 (BTO) and SrTiO3 (STO) have received much attention and can be used in optoelectronic devices due to their large electro-optical coefficient, low optical losses, and excellent optical transparency in the visible region. An application of these types of films as insulating layer in flat panel displays (FPD) comprising of the layer of metal-electrode/phosphor/insulator/transparent electrode/glass has recently been reported.
Conventionally, BTO and STO thin films are sintered by high temperature (~900°C) thermal sintering. However, high temperature processes include fatal problem causing damages of flexible polymer substrate such as polyethylene terephthalate (PET).
To solve these problems, in this work, a new way to fabricate BTO and STO electrode by flash light and UV is demonstrated. BTO and STO nanoparticles were crystalized and sintered on ITO PEN by simultaneously flash light and UV irradiation at room temperature in ambient condition, which is dramatically simple, ultrahigh speed and one-shot large area fabrication process compared to a conventional high temperature thermal sintering process. The effects of the flash light conditions (flash light energy, pulse numbers and pulse duration) and UV irradiation power on the crystallization of BTO and STO layer, were studied and discussed using several microscopic and spectroscopic characterization techniques such as SEM, FT-IR, XRD and XPS. It was found that a photonic sintering process is capable to sintering BTO and STO nanoparticles in a few milliseconds and appropriate for mass-production. Therefore, it is expected that the newly developed photonic sintering technique of BTO and STO nanoparticles would be a strong alternative to realize the room temperature and in-situ sintering of transparent electrodes for insulating layer in flat actuator panels.
3:00 AM - K15.03
Interfacial Energy Driven Colloidal Lithography to Grow Periodic Nanostructure Ensembles
Apurba Dev 1 Ahmed Abedin 1 Bikash Dev Choudhury 1 Srinivasan Anand 1
1Royal Institute of Technology (KTH) Kista Sweden
Show AbstractPeriodic nanostructures are of high technological importance for their applications in a variety of photonic and sensing devices. Interaction of light with periodic nanostructures lead to a number of fascinating optical properties e.g., photonic band-gaps, tunable light trapping, and surface enhanced Raman scattering. In addition, surface nano-texture can generate super hydrophobic surfaces leading to smart functionality such as a self-cleaning device. Colloidal lithography based on self-assembled colloidal particles offers a simpler, faster and inexpensive technique for large-area pattern fabrication. However, such a method cannot be directly applied in the case of solution-based synthesis as the reactants can easily penetrate through the pores.
We present a novel interfacial energy driven colloidal lithography technique to form periodic patterns from solution phase and demonstrate the feasibility and versatility of the concept by fabricating periodically arranged ZnO nanowire (NW) ensembles on Si substrates. The pattern fabrication method exploits different interfaces formed by sol-gel derived ZnO seed solution on hydrophobic Si substrate covered by monolayer of colloidal silica spheres. While the hydrophobicity of the Si substrate prevents wetting by the seed solution, the wedge shaped region surrounding the contact point between the colloidal particles and the Si substrate trap the solution due to reduced air-liquid interface. This technology allows us to fabricate uniform circular and ring-shaped patterns consisting of ZnO seed particles on an area as large as several square centimeters. ZnO nanowires were then grown on the generated seed patterns using a hydrothermal technique, resulting in well-defined periodic assembly of ZnO NW bundles. Periodicity of the patterns was varied from 500 nm up to 3 µm using silica spheres with different sizes. The total reflectivity measurements were performed in order to investigate on the interaction of these periodic structures with light. In the wavelength range, 300-400 nm the reflectivity was less than 2%, resulting in enhanced absorption. In addition, compared to bare Si the reflectivity is also significantly suppressed, by more than a factor of 2, for wavelengths 400-1300 nm. Finite difference time domain (FDTD) simulations of the wavelength dependent reflectivity show good agreement with the experimental data. The demonstrated method to obtain periodic patterns of ZnO seed-layer and ensembles of NWs is also applicable for other materials generated by solution chemistry, increasing the range of applications in optoelectronics and sensing.
3:15 AM - K15.04
Highly Photochromic Divalent Metal Doped Ormosil-Phosphotungstate Hybrid Films
Elias Paiva Ferreira 1 Sajjad Ullah 1 Ubirajara Pereira Rodrigues 1 Orlando A.Elguera Ysnaga 1
1University of Sao Paulo Sao Carlos Brazil
Show AbstractOwing to the wide use of photochromic materials in UV sensors and dosimeters, considerable efforts have been made to increase the UV-response and sensitivity of the existing classes of photochromic materials. Organic-Inorganic Hybrid matrices are among the most promising systems for the entrapment of photoresponsive compounds and preparation of photochromic materials with potential technological applications. In this study, we report a simple sol-gel preparation of transparent photochromic films based on Ormosil-phosphotungstate hybrid materials, and, more interestingly, the significant enhancement of their photochromic response by doping with divalent metal ions (Mg, Zn, Ca, Sr and Ba). The materials were prepared by the sol-gel route and deposited on glass slides by dip-coating. The evaluation of the photochromic properties was made by the irradiation with a solar light simulator and monitoring by electronic spectroscopy in the visible region. Compared to the undoped samples, the photochromic response of the doped hybrid films increases to varying extents (30-500%) depending on the size of the added cation. The highest increase in photochromic response (around 500%) was achieved by Ba2+ doping. X-ray fluorescence analysis showed that the addition of the cations M2+ in the sol-gel preparations leads to an increase in the amount of phosphotungstate ( PW12O403-) incorporated in dip-coated films thereby enhancing the photochromic response of the hybrid films. TEM and nano-EDX analysis of the M2+-doped samples showed the formation of nano-agglomerates consisting of the doping metal and phosphotungstic acid. As the solubility of polyoxometalate salts greatly decreases with the increase counter cation size , the formation of the agglomerates is favored in the presence of the larger cations (Sr2+ and Ba2+) It is suggested that these phosphotungstate nano-agglomerates get trapped into the ormosil network during film preparation leading to increased concentration of phosphotungstate anions in the films, in accordance with results from XRF analysis. These highly photochromic hybrid films are promising candidates for the design of practical UV-sensing devices and dosimeters.
3:30 AM - K15.05
In-Situ Fabrication of a Porous Nano-Catalyst Reactor for H2 Production by Methanol Steam Reforming
Wen Peng 1 Emmanuel Lim 1 Ivonna Dumanyan 1 Nico Hotz 1
1Duke University Durham USA
Show AbstractIn this study, a one-step sol-gelation procedure was employed to in-situ fabricate a porous reactor material for H2 production by methanol steam reforming. A fabrication method was developed to generate a nano-catalytic reactor of excellent chemical and thermal robustness and simple transferability without compromising the high catalytic activity of the original catalyst due to the additional chemical reactants.
Al2Si2O7 was shown to be a very effective ceramic binder without any negative effect on the catalytic performance, in contrast to Na2SiO3. A thermal pre-treatment at 60°C in a water bath for 24 hours before the final treatment at 120°C for 2 hours improved the methanol conversion drastically.
When it comes to the placement of catalyst into a reactor for practical applications, coating and impregnating of catalysts are the two main conventional methods. Our novel approach was to fill a gel precursor containing the catalyst into the final reactor geometry without the need of impregnating or coating of a substrate with catalytic material. With this method, a porous ceramic foam reactor could be fabricated. The so-generated foam-like porous ceramic showed properties highly appropriate for use as catalytic reactor materials, e.g. reasonable pressure drop due to its porosity, high thermal and catalytic stability, and excellent catalytic behavior.
The performance of porous foam reactors, as well as that of corresponding packed bed reactors, was analyzed under varied operating parameters. The results showed that both types of reactors achieve high methanol conversion under most operating conditions. Compared with packed bed reactor, the foam reactor was more durable and produced lower concentrations of CO, which makes it more feasible and promising in realistic applications.
K14: Sol Gel and Plasma Assisted Oxide Coatings I
Session Chairs
Deepam Maurya
Valentin Craciun
Friday AM, April 25, 2014
Moscone West, Level 3, Room 3022
9:30 AM - K14.01
Influence of Grain Size on the Photonic Properties of Sol-Gel ZrO2 Thin Films
Joy Kunjukunju 1 2
1Mar Ivanios College Thiruvananthapuram India2Heera College of Engineerign and Technology Thiruvananthapuram India
Show AbstractZrO2 thin films were deposited on quartz substrates from Zirconium-n-butoxide in isopropanol by sol-gel dip-coating technique. Ellipsometric study revealed that refractive index of the films increased with increase in sol concentration which is ascribed to the decrease in porosity. X-ray diffraction study showed that a tailoring of grain size from 7.9 to 39.2 nm is possible with increase in sol concentration. Atomic force microscopy studies showed a change in growth mode from vertical to lateral mode with increase in sol concentration. The film surface revealed positive skewness and high kurtosis values which make them favorable for tribological applications. The average optical transmittance in the visible region is greater than 90%. The optical band gap decreased from 5.74 to 5.62 eV with increase in the sol concentration - the quantum confinement effect manifested by the decreasing size of ZrO2 crystallites. Photoluminescence (PL) spectra of the films exhibit an increase in the emission intensity with increase in sol concentration which substantiates better crystalline quality of the film deposited from higher concentrated sol and increase in oxygen vacancies. The “Red shift” of the PL spectra with increase in sol concentration originates from the increase in the grain size with sol concentration. The dependence of PL emission peak position on particle size in the film makes it suitable for tailoring the emission colour.
9:45 AM - K14.02
Atmospheric Plasma Deposition of Transparent Multilayer Organo-Silicate Coating Structures
Linying Cui 1 Alpana Ranade 2 Kjersta Larson-Smith 2 Krystelle Lionti 3 Geraud Dubois 3 Reinhold Dauskardt 1
1Stanford University Stanford USA2Boeing Research amp; Technology Seattle USA3IBM Almaden Research Center San Jose USA
Show AbstractMass production of nano-scale controlled coatings on large substrates for applications like transparent barriers in flexible electronics and organic photovoltaic panels, hard protective coatings for aircraft windows, and antireflective coatings on photovoltaic devices are important current technological challenges. We report on the synthesis of high performance, multilayer organo-silicate thin-film structures with controlled film thicknesses of tens to hundreds of nanometers on large substrates using atmospheric plasma deposition, in ambient air, at room temperature. We demonstrate the deposition of a bilayer structure with a thin highly adhesive carbon-bridged hybrid silica layer on polymer substrates, followed by a hard and dense silica coating as a diffusion barrier and scratch resistance coating. The whole structure exhibited twice the adhesion energy of commercial polysiloxane sol-gel coatings on polymers and a three times increase of the Young&’s modulus. Then we extend the work to multi-layer graded-index hybrid silica coating deposition. An organo-silicate precursor and a hydrocarbon precursor were used together to deposit the multi-layer structure. The organic component in the silica network of each layer is precisely controlled by tuning the ratio of the two precursors. Finally, a four layer graded-index coating is synthesized, and the thermo mechanical and optical properties are reported.
10:00 AM - K14.03
Formation of Germanium Oxide Thin Film by Neutral Beam Oxidation Process and Post Oxidation Method
Daiki Nakayama 1 Takeo Ohno 2 3 Seiji Samukawa 1 2
1Tohoku University Sendai Japan2Tohoku University Sendai Japan3JST-PRESTO Kawaguchi Japan
Show AbstractGermanium (Ge) metal-oxide-semiconductor field-effect-transistor (MOSFET) is one of the most promising transistors due to its much higher bulk mobility for both electrons and holes than those in silicon. In our previous work, we realized Al2O3/GeO2/Ge gate stacks with low equivalent oxide thickness (EOT) and low interface trap density (Dit) by using Neutral Beam Oxidation (NBO) [1]. NBO process has great advantages for semiconductor oxidation such as elimination of UV photons, prevention of charge-up, and low-temperature process, that is, the Ge oxidation can be performed without any damages. Post-oxidation process of Ge materials after deposition of Al2O3 [2] is also an advanced oxidation technique because Ge oxide (GeOx) film usually shows instability in ambient moisture condition. In this study, we fabricated Al2O3/GeOx/Ge gate stacks by combination of NBO and post oxidation process, and investigated the effect of beam energy of oxygen neutral beam on the characteristics of Ge gate stacks.
The fabrication process of Al2O3/GeOx/Ge structure is as follows. First, Ge substrate was cleaned by using DHF. Next, after electron beam deposition of a Al film on Ge substrate, GeOx layer was formed by irradiation of oxygen neutral beam at 300 °C. Beam energy of the neutral beam was controlled from 10 to 80 eV. Finally, Au top electrode and Al back contact were formed to fabricate the Ge MOS capacitor.
We fabricated the gate stacks which consisted of 0.5-nm-thick Al2O3/1.4-nm-thick GeOx/Ge by using NBO with different beam energies and post oxidation method. From the results of XPS measurement, it was observed that increase of beam energy resulted in increase of composition ratio of GeO2 in GeOx layer. Thus, it is considered that higher neutral beam energy promotes the oxidation reaction of Ge. In addition, Ge MOS capacitors with same EOT value formed by using different beam energy indicated different electrical characteristics: Dit value under the beam energy of 80 eV was much lower than that of 10 eV. These results suggest that increase of the content of GeO2 shows decrease of Dit value. Therefore, controlling the energy of oxygen neutral beam in the post oxidation process is effective to control the composition of GeOx layer and to decrease Dit value in the Al2O3/GeOx/Ge gate stacks.
[1] A. Wada, et al., Appl. Phys. Lett., 100 (2012) 213108.
[2] R. Zhang, et al., Appl. Phys. Lett., 98, (2011) 112902.
10:15 AM - K14.04
High Transmission and Low Resistivity Cadmium Tin Oxide Thin Films Deposited by Sol-Gel
Carolina Janani Diliegros Godines 1 Rebeca Castanedo Perez 1 Gerardo Torres Delgado 1 Orlando Zelaya Angel 2
1Cinvestav-Qro Queretaro Mexico2Cinvestav Mexico Mexico
Show AbstractCadmium tin oxide (CTO) thin films were obtained from a mixture of CdO and SnO2 precursor solutions by the dip-coating sol-gel technique. The thin films studied in this work were made with 7 and 16 coatings (~200 nm and ~500 nm, respectively) on corning glass and quartz substrates. Each coating was deposited at a withdrawal speed of 2 cm/min, dried at 100°C for 1 hour and then sintered at 550°C for 1 hour in air. In order to decrease the resistivity values of the films, these were annealed in vacuum atmosphere and another set of films were annealed in Ar/CdS atmosphere. The annealing temperatures (Ta) were 450°C, 500°C and 550°, as well as 600°C and 650°C, when corning glass and quartz substrates were used, respectively. XRD patterns of the films annealed in vacuum showed three types of films: i) For Ta=450°C the films were constituted of CTO+CdO crystals, ii) For 500°C le; Ta le; 600°C the films were only formed of CTO crystals and iii) For Ta=650°C the films were constituted of CTO+SnO2 crystals. The films annealed in Ar/CdS atmosphere were only constituted of CTO crystals independent of the Ta. The minimum resistivity value obtained was ~4 x 10-4 Omega; cm (square resistance of 20 Omega;/square) for the films constituted of 7 coats and annealed at Ta=600°C under Ar/CdS atmosphere. The films deposited on quartz showed the higher optical transmission (~90%) respect to the films deposited on corning glass (~85%) in the Uv-vis region. For their optical and electrical characteristics, these films are good candidates as transparent electrodes in solar cells.
10:30 AM - K14.05
Thermal Stability of Mesoporous Spinel (MgAl2O4) Catalyst Supports Synthesized by Different Co-Precipitation Methods
Alberto Cambra-Pereira 1 Fabrice Rossignol 1 Thierry Chartier 1 Caroline Bertail 2 Raphael Faure 2 Laura Molina Jotel 1 2 Pascal Del Gallo 2
1Universite de Limoges Limoges France2Air Liquide Paris France
Show AbstractThe improvement of industrial processes based on heterogeneous catalysis involves, among others, improving catalyst performance. This is particularly true when processes are intensified, e.g. when the size of the process is decreased and thus when the catalyst is more solicited that in traditional operating conditions. This is the case, for example, for intensification of Steam Methane Reforming (SMR) that is the most widely used process for syngas production (H2, CO). SMR is an endothermic process, the temperature of the catalytic reaction bed ranges from 450 °C to 950 °C and the reaction is carried out under a high pressure of steam. There are several causes of deactivation of SMR catalyst including coking, poisoning, sintering and abrasion. High temperature and steam pressure accelerate the sintering process, which can have significant impact in reactors where contact times are lower than 1 s. For this reason, it is of great importance to achieve a stable support, on which the metallic phase has a good dispersion and stability.
Magnesium aluminate spinel, MgAl2O4, is used today as catalyst support for reforming processes because it combines a high melting temperature (2135 °C), a chemical inertness, a good thermal shock resistance and a high mechanical strength. Several types of wet-chemical techniques have been successfully used for the preparation of quite stable ultra-divided nanosized MgAl2O4 spinel supports. Some of these techniques are co-precipitation, sol-gel route, spray-drying, freeze-drying and modified Pechini process. Co-precipitation is a simple and low cost method of synthesis and easy to implement for mass production.
Here, ultra-divided magnesium aluminate (MgAl2O4) spinel powders are prepared by different techniques. We compare synthesis with and without co-precipitation and co-precipitation with surfactant using different synthesis parameters like the type of solvents, the temperature, the pH and others. Supports properties like specific surface area and crystallite size are investigated. We also compare the hydrothermal stability of those spinel supports at high temperature (910 °C) in SMR conditions and their ability to disperse an active metallic phase (Ni). The as-synthesized powders are characterized by XRD, SEM, TEM, N2-physisorption and H2-chemisorption.
We show that co-precipitation methods are suitable to get mesoporous spinel supports with a low synthesis temperature and good crystallinity. To this respect, the best results concerning specific surface area and crystallite size are obtained by co-precipitation together with the addition of a surfactant. The dispersion of the active metallic phase on such a support is good but a compromise has to be reached between the surface area of the catalyst and an adequate thermal stability.
11:30 AM - K14.07
High-Mobility Metal-Oxide Transistors Processed from Solution at Temperatures Below 200deg;C
Yen-Hung Lin 1 Hendrik Faber 1 Martyn McLachlan 2 Thomas Anthopoulos 1
1Imperial College London London United Kingdom2Imperial College London London United Kingdom
Show AbstractThe incompatibility with low-cost manufacturing is an important technological bottleneck that has limited the use of vacuum-based amorphous transparent-oxide-semiconductor (TOS) based thin-film transistor (TFT) technology in a range of fast emerging optoelectronic applications. To this end solution based methods are now starting to receive increasing attention for the synthesis of advanced TOS materials. To date, and only few years since their functionality-proof has been accomplished, solution-processed TOS TFTs have managed to outperform their vacuum-based counterpart and are fast approaching that of polycrystalline Si.[1] Despite their tremendous potential, however, state-of-the-art oxide TFTs still require somewhat high processing temperatures (ge; 400°C) that render the technology incompatible with flexible, low-cost substrate such as plastic. As a result, recent effort towards research and development of novel solution-processable TOS materials and alternative growth methods has been intensifying.[2, 3]
Here we report the development of solution-processed TFTs using soluble TOS materials that can be processed at temperatures below 200°C. Materials studied include ZnO, InOx, GaOx as well as their ternary systems. When these materials are combined with carefully optimised transistor architectures based on solution-processable high-κ gate dielectrics, electron mobility values in excess of 30 cm2/Vs can be obtained at operation voltages below 2 V. In addition to the high-mobility and low-power operations, these all solution-processed TOS TFTs exhibit high on/off channel current ratio with typical values in excess of 10,000. Study of the electron transport as a function of temperature in these devices reveals a temperature-independent behavior, which in some cases appears to be characterized by negative activation energy indicative of band-like transport. Since the entire device fabrication protocols developed here require maximum temperatures well below 200°C, we were also able to realise TOS TFTs on plastic substrates with electron mobility over 10 cm2/Vs. Our work demonstrates how new materials combined with alternative processing protocols can indeed be used to develop high-performance transistors on arbitrary substrates using inexpensive high-throughput processing methodologies.
[1] Adamopoulos, G., et al., “Spray-deposited Li-doped ZnO transistors with electron mobility exceeding 50 cm2/Vs,” Adv. Mater., 22, 4764 (2010).
[2] Kim, M. G., et al., “Low-temperature fabrication of high-performance metal oxide thin-film electronics via combustion processing,” Nat. Mater., 10, 382 (2011).
[3] Kim, Y.-H., et al., “Flexible metal-oxide devices made by room-temperature photochemical activation of sol-gel films,” Nature, 489, 128 (2012).
11:45 AM - K14.08
Synthesis of Electrically Conductive, Ductile, Millimeter-Length NaxCoO2 and KxCoO2#9679;yH2O Nanosheets
Mahmut Aksit 1 Benjamin Hoselton 1 Ha J Kim 1 Don-Hyung Ha 1 Richard D. Robinson 1
1Cornell University Ithaca USA
Show AbstractConducting metal oxide nanostructures have gained much attention due to their potential use in applications such as nanoelectronics, catalysis, and energy devices. Here we report a novel method for fabrication of millimeter-length metal oxide nanosheets: Sol-Gel and electrical-field induced Kinetic Demixing (SGKD) processes were used to synthesize electrically-conducting, ductile, nanosheets of NaxCoO2 and KxCoO2#9679;yH2O. The nanosheets are uniform in length and shape with highly anisotropic dimensions of ~20 nanometer sheet thickness with ~2 millimeter lateral lengths (aspect ratio of 50,000:50,000:1). The KxCoO2#9679;yH2O metal oxide nanosheets are exceptionally conductive with an in-plane resistivity less than ~4.7 mOmega;#9679;cm. Surprisingly, the nanosheets are ductile with a bending radius as small as ~5 nm, as determined from scanning electron microscopy (SEM) studies. Results from in-plane x-ray studies at the Cornell High Energy Synchrotron Source (CHESS) and high resolution transmission electron microscopy (HR-TEM) imaging performed on delaminated pieces indicate that the nanosheets stack on each other in a turbostratic arrangement, with the nanosheets misaligned rotationally with respect to stacking axis. The delaminated nanosheets are as large as 350 microns, which is ~10 times larger compared to typical values reported in the literature. The sol-gel based, high-temperature bottom-up synthesis forms tens of thousands of nanosheet layers self-organized into a macro-scale pellet. We present insight into the chemistry of the metal oxide formation mechanisms through the SGKD process. The synthesis method is a scalable and cost-effective route capable of nanomanufactuing functional oxide nanosheets.
M. Aksit, B. Hoselton, H.J. Kim, D.-H. Ha, and R.D. Robinson, “Synthesis and Properties of Electrically Conductive, Ductile, Extremely Long (~50 µm) Nanosheets of KxCoO2#9679;yH2O," ACS Appl. Mater. Interfaces 5, 8998 (2013)
M. Aksit, D.P. Toledo, and R.D. Robinson, “Scalable Nanomanufacturing of Millimetre-Length 2D NaxCoO2 Nanosheets”, J. Materials Chemistry 22, 5936 (2012)
12:00 PM - K14.09
Antibody Immobilization for ZnO Nanowire Based Biosensor Application
Ankur Gupta 1 Deepak Singh 1 Monalisha Nayak 1 Shantanu Bhattacharya 1
1Indian Institute of Technology Kanpur Kanpur India
Show AbstractBecause of possessing high surface area, good bio-compatibility and non-toxicity, nano structured ZnO film has great potential for biosensor applications. Herein, we fabricate highly dense ZnO nano bundles with the assistance of self assembled poly methylsilisesquoxane (PMSSQ) matrix which was realized using a solution of (PMSSQ) nanoparticles, Polypropylene Glycol (PPG) and the ZnO nano-seeds all fine dispersed in a solvent medium. The ZnO nano-seeds were dispersed homogeneously in this solution. The PPG was subsequently evaporated from this film thus creating the self-assembled structure comprising of PMSSQ nano-particles and Zinc Oxide. Nano-wire bundles with a high overall surface roughness were grown over this template by a daylong incubation of an aqueous solution of hexamethylene tetra amine and Zinc nitrate. Characterization of the fabricated structures is performed by FESEM, TEM, EDAX, and XRD. We envision the fabricated platform for antibody immobilization, which is performed by firstly modifying the surface by protein-G followed by Goat anti salmonella antibody treatment. Antibody activity has been characterized by 3D profiler, FTIR, Bio-Rad Protein assay etc. To develop high performance, sensitive and reproducible biosensor for sensitive detection of target molecules, the proper immobilization of antibodies has been carried out.
12:15 PM - K14.10
Facile One-Pot Synthesis of Rhenium Nanoparticles
Tugce Ayvali 1 3 Karine Philippot 1 3 Bruno Chaudret 2
1CNRS TOULOUSE France2CNRS TOULOUSE France3Universite Paul Sabatier TOULOUSE France
Show AbstractNanomaterials of various types have experienced a significant development over the last decade for their use in catalysis, to cite only one domain of application among many others.[1] However this development has remained relatively limited to few classes of elements. For example, the preparation and characterization of nanoparticles of group 7 transition metals have been reported in very few articles.
Refractoriness, mechanical strength, high melting point and chemical resistance to be poisoned from N, S and P make rhenium as an attractive metal for aircraft engines and microelectronics. It has been also observed that rhenium has a positive contribution in terms of conversion and selectivity of complex catalytic processes such as glycerol reforming, hydrocarbon transformations and hydrogenation of difficult functional groups.[2] Surprisingly, concerning pure rhenium nanoparticles there is a lack of information in the literature about their synthesis. Although there are some examples, they all suffer from difficult synthetic protocols, polydispersity or lack of characterization. [3] Thus, the synthesis of well-controlled, adaptable, uniformly dispersed and reproducible, rhenium nanoparticles still remains as a challenge in research.
In our group, we have a wide experience in the synthesis of diverse metal nanoparticles by using organometallic complexes as metal source. [4] This organometallic approach allows a clean surface, in the absence of oxidation (the presence of just hydrides and stabilizing agents), with a good control over the size and shape of the nanoparticles. In this presentation, we will present, for the first time, a facile one-pot synthesis of pure rhenium nanoparticles by using the Re2(C3H5)4 organometallic complex as rhenium source. The rhenium nanoparticles (Re NPs), are prepared by reducing Re2(C3H5)4 in solution under dihydrogen atmosphere, in the presence of hexadecylamine or polyvinylpyrolidone as stabilizing agents. This leads to stable colloidal solutions containing monodispersed Re NPs with a narrow size distribution centered at 1.1 ± 0.3 nm. The characterization of these Re NPs has been performed by a combination of state-of-the art techniques (TEM, HRTEM, EDX, WAXS, TGA, EA, FT-IR) associated with surface reactivity studies. We guess that these new Re NPs will be of interest to explore more the surface properties of Re-based nanomaterials and to develop advanced catalytic systems.
References
[1] K. Philippot and P. Serp, Nanomaterials in Catalysis (Eds.), Wiley-VCH, Weinheim, 2013, 1
[2] V.G. Kessler and G.A. Seisenbaeva, Minerals, 2012, 2, 244
[3] Y.Y. Chong, W.Y. Chow, W.Y. Fan, J. Colloid. Inter. Sci., 2012, 369, 164; C. Vollmer, E. Redel, K. Abu-Shandi et. al. Chem. Eur. J., 2010, 16, 3849
[4]C. Amiens, B. Chaudret et. al., New J. Chem., 2013, 37, 3374
12:30 PM - K14.11
Room Temperature UV-Violet Emission from Dual Acceptor Doped ZnO Thin Films
Ramanjaneyulu Mannam 1 Nandita DasGupta 2 M S Ramachandra Rao 1
1Indian Institute of Technology Madras Chennai India2Indian Institute of Technology Madras Chennai India
Show AbstractIn recent years ZnO has attracted researchers because of its wide band gap 3.37 eV at room temperature and high excitonic binding energy ~ 60 meV. To realize optoelectronic applications such as UV light emission and detection, we need both n- type and p-type conductivity in ZnO. As ZnO is a unipolar material, undoped ZnO always exhibits n-type conduction and making p-type ZnO is a challenging task. Group V elements are the best possible dopant elements to realize p-type conduction in ZnO. We have chosen P and N to dope simultaneously in ZnO lattice. P and N dual acceptor doped ZnO (P, N: ZnO) thin films were deposited on c- sapphire substrates using pulsed laser deposition. At the optimized conditions, the lattice parameters of P, N: ZnO thin film and P doped ZnO thin films have been found decrease compared to that of undoped ZnO. This suggests that N and P are substituted in ZnO. X-ray photoelectron spectroscopy study clearly conforms that N is substituted at O site and P is substituted at Zn site in ZnO lattice. Hall Effect measurement shows p-type conduction in P, N: ZnO thin film. The absorption spectroscopy studies of P, N: ZnO thin films showed a decrease in the band gap compared to undoped and P doped ZnO thin films. Photoluminescence spectra revealed UV- violet light emission from the samples. These results will be presented and discussed in detail at the time of conference.