Symposium Organizers
Lukas Schmidt-Mende Ludwig-Maximilians-Universität München
Henry J. Snaith University of Oxford
Gregory L. Whiting Palo Alto Research Center
David S. Ginger University of Washington
G1: Inorganic Devices
Session Chairs
Monday PM, November 29, 2010
Room 311 (Hynes)
9:45 AM - G1.1
Fabrication of Germanium On Insulator at Low Temperature Using Metal Induced Eutectic Melt and Interfacial Oxide Layer Modulation.
Woo Shik Jung 1 , Jin-Hong Park 1 2 , Heejae Shim 3 , Hyun-Yong Yu 1 , Krishna Saraswat 1
1 Electrical Engineering, Stanford University, Stanford, California, United States, 2 Electronics and Radio Engineering, College of Electronics and Information, Kyung Hee University, Yongin, Gyeonggi, Korea (the Republic of), 3 , Brookhaven National Laboratory, Upton, New York, United States
Show AbstractRecently, pursing high quality GOI formed at low temperature has become essential for applications such as Ge photo-detector and Ge MOSFETs for three-dimensional integrated circuits (3D-ICs). The motivation is to obtain large crystal grain, which will reduce the effect of leakage current and power dissipation, while forming it at a low enough temperature to protect the underlying device layers. In this work, we demonstrate a high quality (111) Ge crystallization using metal induced eutectic melt (MIEM) and interfacial oxide layer modulation technique with 550°C annealing.Three experiments employing MIEM and interfacial oxide layer modulation technique are explored to achieve large (111)-oriented crystal grains. First, we investigate the change of MIEM temperature as Al thickness is varied on the undoped amorphous (α) Ge film. For this task, 45 nm thick α-Ge film is deposited at 300°C on 200nm thick SiO2 in LPCVD chamber, followed by Al deposition with thickness of 10 nm, 25 nm, and 50 nm. Then, the sample is annealed at 550°C for 30 minutes. In the second experiment, we study the effect of having 2nm thick Al2O3 layer deposited between 50nm thick Al and 45nm thick α-Ge. This Al2O3 is deposited for the purpose of interfacial oxide layer modulation, and are annealed at different temperatures (400°C-550 °C, with 50°C step). For the last part, we examine the change in crystal grain size as Al2O3 layer thickness varies (2nm, and 5nm). Here, we fix the annealing temperature at 550 °C and use the same structure as in the second experiment. Analyzing the first experiment, we examine the phase diagram of Al-Ge system and find that the eutectic temperature inversely decreases as we increase the thickness of the Al on α-Ge. Especially for 50nm thick Al, the eutectic temperature is expected to reduce to 546°C (828°C for 10nm thick Al), which will cause the Al layer to exist in liquid phase during 550°C anneal. This liquid phase will result in larger crystal grain as it solidifies after annealing. XRD analysis confirms that the Ge (111) peak is 4 times higher than the 10nm thick Al sample, indicating larger grain. In the second portion of the work, α-Al2O3 will transform into (111)-oriented γ- Al2O3 by SPC starting at 450 °C, causing the diffused Al that reaches the α-Ge layer to have (111) orientation. Thus we observe substantial increase in (111) Ge XRD peaks as it re-crystallizes after Al-Ge MIEM. In the XRD profile of the last experiment, we see that the (111) Ge peak intensity increases as the Al2O3 thickness increases. This is because of density of diffusion path within the Al2O3 layer reduces as the thickness is increased, resulting in fewer nuclei in the α-Ge side. As a result, higher (111) Ge peak intensity is achieved along with lower FWHM value, suggesting better crystal quality. Nmarski optical micrographs also confirms this result, showing larger Ge crystal grain size on 5nm thick Al2O3 sample (4~5µm) than the 2nm thick Al2O3 sample (2~3µm).
10:00 AM - G1.2
Crystallization by Design of Amorphous Hydrogenated Silicon Thin Films via Laser Nucleation and Thermal Annealing.
Matthew Dabney 1 , Helio Moutinho 1 , Maikel van Hest 1 , Harv Mahan 1 , Howard Branz 1 , David Ginley 1
1 , National Renewable Energy Lab, Golden, Colorado, United States
Show AbstractThe interaction of a sub-crystallization threshold laser fluence with amorphous hydrogenated silicon(a-Si:H) thin films has been actively investigated. When the films are subsequently annealed to induce crystallization, the film incubation periods are seen to decrease, with the amount of this decrease directly related to the initial a-Si:H film hydrogen(H) content and to the sub-threshold laser power. In prior work, the laser illuminated areas have been large enough to enable x-ray diffraction (XRD) measurements versus anneal time, and evidence for crystallization has been the saturation of the XRD Si(111) amplitude with anneal time. In this work, dramatically reduced laser illuminated areas, ~5 µm spots separated by 100 µm, in a 12 x 12 grid pattern enabled an investigation of growth into un-illuminated areas. The outer spots defining the grid are illuminated at high laser powers for ease of detection, while the inner spots are exposed at sub-crystallization threshold laser powers. Optical microscopy is used to monitor grain growth during the annealing process, while electron backscattered diffraction is used to determine final grain sizes. The results obtained using this grid pattern are compared to previous studies relating changes in incubation times to laser fluences and film H contents using the larger illuminated areas. Theoretical predictions of grain sizes are also given and compared with the experimental results.
10:15 AM - G1.3
Novel Device Structures and Fabrication Method for Colloidal Quantum Dot Based Light-emitting Diodes.
Jeonghun Kwak 1 2 , Wan Ki Bae 2 , Donggu Lee 1 , Jaehoon Lim 2 , Inseon Park 3 , Do Yoon 3 , Seonghoon Lee 3 , Kookheon Char 2 , Changhee Lee 1
1 School of Electrical Engineering and Computer Science, Inter-university Semiconductor Research Center, Seoul National University, Seoul Korea (the Republic of), 2 School of Chemical and Biological Engineering, Intelligent Hybrids Research Center, Seoul National University, Seoul Korea (the Republic of), 3 Department of Chemistry, Seoul National University, Seoul Korea (the Republic of)
Show AbstractColloidal quantum dots (QDs) have been keenly explored as the back light unit for LCDs, solid-state lighting, and next generation display devices. In particular, light-emitting diodes based on colloidal quantum dots (QD-LEDs) have advantages in their ease of processing, superior optical and electrical properties. Remarkable progress in the QD-LEDs has lately been achieved; however, the device performance still remain far from satisfactory due to the limited device structure and fabrication method based on solution process. In present study, we report a novel QD-LED structure applicable for the entire color range in the same device architecture. QDs with chemical composition gradient (i.e., CdSe@ZnS for green to red and Cd1-xZnxS@ZnS for blue) were employed as the emitting layers while typical organic or metal-oxide materials were used as the charge transport layers adopting either the solution process or vacuum evaporation. Our new structured QD-LEDs show the high performance with low turn-on voltages close to their band gaps, and the green device, in particular, exhibits external quantum efficiency close to 6 %, and the maximum brightness up to 200,000 cd/m2, which is the highest value ever reported. We also fabricated the multi-chromatic white-emitting QD-LEDs by simply mixing various QDs (RGB) within the emitting layer, showing the high efficiency (> 1%) as well as the high brightness (> 10,000 cd/m2). We believe that the approaches and results demonstrated in this study promise great potentials for further improvements in QD-based optoelectronic devices such as QD-LEDs and QD-solar cells.
10:30 AM - G1.4
Scanning Probe Nanomanufacturing on Si: Surface Characterization of the Process Technique.
Joshua Smith 1 , Weihua Hu 3 , Ying Yi Dang 1 , Onur Ozcan 4 , Metin Sitti 4 , James Bain 2 , Robert Davis 1 , David Ricketts 2
1 Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 3 Physics, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 4 Mechanical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States
Show AbstractThe purpose of the Tip-directed Field-enhanced Nanomanufacturing project (TFAN) at CMU is to write nanoscale features on a substrate using a scanning probe tip. The general process involves four main steps: preparing a clean surface, chemisorption of mask layer, applying a nanoscale pattern by selectively desorbing regions of the mask using the probe tip, and exposing the surface to a gas precursor which adsorbs to the patterned areas and not to the mask layer. We have developed and characterized a process for cleaning, applying a mask, and patterning the mask for the Si (100) surface. Specifically, the surface of the Si substrate is prepared by flash heating to 1250°C to remove the native oxide and clean the surface. Spectra acquired using Auger electron spectroscopy indicate that this process removes the native oxide as well as any carbon present before the flashing procedure. Low energy electron diffraction (LEED) and scanning tunneling microscopy (STM) show that the surface reconstructs to the expected 2x1 pattern. A hydrogen passivation monolayer is then added by exposing the surface to atomic hydrogen. The atomic hydrogen is produced by backfilling the chamber to a molecular hydrogen partial pressure of 4E-6 torr while a hot filament is positioned 5cm from the sample surface. During the passivation, the sample is held at a temperature of 400°C via direct current heating to prevent the dihydride surface from forming. Data from LEED and STM show the H-passivation procedure to yield a 2x1 reconstructed surface. This hydrogen passivation layer acts as a mask to prevent adsorption of the gas precursor to the surface. A selectively desorbed pattern is drawn in the H-passivation layer by pulsing the voltage of the STM tip, as it is rastered across the surface. The voltage changes from -1.3V to 4.5V at 195pA with a pulse length of 2ms. STM images taken after the patterning step reveal that the pulsing produces features smaller than 5nm in width. The surface can then be exposed to disilane that will adsorb to the freshly depassivated regions.
10:45 AM - G1.5
Direct-write of Conducting and Insulating Regions in Silicon by Nanoindentation.
Jim Williams 1 , Simon Ruffell 1 , Jodie Bradby 1
1 Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractIt is well known that the indentation of diamond cubic silicon (so called Si-I) can result in a pressure-induced phase transformation under a diamond tip to a metallic phase(Si-II), which subsequently transforms on pressure release to either a mixture of metastable, high pressure crystalline phases (Si-III and Si-XII) or amorphous silicon (a-Si) [1]. Furthermore, we have also shown that a-Si can undergo a similar series of phase transformation on indentation loading and that the end phase following pressure release can be controlled by the indentation conditions, particularly the unloading rate and indentation temperature [2]. In the current study we have investigated the electrical properties of the end phases and of the possibility of using indentation to write patterned information directly into silicon without the use of masks and the need to use a lithographic process.We have found that the mixed crystalline phases Si-XII and Si-III are highly conducting and pressure-induced a-Si is electrically insulating. Furthermore, under our indentation conditions Si-XII can be the dominant end phase and we have shown for the first time experimentally that it is a semiconductor (in agreement with recent theoretical studies [3]) and that it can be doped both p-type and n-type at room temperature. The crystalline end phases are thermally stable to about 200oC: transforming ultimately at higher temperatures to polycrystalline Si-I. This exciting result of being able to produce regions of variable conductivity by indentation at room temperature has enabled us to write conducting patterns directly into an amorphous silicon matrix. We have also explored the prospect of writing both p- and n-type conducting regions as well as insulating patterns in silicon to demonstrate novel applications and devices. This presentation will present these results and discuss prospects for applications that exploit this novel direct-write technique. [1] J E Bradby, J S Williams, J Wong-Leung, M V Swain and P Munroe, J. Mat. Res. 16, 1500 (2001) [2]B Haberl, J E Bradby, S Ruffell, J S Williams and P Munroe, J. Appl. Phys. 100, 013520 (2006)[3] B D Malone, J D Sau and M L Cohen, Physical Review B 78, 035210 (2008)
11:30 AM - G1.6
The Road Towards Highly-efficient, Solution-processed, Sintered Nanocrystalline Solar Cells.
Brandon MacDonald 1 , Jacek Jasieniak 2 , Scott Watkins 2 , Paul Mulvaney 1
1 Chemistry Department, Bio21 Institute, University of Melbourne, Parkville, Victoria, Australia, 2 Molecular and Health Technologies, CSIRO, Clayton, Victoria, Australia
Show AbstractColloidal nanocrystals represent a promising route to efficient, solution processed solar cells. In this work we create sintered, nanocrystalline, CdTe/ZnO solar cells from solutions of spherical nanocrystals deposited in air. Exposure of the CdTe layers to a cadmium chloride solution prior to the sintering step greatly enhances the crystallite size and electronic properties of the film while shifting the optical bandgap to that of bulk CdTe. X-ray diffraction and atomic force microscopy measurements show that the average crystal size increases from approximately 4nm to 50-100nm, greatly reducing the number of grain boundaries within the film. By adding a thin ZnO layer we are able to reduce the surface roughness and dramatically improve solar cell performance relative to devices with only a CdTe layer. Electronic characterization suggests that the formation of a depletion region at the CdTe/ZnO interface is responsible for the improved charge separation and transport. The resulting devices generate power conversion efficiencies of greater than 5% under one sun illumination. Incident photon conversion efficiencies demonstrate a strong response across the entire visible region, with a maximum of 70% at 600nm. These devices retain their performance after several months storage under ambient conditions.
11:45 AM - G1.7
Inkjet Printing of Inorganic Solar Cells.
Gabe Carryon 1 , Thinh Le 2 , Hasti Madjidi 2 , Jason Baxter 2 , Ying Sun 3
1 Electrical nd Computer Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 2 Chemical and Biological Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 3 Mechanical Engineering and Mechanics, Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractInorganic solar cells fabricated with ZnO nanowires as the electron-conductor, CdSe as the photoactive layer, and CuSCN as the hole-conductor have been shown to be a promising alternative to conventional solar cells [1,2] Despite their potential, there are many technical challenges that hinder the performance of such devices. For example, the pore-filling of nanowires by CuSCN is a parameter that needs to be optimized [3]. Complete pore-filling has been successfully demonstrated with CuSCN on TiO2 nanoparticles using a drop deposition method and suggests that such a technique would work for ZnO nanowires [4]. One method that could be used to fabricate such devices is inkjet printing. Inkjet printing has been used by a number of groups to fabricate organic solar cells because of its ease of processing and inexpensive cost compared to other methods. Inkjet printing of CdSe quantum dots and CuSCN solutions onto ZnO nanowire arrays have not been extensively studied in literature. In this study, we explore fabrication of inorganic solar cells by inkjet printing the photoactive and hole-conductor layers on nanowire substrates. Our initial findings suggest that the substrate temperature at deposition influences the crystallinity of CuSCN and hence the cell performance. Results on the deposition morphology and properties of printed inorganic solar cells as a function of the ink properties (e.g., solvent type, particle size, and volume fraction) will be presented and compared with electrodeposited cells. The effects of jetting parameters and printing patterns on film thickness and uniformity are also discussed in detail. ———————————————————————————————————[1] C. Levy-Clement et al., “CdSe-Sensitized p-CuSCN/nanowire n-ZnO Heterojunctions,” Advanced Materials, vol. 17, pp. 1512-1515, 2005.[2] R. Tena-Zaera et al., “Fabrication and characterization of ZnO nanowires/CdSe/CuSCN eta-solar cell,” C.R. Chimie 9, pp. 717-729, 2005.[3] W. Wu et al., “Electrochemically superfilling of n-type ZnO nanorod arrays with p-type CuSCN semiconductor,” Electrochemistry Communications, pp. 1736-1739, 2009.[4] B. O’Regan et al., “A Solid-State Dye-Sensitized Solar Cell Fabricated with Pressure-Treated P25-TiO2 and CuSCN: Analysis of Pore Filling and IV Characteristics,” Chemical Materials, vol. 14, pp. 5023-5029, 2002.
12:00 PM - G1.8
Si Inversion-layer Solar Cells, Combining Inorganic, Molecular and Organic Electronics.
David Cahen 1 , Rotem Har-Lavan 1 , Pranav Joshi 1 , Roy Kazaz 1 , Omer Yaffe 1
1 Materials and Interfaces, Weizmann Institute of Science, Rehovot Israel
Show AbstractWe demonstrate a near-ambient temperature, simple and potentially low-cost approach to fabricate semiconductor solar cells, composed of n-Si onto which a monolayer of organic molecules as short as two carbons self-assemble to passivate and buffer the Si surface. The treated Si shows that the direct binding of the molecules to the oxide-free Si surface also provides a strong interface dipole, effectively reducing the semiconductor's electron affinity by as much as 0.5 eV. Using Hg to create a junction yields close to Schottky-Mott behaviour. In the next step we Hg by a thin layer of a relatively transparent, conducting polymer with a high work function, by spin-coating it onto the molecular layer. The polymer plays a threefold role: - 1 - the high work function induces inversion in the Si, leading to an p+/ n homojunction in the Si; - 2 - with n~1.5 refractive index, the polymer acts as anti-reflective coating to the Si.- 3 - because it protects the molecular monolayer, subsequent metal deposition and encapsulation is possible. The good and stable interface passivation, along with strong inversion, allow minority carriers, generated by absorbed sun light, to move laterally within the Si top layer and to be collected by a minimal area metal grid, deposited on the conducting polymer. For the same reason (lateral conductance) photocurrent losses, due to sheet resistance, are minimized. Not only are cells fabricated without high temperature steps, but the use of small organic molecules appears to convey to them a unique advantage over inorganic passivation or buffer layers. The approach, which includes no or minimal (metal grid deposition) high vacuum steps, should be applicable to other inorganic absorbers, amorphous materials and thin films to improve photovoltaic solar energy conversion.We thank Dr. Ayelet Vilan for stimulating discussions.
12:15 PM - G1.9
Developments of Zinc Oxide Hybrid Films for Flexible Photovoltaics.
Sunghee Lee 1 , Biplab Roy 1 , Junghyun Cho 1
1 Program of Materials Science and Engineering, State University of New York at Binghamton, Binghamton, New York, United States
Show AbstractZinc oxide (ZnO) is a promising material for flexible photovoltaics due to its low cost and low processing temperature capabilities. To meet with these requirements, zinc oxide thin films were produced by chemical bath deposition and cathodic electrodeposition at low temperature (<100°C). In particular, the film morphologies and crystallization behavior were studied to establish the relationship between the film structure and the solar cell performance. Various microstructures were obtained from solution-deposited zinc oxide films by controlling deposition time and the degree of supersaturation that incorporates temperature, pH, and concentration of precursor solution. As it is a wide band-gap semiconductor, zinc oxide absorbs only ultraviolet (UV) part of the solar light and has low conversion efficiencies. These films were, therefore, coated with a sensitizer layer (e.g., dye, CdTe) in order to absorb the visible light more effectively. The sensitized films were combined with counter electrode and electrolyte to build a solar cell assembly. Along with favorably engineered micro/nanostructure of ZnO films, photoresponse of the solar cells was tailored by adjusting the sensitizer loading and the contact interfaces with electrolyte. The photovoltaic properties of solar cells with the sensitized ZnO thin film were characterized by a solar simulator. Crystallinity, chemistry, and morphology of the ZnO films were characterized by x-ray diffraction techniques (XRD), Fourier transform infrared (FTIR), and scanning electron microscopy (SEM). Optical characterizations (UV-Vis-NIR spectrophotometer) were performed to understand photoresponse characteristics of zinc oxide films and their hybrid structures. Mechanical properties of zinc oxide films were also assessed from the nanoindenation to address the mechanical integrity of the nanostructured films.
12:30 PM - G1.10
High Performance Photovoltaics Using Releasable Epitaxial Multilayer Assemblies of Gallium Arsenide Based Compound Semiconductors.
Jongseung Yoon 1 , Sungjin Jo 1 4 , Ik Su Chun 2 , Inhwa Jung 1 , Hoon-Sik Kim 1 , Matthew Meitl 3 , Etienne Menard 3 , Xiuling Li 2 , James Coleman 2 , Ungyu Paik 4 , John Rogers 1 2
1 Beckman Institute for Advanced Science and Technology, Department of Materials Science and Engineering, and Frederick Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 4 Division of Materials Science Engineering, Department of Energy Engineering, Hanyang University, Seoul Korea (the Republic of), 2 Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 3 , Semprius, Inc., Durham, North Carolina, United States
Show AbstractDue to their direct bandgaps and high electron mobilities, compound semiconductors such as gallium arsenide provide unmatched performance over silicon for many applications including high efficiency photovoltaics to radio frequency electronics and most forms of optoelectronics. However, growing high quality wafers of these materials in large quantities, and intimately integrating them on foreign substrates over large areas is expensive and, thereby restricting their application. Here we describe concepts that address many of these challenges through the use of functional films in thick, multilayer epitaxial assemblies formed in a single deposition sequence on a growth wafer. Specialized designs enable release and separation of the individual active layers to yield large quantities of high quality material for subsequent device integration in large area formats on diverse classes of substrates, in a manner that also allows the wafer to be reused for additional growths. Demonstrations in Zn- and C-doped GaAs single junction photovoltaic modules on sheets of plastic illustrate some capabilities. The results represent approaches that might expand the implementation of compound semiconductors to applications whose cost structure, formats, or modes of use are inaccessible to established growth and integration technologies.
12:45 PM - G1.11
Solution-based Processing for Photovoltaic Devices Incorporating Nanostructured CZTS.
Amy Prieto 1 , Shannon Riha 1
1 Chemistry, Colorado State University, Fort Collins, Colorado, United States
Show AbstractThe assembly of efficient, cost-effective solar cells made from earth abundant elements is a main goal towards reducing our carbon footprint.1 Photovoltaic (PV) devices comprised of colloidal inorganic nanostructures incorporate the advantages of being fabricated by low cost printing methods from nanoparticle ‘inks’. However, incorporation of such structures into efficient devices is not trivial and often results in electrical conductivity losses.2 Therefore, we are investigating three different nanostructured architectures for the fabrication of solar cells composed of environmentally friendly Cu2ZnSnS4 nanostructures. All techniques explored are scalable and low-cost. The first architecture is a standard sandwich-type design consisting of a transparent conducting oxide, CZTS nanoparticles3, a CdS window layer and a metal back contact. The second design incorporates electrochemically deposited CdS nanowires decorated with CZTS nanoparticles.4 This structure allows for more efficient charge carrier diffusion from the CZTS absorber layer into the nanowires reducing the resistance compared to the sandwich architecture. The final architecture explored includes the advantage of the second design while enhancing the absorption properties of the device. In this design, CZTS nanowires are electrochemically deposited, allowing for more light absorption and better electrical conductivity. Preliminary results on the electrodeposition of CZTS will be presented. We will also show data on the efficiency, absorption properties, open circuit voltage and short circuit current of the nanostructured solar cells.1.Wadia, C.; Alivisatos, A. P.; Kammen, D. M. Environmental Science & Technology 2009, 43, (6), 2072-2077.2.Tricoli, A.; Pratsinis, S. E. Nature Nanotechnology 2010, 5, (1), 54-60.3. S. C. Riha, B. A. Parkinson, A. L. Prieto, J. Am. Chem. Soc. 2009, 131(34), 12054-12055.4.Feng, Z.; Zhang, Q.; Lin, L.; Guo, H.; Zhou, J.; Lin, Z. Chemistry of Materials 2010, 22, (9), 2705-2710.
G2: Dye Sensitized Solar Cells
Session Chairs
Monday PM, November 29, 2010
Room 311 (Hynes)
2:30 PM - **G2.1
Routes to Defined and Reproducible Interpenetrating Electronic Nano-materials. Using Electrodeposition, Melting or Pressure to Fill Preexisting Nano-structures with Second Components.
Brian O'Regan 1 , Mindaugas Juozapavicius 1 , Natalie Stingelin-Stutzmann 2
1 Chemistry, Imperial College, London United Kingdom, 2 Materials Science, Imperial College, London United Kingdom
Show AbstractNanoscale composite engineering materials are much discussed for use in many areas. However, getting things together with a defined reproducible nano-scale can be difficult and/or slow. In electronic materials, the same issues arise. Well defined horizontal planar nano-structures can be built up on large areas, but inexpensive large area (or volume) three dimensional structures are still in development. A conceptually simple route to such materials is to produce an empty nano-porous network of material A, and then fill the porous structure with material B. The paper will cover some successes and pitfalls of this approach, specifically with respect to solar cells. One well known technique is electrodeposition into the nano-channels of anodized aluminum. The straight walled nano-channels can lead to uniform and complete filling. However, insulating alumina is not often a desirable partner in a "nano-electronic-composite". On the other hand, colloidal particles of many semiconductors and metals can be easily deposited as thin nano-porous films with a pseudo random structure. Electrodeposition to fill such a structure requires nucleation and growth from the bottom. However, areas of higher porosity usually result in faster growth that eventually overtopping slower areas leaving empty pores. Slowing deposition out of the diffusion limited regime can help, but then kinetic limitation can cause growth habits other than the planar pore filling required. We will illustrate these issues with data on the electrodeposition of p-type CuSCN into random and semi-aligned films of ZnO and TiO2. A more obvious approach could be the deposition of a melted material into the open space of a nano-structure, followed by solidification. The limitations on the second material in the approach are rather severe, including melting point within the thermal stability of the host, and solidification without inducing sufficient stress to disrupt the host. We illustrate this approach with melt penetration of organic hole conductors into dye sensitized TiO2 nanoparticle films. We find that penetration can be good, and local electronic activity is as desired. However,to date, long range hole transport seems to be absent. Lastly, pressure planarized organic electronic materials have recently been demonstrated for organic FETS. The possibility that pressure might be used to induce interpenetration in nano-scale networks will be discussed with some initial results.
3:00 PM - G2.2
Exploiting Antimony Sulfide (Sb2S3) and Hematite (alpha-Fe2O3) in Extremely Thin Absorber (ETA) Solar Cells.
Kevin Sivula 1 , Michael Gratzel 1
1 , Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland
Show AbstractAnalogous to the dye sensitized solar cell, an extremely thin absorber (ETA) cell decouples the processes of light absorption and carrier transport in a device with an inorganic photon-harvesting material. This allows the relaxation of individual material constraints and permits the use of light absorbers with poor carrier transport properties. Here we report the application of the ETA concept to two promising materials for solar energy conversion. First with antimony sulfide we show remarkable performance (5 % solar power conversion efficiency) in a solid-state photovoltaic device in combination with the polymer semiconductor poly(3-hexylthiophene) on a mesoporous TiO2 scaffold. Next, we show how the ETA concept can be applied to iron oxide (hematite) for solar water splitting in a photo-electrochemical cell by coating a thin layer on a nanostructured tungsten oxide scaffold. We show an improved light harvesting of the near band edge wavelengths and an improved water oxidation photo-current. For both of these systems we investigate overcoming interfacial limitations using buffer layers to decreases charge recombination an increase performance.
3:15 PM - G2.3
Particle Assembly and Nanotemplating Strategies for Improving Efficiency of Dye-sensitized Solar Cells.
Pisist Kumnorkaew 1 , Mark Snyder 1 , James Gilchrist 1
1 Chemical Engineering, Lehigh University, Bethlehem, Pennsylvania, United States
Show AbstractAmong alternative energy technologies, the concept of dye-sensitized solar cells (DSSC) is considered as a promising, emissions-free means for harnessing solar energy for electrical power production. The relatively sluggish progress in improving DSSC efficiency since the pioneering work by Grätzel [1] nearly a decade ago can be attributed, at least in part, to the multicomponent nature of these devices and the disproportionate emphasis placed on molecular engineering of novel dye sensitizers in comparison to efforts aimed at materials and interface engineering (e.g., cathodes, anodes, electrolytes, lens technologies) and component integration. In this talk, we will present fundamental studies of cathode-dye interactions and incorporation of microlens technologies aimed at improving DSSC efficiency.Seeking to develop fundamental synthesis-structure-properties relations governing cathode structure and dye-substrate interactions, we have studied how pore topology/ ordering and material interfaces (e.g., grain boundaries) of titania cathodes affect dye sensitization and, ultimately, device performance. To this end, we employ convective assembly for controlled deposition of pre-formed titania particles and/or sacrificial template structures to realize highly ordered porous titania cathodes bearing controllable feature size, coordination, and interfaces. Through characterization ranging from dye adsorption to device testing, we aim to elucidate structure-properties relations governing device performance.In order to further enhance DSSC efficiency, we have also studied the incorporation of microlens array technology. Through assembly, by convective deposition, of microlenses on either the outer surface or inner surface of the conductive glass upon which the cathode substrate is deposited, we probe how various modes of photon capture and distribution translate to improvements in cell efficiency. We will describe findings that suggest efficiency improvements of more than 30% can be realized through internal microlenses, composed of an ordered arrangement of colloidal particles (e.g., silica, polystyrene) embedded at the interface of the conductive glass and porous, nanostructured titania cathode.References: [1] O’Regan, Grätzel, Nature, 353 (1991).
3:30 PM - G2.4
3D Transparent Conducting Hosts for Fast Charge Collection in High Efficiency Dye-sensitized Solar Cells and Solar Water Splitting.
Nicolas Tetreault 1 , Jeremie Brillet 1 , Eric Arsenault 2 , Kevin Sivula 1 , Geoffrey Ozin 2 , Michael Graetzel 1
1 SB ISIC LPI, EPFL - École Polytechnique Fédérale de Lausanne, Lausanne Switzerland, 2 , University of Toronto, Toronto, Ontario, Canada
Show AbstractLarge surface area electrodes are essential for high efficiency solar energy conversion in dye sensitized solar cells and photoelectrochemical water splitting devices. In addition, the economical fabrication of these devices is required for the global implementation of these technologies. Inexpensive, bottom-up solution-based techniques enabled by micro-templating and colloidal nanoparticle processing are ideal for this purpose. Direct splitting of water by a photoelectrochemical (PEC) tandem cell provides a means to convert energy from our most abundant renewable source, the Sun, into dihydrogen, which could then be employed as an energy vector in a carbon-neutral market [1]. The first demonstration of water splitting was made with a semiconductor-liquid junction (SCLJ) using TiO2 as a photoanode by Fujishima in 1972 [2]. Hematite (α-Fe2O3) has a well-suited band gap of 2.1 eV, is largely available and is stable in aqueous environment making it a good candidate as a photoanode material, even though the position of its conduction band implies the need to apply to the electrons an additional bias. As a drawback, hematite has a very short hole diffusion length (2 – 4 nm) [3] as compared to the light penetration depth (α–1 = 118 nm at λ = 550 nm) [4]. This causes most photons to be absorbed in the bulk far from the SCLJ, creating photogenerated holes with low probability of participating in water oxidation. The resulting poor external quantum efficiency (EQE) close to the band edge has been identified to be one of the major limitations in context of a tandem device [5].As noted by Grätzel et al. in 2006 [6], charge percolation in dye-sensitized solar cells back to the transparent conductive (TCO) electrodes takes milliseconds. This slow charge extraction increases chances of electron-hole recombination at the mesoporous TiO2 - electrolyte interface. This limitation has proven long lasting over the last 15 years and limited efficient DSCs to be used with only a handful of electrolytes that offer low recombination rates.Here we describe the latest advances in optimizing the photon harvesting and the charge transport in these systems by applying novel conductive nanostructures to traditional materials used in the DSC (TiO2) and solar water splitting (Fe2O3). Specifically, an innovative three-dimensional charge-collecting host is used to enhance charge transport and light absorption in porous oxide electrodes for both emerging technologies described above. [1] J. A. Turner, Science 2004, 305, 972.[2] A. Fujishima, K. Honda, Nature 1972, 238, 37.[3] J. H. Kennedy, K. W. Frese, J. Electrochem. Soc. 1978, 125, 709.[4] I. Balberg, H.L. Pinch, J. of Magn. Mater. 1978, 7, 12.[5] J. Brillet, M. Cornuz, F. Le Formal, J.H. Yum, M. Grätzel, K. Sivula, J. Mat. Res. 2010, 25, 1.[6] Wang et al. Characteristics of high efficiency dye-sensitized solar cells. J Phys Chem B (2006) vol. 110 (50) pp. 25210-25221.
4:15 PM - **G2.5
Assembly of Nanoporous Titania Films for Photovoltaics Using Brick & Mortar Strategies.
Thomas Bein 1
1 Chemistry, University of Munich, Munich Germany
Show AbstractNanostructured films of titania have enormous potential for applications in photovoltaics and energy storage. However, reaching this potential requires films that simultaneously feature both large and easily accessible surface area and highly crystalline pore walls. We have developed a new preparation strategy based on fusing preformed titania nanocrystals with surfactant-templated sol-gel titania, which acts as a structure-directing matrix and as a chemical glue. In this "brick and mortar" approach, the “mortar” acts as a reactive precursor for the further growth of the crystalline phase seeded by the nanocrystalline “bricks”. This synergy leads to a significantly lowered temperature needed for crystallization and the preservation of the mesoporous structure. The thin films were investigated using X-ray scattering and TEM measurements to monitor and visualize the seeding effect, crystal growth and mesostructure development during the calcination, respectively. Coatings with a broad variety of periodic mesostructures that are tunable by varying the fraction of the “bricks”, and thicknesses ranging from few nanometers to several micrometers are accessible using the same organic surfactant template. These mesostructured and crystalline films were employed as active layers in thin dye-sensitized solar cells exhibiting high conversion efficiency.
4:45 PM - G2.6
Electrostatic Assemblies of Virus Templated Titania Nanowires for Dye Sensitized Solar Cells.
Rebecca Ladewski 1 , Rebekah Miller 2 , Angela Belcher 2 , Paula Hammond 1
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States, 2 Biological Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractA number of challenges related to the control of nanoscale materials for photovoltaic systems remain. Here, genetically-modified M13 bacteriophage are incorporated as 1D architectures for more efficient electron transport in dye sensitized solar cells (DSSCs). The bacteriophage are electrostatically assembled with weak polyelectrolytes to obtain a multilayer film with a mesoporous sponge-like architecture of tunable porosity. An in situ titania nucleation step followed by annealing preserves the porous film architecture for use as a nanostructured DSSC photoanode. Materials characterization, system assembly, and device performance, including a comparison of the bacteriophage-enhanced photoanodes to photoanodes that lack such enhanced electron pathways, will be discussed.
G3: Energy Storage
Session Chairs
Monday PM, November 29, 2010
Room 311 (Hynes)
5:00 PM - G3.1
Fabrication of 3-D Li-ion Battery Electrodes on Flexible Substrates.
Brandon Lee 1 , Hendrik Boghaert 2 , Ying Sun 1
1 Mechanical Engineering and Mechanics, Drexel University, Philadelphia, Pennsylvania, United States, 2 Mechanical Engineering, University of Misconsin, Madison, Wisconsin, United States
Show AbstractThere have been tremendous research efforts over the last few years in cost-effective novel materials, structures, and fabrication techniques for Li-ion batteries with improved capacity, power density, and cycle life. Among them, three-dimensional (3-D) battery architectures with their abilities to maintain small areal footprints with improved power density and minimized ion diffusion path have drawn much attention in recent years. These 3-D battery structures can be fabricated using either the direct write or 3-D printing technique. Inkjet printing has been established as a convenient technique for controlled deposition of functional materials in specific locations on a substrate. The main advantage of inkjet printing over other commonly used deposition techniques is its ability to precisely produce droplets with high repeatability and enable precise patterning and self-assembled structures, as well as its compatibility with roll-to-roll manufacturing. To date, the wide range of 3-D battery structures has not been explored intensively. In this paper, we present our results on the fabrication of complex 3-D (e.g., interdigitated cylindrical rod and plate electrode arrays) Li-ion battery electrodes onto flexible substrates. The performance of printed 3-D electrodes, including cycle life, capacity, and current-voltage characteristic during charging and discharging are characterized as a function of the electrode size, thickness, spacing, and structure using a 3-electrode cell. The results are compared with the 2-D thin film batteries.
5:15 PM - G3.2
Laser Printed Thin-film Microbatteries for Low Power Electronics.
Meredith Stafford 2 , Craig Arnold 1
2 Chemistry, Princeton University, Princeton, New Jersey, United States, 1 Mechanical and Aerospace Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractLaser induced forward transfer (LIFT) provides a simple method to directly print complex inks including organic and inorganic materials on a variety of substrates. In this process, a pulsed UV laser propels an ink from a sacrificial donor substrate to a receiving substrate. Here, we demonstrate the fabrication and integration of rechargeable lithium ion microbatteries for small scale and low power electronics. Both liquid and solid phase materials such as LiCoO2 cathodes, solid and gel electrolytes, and graphite anodes are transferred as thin films to rigid and flexible substrates. The individual battery components are analyzed for their physical properties and electrochemical performance and we find the transfer process is able to preserve the structural and electrochemical properties of these materials. Lithium microbatteries constructed via LIFT processing show promising qualities as compact energy storage devices for integrated microelectronics applications.
Symposium Organizers
Lukas Schmidt-Mende Ludwig-Maximilians-Universität München
Henry J. Snaith University of Oxford
Gregory L. Whiting Palo Alto Research Center
David S. Ginger University of Washington
G6: Poster Session I
Session Chairs
David Ginger
Henry Snaith
Tuesday PM, November 30, 2010
Exhibition Hall D (Hynes)
1:00 AM - G6:posterI
G6.15 Transferred to G7.9/D3.9/F6.9
Show AbstractG4: Organic Solar Cells - Device Properties
Session Chairs
Tuesday PM, November 30, 2010
Room 311 (Hynes)
9:30 AM - G4.1
Dynamics of Charge Generation and Recombination in Poly(3-hexylthiophene)/Fullerene Blend Films with Different Regioregularities.
Hiroaki Benten 1 , Jiamo Guo 1 , Hideo Ohkita 1 2 , Shinzaburo Ito 1
1 , Kyoto University, Kyoto Japan, 2 , PRESTO, Japan Science and Technology Agency (JST), Kawaguchi Japan
Show AbstractOrganic solar cells based on blends of a conjugated polymer and a fullerene derivative (PCBM) are attracting extensive academic and commercial interest. Recently a power conversion efficiency (PCE) exceeding 7 % has been reported for the polymer/fullerene blends. Those blend structures termed bulk heterojunctions are promising approach to achieve large photocurrent and thus high PCE due to the significant enlargement of interfacial area between donor and acceptor where charged carriers are generated. The solar-cell efficiency, however, is governed largely by the blend morphology and polymer chain conformation. In this study, we measured the generation dynamics of charged carriers in the blend films of poly(3-hexylthiophene)s (P3HTs) with different regioregularity and a PCBM to clear the role of the blend morphology and the degree of crystallinity of the P3HT chains in the solar-cell efficiency. From transient absorption measurements, it is cleared that in the blend of crystalline regioregular-P3HT (RR-P3HT) and PCBM, long-lived free carriers are mainly generated after charge separation. On the other hand, in the blend of amorphous regiorandom-P3HT (RRa-P3HT) and PCBM, geminate recombination of bound radical ion pairs is a dominant deactivation process. The higher generation efficiency of free carriers in RR-P3HT/PCBM would be due to the efficient dissociation of the bound radical ion pairs, which would stem from higher hole mobility and larger domain size of RR-P3HT than those of RRa-P3HT in P3HT/PCBM. The remarkable difference in the generation efficiency of free carriers is one of the main factors in determining the difference in solar-cell efficiencies observed for P3HT/PCBM bulk heterojunction.
9:45 AM - G4.2
Interdiffusion of P3HT and PCBM in a Bilayer System: Insights into Miscibility.
Neil Treat 1 4 5 , Michael Brady 1 4 , Edward Kramer 1 3 4 , Michael Chabinyc 1 3 5 , Craig Hawker 1 2 4
1 Materials, Univsersity of California, Santa Barbara, Santa Barbara, California, United States, 4 Materials Research Laboratory, University of California, Santa Barbara, Santa Barbara, California, United States, 5 Mitsubishi Chemical - Center for Advanced Materials, University of California, Santa Barbara, Santa Barbara, California, United States, 3 Department of Chemical Engineering , University of California, Santa Barbara, Santa Barbara, California, United States, 2 Department of Chemistry and Biochemistry, University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractMost organic photovoltaics (OPV) to date have been based on a device architecture involving the blending of an electron donating polymer [e.g. poly(3-hexyl thiophene) (P3HT)] and an electron accepting fullerene {e.g. [6,6]-phenyl-C61-butyric-acid-methyl-ester (PCBM)} forming a nanoscale blend called a bulk heterojuction (BHJ). Due to this pioneering research, many have become interested in a more complete understanding of the observed improvement in device performance upon processing and evolution in the BHJ morphology. Thus, the aim of this work is to better understand the relative miscibility, mobility, and mechanism of interdiffusion for the P3HT:PCBM BHJ system by starting with a bilayer of P3HT and PCBM. A combination of dynamic secondary ion spectrometry (DSIMS) and cross-section scanning electron microscopy (SEM) were used to probe the evolution of the interface and subsequent interdiffusion of the P3HT and PCBM films. 2D Grazing-incidence wide angle x-ray scatterning (GIWAXS) was also used to confirm the interdiffusion observed with SEM and DSIMS and to draw further conclusions about the resulting blend crystal structure. Interdiffusion within the P3HT/PCBM bilayer system was found to occur at relatively low temperatures (i.e. 50°C) and resulted in a completely mixed system when heated at 150°C for 30 sec. Furthermore, it was shown that PCBM diffusion occurs within the P3HT with little affect on the crystal size, structure, or orientation of P3HT crystallites.
10:00 AM - **G4.3
Formulation Aspects of Large Area Organic Photovoltaic (OPV)Coatings.
Christoph Brabec 1 , F. Jakubka 1 , F. Machui 1 , J. Krantz 1 , I. Litzov 1 , H. Oh 1 , M. Heyder 2
1 i-MEET, Friedrich - Alexander - University of Erlangen-Nuernberg, Erlangen Germany, 2 , Bavarian Center for Applied Energy Research (ZAE Bavaria), Erlangen Germany
Show AbstractOrganic PV is rapidly gaining high attraction because of its simple production process. In the most simple scenario, functional solar modules can be printed and coated by solution deposition of only three layers, while more advanced device concepts require the deposition of 5 layer. This is an outstanding simple production process compared to inorganic thin film PV technologies. However, the challenge is in the detail of the printing process and in the formulation of suitable inks. Organic PV coatings require visual homogenous films in combination with electronic functionality. This is especially crucial for the semiconductor layer, which is a blend of two components, whereas the nano-morphology of the two components is decisive function of the solar cell. Formulation design rules for semiconductors thus need to take into account the film building properties as well as the right morphology forming properties. Only the combination of both properties can guarantee homogenous coatings with high solar cell performance.In this contribution we will review the formulation and deposition requirements for the individual layers of organic solar cells. Further, we will discuss specific strategies for the development of formulation design rules for large area organic solar coatings.
11:00 AM - G4.4
Charge-transfer Excitons at Semiconductor Polymer Heterojunctions.
Simon Gelinas 1 2 , Kiril Kirov 2 , Colin Nadeau Brosseau 1 , Richard Leonelli 1 , Richard Friend 2 , Carlos Silva 1
1 Department of Physics, Université de Montréal, Montréal, Quebec, Canada, 2 Cavendish Laboratory, University of Cambridge, Cambridge United Kingdom
Show AbstractCurrent literature suggests that Coulombically-bound charge-transfer excitons limit the open-circuit voltage in polymer-based solar cells. We examine the exciton binding energy by probing geminate-polaron-pair recombination dynamics across polymeric semiconductor heterojunctions by means of low-temperature, time-resolved photoluminescence (PL) and transient absorption spectroscopies. Here, we report that at 10 K, intrachain singlet excitons are regenerated efficiently by charge tunnelling across the heterojunction on sub-microsecond timescales. These charge pairs are isoenergetic with these luminescent excitons. From the difference of the charge-transfer and intrachain PL energies, we determine that the binding energy of charge-transfer excitons with respect to bulk charge separation is ≥300 meV. This energy accounts for most of the offset of the difference in absolute oxidation and reduction potentials of the donor and acceptor materials, respectively, and the measured open-circuit voltage.
11:15 AM - G4.5
Field Effect Tuned Lateral Organic Diodes Their Utility in Understanding the Physics of Organic Heterojunctions.
Bal Mukund Dhar 1 , Geetha Kini 2 , Byung Jun Jung 1 , Nina Markovic 2 , Howard Katz 1
1 Dept. of Materials Science and Engineering, Johns Hopkins University, Baltimore, Maryland, United States, 2 Dept of Physics and Astronomy, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractInterfaces between electron and hole transporting organic semiconductors play a crucial role in the performance of Organic Solar Cells and OLEDs. However, the investigation of such interfaces is difficult because of their buried nature and/or because of the existence of heterojunction as a blend. Using a novel fluorinated barrier layer-based lithographic technique, we fabricated a lateral organic p-n junction. The current-voltage characteristics of the lateral diode were strongly modulated under the effect of electric field from a third gate electrode. Scanning Kelvin Probe Microscopy (SKPM) at the junction revealed a chemical potential difference and first observation of the potential at an organic p-n interface simultaneously with the charge transport measurements. The current-voltage characteristics of the diode (current output and rectification ratio) were consistent with the changes in the surface potentials near the junction. SKPM on lateral p-n junctions is an attractive method to investigate the physics of organic semiconductor interfaces. Furthermore, the lithographic fabrication method can be used to pattern films of a wide variety of soft materials without any damage. Reference: Field Effect Tuned Lateral Organic Diodes. Dhar BM, Kini GS, Xia GQ , Jung BJ , Markovic N, Katz HE. Proceedings of the National Academy of Sciences of the United States of America (PNAS). Volume: 107, Issue: 9, Pages: 3972-3976 Published: MAR 2 2010
11:30 AM - G4.6
Photovoltaic Investigation of New Co-polymers Based on Thiophene, Fluorine and Phenylene.
Natasha Yamamoto 1 , Leah Lavery 3 , Gregory Whiting 3 , Bruno Nowacki 2 , Leni Akcelrud 2 , Ana Arias 3 , Lucimara Roman 1
1 Physics Department , Universidade Federal do Parana, Curitiba, Parana, Brazil, 3 , Palo Alto Research Center, Palo Alto, California, United States, 2 Chemistry Department , Universidade Federal do Paraná, Curitiba, Paraná, Brazil
Show AbstractWe have investigated a series of new electron donor co-polymers in organic thin-film solar cells. Co-polymerization allows us to create new materials by tailoring unit ratios to combine properties and behaviors of different materials. The co-polymers used in this study were composed of thiophene, phenylene and fluorine units, where the thiophene content was kept constant while the fluorine/phenylene ratio was varied. For every co-polymer ratio, we report results in three different structures: monolayer, bilayer and bulk heterojunction. Using vapor-deposited C60 as the electron acceptor in bilayer structures, we show the effect of altering the thickness of both the copolymer and fullerene films. Bilayer devices were further analyzed using a model for charge transport, which indicated a dependence of effective mobility on film thickness. For the bulk heterojunction system [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) was used as the electron acceptor and initial devices using this polymer showed a peak external quantum efficiency of 33% and a AM1.5 power conversion efficiency of 0.7%. For both the bilayer and heterojection cells it was found that a 1:1 ratio of phenylene:fluorine units led to the highest device performance.
11:45 AM - G4.7
Polymer Solar Cells with Different Interface Morphologies.
Wolfgang Wiedemann 1 , Alaa Abdellah 2 , Holger Hesse 1 , Jonas Weickert 1 , Robert Meier 3 , Kevin Musselman 4 , Judith MacManus-Driscoll 4 , Peter Mueller-Buschbaum 3 , Giuseppe Scarpa 2 , Paolo Lugli 2 , Lukas Schmidt-Mende 1
1 Dept. of Physics & Center for NanoScience (CeNS), Ludwig-Maximilians University, Munich, Bavaria, Germany, 2 Institute for Nanoelectronics, Technical University Munich, Munich, Bavaria, Germany, 3 Physik-Department LS E13, Technical University Munich, Munich, Bavaria, Germany, 4 Dept. of Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom
Show AbstractThe morphology in organic photovoltaics plays a key role in determining the device efficiency. We present polymer solar cells with different interfacial geometry and their fabrication processes to investigate the exact role of this morphology.We compare different device architectures: Bilayer, blend, stratified and nanostructured bilayer solar cells. The bilayer solar cell is fabricated by a transfer technique, where [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) is laminated on top of a poly(3-hexylthiophene) (P3HT) film. The blend device is spincoated from a mixed solution of both materials and shows a homogeneous intermixing of the donor and acceptor material. The stratified solar cell has a continuous transition between each active material, which can be obtained by spincoating a PCBM layer from an orthogonal solvent for P3HT on top of the P3HT film. The nanostructured device is a bilayer architecture with controlled nanostructured interfaces by combining nanoimprinting and lamination techniques: Before laminating the second organic layer, the first is imprinted by an anodic aluminum oxide (AAO) stamp. This technique allows us to achieve a network structure of donor-acceptor material with a ~80nm periodicity and ~40nm width. These structures have an abrupt interface between the donor and acceptor materials and show an increased effective interfacial area and photovoltaic performance compared to bilayers. In contrast to blend films, they allow an in depth analysis of the influence of morphology on interfacial physical processes. The effect of morphological changes in nanostructure height, distance and width will also be discussed.To get an insight into the recombination- and dissociation process and also the carrier transport, we apply transient measurements, such as photovoltage decay (PVD) and photocurrent decay (PCD). Photoluminescence (PL), temperature- and light intensity dependent I-V measurements provide additional information about the device physics. Small angle and wide angle X-ray data are shown, which reveal information about the interfacial morphology and the polymer orientation. The studies of the different device architectures provide insights to the ideal device morphology.
12:00 PM - **G4.8
Semiconducting Polymers for Transistors and Solar Cells.
Iain McCulloch 1 , Martin Heeney 1 , Thomas Anthopoulos 1 , R. Shahid Ashraf 1 , Weimin Zhang 1 , Hugo Bronstein 1 , Junping Du 1
1 Chemistry, Imperial College, London United Kingdom
Show AbstractThe evolution of organic electronics is now poised to enter the commercial phase, with the recent market introduction of the first prototypes based on organic transistors fabricated from solution. Understanding the impact of both the organic semiconductor design and processing conditions, on both molecular conformation and thin film microstructure has been demonstrated to be essential in achieving the required optical and electrical properties to enable these devices. Polymeric semiconductors offer an attractive combination in terms of appropriate solution rheology for printing processes, mechanical flexibility for rollable processing and applications, but their optical and electrical performance requires further improvement in order to fulfil their potential. Organic solar cell efficiencies are currently increasing rapidly based on organic bulk heterojunction devices fabricated from solution. Central to these device efficiency improvements are the development of new photoactive semiconducting donor and acceptor materials, designed at the molecular level to optimise both absorption of the long wavelength region of the solar spectrum and generation of high cell voltages. This presentation will examine some of the key design strategies to control the molecular orbital energy levels and microstructure of donor polymer semiconductors and illustrate with examples and their characterisation. Specifically, the systematic reduction of the bandgap in a series of bridged ladder type indenofluorene copolymers, in combination with the progressive lowering of the HOMO energy level will be shown. Analogues of these polymers also exhibit high charge carrier mobilities, and we will present transistor data.
12:30 PM - G4.9
High Detectivity Inverted Organic Near-infrared Photodetector.
Chi Hang Cheung 1 , Jegadesan Subbiah 1 , Lei Qian 1 , Do Young Kim 1 , Chad Amb 2 , John Reynolds 2 , Franky So 1
1 Dept of Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 The George and Josephine Butler Polymer Research Laboratory, Department of Chemistry, Center for Macromolecular Science and Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractOrganic photodetectors have received a lot of attention recently owing to their potential for large area detection and mechanical flexibility. Among different types of photodetectors, near-infrared photodetectors are of great importance because of its applications such as remote sensing, optical communication and medical instrumentations. In photodetectors, detectivity is an important figure of merit related to the device sensitivity which is determined by its quantum efficiency and dark current under reverse bias. Therefore, it is important to have a device with a low dark current while maintaining a high quantum efficiency. In this presentation, we report a solution processed organic photodetector with a spectral sensitivity in the wavelength range of 600-800 nm. An inverted structure was used to fabricate the devices reported here. Our devices fabricated here have the following structure: ITO/ ZnO nanoparticles (NCs)/electroactive layer/ (9,9-dioctylfluorene-co- N-[4-(3-methylpropyl)]-diphenylamine) (TFB)/MoO3/Ag, with ITO and Ag used as the cathode and anode respectively. Poly[(4,4′-bis(2-ethylhexyl)dithieno [3,2-b:2′,3′-d]silole)-2,6-diyl-alt-(2,1,3-benzothiadiazole)-4,7-diyl] (PSBTBT): PC60BM was used as the electroactive photo-sensing layer. TFB and ZnO NCs were used as the electron and hole blocking layers, respectively. PSBTBT is a low bandgap polymer with optical absorption in the range of 500 nm ~ 800nm. With the above structure, a maximum detectivity in the range of 10^12 Jones at 780nm was obtained and this performance is comparable with that of inorganic photodetectors. The maximum external quantum efficiency was found to be 25% at 780nm under bias of -3 V.
12:45 PM - G4.10
Ionic Effects in Solid State Organic Photovoltaics.
Martijn Lenes 1 , Henk Bolink 1
1 Instituto de Ciencia Molecular, Universidad de Valencia, Paterna, Valencia, Spain
Show AbstractIn organic electronics, the ability to support both ionic and electronic conduction is regarded as one of the possibilities to go beyond traditional device architectures and achieve exciting and new functionalities. Examples in which such mixed systems are employed include electrocromic devices, artificial muscles, biosensors and light-emitting electrochemical cells. In the latter, the incorporation of mobile ions inside a single layer active material allows one to mimic the more traditional p-i-n light emitting diode by the application of an external electric field. Upon application of the electric field, the mobile anions (or cations respectively) migrate to the cathode (anode) facilitating efficient charge injection. In this way efficient electroluminescence can be achieved using a single active layer with air stable electrodes, greatly simplifying production processes. Where the incorporation of ionic conductivity in light emitting devices is a relatively often pursued approach, remarkably this is not the case for photovoltaic devices. Currently the best performing solid state organic photovoltaic device utilizes the same p-i-n geometry as the light emitting devices described above. In analogy to the light emitting electrochemical cell, the possibility of achieving such device architectures by the movement of ions offers many advantages. Here we verify the effect of mobile ions on the operation of donor acceptor bilayer solar cells with efficiencies of around 1%. We demonstrate the large effect ions can have on the energetics of the solar cells, illustrated by (for instance) changing the output voltage of a cell in situ from 0.35V to 0.74 volts. More importantly, it is shown ionic species do not obstruct the charge generating properties of the photovoltaic devices and ionic space charge can be used to in situ improve their efficiencies. The results obtained are explained by taking into account energetic changes at the donor acceptor interface as well as built in potentials, giving clear guidelines on how ionic species can offer many new and exciting functionalities to organic photovoltaics.
G5: Organic Solar Cells - Fabrication Methods
Session Chairs
Tuesday PM, November 30, 2010
Room 311 (Hynes)
2:30 PM - G5.1
Solvent-free Printing of Small Molecular Organic Photovoltaic Devices in Air.
Shaurjo Biswas 1 , Kyle Luck 1 , Max Shtein 1
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractWidespread interest in organic photovoltaic (OPV) devices stems in large part from their potential for cost-effective processing relative to conventional inorganic semiconductor-based devices.
1 Small-molecular systems are commonly deposited using vacuum thermal evaporation or vapor phase deposition at moderate to high vacuum pressures; (the latter tends to enhance molecular ordering and device performance). It is widely believed that substantial cost reductions can be attained by avoiding vacuum-based processing, for example by coating the active organic films from solution at 1 bar pressure. This approach has been widely applied to polymeric systems, and more recently to small molecular compounds. A solvent-free, non-vacuum deposition method could further reduce process complexity and reduce cost.
Here we demonstrate the ability to rapidly print small molecular (e.g. CuPc/C60) heterojunction OPV devices without solvents, in air. Our method employs a highly collimated jet of nitrogen gas carrying organic vapor toward a substrate, on which the organic molecules condense.2 To enable printing in air, a secondary jet of inert gas coaxially surrounds the primary jet, shielding the organic vapor from the atmosphere.3 We discuss how process variables affect the resulting film morphology and device properties, and the potential of this novel technique to advance small-molecular OPV device processing.
REFERENCES: 1. B. P. Rand
et al., Prog. Photovolt: Res. Appl. 15, 659 (2007). 2. M. Shtein
et al., J. Appl. Phys. 96, 4500 (2004). 3. S. Biswas
et al., (
App. Phys. Lett., accepted).
2:45 PM - G5.2
Concentration Gradient Photovoltaic Devices Fabricated by Thermal Interdiffusion of Separately Spin-cast Organic Layers.
Manpreet Kaur 1 , Randy Heflin 1 , Rick Davis 2
1 Physics, Virginia Tech, Blacksburg, Virginia, United States, 2 Chemical Engineering, Virginia Tech, Blacksburg, Virginia, United States
Show AbstractPolymeric photovoltaic device efficiencies can be increased by utilizing improved materials as electron donors and acceptors as well as by controlling the nanoscale morphology of the thin film devices. Thermal interdiffusion of a bilayer of the donor and acceptor materials can be employed to create a concentration gradient, in order to optimize both the charge transfer and charge transport processes [1,2]. A series of experiments have been performed to study organic photovoltaic devices consisting of concentration gradients of poly (3-octylthiophene) (P3OT) and poly (3-hexylthiophene) (P3HT) with [6,6]-phenyl-C61 butyric acid methyl ester (PCBM). P3OT/PCBM and P3HT/PCBM devices were fabricated by thermally-induced interdiffusion of consecutively spin-cast layers of the polymer and PCBM from solvents of chloroform/ dichlorobenzene and pyridine, respectively. The concentration gradient profile allows strong charge transfer due to the proximity of the donor and acceptor throughout the film combined with good charge transport by providing a majority of the appropriate electron/hole transport material at each electrode. A maximum power conversion efficiency of 1.0% under AM1.5 simulated solar spectrum was obtained for 70 nm P3OT thickness, 45 nm PCBM thickness, and interdiffusion at 150°C for 20 minutes[3]. Studies on P3HT/PCBM concentration gradient devices have thus far achieved an efficiency of 2.0% under AM 1.5 simulated solar spectrum for 45 nm P3HT, 39 nm PCBM and interdiffusion at 130°C for 20 minutes. Auger spectroscopy combined with argon-ion beam milling is used to record the concentration gradient in the film by monitoring the sulfur content from the polymer backbone. Auger spectroscopy depth profiling measurements demonstrate that the optimal devices consist of concentration gradients of the polymer donor and PCBM extending across the entire film in opposite directions. References:1. M. Drees, K. Premaratne, W. Graupner, J.R. Heflin, R.M. Davis, D. Marciu, M. Miller, Appl. Phys. Lett. 81, 4607-4609 (2002).2. M. Drees, R.M. Davis, J.R. Heflin, J. Appl. Phys. 97, 036103:1-3 (2005).3. M. Kaur, A.Gopal, R.M.Davis, J.R.Heflin, Solar Energy Materials & Solar Cells, 93, 1779–1784 (2009).
3:00 PM - **G5.3
Molecular Design, Self-assembly, and Interface Modifications for Solution Processing of Innovative Organic Transistors and Solar Cells.
Alex Jen 1
1 Materials Science & Engineering, University of Washington, Seattle, Washington, United States
Show AbstractAlex K-Y. Jen, Department of Materials Science and Engineering, Box 352120, University of Washington, Seattle, WA 98195-2120. E-mail:
[email protected] semiconductor-based active materials have been explored for a wide array of applications such as flat panel displays, solid-state lighting, electronic circuits, and solar cells. The diversity in the molecular design, synthesis, and processing of these organic solids has empowered scientists to fine-tune their material structures, morphologies, and physical properties for opto-electronic applications. In this talk, we will discuss the use of material design, self-assembly, and interface engineering to significantly improve the performance of organic semiconductors for field effect transistors and photovoltaic cells. The performance of these optoelectronic devices are strongly dependent on the efficiency of charge injection and collection at the metal/organic, metal/metal oxide, and organic/metal oxide interface, charge transport, as well as charge recombination or dissociation within the active materials. To improve these important parameters, two parallel approaches were used: 1) developing novel conjugated polymers and charge-transporting materials and 2) modifying the interfaces between the organic and anode/cathode layers with neutral surfactants and functional self-assembling monolayers (SAMs) to tune their energy barriers. Moreover, the molecule engineering approach was also used to tune the energy level, charge mobility, and morphology of organic semiconductors.Invited Talk: Symposium G- Novel Fabrication Methods for Electronic Devices
4:00 PM - G5.4
Flexible, Stretchable, and Foldable Electrodes for Paper Photovoltaics via Oxidative Chemical Vapor Deposition.
Miles Barr 1 , Jill Rowehl 2 , Christopher Boyce 1 , Sung Gap Im 1 , Vladimir Bulovic 3 , Karen Gleason 1
1 Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThere is emerging interest in the ability to produce low-cost solar cells and other electronics on flexible, stretchable, and foldable substrates. Conjugated polymers have shown promise for application in these roles, because of their conductivity and semiconductor properties coupled with the good mechanical properties of polymers. However, many solution-printable conductors and semiconductors are limited by the need for substrate wettability and solvent compatibility of underlying layers, rendering many substrates inaccessible. Chemical vapor deposition (CVD) of polymers is solvent free and allows for direct control over film thickness, morphology, and conformality. Substrate-independent deposition of organic molecules at low temperature has made CVD unique. Here, we present the application of oxidative CVD (oCVD) to build photovoltaics devices on various delicate, processing-sensitive substrates.Highly conductive (100-1000 S/cm) oCVD-deposited poly(3,4-ethylenedioxythiophene) (PEDOT) was employed as an anodic material in organic solar cells. We show comparable performance to control devices incorporating industry standard electrode materials (ITO and ITO/PEDOT:PSS) and are able to achieve high fill factors (>0.6) by minimizing series resistance. Moreover, by avoiding solvents, we are able to fabricate these devices on delicate substrates, which we demonstrate on ultrathin plastics and papers. oCVD-based cells on PET were flexed >100 times to <5 mm radius while maintaining over 99% of the starting efficiency. Additionally oCVD electrodes withstood more severe deformations: flexed to <1 mm radius, creased >100 times, and stretched to ~200% while maintaining high conductivities.
4:15 PM - G5.5
Transparent Fabric Electrodes for Organic Photovoltaics.
William Kylberg 1 , Fernando Castro 3 1 , Peter Chabrecek 2 , Frank Nüesch 1 , Roland Hany 1
1 Functional Polymers, EMPA, Duebendorf Switzerland, 3 Electrochemistry and Corrosion Group, National Physical Laboratory, Teddington United Kingdom, 2 , Sefar AG, Thal Switzerland
Show AbstractThe development of flexible and transparent electrodes is an important step for the introduction of cheap organic photovoltaics into mass production. We report the use of conducting precision fabrics as transparent and flexible electrode for organic semiconductor-based thin film devices. Optimized fabrics reach light transmittance over 90% throughout the visible and near infrared spectra. Surface resistivity is as low as ~3 Ohms/square, which de-creases Ohmic losses when scaling up to large area devices. We have applied these electrodes to organic/polymer photovoltaic devices and photoelectric measurements show promising results. It was found that the electrode surface topography was a critical parameter and that the intimate contact at the metal-fibre / polymer interface is essential for improved hole collection.
4:30 PM - G5.6
Enhanced Organic Photovoltaic Cell Performance Using Transparent Microlens Arrays.
Jason Myers 1 , Sang-Hyun Eom 1 , Vincent Cassidy 1 , Jiangeng Xue 1
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractOrganic-based photovoltaic (PV) devices are a promising route to clean, renewable energy due to their processability and low source material cost compared to bulk silicon. However, their performance is still too small for widespread market acceptance. Several improvement routes are under investigation, from optimization of device architectures to novel materials synthesis. A fundamental trade-off exists between optical absorption and charge collection efficiency in organic PV cells. For example, in organic-based PVs, optical absorption lengths are typically greater than 100 nm, but low charge carrier mobilities and carrier recombination limit effective charge collection lengths to less than 100 nm. It is therefore desirable to find methods to increase the optical path length (and light absorption) without increasing the film thickness and compromising the internal quantum efficiency of the PV device.In this work, we present a simple method of attaching a transparent optical add-on to the light incident surface of an organic PV cell. Using a stamping process, we apply index-matched hemispherical microlens arrays to the light-incident surface of an organic solar cell using a silicone mold and UV-curable optical adhesive. When incident light strikes the lens arrays, two advantageous processes occur: first, transmitted light is refracted due to the non-normal incident angle, giving it an increased path length through the active layers of the device. Second, Fresnel reflection loss is reduced due to the nature of the lens arrays. When light is reflected, it can be reflected into a neighboring structure, where the spherical nature of the lens array gives it a large probability of being transmitted into the substrate and the active layers. Additionally, this reflected light also results in an increased path length within the active layer.We applied this approach to vacuum-deposited, CuPc/C60-based small molecule devices, solution-processed, P3HT:PCBM-based polymer devices, and solution-processed P3HT:CdSe nanoparticle-based hybrid PV cells. In all cases, devices with lens arrays exhibit enhancements of up to 30% in both short-circuit current and power conversion efficiency compared to unmodified substrates. The enhancement is demonstrated to be dependent on both device thickness and active area. Enhancement is greater for thinner devices, as the relative increase in path length is more significant in a thin film; enhancement also increases with device area, making this an attractive technique for large-area, roll-to-roll manufacturing. Additionally, as the enhancement is an optical effect, it is compatible with a wide variety of substrates and active layer materials, including both organics and organic-inorganic hybrids. The simplicity, near universal applicability, and compatibility with high throughput manufacturing make this enhancement method attractive for further development.
4:45 PM - G5.7
Ultrasonic Spray-deposited Polymer Solar Cells with Improved Efficiency by Use of Diluent Additives.
William Hammond 1 , Jiangeng Xue 1
1 Materials Science & Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractThe performance of organic photovoltaic devices made in research laboratories across the world has progressed steadily over the past decade. Ultimately, the work of this widespread research field is predicated on the widely foreseen future low cost of the technology. However, the fabrication method that is pervasively used in the laboratory to produce state of the art devices (spin coating) is not scalable for low cost manufacture. A host of printing and coating methods may fill this role [Sol. Energ. Mat. Sol. C. 93:394]; however, these methods have only recently begun to be explored for their use in the production of organic electronic devices, and their optimal use is as yet unknown. In this work, we have endeavored to understand the film forming process and ultimately to improve the performance of polymer solar cells fabricated by a manufacture-friendly spray coating process. We have built a simple, laboratory-scale spray system that uses an ultrasonic spray nozzle rather than a conventional pressure spray nozzle, for its advantageous clog-free operation and reduced overspray. In our initial studies of P3HT:PCBM bulk heterojunction solar cells deposited with this system, we found that device performance degrades significantly relative to spin coated devices. Specifically, while devices spin coated using chlorobenzene (CB) as solvent achieve a power conversion efficiency (PCE) of 3.2%, devices with active layers sprayed from CB achieve only 0.5% PCE. However, we have designed a solvent and diluent mixture that improves the quality of sprayed films, and have discovered that devices with active layers sprayed from this mixture produce greatly enhanced device performance and achieve PCE > 2.7%, approaching the performance of devices spin-coated from CB. Furthermore, we have found that when diluents are added to solvating toluene, a similar enhancement can be achieved with PCE > 2.0%. This is notably a higher efficiency than devices spin coated from toluene, which is a less toxic and environmentally hazardous solvent than those currently used to produce state of the art laboratory cells (CB and dichlorobenzene). A comparison of device performance and film properties of spray deposited polymer solar cells using both pure solvent as well as solvent-diluent mixtures will be presented, along with a discussion of mechanisms potentially responsible for the reported performance enhancements.
5:00 PM - **G5.8
From Linear and Branched Polythiophenes Towards Optoelectronic Applications.
Sabine Ludwigs 1 2 , Edward Crossland 1 2 , Thomas Richter 1 2 , Steffen Link 1 2
1 Freiburg Materials Research Center, University of Freiburg, Freiburg Germany, 2 Freiburg Institute for Advanced Studies, University of Freiburg, Freiburg Germany
Show AbstractThiophene based oligomers and polymers are among the most widely used π-conjugated systems for optoelectronic devices. In this contribution systematic investigations on linear and branched conjugated polythiophenes will be presented. Highly regioregular poly(3-hexyl thiophenes) (P3HT) are studied as model system for linear conjugated polymers. Though there are a number of publications reporting the excellent performance in bulk heterojunction solar cells in combination with fullerene derivatives and extremely good hole mobility properties in field-effect transistors, there is still little control over the crystallization mechanism of these semicrystalline polymers. Here we revisit the crystallization of P3HT via using a novel approach which is akin to the classical self-seeding of semicrystalline polymer solutions. We show how controlled solvent swelling and deswelling of a precast P3HT film is an extremely effective method for controlling crystal morphology by incremental changes of nucleation density over many orders of magnitude. This method provides a tool for a systematic study of the structure-function relationship in semicrystalline semiconducting polymers. The highly anisotropic charge transport found in linear polymers arising from their crystalline morphology is not always advantageous in optoelectronic applications. In addition the solubilizing side groups contribute only “non-functional volume” in later devices. Branched conjugated polymers on the other hand show higher solubilities due to the branching points which can be regarded as “functional substituents”, while the branched architecture should provide isotropic optoelectronic properties. We present novel synthetic routes for the preparation of hyperbranched polythiophenes together with a correlation of their optical and electrochemical properties and the molecular architecture. Small variations in the building blocks have shown to strongly affect the optoelectronic properties of these materials.
5:30 PM - G5.9
Reliability of All Printed Polymer Photosensors for High Illuminance Detection.
Leah Lavery 1 , Whiting Greg 1 , Tse Nga Ng 1 , Ana Arias 1
1 , Palo Alto Research Center (PARC), Palo Alto, California, United States
Show AbstractAn all-printed polymer light sensor was developed for limited use in blast exposure monitoring of soldiers deployed in the battlefield and help with diagnosis of blast-related injuries which include traumatic brain injury (TBI). The sensor was designed for a medical monitoring system capable of collecting information for daily medical records. Due to the harsh environmental conditions, the sensors are designed to be of limited use and adhered directly to objects including non-planar surfaces. This requires that the development of light sensors with transparent top electrodes, high light intensity response and process compatibility with flexible substrates. All layers of the light sensor were fabricated by ink-jet printing. The all-printed light sensor is based on a blend of hole-accepting and electron-accepting derivatives of poly(9,9’-dioctylfluorene-co-bis-N,N’-(4-butylphenyl)-bis-N,N’-phenyl-1,4-phenylenediamine) (PFB) and poly(9,9’-dioctylfluorene-co-benzothiadiazole) (F8BT), respectively. The surface of the PFB-F8BT organic photoactive layer is hydrophobic and represents a challenge when fabricating top light-absorbing devices from solution. We solved this fabrication challenge by using a modified formulation of poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS) as the top electrode. Sensors were calibrated for high illuminance detection (100 400 klux) and calibration reliability was tested after their intended one week scope of use. The photosensors show good linearity over multiple measurements at high illuminance values of (100 to 400) klux. Calibration reliability data will be presented along with photodiode measurements and printed morphology effects.
5:45 PM - G5.10
Light Trapping in Organic Solar Cells Using Pyramidal Rear Reflectors.
Weiran Cao 1 , Jason Myers 1 , Jiangeng Xue 1
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractOrganic solar cells (OSCs) have recently attracted great interests as a potential low-cost option for solar energy harvesting, due to the low-cost and easily processable nature of organic semiconductors. While the power conversion efficiency (PCE) of OSCs has recently achieved up to 7% by using novel conjugated polymer blends, it still needs to be further improved to become a viable alternative energy source. Most of the work in the field has been focused on synthesizing new organic materials, optimizing the morphology of the photoactive layer, and/or designing new device structures. While increasing the thickness of the active layer can lead to more absorption of the incident light, it may not necessarily lead to higher overall device performance as the low carrier mobility in organic films leads to increased charge recombination and lower internal quantum efficiencies in thicker films. Therefore, an ideal way to increase the total light absorption is to increase the optical path length while keeping the film thickness thin enough for efficient charge collection. Here, we apply an array of transparent pyramidal reflectors on the backside of semi-transparent OSCs (i.e. OSCs with two transparent electrodes) to effectively increase the optical path length and trap incident light through total internal reflection at the original light incident surface. The arrays are molded using a silicone stamp and photocurable optical adhesive and metalized through vacuum deposition of a reflecting metal (Ag or Al). The pyramidal reflectors serve two purposes: first, any light reflected from them will take in an additional angular component in a subsequent pass through the active layer, increasing the effective optical path length; second, controlling the angle of the pyramids relative to the substrate normal, any light that is not absorbed in the first two passes through the active layer will undergo total internal reflection at the device/air interface, therefore being trapped inside the device and having an additional chance to be absorbed in the photoactive layer. Using 40 nm-thick films of poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) as the active layers and pyramidal reflectors with a base angle of 30°, we have demonstrated that an approximately 30% enhancement in both the short circuit current and PCE can be achieved. The enhancement mechanism is purely an optical effect and is not dependent on the active layer material; hence it is generally applicable to organic solar cells. The pyramidal optical structures can also be potentially integrated in the large-area, roll-to-roll fabrication process of the OSC devices.
G6: Poster Session I
Session Chairs
David Ginger
Henry Snaith
Wednesday AM, December 01, 2010
Exhibition Hall D (Hynes)
9:00 PM - G6.10
Electron Microscopy of a UV Laser Structure: GaN Interlayer and AlGaN/GaN Superlattice Approach for Quality Improvements.
Leonardo Lari 1 , Tao Wang 1 , Thomas Walther 1
1 Electronic & Electrical Engineering Department, University of Sheffield, Sheffield United Kingdom
Show AbstractAluminium gallium nitride (AlGaN) is a promising semiconductor material for the development of highly efficient solid-state UV light emitting diodes (LEDs) and laser diodes (LDs). A large range of applications going from optoelectronics to biological imaging will potentially benefit from their improvement in efficiency. The main problems for the growth of III-Nitride UV emitters still remain the reduction of crystal defects such as misfit dislocations and the prevention of cracks in the crystal structure after deposition. These phenomena are originated by the large lattice mismatch between the available substrate (sapphire) and the deposited material. Misfit dislocations in particular act as non-radiative recombination centres which are detrimental to the emission properties. For this reason, nitride research is focused on the minimization of dislocation density. A thin GaN interlayer growth approach was recently developed by the Sheffield team for UV LEDs showing improved performance in the emission at the wavelength of 340nm. This approach has been then applied to the growth of UV-LDs. The aim was the achievement of a 337nm laser emission, which represents a possible alternative to N2 gas lasers currently used. The dislocation reduction and the cracking prevention were addressed by growing the laser structure on top of a base combining a buffer layer, a thin GaN interlayer and an AlGaN/GaN superlattice. Here we report the characterization of such sample using state-of-the-art aberration corrected TEM/STEM (JEOL 2200FS and Z3100 R005) and analytical (S)TEM (JEOL 2010F) microscopes. The results of high resolution high-angle annular-dark-field STEM imaging, electron diffraction, energy dispersive X-ray analysis and electron energy loss spectroscopy are critically discussed in correlation with the growth parameters and the structural quality of the sample.
9:00 PM - G6.11
AlN Nanorods Synthesized by a Mechanothermal Process.
G. Rosas 1 , J. Chihuaque 2 , Cristobal Patino-Carachure 1 , R. Esparza 3 , Ramiro Perez 4
1 Metalurgia Física, IIM-UMSNH, Morelia, Michoacan, Mexico, 2 , Instituto Tecnológico Superior de Irapuato, Irapuato, Guanajuato, Mexico, 3 Physics and Astronomy, The University of Texas, San Antonio, Texas, United States, 4 , Instituto de Ciencias Físicas - UNAM, Cuernavaca, Morelos, Mexico
Show AbstractWell-aligned AlN nanorods have been produced by mechanical milling and subsequent annealing treatment of the milling powders (mechanothermal process). High purity AlN powders were used as the starting material. Mechanical milling was carried out in a vibratory SPEX mill for 30 h, using vials and balls of silicon nitride. The annealing treatment was carried out at 1200 οC for 10 min. The characterization of the samples was performed by X-ray diffractometry and transmission electron microscopy (TEM). TEM observations indicated that the synthesized nanorods consisted of 30 nm in diameter and 100 nm in length. High resolution electron microscopy observations have been used in the structural characterization. AlN nanorods exhibit a well-crystallized structure. The growing direction of the nanorods is close to the [001] direction. The structural configurations have been explored through comparisons between experimental HREM images and theoretically simulated images obtained with the multislice method of the dynamical theory of electron diffraction.
9:00 PM - G6.12
Asymmetric Dielectric Gratings for Light Trapping in Si Solar Cells.
Sudha Mokkapati 1 , Er-Chien Wang 1 , Fiona Beck 1 , Kylie Catchpole 1
1 , Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractA drive to reduce the cost of energy generation using photovoltaics has motivated research in the field of high efficiency solar cells with thin active regions. However, thin solar cells require efficient light trapping in order to increase optical thickness and avoid transmission losses. Light trapping is especially important at longer wavelengths (~1000 nm), where Si, the most commonly used material for solar cells, is an indirect band gap material. Using dielectric gratings to couple incident light to higher order diffraction modes inside Si is an effective way to achieve light trapping in solar cells with thin active region. Light can be coupled into higher order diffraction modes inside Si using symmetric grating structures like lamellar gratings1 or regular arrays of square based pyramids2. However, with symmetric structures, light that is coupled into higher order diffraction modes and reflected from the opposite surface of the device can also be coupled out. This means that the light is lost after two passes through the active region. It has been proposed that the probability of such out-coupling of radiation could be minimised by introducing asymmetry into the diffraction grating2, 3 employed for light trapping. Even though the idea of using asymmetric diffraction structures to achieve higher light trapping efficiencies has been around for a long time and there are reports of enhanced absorption in a Si substrate using asymmetric gratings3, the fundamental question of whether the asymmetric structures offer any advantages at all over symmetric structures has not been addressed yet. In addition the experimental setups used for demonstration of enhanced absorption using asymmetric gratings employed a rear reflector on the grating surface. A metallic rear reflector would result in absorption of incident radiation in the metal due to excitation of surface plasmon polaritons. The experimentally reported absorption enhancements include absorption in metal, and do not necessarily indicate the efficiency of light trapping inside the active layer of the solar cell. We address the problem of asymmetric diffractive structures in a systematic way in our presentation. We start with discussion of an analytical model that gives conceptual insight into how asymmetric gratings couple light into various diffraction orders. We then compare and contrast the asymmetric gratings with symmetric structures in light of the fundamental advantages and disadvantages for light trapping applications. We also present experimental results on the behaviour of symmetric/asymmetric dielectric gratings formed using nanoimprinting, a cheap and reliable technique for patterning large areas. 1.K. R. Catchpole, Journal of Applied Physics 102 (1), 013102 (2007).2.P. Campbell and M. A. Green, Journal of Applied Physics 62 (1), 243-249 (1987).3.C. Heine and R. H. Morf, Appl. Opt. 34 (14), 2476-2482 (1995).
9:00 PM - G6.13
Innovative Heterogeneous Integration of InP HBT and Si CMOS.
Peter Nam 1 , Augusto Gutierrez-Aitken 1 , Patty Chang-Chien 1
1 , Northrop Grumman Aerospace System, Redondo Beach, California, United States
Show AbstractThe system requirements are increasingly complex and challenging in all aspects of the performance metrics such as speed, bandwidth, output power, gain and size. To meet these requirements, it is desirable to integrate the best characteristics of several device technologies in an efficient and cost effective way. In this paper, we develop a heterogeneous integration of Indium Phosphide (InP) Heterojunction Bipolar Transistor (HBT) and Silicon CMOS under the Compound Semiconductor Materials on Silicon (COSMOS) Defense Advanced Research Projects Agency (DARPA) program. InP HBT technology demonstrates significant advantages in speed, linearity and breakdown. We demonstrate the integration of 0.25 µm planar InP HBT technology with existing commercial 0.18 µm CMOS technology. This integration process enables an optimum partitioning of the functional blocks in a circuit by preferred device technologies. The integration process consists of combining completely processed InP HBT chiplets on a completely processed Si CMOS wafer using a metallic heterogeneous interconnection (HIC). After the completion of InP frontside fabrication, the InP wafer was mounted on a wafer carrier, thinned down and singulated into chiplets. In parallel, the metallic bonding pillars were evaporated onto the Si CMOS substrate. As a final integration step, the InP chiplets on the wafer carrier and the Si CMOS substrate were aligned at the wafer level and bonded through the metallic bonding pillars. The heterogeneous integration pitch, defined as the minimum pitch of metal interconnects between two technologies, is one of the key metrics to ensure high performance dense interconnection. The pitch was measured as 5 µm. A fully functional COSMOS integrated differential amplifier with p-MOS dynamic loads, InP HBT differential amplifier pair and n-MOS current source was measured. Excellent agreement of output wave form was observed between simulated and measured values.
9:00 PM - G6.14
Characterization of InGaN/GaN Multiple Quantum Wells Grown on Sapphire Substrates by Nano-scale Epitaxial Lateral Overgrowth Technique.
Patrick Fong 1 , Kelvin Leung 1 , Charles Surya 1
1 Department of Electronic and Information Engineering, The Hong Kong Polytechnic University, Hung Hom, Kowloon Hong Kong
Show AbstractHigh-quality InGaN/GaN multiple quantum wells (MQWs) were fabricated on nano-scale epitaxial lateral overgrown (NELO) GaN layers. The NELO was prepared by the deposition of 200nm thick SiO2 on a 2µm thick undoped GaN layer using plasma-enhanced chemical vapor deposition technique. A 10nm thick Ni layer was then deposited on the SiO2 layer followed by an annealing process at 800°C for 5 minutes resulting in the formation of nanometer scale Ni clusters on the SiO2. These Ni clusters served as the etch mask for the underlying SiO2 layer. After the dry etching process of SiO2, the Ni clusters were removed by hot nitric acid resulting in the formation of nano-scale SiO2 islands of diameter and inter-distance of 300nm and 200nm, respectively. A 2µm thick n-type GaN epilayer and five periods of GaN/InGaN MQWs were grown on top of the NELO layer using metal organic chemical vapor deposition technique. It is found that the overgrown GaN epilayers exhibit a significant reduction in threading dislocations (TDs) by TEM studies. The typical TD density found in the NELO samples is 7.5×107cm-2. Part of the dislocations from the undoped GaN were blocked by the SiO2 growth mask. In addition, it is found that dislocations above the SiO2 growth mask form close loop or stacking faults. All these findings show the reduction of TD density in the MQW which is the active region of the light-emitting device. Internal quantum efficiency (IQE) was determined from the temperature dependent photoluminescence measurements by fitting the experimental data to a proposed model using Levenberg-Marquardt approximation. It is found that the relative IQE at 300K of a control device grown in the same growth condition but without the NELO layer to a NELO device is only 0.59. It is generally accepted that TD is the non-radiative recombination center which affects the IQE. Therefore, room-temperature IQE values also support that NELO device exhibits lower TD density.
9:00 PM - G6.16
Omnidirectional Wiring of Stretchable Organic Microelectrodes.
Ji Tae Kim 1 , Jaeyeon Pyo 1 , Kyungyea Park 2 , Jong-Hyun Ahn 2 , Jung Ho Je 1
1 Materials Science and Engineering, X-ray Imaging Center, Pohang University of Science and Technology, Pohang Korea (the Republic of), 2 School of Advanced Materials Science and Engineering, SKKU Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractThe creation of stretchable electronics is one of the most important challenges in modern technology. One big obstacle has been the development of electrical wiring with high stretchability, conductivity, and transparency (1, 2). Recently, Poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate), PEDOT:PSS is emerging as a promising organic material for transparent and flexible electrodes (3). For the integration of highly stretchable PEDOT:PSS microelectrodes on complex electronic devices, a three-dimensional (3D) patterning technique is indispensably required. However, the conventional approaches – soft lithography (4) and inkjet printing (5) – are still limited to only 2D features with low aspect ratios.Here, we present omnidirectional wiring of PEDOT:PSS microelectrodes with high stretchability, conductivity, and transparency. By pulling a micropipette filled with PEDOT:PSS solution, we fabricated PEDOT:PSS microelectrodes with controlled dimensions, site-specific positioning, and tuned electrical conductivity. We were specifically able to produce 3D microarches of PEDOT:PSS by omnidirectional micropipette pulling. Interestingly, unprecedented stretchability over ~200% was successfully obtained by adjusting the arc length of the microarches. Successful application of the microarches to highly stretchable electrical wiring of InGaZnO inverter devices is demonstrated. Email:
[email protected]:(1)T. Sekitani, et al. Science, 321, 1468 (2008)(2)D. -H. Kim, J. -H. Ahn, et al. Science, 320, 507 (2008)(3)S. -I. Na, et al. Adv. Mater., 20, 4061 (2008)(4)F. Zhang, et al. Nano. Lett., 8, 3776 (2002)(5)J. Z. Wang, et al. Nature Mater., 3, 171 (2004)
9:00 PM - G6.17
Quasi-pyramidal Texturing Using Phase-segregated Masks.
Katherine Saenger 1 , Roy Carruthers 1 , Keith Fogel 1 , Daniel Inns 1
1 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractAs silicon solar cells become thinner and thinner, it becomes increasingly important that texturing methods for light trapping remove as little Si as possible relative to amount of topography generated. Here we describe how a micron-scale quasi-pyramidal texture may be achieved in silicon layers with arbitrary crystallinity using a phase-segregated mask in combination with reactive ion etching (RIE). The Si to be textured is coated with a thin barrier layer followed by a layer of Al-Si alloy which phase-segregates into micron-sized regions of Al and Si after low temperature (<450 oC) annealing. One component of the mask is selectively etched away and the Si under the exposed barrier regions is etched by a process that gives the desired depth and lateral undercut. We will present results for single crystal Si using various Al-Si alloy compositions and RIE conditions.
9:00 PM - G6.18
A Factorial Design of Experiments Approach to Synthesize CZTS Absorber Material from Aqueous Media.
Prashant Sarswat 1 , Michael Free 1 , Ashutosh Tiwari 2
1 Metallurgical Engineering, University of Utah, Salt Lake City, Utah, United States, 2 Materials science and engineering, University of Utah, Salt Lake City, Utah, United States
Show AbstractCopper zinc tin sulfide(CZTS), an emerging p-type quaternary chalcogenides, offers many potential advantages as an photovoltaic absorber material. We report single stage Cu-Zn-Sn co-electrodeposition from aqueous solution based method and annealing to synthesize CZTS, using factorial design of experiments approach. Factorial experiments allow to study the effects of each factor on the response variable, as well as effects of interactions between individual factors on the response variable. Factors chosen are concentration of individual ionic species, time of sulfurization and amount of complexing agent while carrier concentration, band gap, open circuit voltage (based on efficiency of solar cell), and morphological characteristics as response variables. A model has been developed to show and predict domain for best possible factors for CZTS based device fabrication.
9:00 PM - G6.19
Focused Ion Beam Based Direct Write Lithography Methods for Applications in Micro-fluidics and Electronic Devices.
Lax Saraf 1 , David Britt 2
1 EMSL, Pacific Northwest National Laboratory, Richland, Washington, United States, 2 Department of Biological Engineering, Utah State University, Logan, Utah, United States
Show AbstractLatest improvements in the focused ion beam based direct write lithography methods allow us to effectively manipulate the functionality of a particular surface used in either electronic devices or micro-fluidic sensors. Ion beam induced surface functionality could be effectively used to enhance the device efficiency and improvements in sensing properties. We discuss the direct write lithography methods in the context of its usage in electronic devices as well as mico-fluidics. Improvement in the detection sensitivity of microelectronic sensors could be achieved using electrically and thermally isolated surfaces. Site specific growth challenges for in-situ Pt nano-rods (ion-beam induced chemical vapor) deposition on thermally and electrically isolated SiO2 micro-disks will be discussed. The focused ion beam was also utilized for the fabrication of micro-cavity arrays on top of SiO2 micro-disks. Due to energetic impact of Ga-ions in insulating material, the Ga-implanted layer formation on the micro-disk cavity wall is not enough to make significant conductivity changes but possibly enough for surface functionalization. Some concepts of photonics and bio-sensor based applications using thermally and electrically isolated surfaces will also be discussed. For applications in micro/nano fluidics, we demonstrate usage of micro-cantilever arrays where direct write focused ion beam lithography can be used to form micro-channels and fluid reservoirs. Monitoring of micro-cantilever deflection by optical profilometry analysis indicate the ion dose, cantilever length dependence on the cantilever deflection. Although some of the discussed applications will be difficult to achieve, systematic efforts may convert these goals from concepts into reality.#Partial Reference- L.V. Saraf, J. Micromech. Microeng. 20 (2010) 045031.
9:00 PM - G6.2
Fabrication of Nitroaromatic Sensors via Initiated Chemical Vapor Deposition.
Christy Petruczok 1 , Se Young Yang 2 , Ayse Asatekin 1 , George Barbastathis 2 , Karen Gleason 1
1 Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractDetection of explosive compounds is a necessary attribute of military actions, forensic investigations, and efforts to maintain transportation security. Nitroaromatic compounds pose a particular threat; 2,4,6-trinitrotoluene is an inexpensive and readily available component of many common explosive blends. In this study, we aim to demonstrate a new design for a micro-scale, easily-distributed resistive sensor for the detection of nitroaromatics. Fabrication of a device consisting of a micro-scale poly(4-vinyl pyridine) (P4VP) line transected by a nano-scale Au line has been successfully demonstrated. The principle of device operation is based upon the expansion of the P4VP line upon nitroaromatic exposure due to pi-pi stacking interactions between the polymer and analyte; this expansion causes deformation of the metal line and a change in device resistance. Device electrodes are patterned using photolithography followed by electron beam evaporation of Cr. Templates for the polymer lines are defined between the electrodes using electron beam (e-beam) lithography. The polymer coating is applied via initiated chemical vapor deposition (iCVD), which provides a means of synthesizing conformal polymer coatings with nearly 100% retention of functionality. This process is extremely amenable to low-cost, large-scale production of nitroaromatic sensing devices with unique geometries. Definition of the P4VP lines is achieved via liftoff of the e-beam lithography template. Polymer line widths and thicknesses range from 500 nm to 10 μm and 110 to 350 nm, respectively, and conform well to the dimensions of the template. Silicon nitride shadow masks are fabricated using e-beam lithography and reactive ion etching. Masks with widths ranging from 100-300 nm have been successfully used to pattern gold lines via e-beam evaporation. Depositing 100 nm of Au at +30°, 0°, and -30° angles of incidence dramatically enhances the conformality of the metal line, resulting in initial device resistances on the order of ohms. Exposure of a 5 μm-wide P4VP line to ppm levels of nitrobenzene results in a permanent increase (8.5%) in the resistance of the 300 nm-wide Au line as the result of deformation and microcracks.
9:00 PM - G6.20
Cl Doping Effect by Thermal Treatment with KCl for ZnO Single Crystals.
Akira Fujimoto 1 , Yoshiyuki Harada 1
1 Nanomaterials Microdevices Research Center, Osaka Institute of Technology, Osaka Japan
Show AbstractZinc oxide (ZnO) is an environmental-friendly II-VI compound semiconductor, achieves the highly effective exciton emission at room temperature and is expected to explore attractive devices for blue-ultraviolet laser due to large exciton-binding energy of 60 meV. Although it is very important to enhance band-edge photoluminescence (PL) intensity for the application, it is desirable to decrease visible PL intensity related to deep defects such as oxygen vacancies at a ZnO surface. In order to improve the surface states of ZnO single crystals grown by the hydrothermal method, we have investigated the optical and electrical properties of ZnO single ones coated with potassium chloride (KCl) powder. Furthermore secondary ion mass spectroscopy and x-ray photoemission spectroscopy were also performed to examine Cl doping effect in ZnO single crystals.We used undoped ZnO single crystals grown by the hydrothermal method, which were made in Russia. The samples have the crystal surface of (0001) and were cut from a same rod. The method to coat KCl on the ZnO single crystals is described below. First, a small amount of KCl powder was sandwiched between the ZnO single crystal and a quartz plate. Then the powder was melted by rapid thermal annealing above the melting point of KCl (780 degree centigrade) in vacuum. After cooling them down, we found that the ZnO crystal stuck to the quartz plate together with the thin KCl film. Furthermore we prepared for as-grown and annealed samples for comparison.PL measurements using a He-Cd laser with the wavelength of 325 nm were performed. The band edge PL intensity of the coated sample with KCl is stronger than that of the as-grown and the annealed ones. The PL peak of the coated one due to a transition of bound exciton at 3.361 eV is more remarkable at 9 K. The broad PL intensity observed around 2.35 eV for the annealed sample is the strongest among our three samples. It is known that the broad PL signal corresponds to the green band emission due to oxygen vacancies. The green band emission for the coated sample is hardly observed, compared with that for the as-grown sample.Hall measurements under the magnetic fields up to 2 T were performed in the temperature range between 40 and 300 K in vacuum and no exposure to light. All the samples we employed were found to be n-type. The carrier concentration of the as-grown sample shows a dramatic decrease with decreasing temperature. The donor level is estimated to be 620 meV, which is associated with deep levels such as oxygen vacancies. On the other hand, the carrier concentration of the coated sample with KCl shows a gradual decrease with decreasing temperature. The electron conduction in the surface coated with KCl is dominant in the above temperature range. The donor level is derived to be 61meV. Our results indicate that coating ZnO surface with KCl leads to decrease oxygen vacancies and enhance a donor concentration, and Cl plays an important role.
9:00 PM - G6.21
Carrier Transport Mechanisms of Ti/p-type InP Schottky Rectifiers.
Yeon-Ho Kil 1 , Mi-Im Shin 2 , Kyu-Hwan Shim 2 , V. Janardhanam 2 , Chel-Jong Choi 2
1 school of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Jeonju Korea (the Republic of), 2 , Semiconductor Physics Research Center, Jeonju Korea (the Republic of)
Show AbstractYeon-Ho Kil1, Mi-Im Shin1, Kyu-Hwan Shim1, V. Janardhanam2, and Chel-Jong Choi1,2,*1School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756, Korea2Department of BIN Fusion Technology, Chonbuk National University, Jeonju 561-756, Korea*E-mail :
[email protected] have investigated the temperature dependent current-voltage (I-V) characteristics of Ti Schottky contacts to p-type InP. The Ti/p-type InP Schottky diode yielded an ideality factor of 1.08 showing good rectifying behavior with a barrier height of 0.73 eV at 300 K. The capacitance-voltage (C-V) characteristics of the Ti Schottky contact to p-type InP have been measured at room temperature and at different frequencies. The barrier heights from C-V measurements are calculated to be 0.71, 0.72 and 0.77 eV at 10 kHz, 100 kHz and 1 MHz, respectively. The discrepancy of barrier heights obtained from I-V at 300 K and C-V characteristics measured at f=1MHz at 300 K is negligible due to homogenous nature of Schottky diode structures. The characteristic energy of the diode at 300 K showed thermionic emission to be the dominating current mechanism. The analysis of the reverse current-voltage characteristics of the Ti Schottky contact to p-type InP reveals that the main process involved in leakage current could be associated with the Frenkel-Poole emission at 300 K, while at 350 K and 400 K, the Schottky emission.
9:00 PM - G6.22
Development of Inorganic Thin Film for Blocking Moisture/oxygen Transmission and Gas Barrier Property Using Co-sputtering Method.
Dae Seok Na 1 2 , James Jungho Pak 2 , Jai Kyeong Kim 1
1 Polymer Hybrid Center, Korea Institute of Science and Technology, Seoul 136-791 Korea (the Republic of), 2 Department of Electrical Engineering, Korea University, Seoul 136-701 Korea (the Republic of)
Show AbstractOrganic electronic devices, such as organic light emitting diodes (OLEDs), organic thin-film transistors (OTFTs), solar cells, and other organic material-containing devices, have been attracting a great deal of interest as the devices of the next generation. For such organic electronic devices to be commercialized, high quality passivation layers need to be developed in order to ensure the reliability of the device. The reliability of a device is directly related to the lifetime of the device. Accordingly, various methods for blocking the degradation and deterioration of organic materials by moisture and oxygen are being developed. Among those methods, research on passivation layers for OLEDs has been actively underway. However, since the method is incapable of completely blocking moisture and oxygen transmission after the fabrication of an organic device, there is an urgent need to establish complementary measures. Further, in case of a large area device, limitations exist with respect to consistent processing schemes and application of the device.This paper deals with a method of fabricating an organic/inorganic hybrid thin film passivation layer for blocking moisture and oxygen transmission and improving gas barrier property comprising: coating an organic electronic device fabricated on a substrate or on a top or both the top and bottom of a plastic substrate with a photo-curable polymer; curing the coated photo-curable polymer by a UV/ozone process to form an organic polymer passivation layer; and Co-sputtering inorganic material containing at least two inorganic materials on the organic polymer passivation layer to form an inorganic thin film passivation layer. In this work, a new co-sputtering type sputter machine was designed and fabricated for organic/inorganic hybrid thin film passivation layer for blocking moisture and oxygen transmission and improving gas. The designed co-sputtering machine operates based on the change of the power and gas flow rate. The films showed good moisture barrier performance blow the limit of the measurable detection range of the WVTR (water vapor transmission rate) measurement. Thus, the co-sputtering passivation films were considered to have specific high barrier features against moisture and oxygen. Also, we found that these passivation films can be applied to the flexible and organic electronic device applications.
9:00 PM - G6.25
Electrochemical Behavior of Tetraamido Macrocyclic Ligands Iron (FeIII-TAML) on Pyrolytic Graphite Electrode and its Eectrochemical Kinetics in Aqueous-ethanol Solvents Mixtures.
Hong Sun 1
1 Chemistry, Qingdao University, Qingdao, Shandong Province, China
Show AbstractElectrochemical behavior of tetraamido macrocyclic ligands iron complex (FeIII-TAML) immobilized in sodium alginate (SA) film on pyrolytic graphite (PG) electrode was investigated by electrochemical impedance spectroscopy (EIS), cyclic voltammetry (CV) and square wave voltammetry (SWV). The EIS results indicated that FeIII-TAML could be assembled onto the SA composite film strongly. The immobilized FeIII-TAML displayed a pair of well-defined and quasi-reversible redox peaks in aqueous-ethanol solvents mixtures; also the electrochemical response suggested a surface-controlled electrode process. The formal potential (E) shifted linearly dependent on solution pH with the slope value of –50 mV pH-1, which showed that the direct electron transfer was proton-coupled. The electrochemical parameters such as the average apparent heterogeneous electron transfer rate constant (ks) was measured as 17.83 s-1 and the average E was -0.069 V versus SCE by SWV with nonlinear regression analysis. The FeIII-TAML in the SA films also exhibited a good electrocatalytic property toward the decomposition of hydrogen peroxide with a significant lowering of over-potential, which can be used to fabricate the potential hydrogen peroxide sensor. The amperometric response of the sensor which showed good stability and reliability varied linearly with the hydrogen peroxide concentration ranging from 2.2 mM to 24 mM, with a detection limit of 0.88 mM at a signal-to-noise ration of 3.
9:00 PM - G6.26
Fabrication and Characterization of Reactively Sputtered AlN Thin Films with Anomalously High Pyroelectric Response.
Everett Crisman 2 , Vladimir Vasilyev 1 , Alvin Drehman 1 , Richard Webster 1
2 , University of Rhode Island, Kingston, Rhode Island, United States, 1 , Air Force Research Laboratory, Hanscom AFB, Massachusetts, United States
Show AbstractWe report a pyroelectric effect (PE) in aluminum nitride (AlN) thin films (<1μm thickness) that is typically a factor of twenty greater than that of commercial pyroelectric materials such as triglycine sulfate. This is undoubtedly due to an extrinsic effect, since the known crystalline morphs of AlN are too symmetric [1] to allow for such high values of PE coefficient, about 20x106 V/mK° in this report and in [2]. These AlN films were reactively sputtered from Al targets onto polished Si substrates and then metalized with NiCr to act as both a heat absorber and front surface contact. The x-ray study showed that the deposited films had a very weak (0001) crystalline orientation. The PE response was measured using a routine chopper technique with a halogen lamp as a heating source. Annealing studies support our assumption that residual strains remaining from the AlN thin film deposition are the most likely source of the very high PE response. The magnitude of residual strain was evaluated using measurements of curvature of AlN films after they were released from the substrate. A comparison was made of the properties of these sputtered AlN films with epitaxial layers of AlN on Si. The latter ones were highly c-oriented and did not show an evident PE response. The results of these studies will be presented along with some measurements that indicate still higher PE response might be obtainable.1.A. D. Bykhovski, V. V.Kaminski, M. S. Shur, Q. C. Chen, and M. A. Khan, “Pyroelectricity in Galium Nitride Thin Films,” App. Phys. Lett., 69, p.3254 (1996). 2.E.E. Crisman, J. S. Derov, A. J. Drehman and O. J. Gregory, “Large Pyroelectric Response from Reactively Sputtered Aluminum Nitride Thin Films,” J. Electrochem. Soc., Solid-State Lett., 8, (3) pp.A141-L1 (2005).
9:00 PM - G6.27
Rapid Prototyping of Integrated Insulator, Semiconductor, and Conductor Three-dimensional Structures with Rotational Geometry through Electron and Ion Beam Induced Deposition of Carbon and Platinum in Combination with Metal-assisted Chemical Etching of Silicon.
Konrad Rykaczewski 1 , Owen Hildreth 2 , Ching Wong 2 , Andrei Fedorov 3 , John Henry Scott 1
1 Chemical Science and Technology Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 2 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 G. W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractMetal assisted Chemical Etching (MaCE) of silicon is a promising technique for fabrication of three dimensional (3D) silicon nanostructures for electronic and photonic applications. In this process metal catalyst (such as Ag, Au, or Pt) nanoparticles or patterns etch locally into bulk silicon when exposed to a solution containing an oxidant such as hydrogen peroxide (H2O2) and hydrofluoric acid (HF). Several authors recently demonstrated that MaCE based nanofabrication exceeds the capabilities of standard fabrication methods by fabricating complex 3D nanostructures such as slated nanowires[1, 2], helical holes[3-5], cycloidal[6] and spiral[6, 7] trenches and paths. Area-selective MaCE of silicon can be achieved in two ways. In the first approach metal nanoparticles and thin films are directly patterned using methods such as colloidal crystal templating [8, 9], photo- and electron beam- lithography[6, 7], microcontact imprinting[10], superionic solid state stamping[2], and direct write Focused Ion Beam Induced Deposition (FIBID)[11]. In the second approach, recently developed by Rykaczewski et al.[12], etching is locally blocked by direct write Electron Beam Induced Deposition (EBID) of a thin electrically insulating carbon layer beneath a uniform Au thin film[12]. In this work, we demonstrate that a combination of the two approaches mentioned above significantly improves MaCE process capabilities. Specifically, we demonstrate that overlaying an EBID carbon pattern with a FIBID platinum pattern locally pins the metal catalyst structures and produces silicon structures with unique rotational geometries. We show that carbon pinning of one end of a platinum line and two ends of a platinum arc results in cantilevering of the metal structures during etching and removal of silicon from incomplete circular and spherical paths. Since the carbon and platinum structures remain connected to the resulting silicon structures, the developed process could provide a novel method for three dimensional integration of insulator, semiconductor, and conductor electrical components. References[1]K. Peng, A. Lu, R. Zhang, S. T. Lee, Adv Fun Mat 2008, 18, 3026.[2]W. Chern, et al., 2010, Nano Lett, 10, 1582.[3]K. Tsujino, M. Matsumura, Adv Mat 2005, 17, 1045.[4]K. Tsujino, M. Matsumura, Electrochem and Solid State Lett 2005, 8, C193.[5]K. Tsujino, M. Matsumura, Electrochimica Acta 2007, 53, 28.[6]O. J. Hildreth, W. Lin, C. P. Wong, ACS Nano 2009, 3, 12.[7]I. S. Chun, E. K. Chow, X. L. Li, App Phys Lett 2008, 92, 3.[8]H. Asoh, F. Arai, S. Ono, Electrochem Comm 2007, 9, 535.[9]H. Asoh, F. Arai, K. Uchibori, S. Ono, App Phys Exp 2008, 1, 3.[10]Y. Harada, et al. J Amer Chem Soc 2001, 123, 8709.[11]S. Chattopadhyay, P. W. Bohn, J App Phys 2004, 96, 6888.[12]K. Rykaczewski, et al. ACS App Mat Inter 2010, 2, 969.
9:00 PM - G6.28
Tandem Photovoltaic Cells with Amorphous Silicon Cells and Organic Photovoltaic Cells.
Taehee Kim 1 , Jun Hong Jeon 1 , Seung Hee Han 1 , Doh-Kwon Lee 1 , Kyungkon Kim 1
1 Solar Cell Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of)
Show AbstractThin-film amorphous silicon solar cells have attracted much attention because of their considerable potential as low-cost renewable energy resources. However, the narrow absorption range of amorphous silicon is a drawback as compared with that of crystalline silicon. To achieve the wide range of absorption with a simple process, development of a tandem cell by stacking of a solution-processible organic photovoltaic (OPV) cell with a low band-gap polymer onto an amorphous silicon cell can be a excellent solution. We demonstrate, for the first time, the photovoltaic property of the tandem cells comprised of amorphous silicon solar cells and OPV cells. As a result, we obtained the open circuit voltage (Voc) of the tandem cell which is the sum of Voc of the two subcells with a series-connection. Fill factor (FF) of the tandem cell was strongly dependent on the intermediate hole transporting (HT) materials deposited onto the amorphous silicon layer. With MoO3 as the HT layer, higher FF of the tandem cell was obtained mainly due to the reduced series resistance in comparison with the conventional PEDOT:PSS. This result indicates that the interfacial contact between the organic and inorganic layers plays an important role in improvement of FF. Short-circuit current density (Jsc) of the tandem cell is known to be limited by the subcell with smaller Jsc. In our case, the OPV cell acted as the limiting cell because the amorphous silicon cell with the very low transmittance was placed in front of the OPV cell. Further enhancement of the power conversion efficiency of the tandem cells can be accomplished by increasing the transmittance of the amorphous silicon cell and improving Jsc of the OPV cell.
9:00 PM - G6.29
Application of Laser Doping to Form Selective Emitter in Silicon Solar Cells.
Kenji Hirata 1 , Tamaki Takayama 1 , Tomohiro Funatani 1 , Mitsuhiro Hasegawa 1 , Takashi Fuyuki 1
1 , Nara Institute of Science and Technology, Ikoma, Nara, Japan
Show AbstractIn the fabrication of silicon solar cells process, doping process is indispensable to form junctions. Now, instead of the conventional thermal diffusion method, laser doping (LD) technique is noticed as alternative because of its many advantages. For example, it can be operated at the room temperature and in the atmosphere. In addition, applying LD technique, selective emitter or back surface field (BSF) can be formed easily without using the conventional photolithography process. Particularly, selective emitter formation for silicon solar cells is very important to increase efficiency η. In this study, we will present the feasible method to form the selective emitter structure by laser doping at room temperature in the atmospheric pressure. The solar cells have fabricated on two step processes. The doping precursor was coated and was pre-baked forming phosphorous silicate glass (PSG) on the surface. The first step to form the pn junction for the carrier collection was operated by thermal solid phase diffusion. And then, the selective emitter was formed underneath finger electrode pattern by controlling the laser irradiation. The laser parameters to form the selective emitter were Nd3+: YAG Pulsed laser with 355 nm wavelength, 50 µm for the laser spot diameter on the surface and 0.5 W for the laser output power. This selective emitter formation needs very short time compared to conventional process. Moreover, we prepared two types pn junction which is shallow or deep junction. These were formed by controlling diffusion time in first step. For the substrate, 0.1-2 Ωcm 300-µm-thick p-type Czochralski substrate was used.The illuminated photovoltaic properties with laser-doped selective emitter were measured (None ARC, No passivation, and None-textured were adopted to reveal the effect of selective emitter structure). Our results demonstrated in shallow pn junction that the silicon solar cell with laser-doped selective emitter improved open circuit voltage (Voc) and Fill Factor (F.F.). On the other hand, our results in deep pn junction were shown that it improved not only Voc and F.F., but also short circuit current (Jsc). These are probably due to the reduction of the series resistance which affects F.F. by reduced contact resistance and the surface recombination which affects Voc by formed the potential difference of lightly and heavily doped layer with the selective laser doped layer, however, they were not optimized completely. The further progresses will be investigated and more optimizing LD conditions for selective emitter will be discussed which type of pn junction would be better for the selective emitter.In conclusion, the selective emitter structured by LD method could be shown that photovoltaic properties were improving. LD leads to low-cost and high efficiency silicon solar cell because it can operate very simple method without using photolithography, at low temperature and in atmospheric pressure.
9:00 PM - G6.30
Light Emitting Diode Growth on Terraced Gallium Nitride Surfaces.
L. Rodak 1 , D. Korakakis 1
1 Lane Department of Computer Science and Electrical Engineering, West Virginia University, Morgantown, West Virginia, United States
Show AbstractIII-Nitride based Light Emitting Diodes (LEDs) are heavily pursued for various lighting applications due to the ability to engineer the emission through the visible wavelengths by controlling the alloy composition in the Multiple Quantum Wells (MQW). Due to the large refractive index of GaN (~2.4), planar nitride based LEDs are generally characterized by a narrow light escape cone limiting the extracted light to approximately 6% [1]. Furthermore, such planar structures are characterized by a Lambertian emission pattern. Depending on the applications in which the LED is employed, including but not limited to, general lighting, displays, and sensors, the emission profile may need to be more or less directional. As a result, there is significant interest in increasing the light extraction efficiency of nitride based LEDs and also controlling the emission profile. Lenses, including hemispherical and micro-lens are common techniques used to improve light extraction and alter the emission profile. Hemispherical lens are well known to create a uniform light emission profile and are often fabricated post growth using epoxy. Micro-lens have been fabricated both using patterned substrate techniques [2] and also using GaN etching techniques [3]. Both have been effective in increasing the light extraction and also modifying the emission pattern. However, both increase the device size and/or add additional fabrication steps. In this work, terraced GaN structures have been grown utilizing growth kinetics. Steps of with heights of several hundred nanometers have been fabricated and the mechanism behind the step creation will be discussed. It is anticipated that when integrated directly with active devices, i.e. LEDs, the terraced surface will allow for more uniform light output distribution. These as-grown features do not require the extensive additional fabrication steps of previously mentioned processes and allow for compact integration of the LED and light dispersing elements. The details of the fabrication as well the direct integration with InxGa1-xN/GaN MQW based LEDs will be discussed.[1] Aurélien David, Tetsuo Fujii, Rajat Sharma, Kelly McGroddy, Shuji Nakamura,Steven P. DenBaars, Evelyn L. Hu, and Claude Weisbuch. Appl. Phys. Lett. 88, 061124 (2006)[2] H. W. Choi, C. Liu, E. Gu, G. McConnell, J. M. Cirkin, I. M. Watson, and M. D. Dawson. Appl. Phys. Lett. 84, 2253 (2004).[3] Mount-Learn Wu, Yun-Chih Lee, Shih-Pu Yang, Po-Shen Lee, and Jenq-Yang Chang, Optics Express 17, 6149 (2009).
9:00 PM - G6.31
Effect of Yttrium Composition Ratio on Solution-processed Hafnium Oxide Gate Insulator for Thin-film Transistors.
Si Joon Kim 1 , Dong Lim Kim 1 , You Seung Rim 1 , Doo Na Kim 1 , Doo Hyun Yoon 1 , Hyun Jae Kim 1
1 , Yonsei University, Seoul Korea (the Republic of)
Show AbstractTin zinc oxide (TZO) thin-film transistors (TFTs) with yttrium hafnium oxide (YHO) gate insulator fabricated by solution process were presented. Y nitrate and Hf chloride were used as precursors with different component fractions. The electrical characteristics and the phase of YHO gate insulator were affected by the mole ratio. As the Y amounts of YHO gate insulator increases, the breakdown voltage was increased and the dielectric constant showed a decrease tendency due to the lower electron affinity and dielectric constant of Y2O3 than HfO2, respectively. Moreover, the amorphous phase was obtained according to increase of the Y amounts in spite of annealing at high temperature (550 oC). The amorphous YHO films with optimized mole ratio between Y and Hf in the lattice showed high breakdown voltage (>70 V) and dielectric constant (>16) simultaneously. From these results, the solution-processed TZO TFT with optimized YHO gate insulator was suggested and showed the field effect mobility of above 1 cm2/Vs and on-to-off current ratio of about 105.
9:00 PM - G6.32
Effect of Molecular Weight on the Directed Assembly of Nanoelements in Moderately Viscous Systems.
Satyam Modi 1 , Joey Mead 1 , Carol Barry 1
1 Plastics Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractA number of methods, including electrophoresis, have been used to create nanoscale patterns from suspensions of nanoparticles. Polymer melt-based processes for the manufacturing of nanostructures offer a high rate, environmentally friendly, and commercially viable alternative to current solution-based methods. Adapting nanoassembly processes, specifically electrophoretic assembly, to the highly viscous environment of polymer melts presents significant challenges. In this work, the electrophoretic deposition process was used to assemble carbon black from the moderately viscous solution of polystyrene in tetrahydrofuran. The effect of viscosity on the surface coverage and deposition height was investigated. The effect of varying the molecular weight of the polystyrene on the deposition kinetics and interaction between the polymer and particles was also examined.
9:00 PM - G6.33
Flexible Dye Sensitized Solar Cells Using Stainless Steel Mesh Electrodes to Replace the Front Transparent Conductive Oxide Electrodes.
Vishnuvardhanan Vijayakumar 1 , Aurelien Du Pasquier 1 2 , Dunbar Birnie 1
1 Materials Science & Engineering, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 2 ESRG, Rutgers, The State University of New Jersey, North Brunswick, New Jersey, United States
Show AbstractDye sensitized solar cells (DSSC) are beginning to enter large scale commerical production and so a significant effort has been put towards developing roll-to-roll manufacturing techniques to push device costs down. However, titania coatings deposited on currently-available flexible transparent conductor oxide (TCO) substrates (e.g. ITO/PET sheet) cannot be sintered at high enough temperatures, which leads to poor interconnection or bonding of titania particles. In order to circumvent this problem, reactive sintering and high pressure sintering have been suggested but they might have expensive commercialization paths. So in the present research, stainless steel meshes were used as substrates for flexible DSSCs, replacing the front electrode TCO’s. SS meshes are flexible, have high electrical conductivity, appropriate work function (~4.4 eV), and titania coatings could be sintered at higher temperatures before full device assembly. The meshes were coated with bi-layer titania coatings, inner dense titania layers were coated to protect the substrate from oxidation and the outer porous titania layers were coated to provide high surface area for dye adsorption. The dense titania coatings were made by dip coating and porous titania coatings were made by spray coating of the substrates. The effect of inner dense titania layers on the performance of the SS mesh photo electrodes was studied through optical and impedance spectroscopy. Dense and porous titania layer thicknesses were optimized and tested for SS meshes of various sizes namely 120 counts (120 x 120 wires per sq inch), 400, and 500 counts to illustrate the performance of the SS meshes as flexible photoelectrodes. A peak efficiency (AM 1.5) of 2.84 % was obtained for 400 counts mesh compared to 3.01% efficiency obtained from fluorine-doped tin oxide (FTO) coated glass substrates.
9:00 PM - G6.34
The Effects of Thermal Treatment on Bottom Electrodes with and without TiOx.
Wayne Archibald 1 2 , Kara Spencer 1 2 , Matthew Ivill 2 , Melanie Cole 2
1 College of Science and Mathematics, University of the Virgin Islands, Charlotte Amalie, St. Thomas, Virgin Islands (U.S.), 2 Materials & Manufacturing Science Div., Integrated Electromagnetic Materials Research Group, , U.S. Army Research Laboratory, Aberdeen, Maryland, United States
Show AbstractThe successful integration of TiOx with sapphire substrate has the potential to be used in tunable devices for both commercial and military applications. In this work we evaluate the effect of temperature and TiOx layer on the material properties of bottom electrodes. The microstructure, surface morphology and film stress were evaluated with scanning electron microscopy, atomic force microscopy and the Tencor FLX-2320 by using laser reflectivity. Six sapphire substrates were used in this study. The first sample, served as a control, remained in its as-received state, while samples two and three were annealed in oxygen for 750 degrees Celcius for 1 hour. The surface roughness of all samples was measured and curvature measurements were done before and after annealing in order to determine the change in stress. The fourth sample remained in its as-received state, while the fifth and sixth samples were annealed for 750 degrees Celcius for 60 minutes in oxygen. Again the stress was determined. 10 nm TiOx adhesion layer was sputtered on to samples 4, 5 and 6 and annealed at 850 degrees Celcius for 1 hour.200 nm Pt electrode layer was then deposited on all six samples. The surface roughness, stress measurement and sheet resistance, using a four-point probe, will be used to analyze the surface of the thin film bottom electrode. All six samples will then be annealed for 1 hour at 750 degrees Celcius and the final surface stress and morphology and sheet resistance was analyzed.
9:00 PM - G6.35
Structural Health Monitoring System for Military Vehicles and Aircrafts.
Wayne Archibald 1 2 , Nagid Brown 1 2 , Ryan Toonen 2 , Melanie Cole 2
1 College of Science and Mathematics, University of the Virgin Islands, Charlotte Amalie, St.Thomas, Virgin Islands (U.S.), 2 WMRD, Materials & Manufacturing Science Div., Integrated Electromagnetic Materials Research Group, U.S. Army Research Laboratory, Charlotte Amalie, Maryland, United States
Show AbstractToday’s military uses numerous types of vehicles as their source of transportation. The vehicles and aircrafts used usually do not always meet their life expectancy. This is mostly due to operators being unaware of severely damaged stresses or strains acting on the vehicle or aircraft. The concept behind Structural Health Monitoring system is the installation of several non-invasive transmitters or receivers along the hull of a vehicle or aircraft that will emit sound waves throughout the vessel and be reflected back to the transmitter or picked up by another transmitter or receiver. The time it takes for the sound wave to be picked up by the receiver will determine if there is any damage to the vehicle/aircraft. Experiments conducted included the testing of the Lead Zirconium Titrate (PZT) substrate with pulse echoes to determine the speed of sound through PZT. This testing was accomplished by adhering two gold plates on either end of the substrate and sending a pulse wave from one end of substrate through the gold plate, allowing it to reflect off the other gold plate to the initial plate. The time it takes the pulse to travel to and from the opposite plate, along the distance between the two plates was used to determine the speed of sound through the PZT substrate. The frequency and wavelength were predetermined. The experiments were performed at different frequencies and wavelengths to determine the most efficient frequency/wavelength to use for the highest performance level.
9:00 PM - G6.37
Effect of Surface Modification of Metal Oxide by Novel Self-assembled Monolayer in Hybrid Organic-inorganic Light-emitting Device.
Munehiro Hasegawa 1 , Yoichi Arimoto 1 , Takeo Akatsuka 1 , Tsuyoshi Goya 1 , Katsuyuki Morii 1
1 , Nippon Shokubai Co., Ltd., Suita Japan
Show AbstractOrganic light-emitting diodes (OLEDs) have been attracting much attention toward commercialization of thin, lightweight, and flexible flat panel displays. Among OLEDs, polymer light-emitting diodes (PLEDs), which use conjugated polymers as light emitting materials instead of small molecules, have considerable advantages in relation to the easiness of their production process. Since they can be fabricated by solution process instead of vacuum treatments, direct printing using inkjet printers, role-to-role, and wide area processes are thereby expected to be achieved.However, the degradation of these devices due to air and their resultant insufficient lifetime are more or less common problems for OLEDs at present. Thus, in order to protect the air-sensitive materials, most organic devices need rigorous encapsulation.Hybrid organic and inorganic light-emitting devices (HOILEDs) have recently attracted attention to solve these problems. HOILEDs generally consist of a polymer electroluminescent layer sandwiched in between two metal oxide thin films, functioning as the electron injection layer (EIL: TiO2, ZnO, ZrO2) and the hole injection layer (HIL: MoO3). Since they rely on air-stable metal oxides as charge injection materials, these devices are less air sensitive and require less stringent encapsulation. At the same time, the use of light-emitting polymers (LEPs) allows the electroluminescent organic layer to be processed by simple solution methodsAlthough HOILEDs have shown promising performance, such as high luminance levels and low turn-on voltages, it is still remains that they have not displayed operating stability. It has been suggested that HOILEDs are hole dominated light emitting diode and the hole carriers accumulate at the interface between a TiO2 layer and an LEP layer, and electrons can be injected by a large number of injected holes.We thought that the device instability is derived from this carrier recombination mechanism, that is LEP is decomposed by accumulated hole carriers. In addition, poor electron injection due to the wide gap and the morphological difference of organic-inorganic interface between polymer and metal oxide.In this study, we report the effect of modifying the interface by using the self-assembled monolayer (SAM) technique to improve the device stability.The novel substituted trialkoxysilane were synthesized and used as SAM on the TiO2 surface in HOILED. This modified device drastically improved the device performance (decreasing leakage current and improving lifetime), compared to the conventional HOILED. Commercially available silicon SAMs materials, octadesiltrimethoxysilane, phenyltrimethoxysilane and aminopropyltrimethoxysilane, were not affected the device performance.We will also report the effect of this interface modification technique for other metal oxides in HOILEDs, and detailed mechanism.
9:00 PM - G6.38
Molecular Design and Synthesis of Ti-DOT: A New Titanium Precursor for ALD/CVD without Incubation Time.
Toshiki Yamamoto 1 2 , Ken-ichi Tada 2 , Kohei Iwanaga 1 2 , Hirokazu Chiba 1 , Atsushi Maniwa 1 , Tadahiro Yotsuya 1 2 , Noriaki Oshima 1 2 , Hiroshi Funakubo 3
1 Tokyo Research Center, TOSOH Corporation, Kanagawa Japan, 2 , Sagami Chemical Research Institute, Kanagawa Japan, 3 Department of Innovative and Engineered Materials, Tokyo Institute of Technology, Kanagawa Japan
Show AbstractTiO2 thin films have attracted much attention for a wide range of applications, for instance, high-k dielectrics, photocatalysts, anti-reflective films, and so on, owing to its specific functional properties. Among various deposition techniques, atomic layer deposition (ALD) or chemical vapor deposition (CVD) have a great advantage in an excellent film uniformity and conformality over a large surface area of the substrate. Although Ti(OiPr)4 (TTIP) has been intensively investigated as a liquid titanium precursor for CVD, TTIP has a critical problem: a time delay for deposition, and this is referred to as “incubation time”. Furthermore, it is frequently difficult to create a thin film with precise thickness due to TTIP’s unpredictable nature. Herein we describe the development of a new titanium precursor for CVD and ALD, Ethene-1,2-diylbis(tert-butylaminido)diisopropoxotitanium, namely, Ti-DOT. A liquid titanium compound Ti-DOT was readily synthesized by the reaction of N,N'-di-tert-butyl-1,4-diazabuta-1,3-diene (DAD), alkaline metal and TTIP, and could be purified by distillation. The structural characteristics of Ti-DOT were identified by means of 1H and 13C NMR spectroscopies as well as GC-MS. A dianionic (Z)-ethene-1,2-diylbis(tert-butylaminido) ligand was coordinated to a diisopropoxo titanium segment in bidentate-fashion through two nitrogen atoms to form a 6 π-electron five-membered metallacycle. This unique strong chelate structure presumably improves thermal stability of Ti-DOT. It was also confirmed by thermogravimetric analysis (TGA) that Ti-DOT has enough volatility to use for CVD/ALD process, in addition to its good thermostability. Ti-DOT completely vaporized without decomposition or other chemical changes during the measurement of TGA with heating rate of 10°C/min under 400 mL/min argon stream. Moreover, a high reactivity of Ti-DOT with oxygen gas was verified. Ti-DOT smoothly reacted with excess oxygen gas to form deposits with simultaneous liberation of DAD, even at room temperature. TiO2 films were deposited on thermally oxidized SiO2/Si(100) substrates by CVD using Ti-DOT at the deposition temperature ranging from 200°C to 400°C. Ti-DOT was vaporized by bubbling method and fed to a cold-wall type chamber, and it reacted with co-reactant gas of oxygen to form a TiO2 thin film on the substrate. Ti-DOT showed the negligible incubation time at the deposition temperature of 300°C and the XPS data indicated that the film composition was TiO2. Roughness root mean square values of TiO2 films deposited at 300°C were observed to be as small as 3.9 nm. These results indicated that numerous fine nuclei of TiO 2were grown with no incubation time at an initial stage of deposition, and the high nucleation density led to the formation of a conformal thin film. The high oxophilicity of Ti-DOT clearly enhanced the nucleation and film-growth of TiO2. Thus, Ti-DOT is an excellent material as a CVD precursor.
9:00 PM - G6.39
Dramatic Conductivity Evolution by a Facial Solvent Treatment on PEDOT:PSS Composite Films.
Jun-Seok Yeo 1 2 , Jin-Mun Yun 1 2 , Seok-In Na 2 , Dong-Yu Kim 1
1 Department of Material Science and Engineering, Gwangju Institute of Science and Technology, Gwangju Korea (the Republic of), 2 Institute of Advanced Composite Materials, Korea Institute of Science and Technology, Wanju Korea (the Republic of)
Show AbstractThe transparent electrode is one of the important component in optoelectronic devices such as light emitting diode and solar cells. However, indium tin oxide (ITO) which has been widely used so far in displays or solar cells is not suitable for next generation of optoelectronic devices due to a limited mechanical property and the high cost of indium. For these reasons, various efforts are focusing on organic-based electrodes, especially conducting polymers. Among these materials, the poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) composite is one of the most promising materials due to superior properties to other conducting polymers such as solution processability, excellent electrical property, and high transparency in the visible range. Because commercially available PEDOT:PSS has a low conductivity, it should be modified to be a transparent electrode. The representative method for conductivity enhancement of PEDOT:PSS is an addition of polar solvents such as dimethylsulfoxide (DMSO) and ethylene glycol (EG) to PEDOT:PSS solution. However, the usual method using polar solvents reduce the surface coverage and degrade film quality in large area. Herein, we have investigated a facial solvent treatment. This novel solvent treatment enhances conductivity of PEDOT:PSS dramatically by providing the film with enough time to change the morphology. In addition, this method will advance the understanding of highly conducting PEDOT:PSS films such as morphology and surface composition. Furthermore, the effects of using the modified PEDOT:PSS films as polymer anodes on solar cell performance were investigated.
9:00 PM - G6.4
Oxidative Jet Deposition of Polythiophenes.
Andrew Gilpin 1 , F. Boerio 1
1 Chemical and Materials Engineering, University of Cincinnati, Cincinnati, Ohio, United States
Show AbstractAn oxidative jet deposition process was used to deposit films of polythiophenes. The oxidative jet was created using two different methods, an ozone jet or a plasma jet. The ozone jet was created by forcing a gas mixture containing oxygen through a corona-discharge apparatus, while the plasma jet was created by forcing an ionization gas through a radio-frequency plasma chamber. Polymerization and film deposition were achieved by rastering the jet over a substrate while injecting a monomer into the oxidative jet. The injected monomers include thiophene, 3-methylthiophene, and 3,4-ethylenedioxythiophene. The resulting films were characterized with Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy, X-ray photoelectron spectroscopy, and four-point probe conductivity measurement. The FTIR spectra of the ozone jet deposited films were characteristic of 2,5-coupled, saturated polythiophenes. 2,5-coupled, saturated polythiophenes are known products of the acid-catalyzed polymerization of thiophenes. This suggests that ozone acts as a Lewis acid catalyst in the ozone jet deposition process. The processing parameters used in the plasma jet depositions, including applied power, monomer flow rate, and raster rate, had a large impact on the characteristics of the resulting films. The FTIR spectra of the plasma jet deposited films contained prominent peaks associated with hydroxyl and carbonyl groups. This suggests that the plasma jet deposited films contained more overoxidation in the form of hydroxyl and carbonyl groups than films deposited through the ozone jet process. The fabrication of organic electronics could be improved by this technology because a jet process allows for inline processing. Inline processing offers practical industrial advantages over the batch process methods currently used for depositing films of polythiophenes.
9:00 PM - G6.40
Controlled Micro-structure and Crystalline Orientation of ZnO Films Fabricated by Spin-spray Method.
Nobuhiro Matsushita 1 , Hajime Wagata 1 , Naoki Ohashi 2 , Ken-ichi Katsumata 1 , Kiyoshi Okada 1
1 Materials and Structures Laboratory, Tokyo Institute of Technology, Yokohama Japan, 2 Optronic Materials Center, National Institute for Materials Science, Tsukuba Japan
Show AbstractZinc oxide (ZnO), an important wide band gap (3.37 eV) semiconductor, is expected to be used for a wide variety of applications because of its outstanding optical, electronic and catalytic properties. As these properties strongly depend on the nano/micro structure, the precise structure controls are quite important for their practical applications. While gas-phase syntheses like sputtering and pulsed laser deposition (PLD) are mainly used to prepare ZnO films so far, the solution-phase syntheses utilizing aqueous chemistry such as hydrothermal, electrochemical and chemical bath deposition (CBD), also have drawn lots of attentions because of their low process temperature, low-environmental load and cost-effectiveness. However, the ZnO films prepared by these synthesis routes often resulted in porous structures due to differences in growth rates of crystal planes, and they usually require seed layers such as metals, metal oxides (generally ZnO itself) or metal hydroxides for heterogeneous nucleation on substrates.In this study, we succeeded in fabricating ZnO films without using any seed layers and in controlling their microstructure and crystalline orientation using trisodium citrate as an additive in the spin spray process. Addition of the citrate in the solution changed the film strucuture from rod array to dense columns. Furthermore the XRD results showed that crystal orientation in the films can be tuned from (002) to (101) or (100) with increasing the citrate concentration in the solution. The TEM observation revealed that one column particle consists of nanocrystals (~10 nm) in film-substrate interface and comparably large crystal (50 nm~) in inner region. The FT-IR spectra showed the existence of the citrate in the films, implying that citrate adsorption on ZnO crystal controlled the growth direction. After thermal- or photo- decomposition of adsorbed organics, the ZnO film show a high optical quality exhibiting strong UV luminescence and weak defect related green-yellow emission.
9:00 PM - G6.41
Low Temperature Vertical Poly-silicon Diode Fabricated by Metal-induced Lateral Crystallization (MILC) of Two Amorphous Silicon Layers with In-situ Doping.
Jiale Liang 1 , Woo-Shik Jung 1 , Krishna Saraswat 1 , H. -S. Philip Wong 1
1 , Stanford University, Stanford, California, United States
Show AbstractThe concept of 3D stackable cross-point memory has attracted increasing attention with its intrinsic 4F2 cell size and good scalability. To facilitate the cross-point concept, vertical selection device such as vertical PN diodes must be integrated with the memory cells to reduce the sneak path leakage. However, 3D stackable cross-point structure requires low temperature process (<500°C) to protect the underlying devices and interconnects. One of the techniques that shows promise to overcome this obstacle is the metal-induced lateral crystallization (MILC). MILC occurs through the formation of heterogeneous nuclei with lateral diffusion of the metal silicide. It features less metal contamination and larger grain sizes compared to the metal induced crystallization (MIC) technique. A low temperature process is also desirable for abrupt junction formation. Using nickel as the nuclei catalyst, we demonstrate, for the first time, the fabrication of low temperature vertical poly-silicon (p-Si) PN diodes with various areas, starting from two 100nm thick amorphous silicon (a-Si) layers with in-situ boron and phosphorous doping. To further reduce the metal contamination and improve the diode performance, two-steps annealing is used in which the un-reacted nickel is removed after the first annealing step and the MIC region is etched away in the process. Comparing the XPS and XRD analysis before and after each annealing step, we have observed the formation of nickel silicide and the crystallization of a-Si into p-Si. Sheet resistance measurement confirms the activation of dopants and the I-V characteristics of the fabricated vertical p-Si diode show good current density (~1-10 A/cm2) and acceptable on/off ratio (>10x) with the highest processing temperature kept below 500°C. Vertical MILC diode is fully compatible with the current CMOS technology and only adds one extra mask in the process. It paves the way for the realization of selection device for 3D stackable cross-point structure with good scalability, abrupt junction and low temperature process.
9:00 PM - G6.42
Enhanced UV Photon-response of Tin Nano Cluster Loaded- laser Irradiated ZnO Thin Film Detector.
Rashmi Menon 1 , K. Sreenivas 1 , Vinay Gupta 1
1 Dept. of Physics and Astrophysics, University of Delhi, Delhi India
Show AbstractZinc Oxide (ZnO), II-VI compound semiconductor, is a promising material for ultraviolet (UV) photon sensor applications due to its attractive properties such as good photoconductivity, ease processing at low temperatures and excellent radiation hardness. The rf magnetron sputtering is a suitable deposition technique due to better control over stoichiometry and deposition of uniform film. Studies have shown that the presence of surface defects in ZnO and subsequently their passivation are crucial for enhanced photo-response characteristics, and to obtain the fast response speed. Worldwide efforts are continuing to develop good quality ZnO thin films with novel design structures for realization of an efficient UV photon sensor. In the present work, UV photon sensor is fabricated using a ZnO thin films deposited by rf magnetron sputtering on the corning glass substrate. Photon-response, (Ion/Ioff) of as-grown ZnO film of thickness 100 nm is found to be 3x10E3 with response time of 90 ms for UV intensity of 140 µW/cm2 ( λ = 365 nm). On irradiated the ZnO film by pulsed Nd:YAG laser (forth harmonics 266 nm), the sensitivity of the UV sensor is found to enhance. The photo-response increases after laser irradiation to 4x10E4 with a fast response speed of 30 ms and attributed to the change in surface states and the native defects in the ZnO thin film. Further, enhancement in the ultraviolet (UV) photo-response (2.5 x 10E5) of detector was observed after integrating the nano-scale clusters of Sn metal on the surface of laser irradiated ZnO thin film.
9:00 PM - G6.43
Low-damage Processing of Organic Materials with Size-controlled Gas Cluster Ion Beams.
Motohiro Nakagiri 1 , Takashi Furuya 1 , Noriaki Toyoda 1 , Isao Yamada 1
1 Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo, 671-2280 Japan
Show AbstractIn recent years, there has been growing demand to use organic materials in electronic devices such as semiconductor devices, solar cells, and optical devices. However, organic materials are easily damaged by energetic ions. Therefore, it is still difficult to use ion beam process for organic materials. On the other hand, very low-energy ion irradiation is realized by using gas cluster ion beam (GCIB). As it consists of several thousands of atoms, energy per atoms can be easily reduced to several eV/atom. Consequently, it is shown that the GCIB is useful for low damage etching of organic materials. However, the number of atoms or molecules (cluster sizes) was not controlled in the previous study. Since the energy per atom of cluster is defined by cluster size (number of atoms or molecules in one cluster ions), it is important to study the cluster size effect on damage formation in organic materials. In this study, size-controlled GCIB irradiation on organic materials were carried out, and subsequently irradiated surfaces were characterized with X-ray photo electron spectroscopy (XPS) to evaluate the change of chemical bonding state. To begin with, polyimide was used as a target organic material. In the case of Ar monomer with acceleration energy of 500 eV, C-O and N-C=O peaks decreased and C-C peak increased. Severe damages were induced in polyimide by Ar monomer irradiation. On the other hand, there was very little change in XPS spectra in the case of Ar-GCIB irradiation with acceleration energy of 15 keV. Furthermore, the sputtering yield per ion was almost 1.0×104 times larger than that with Ar monomer. This result shows that low-damage and high-yield etching of organic materials is expected by using GCIB. Next, the cluster size dependence on damage formation was investigated. By controlling the cluster size, the energy per atom of each atoms or molecules in cluster can be defined. From the change of the peak intensity in XPS before and after irradiation, the difference became small with increasing the cluster size at the same acceleration energy, which can be attributed to the reduction of energy per atom. These results showed that GCIB is suitable for etching of organic materials without significant damages. GCIB irradiation on various organic materials which are used in the electronic device will be also reported.
9:00 PM - G6.44
Low–damage and High–rate Etching of Organic Materials with Gas Cluster Beam.
Takanori Suda 1 , Noriaki Toyoda 1 , Isao Yamada 1
1 Graduate school of engineering, University of Hyogo, Himeji, Hyogo, Japan
Show AbstractRecently, organic materials are used for various electronic devices, and it is important to develop an ultrafine etching technique for their processing. However, organic materials or polymers are easily damaged by energetic ion or electron bombardments. Therefore, it is important to develop a new anisotropic etching process without damage. In this study, novel low−damage and high−rate etching of organic materials was developed by using gas cluster beams. Gas cluster beams are formed by supersonic expansions of a high−pressure gas through a nozzle. By the cooling process in the adiabatic expansion, gas clusters with several thousands of atoms or molecules are produced. As the each atoms or molecules have several tens of meV of kinetic energy in the same direction, the total kinetic energy of a neutral cluster becomes several hundreds of eV. Thus anisotropic etchings without heavy damages are expected. To begin with, etching effects with neutral gas cluster beams were studied for various fundamental organic materials (polyethylene (PE), poly methyl methacrylate (PMMA), polyvinyl chloride (PVC)). Irradiations of neutral gas cluster beam were carried out at room temperature. In the case of PVC with SF6 cluster beam, the etching depth suddenly increased at the nozzle gas pressure over 6 atmospheres. The threshold pressure of the etching was almost identical to that of the cluster beam formation. This indicates that the etching of organic materials does not occur by individual impact of molecular beam, however, reactive etching occurs owing to the neutral cluster impact which has a large kinetic energy in total. When the SF6 gas pressure in the nozzle was 14 atmospheres, the etching rate of PVC was 2.8 μm/sec. In the case of Ar gas cluster beam irradiation under the same condition, there was no etching effects. These results indicate that etching effects were chemical reactions enhanced by SF6 gas cluster beam irradiation. In this study, reactive etching by neutral gas cluster beam irradiations will be also reported for various organic materials used in the present electronic devices.
9:00 PM - G6.45
Top Metal Contacts for Molecular Electronics: Using Semiconductor Substrates to Detect Metal Penetration into Soft Material.
David Cahen 1 , Nir Stein 1 , Hagay Shpaisman 1 , Roman Korobko 1 , Rotem Har-Lavan 1 , Omer Yaffe 1 , Ayelet Vilan 1
1 Materials and Interfaces, Weizmann Institute of Science, Rehovot Israel
Show AbstractElectrical contacts to organic material can govern electronic charge transport through that material at least as much, if not more than the intrinsic material properties themselves. The relatively weak bonds in organic molecules require special deposition methods with severe limitations on both metal types and molecular terminations. Transferring a “ready-made” thin metal leaf onto a molecular layer provides a non-destructive, non-invasive contacting method that is, in principle, insensitive to metal type or molecule.[1,2] We present a contacting approach, Modified Polymer-Assisted-Lift-Off (MoPALO),[3] where a backing polymer enables simultaneous deposition of multiple contacts, as well as reducing wrinkles in the thin metal leaf. Morphological characterization of the electrodes indicates highly uniform, wrinkle-free contacts of negligible roughness.[3] The good electrical performance of the MoPALO contacts was proven by making make (MoPALO-)Metal/Organic-Monolayer/ Semiconductor (MOMS) junctions.[3,4] Transport across such junctions is a combination of the molecular transport and transport across/over the semi-conductor surface barrier. Rather than using the junction characteristics that are dominated by transport across the molecular insulator, which is ambiguous regarding metal penetration, we use those, dominated by transport across the adjacent semiconductor surface barrier, as these are highly sensitive to whether the semiconductor is contacting the molecules or a metal. By comparing the height of the semiconductor's transport barrier and the photovoltage of junctions with and without molecules, we clearly identify possible metal penetration.[4]In this way we find that contacting alkyl-Si monolayers by liquid Hg drop and MoPALO does not lead to metal penetration, while indirect evaporated metal penetrates through the monolayer, even though the net currents still depend expo-nentially on the molecular lengths.[4] References:[1] Vilan, A. & Cahen, D. Adv. Funct. Mater. 2002, 12, 795.[2] Shimizu, K. T. et al., A. Adv. Mater. 2006, 18, 1499.[3] Stein N. et al., J. Phys. Chem. C., 2010, in press.[4] Shpaisman, H. et al., Adv. Funct. Mater. 2010, DOI: 10.1002/adfm.200902402.
9:00 PM - G6.46
The Electrical Charateristics of Nano-scale Phase Change Material Using c-AFM and Nanolithography.
Sung-Hoon Hong 1 , Ki-Yeon Yang 1 , Heon Lee 1
1 , Korea University, Seoul Korea (the Republic of)
Show AbstractRecently, the non-volatile memory (NVM) market is rapidly increasing. As the market demand requires high performance NVMs, the research of next generation NVMs have been intensely studied. Among the various next generation NVMs, phase change random access memory (PRAM) is regarded as the most promising NVMs due to low operation voltage, high speed operation, good data retention, and high scalability. Also, the possibility of the excellent scalability and multi-level switching property of PRAM has interested as a new memory capability. In order to study PRAM device at nano-scale, the evaluation method, which is based on the device fabrication using conventional semiconductor process, have been used. But only some limited phase change materials such as GeSbTe have been studied in lithographic limited process by main semiconductor companies. Sub-nm lithography based bottom-up technique such as nano-wire, nano-particle can easily fabricate phase change material at sub-10nm scale, but it is difficult to fabricate the device using the process, and it is more difficult to evaluate the property. So, novel evaluation method of nano-scale phase change material should be developed. In this study, the electrical characteristics of various phase change material was evaluated using nanolithography and c-AFM method.
9:00 PM - G6.47
P-N Hetero-junction Array of Random Networked P-type Single Walled Carbon Nanotubes and Aligned N-type SnO2 Nanowires.
Kyung Whon Min 1 , Jangyeol Yoon 1 , Jeong Sook Ha 1
1 Chemical & biological engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractThere have been extensive studies on the p-n hetero-junction arrays of various nanowires and nanotubes due to its technical importance in electronic circuits. However, the difficulty in aligning the nanowires and nanotubes onto the desired positions of the device structures still remains as a major barrier to the fabrication of p-n hetero-junction arrays. As a solution to such fabrication tasks, we devised a unique device structure composed of randomly networked single walled carbon nanotubes (SWCNTs) and the horizontally aligned n-type SnO2 nanowires. SnO2 nanowires with an average diameter of ~70 nm and SWCNTs were grown via chemical vapor deposition (CVD) techniques. 300 nm thick thermally grown SiO2 layer on Si was selectively etched by buffered oxide etchant solution to make a trench structure with a depth of 80 nm, which is close to the diameter of SnO2 nanowires. CVD grown SnO2 nanowires were transferred into the trench via a sliding transfer method. Then CVD grown SWCNTs were transferred onto the SnO2 nanowires making cross-shaped junctions by using a thermal tape: First, Au was evaporated onto the CVD grown SWCNT substrate to have SWCNTs embedded. Then, the thermal tape was used to take off the SWCNTs-embedded Au layer and it was contacted on top of the SnO2 nanowires transferred inside the trench structure. Finally heating the whole assembly to 120 °C and taking off the thermal tape left the SWCNT-SnO2 hetero-junction structures.The current-voltage measurements across the SWCNT-SnO2 nanowire junction showed a rectifying behavior with a rectification ratio of ~ 1×103 at ± 10 V while both the SWCNT-SWCNT and SnO2-SnO2 junctions showed the Ohmic contact behavior. We will also discuss the electrical stability of such formed nanowire hetero-junction devices. Our approach gives a facile way to fabricate nanowire hetero-junction devices, which will be widely used in the future electronic and optoelectronic applications.
9:00 PM - G6.48
Multiscale Surface Textures for Light Control in Integrated Devices -- Combining NanoImprint Lithography and Evaporation Induced Self-assembly of Sol-gel Silicates.
Jeremie Teisseire 1 , Karla Perez-Toralla 1 , Francois Guillemot 1 3 , Alban Letailleur 1 , Nicolas Chemin 2 , Elin Sondergard 1 , Etienne Barthel 1
1 , CNRS/Saint-Gobain, Aubervilliers France, 3 , LPMC/ Ecole Polytechnique, Palaiseau France, 2 , Saint-Gobain Recherche, Aubervilliers France
Show AbstractMultiscale design is an interesting paradigm for the optimization of many material properties. For example light control at interfaces in planar devices such as photovoltaic cells or light emitting diodes can be efficiently tuned through patterned interlayers. The optical response will depend upon the contrast between the local dielectric response functions within the interlayer as one moves alongside the interface. For optimum contrast, it would be very advantageous to involve low dielectric constant areas such as would result from locally highly porous materials.We believe sol-gel is an efficient route to obtaining such patterned interlayers at several lengthscales. Sol-gel methods are well adapted because of the flexibility incurred by the rheology of the gel phase. Sol-gel chemistry also provides simple and low cost processes which are suitable for the large areas needed for photovoltaics, lighting or displays. In this presentation we will discuss our recent results obtained for the creation of two-scale surface patterning.We have recently shown that some sol-gel silicates lend themselves to nano-imprint lithography provided their rheology around room temperature is understood (1). Here we demonstrate the combination of nanoimprint lithography (NIL) and internal templating of silicate sol-gels by evaporation induced self assembly (EISA). Using well characterized silicate chemistry we are able to simultaneously stabilize latex particles in the matrix (2) and preserve the specific rheological properties necessary for NIL. Further thermal treatment leads to the calcination of the organic template revealing porosities which can reach up to 70 %. In the resulting porous textured layers, the pore sizes lie in the tens of nm range while the overall texture as obtained by NIL is in the micron range. We also show that a wide variety of morphologies can be obtained, both for the overall texture and for the small scale porosity. Finally we demonstrate the improvements of the optical response obtained with such interlayer patterning at two different scales.(1) A. Letailleur et al., Chemorheology of Sol- Gel Silica for the Patterning of High Aspect Ratio Structures by Nanoimprint, Chem. Mater, 2010, 22, 3143.(2) F. Guillemot et al., Latex-Templated Silica Films: Tailoring Porosity to Get a Stable Low-Refractive Index, Chem. Mater, 22, 2010, 2822.
9:00 PM - G6.49
Effect of Thickness of Thin Film SnO2 Based LPG Sensors.
Divya Haridas 1 , Arijit Chowdhuri 1 , K. Sreenivas 1 , Vinay Gupta 1
1 Deptt. of Physics and Astrophysics, University of Delhi, India, Delhi India
Show AbstractThe thickness of a thin film is a very important parameter and determines their main operating characteristics, such as sensor response, rate of response, and working temperature. The structure, composition and optical properties of SnO2 thin films, deposited by rf sputtering technique on corning glass substrates, have been examined by XRD, SEM, AFM and UV-Vis. The thickness of the films, as deposited, ranged from 30 to 180 nm. The films were found to be uniform, transparent and strongly adherent. The as-grown films are amorphous. However, SnO2 thin films became polycrystalline after a post-deposition annealing treatment at 300 deg Cel in an air ambience for 2h. The (110) plane is found to be the most preferred orientation for crystalline growth. It was observed that the orientation and preferential crystallite growth along (110) direction is maximum for 90 nm thick SnO2 film. The crystallite size for 90 nm thick film (for (110) plane) is found to be the smallest ~4-5 nm. AFM studies revealed that the spherical get transformed into smooth elongated structures as the thickness of the sample increases. 90 nm thick film shows proper channels and step formations, leading to an effective increase in the surface roughness of the SnO2 film. Porosity is found to be higher for 90 nm and 120 nm thick films (~10-13%). The band gap of the films decreases with increasing the thickness and it lies between 3.63 to 3.72 eV. Our films have relatively higher values which can be attributed to higher carrier concentration in the films. This shows that the band gap is sensitive to stoichiometry of the material and the defects. In thin films these parameters may be influenced by the thickness of the film. The thickness of the film has also a great influence on the sensor response and the operating temperature. It was observed that sensor response towards 200 ppm of LPG gradually increases with thickness till it reaches a maximum (67%) for 90 nm thin film and it falls down with further increasing the thickness of the film. The observed enhanced sensor response at 90 nm thick film can be attributed towards the effect of crystallite size on the thickness of the film as only 90 nm thick film has a crystallite size of ~ 4 nm, which is below 2L (~6 nm), where L is the depth of the space charge layer, whereas the crystallite size of all the other samples are above 2L. Thus crystallite size and porosity both play a crucial role in determining the gas sensing properties of SnO2 thin film, which is ultimately controlled by choosing the optimum thickness of the film.
9:00 PM - G6.5
Multilayer Device Structure in Solution Processed Organic Solar Cells and Organic Light-emitting Diodes Using an Alcohol Soluble Small Molecules.
Jun Yeob Lee 1 , Kyoung Soo Yook 1 , Soon Ok Jeon 1 , Oh Young Kim 1
1 Department of Polymer Science and Engineering, Dankook University, Yongin Korea (the Republic of)
Show AbstractSolution processed organic solar cells and organic light-emitting diodes with multilayer organic layer structure were fabricated using an alcohol soluble small molecule organic material based on phosphine oxide functional group. The alcohol soluble small molecule was effective as the cathode modification layer in organic solar cells and improved the open circuit voltage and efficiency of the organic solar cells. The cathode modification layer could form an orthogonal film because it was spin coated from an alcohol solution. The alcohol soluble material could also be used in organic light-emitting diodes as the electron transport layer and emitting layer. A high quantum efficiency over 10 % could be achieved in soluble blue phosphorescent organic light-emitting diodes using the alcohol soluble small molecule organic layer due to charge confinement and exciton blocking. In addition, blue phosphorescent organic light-emitting diode with two light-emitting layers could also be developed using the soluton process and a high quantum efficiency over 13 % was obtained. This is one of the best efficiency values reported in solution processed blue phosphorescent organic light emitting diodes. This approach is promising in future application of soluble devices in solar cells and organic light emitting diodes
9:00 PM - G6.50
A Novel Solution-based Vapor-etching Technique: Mist-etching.
Toshiyuki Kawaharamura 1 , Takashi Hirao 1
1 Research Institute for Nanodevices, Kochi University of Technology, Kami-city, tosayamada-cho, Kochi-ken, Japan
Show Abstract
Etching processes are generally classified into two categories, that is, wet etching and dry etching. The wet etching is a simple technique but the in-situ monitoring is difficult and the reproducibility is limited. The etchant may affect the properties of the device structures penetrating into the constituent layers. The dry etching is ideal for in-situ monitoring and reproducibility, but one needs to use high pressure gas sources in cylinders, vacuum systems, and waste-disposal systems. The mist-etching, here we propose, is categorized between wet and dry etching, overcoming the disadvantages of the both etching techniques; this is a kind of vapor-etching technique using safe and inexpensive source solutions.
In this technique we prepare a source solution of an etchant, and atomize the solution with ultrasonic power applied with a transducer. The mist particles with diameter of 2-3 μm, consisting with etchant and solvent, are hence formed and they are transported onto the target material heated at a certain temperature in a furnace. The mist particles are vaporized near the heated material and the etchant react with the material in gas phase. Owing to the vapor reaction, we can use in-situ monitoring and real-time control of the etching control.
The mist etching has been adopted for ZnO, Zn0.9Mg0.1O, and ITO thin films prepared by radio frequency magnetron sputtering (RFM-SPT) method. It is well known that ZnO is hardly etched into fine patterns because ZnO is a material with low chemical tolerance. ZnMgO is a material with a high tolerance in plasma though showing low chemical tolerance as same as ZnO. ITO is a material with high chemical and plasma tolerance. Mist etching was carried out using the source of a mixture of hydrogen chloride (HCl), nitric acid (HNO3), and de-ionized water. The applied power and frequency for two ultrasonic transducers were totally 1.25 A at 24 V and 2.4 MHz, respectively. The carrier gas and dilution gas were compressed air introduced with flow rate at 0.5 and 6.0 L/min, respectively. The substrate temperature was set at 130°C. Under the above fixed etching conditions, all materials were successfully etched at a high etching rate in the range of 10-100 nm/min.
In the symposium, we will discuss the physics and chemistry in the mist etching processes. Novel potential of the mist etching technique for applying to fabrication of electronic devices will be clarified.
9:00 PM - G6.51
Photovoltaic Performance and Blend Morphology of Highly Efficient All-polymer Solar Cells.
Daisuke Mori 1 , Hiroaki Benten 1 , Hideo Ohkita 1 2 , Shinzaburo Ito 1 , Kunihito Miyake 3
1 , Kyoto university, Kyoto Japan, 2 , PRESTO, Japan Science and Technology Agency (JST), Kawaguchi Japan, 3 , Sumitomo Chemical Co., Ltd., Tsukuba Japan
Show AbstractBlending of conjugated polymers has opened a way to produce new materials with tuned opto-electronic properties, distinct from the ones of their components. Here we fabricated efficient all-polymer solar cells based on blends of an electron donor poly(3-hexylthiophene) with an electron acceptor fluorene-based copolymer by spin-casting from chloroform or o-dichlorobenzene solution. The device performance was varied drastically by changing spin-cast solvents of the polymer blend films, resulting in power conversion efficiency of 2.0 % (Jsc = 3.9 mA cm−2, Voc = 1.2 V, FF = 0.42) for the device from chloroform compared to only 0.24 % (Jsc = 0.84 mA cm−2, Voc = 0.80 V, FF = 0.36) for the device from o-dichlorobenzene, under AM 1.5G simulated solar irradiation at 100 mW cm−2. Atomic force microscopy measurements showed that the surface morphology of the blend films is changed sensitively depending on the solvent used for the spin-casting. We discuss the relationship between the phase-separated structures of the blends and the device performance in detail.
9:00 PM - G6.52
Spin-sprayed Zn Ferrite Films Applicable for Magnetic Layer in Microscale Power-inductors.
Asako Takeuchi 1 , Toshiro Sato 2 , Ken-ichi Katsumata 1 , Kiyoshi Okada 1 , Nobuhiro Matsushita 1
1 Materials and Structures Labolatory, Tokyo Insutitute of Technology, Yokohama Japan, 2 Advanced Magnetic Devices Laboratory, Shinshu University, Wakasato Japan
Show Abstract Recently,the fabrication of smaller and thinner power inductors are requested to realize on-tip power- supply for downsized electronic devices. Utilizing magnetic films, mainly metal films, in power inductor have been investigated to miniaturize their size attaining high quality factor Q. However, the utilization of these metal films provided poor Q values less than 10 and large high-frequency loss in the switching frequency of integrated power supplies (ca. 100 MHz). In the present work, we will demonstrate that the Zn ferrite film fabricated by spin-spray technique is promising magnetic layer to overcome these drawbacks. We have been working on the fabrication of spinel ferrite films 3 to 10 μm in thickness by the spin–spray process. In our previous studies, we applied these films for GHz conducted noise suppressors utilizing their high resistivity and large magnetic loss in the high frequency. The high ρ assures low reflection loss and high μ" yields strong transmission loss. Here, a high resonance frequency of ferrite films around 500 MHz, greatly motivated to utilize their real permeability for improving Q factor of the planer power inductor. Moreover, the low process temperature (< 100 oC) of the spin–spray process enables the direct fabrication of ferrite films on temperature sensitive materials like semiconductor chips. We optimize the deposition conditions to provide high deposition rate and control chemical composition of Zn-ferrite, and they were used for the fabrication of microscale power inductor. The inductor fabricated in this study having Cu coil circuit and polyimide layers exhibit relatively small AC resistance (600 mΩ) at 100 MHz owing to the high specific resistance of Zn-ferrite layer. The Q value of this inductor reached the maximum of 28.4 at 58 MHz, and showed a high value (more than 20) even at 100 MHz.
9:00 PM - G6.54
Copper Nanoparticles for Use of Direct Printing.
Hae Jung Yang 1 , Jung Soo Kim 1 , Sangman Koo 1
1 , Hanyang University, Seoul Korea (the Republic of)
Show AbstractMetallic nanoparticles have been extensively investigated as electrodes or conductive materials in various electronic applications because of its high conductivity and easy of metallization. Metallic nanoparticle inks for various direct printing methods, such as silk screen and ink-jet printing, were developed for radio frequency identification (RFID), micro-electro-mechanical systems (MEMS), electrodes in plasma display (PDP), embedded capacitors, and source/drain electrodes for polymer thin film transistors. In order to be use as an ink in direct printing, metallic nanoparticle inks should have several characteristics such as 1) the stability against agglomeration and grain growth to prevent clogging in the ink-jet head and 2) high concentration to exhibit the desired conductivity of the pattern at a relatively low sintering temperature. Recent advances in nano materials technology resulted several metallic nanoparticles suitable for these purpose. Among them, silver nanoparticles are the species of interest because of their excellent characteristics such as low metallization temperature, high electric conductivity, and chemical inertness. However, silver nanoparticles are still expensive due to low production rate and high material cost. In the industrial point of view, copper nanoparticles are of particular interest due to remarkable reduction in the production costs compared to the use of their gold and silver analogues. However, pure metallic copper nanoparticles cannot be easily obtained via simple reduction of copper precursors in contrast with noble metals such as gold and silver. In the last decades, several synthetic methods have been studied to prepare pure metallic copper nanoparticles with the stability against oxidation.In this study, a novel chemical process for producing copper nanoparticles with improved anti-oxidation property is described. The copper nanoparticles obtained have been characterized by X-ray diffraction, thermo-gravimetric analysis, and electron microscopy. Well dispersed copper nanoparticles with the size of 3 nm ~ 15 nm were obtained with the proper control of reaction parameters such as precursor concentration, temperature, reducing reagent as well as the amount of hydrocarbon stabilizers. Copper films for conductivity measurement were fabricated by a spin coating method using the copper nanoparticle inks on a polyimide substrate and characterized by a 4-point probe analysis. XRD analysis and SEM images were used to investigate the morphology change and grain growth of copper nanoparticles after UV-irradiation. The increase in irradiation time and the decrease in irradiation height made the peak of XRD patterns sharper, showing higher level of crystallinity of copper nanoparticles. In conclusion, copper nanoparticles developed in this study would be a good alternative for the printing inks in electronic devices with the low sintering temperature and can be widely used in flexible display technology.
9:00 PM - G6.55
Printed, Sub-3V Digital Circuits on Plastic Based on Carbon Nanotube Inks.
Mingjing Ha 1 , Yu Xia 1 , Alexander Green 2 , Wei Zhang 3 , Mike Renn 4 , Chris Kim 3 , Mark Hersam 2 , C. Frisbie 1
1 , University of Minnesota, Minneapolis, Minnesota, United States, 2 Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States, 3 2Department of Materials Science and Engineering and Department of Chemistry, Northwestern University, Evanston, Illinois, United States, 4 , Optomec, Inc, Minneapolis, Minnesota, United States
Show AbstractPrinting electronic components on plastic foils with functional liquid inks is an attractive approach for achieving flexible and low cost circuitry for applications such as bendable displays and large area sensors. The challenges for printed electronics, however, include characteristically slow switching frequencies and associated high supply voltages, which together impede widespread application. Combining printable high capacitance dielectrics with printable high mobility semiconductors could potentially solve these problems. In this poster we demonstrate fast, flexible digital circuits based on semiconducting carbon nanotube (CNT) networks and high capacitance ion gel gate dielectrics, which were patterned by jet printing of liquid inks. Ion gel-gated CNT thin film transistors (TFTs) with 50 μm channel lengths display ambipolar transport with electron and hole mobilities > 20 cm2/Vs; these devices form the basis of printed inverters, NAND gates, and ring oscillators on both polyimide and SiO2 substrates. Five-stage ring oscillators achieve frequencies > 2 kHz at supply voltages of 2.5 V, corresponding to stage delay times of 50 μs. This performance represents a substantial improvement for printed circuitry fabricated from functional liquid inks. The air stability of the device operation is also discussed.
9:00 PM - G6.56
Assembly of Conducting Polymer Using Electrostatically Addressable Templates.
Jia Shen 1 2 , MIng Wei 1 2 , Ahmed Busnaina 3 2 , Carol Barry 1 2 , Joey Mead 1 2
1 Department of Plastics Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States, 2 , Center for High-rate Nanomanufacturing, Lowell, Massachusetts, United States, 3 , Northeastern University, Lowell, Massachusetts, United States
Show AbstractElectrophoretic and dielectrophoretic assembly provide an optional approach in terms of ease of use and high fabrication rates. In this work, electrophoretic and dielectrophoretic assembly were used to assemble polyaniline (PANi) into nanoscale patterns in less than one minute. The process can be controlled by assembly time, amplitude, or frequency of the electric field. Using this method, nanoscale patterns with dimensions of the assembled PANi down to 100 nm were fabricated, much smaller than previously reported. The assembled PANi can be further transferred to other flexible substrates providing a fast, easily-controlled and promising approach for assembly of conducting polymers for fabrication of nanoscale devices.
9:00 PM - G6.57
Effects of Chemical Modification of Indium-tin-oxide on the Performance of Organic Photovoltaic Cells of ITO/CuPc/C60/Al with and without BCP.
Khayankhyarvaa Sarangerel 1 , Altantsetseg Delgerjargal 2 , Byambasuren Delgertsetseg 2 , Chimed Ganzorig 2
1 Department of Electronics and Computer, School of Power Engineering, Mongolian University of Science and Technology, Ulaanbaatar Mongolia, 2 Center for Nanoscience and Nanotechnology and Department of Chemical Technology, Faculty of Chemistry, National University of Mongolia, Ulaanbaatar Mongolia
Show AbstractOrganic thin film photovoltaic (PV) cells have attracted attention because of their easy of fabrication and potential for low cost production. In this paper, we study the effects of chemical modification of indium-tin-oxide (ITO) on the performance of organic PV cells. The organic PV cells are fabricated, with the cell configuration of ITO/Copper phthalocyanine (CuPc) (20 nm)/buckminsterfullerene (C60) (40 nm)/Al with and without bathocuproine (BCP) (10 nm) in between C60 and Al. The cell performance under illumination with white Xe light illumination with intensity of 100 mW cm-2 is measured. By the use of para-substituted benzenesulfonyl chlorides with different terminal groups of H- and Cl-, the energy offset at the ITO/CuPc interface is tuned widely depending upon the interface dipoles and thus the correlation between the change in the ITO work function and the performance of the PV cells by chemical modification is examined.
9:00 PM - G6.58
Formation of Silicon and Silicon-based Semiconductor Materials via Photoinduced Reaction Using Femtosecond Laser.
Masakazu Nishimura 1 , Shingo Kanehira 2 , Masaaki Sakakura 2 , Yasuhiko Shimotsuma 2 , Kiyotaka Miura 1 , Kazuyuki Hirao 1
1 Department of Material Chemistry, Graduate School of Engineering, Kyoto University, Kyoto Japan, 2 , Society-Academia Collaboration for Innovation, Kyoto University, Kyoto Japan
Show AbstractFemtosecond laser has been widely used in material processing because it can form a refractive index change near the focal point without thermal damages. It is also possible to fabricate and modify various nanostructures inside transparent materials via multiphoton absorption at the focus due to the extreme high-intensity of the laser pulses. Here, we proposed a method of depositing silicon at the interface between aluminum and silica-based glasses using femtosecond laser. At first, an aluminum film was deposited on glass by radio-frequency (RF) sputtering method and the film was sealed with another glass using a direct bonding technique to prevent the ablation of aluminum. The direct bonding technique can fabricate the sandwiched structure containing metallic film at the center without adhesive agents under the heating at 573 K. Next, the femtosecond laser pulses were focused on the interface to precipitate silicon nanoparticles and the precipitation mechanism of silicon was analyzed using SEM, TEM, confocal Raman microscopy and so on.Adhesive strength of the sandwiched structure was estimated to be approximately up to 4 MPa by tensile test, which is a sufficient durability for the laser irradiation. The Raman spectra at the photo-modified area indicated that silicon crystals were formed at the interface between the glass and aluminum after the laser irradiation. In addition, the crystallinity of the silicon particles was dramatically changed by changing the laser irradiation conditions; amorphous and crystalline silicon were formed by 1 kHz and 250 kHz femtosecond laser irradiation, respectively.We applied this technique into the fabrication of another semiconductor film of Fe-Si system. Fe/Si multilayer was deposited on silicon substrate by RF sputtering. The substrate was bonded with glass using the bonding technique to avoid the ablation of the multilayer structure. The laser beam was focused on the interface between the glass and the multilayer structure. The irradiated area was analyzed by confocal Raman microscopy after the laser irradiation. The Raman spectra indicated that β-FeSi2 was successfully produced at the irradiated area. This technique will be applied to the space-selective reaction of various materials and to the control of phase transition by changing the condition of laser irradiation. In addition, it will be also applicable to the fabrication of silicon waveguides with a small bending radius inside the glass.
9:00 PM - G6.6
Control of the Interfacial Properties in Organic Solar Cells by Contact Film Transfer Method.
Akira Tada 1 , Keisuke Tajima 1 , Qingshuo Wei 2 , Shoji Miyanishi 1 , Kazuhito Hashimoto 1 2
1 Department of Applied Chemistry, The University of Tokyo, Tokyo Japan, 2 ERATO, JST, Tokyo Japan
Show Abstract Organic solar cells (OSCs) attract much attention because of many advantages such as low fabrication cost and flexibility. From fundamental viewpoint, it is important to understand the electronic properties of the interfaces between the organic materials and to control them accurately since the charge separation takes place mainly at the interfaces. Our group recently developed a novel and easy film transfer method to stack organic layers for organic field effect transistors [1]. Since this method needs neither external pressure nor heat, we can realize the well-defined, flat organic interfaces. Moreover, two organic layers can be prepared separately, so each surface can be analyzed and modified before stacking. Therefore, we can utilize this method to study the interfacial properties in details and correlate them with the device properties. Herein we investigate the effects of interfacial dipole moment on the performance of OSCs. First, we report the fabrication of poly(3-hexylthiophene) (P3HT)/[6,6]-phenyl-C61-butyric acid methyl ester (PCBM) bilayer OSC by utilizing this film transfer method. The bilayer device clearly showed photovoltaic characteristics, indicating the formation of OSCs with controllable organic interfaces. Next, we modified the interfaces with two kinds of materials synthesized in our group. First one is fluoroalkylated fullerene (FCn) [2, 3]. Since this material has a low surface energy due to its fluoroalkyl chain, it segregates to the surface during spin-coating when mixed into PCBM solution and forms aligned monolayer with dipole moment. This dipole layer changed the ionization potentials of the films as clearly shown by XPS and UPS. The bilayer OSC prepared by the film transfer showed the continuous shift of VOC depending on the amount of FCn existing at the P3HT/PCBM interface. The second material is poly(3-(5-hexenyl)thiophene) (P3HNT) [4], which has double bond in its alkyl side chain. It is well known that alkyl side chains of regioregular poly(3-alkylthiophene)s align perpendicularly to the surfaces in the spin-coated films. When the spin-coated film is transferred onto PCBM film, this side chain layer is inserted at the interface, so the introduction of dipole moment in the side chains leads to the insertion of dipole layer at the interface. The double bond of P3HNT has a dipole moment and we confirmed that P3HNT film has larger ionization potential than P3HT due to its surface dipole by UPS. As a result, the bilayer OSC of P3HNT showed larger VOC corresponding to the shift of ionization potential. These results clearly demonstrate the effects of the interfacial dipole layer on VOC. We will further discuss the relationship between the shift of VOC and the energy offset at the interfaces.[1]Q.S. Wei, et al. ACS Appl. Mater.&Interfaces, 2009, 1, 2660.[2]Q.S. Wei, et al. J. Am. Chem. Soc., 2009, 131, 17597.[3]Q.S. Wei, et al. Adv. Mater., 2008, 20, 2211.[4]S. Miyanishi, et al. Macromolecules, 2009, 42, 1610.
9:00 PM - G6.7
Growth and Characterization of Orientation-patterned GaAs Crystals for Non-linear Optical Frequency Conversion.
Oscar Martinez 1 , Vanesa Hortelano 1 , Manuel Avella 1 , Juan Jimenez 1 , Candace Lynch 2 , Michael Snure 2 , David Bliss 2
1 OptronLab, Universidad de Valladolid, Valladolid Spain, 2 Sensors Directorate, Air Force Research Laboratory, Hanscom, Massachusetts, United States
Show AbstractMid infrared (mid-IR) and terahertz (THz) frequency generation is very important for IR spectroscopy, remote gas sensing, IR countermeasures for aircraft protection, and THz imaging. In spite of this, sources suitable for these applications are scarce. An attractive approach is nonlinear optical frequency conversion using quasi-phase matched (QPM) GaAs crystals. GaAs presents excellent properties as a mid-IR material, because it has a very large nonlinear optical coefficient, it is transparent in the 0.9-17 μm spectral window, it has excellent thermal conductivity, and also has a high laser power damage threshold. However, it is isotropic, and one needs to develop QPM strategies involving the fabrication of structures with periodically modulated nonlinear optical coefficient. Such structures can be prepared by growing a grating with alternating crystallographic orientation, known as orientation patterned GaAs (OP-GaAs). These gratings have periodic antiphase boundaries; the domain width can vary depending on the frequency conversion process. OP-GaAs crystals are inserted in an optical parametric oscillator, generating wavelengths ranging from 2 μm to the THz range. The crystal thickness must be > 500 μm thick in order to accommodate the pumping laser beam. Therefore, fast growth of the periodic [001] / [00-1] oriented GaAs domain structure is required. Hydride vapor phase epitaxy is the technique of choice for growing for such thick structures. Optical losses must be minimized to attain high conversion efficiency; this necessitates high quality materials with low defect concentrations. Cathodoluminescence (CL) imaging is a powerful characterization method for this kind of crystal, because it allows the identification of the main defects with electro-optical signatures and provides details on their spatial distribution in inhomogeneous structures. We will present a study of the defects revealed by CL, with special emphasis paid to the domain walls, and discuss the impact on the optical propagation losses.
9:00 PM - G6.8
Fabrication of Multi-segment Line Pattern by Combined Photo- and Soft-lithography.
Jisun Lee 1 , Su-Kyong Lee 1 , Jin-Mi Jung 1 , Hee-Tae Jung 1
1 Chemical and Biomolecular Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractOne-dimensional nanostructures, such as an array of nanowires, exhibit distinct electronic, optical, chemical and thermal properties due to their large surface area and possible quantum confinement effects. The multiple functionalization of hetoerojunction nanowires which consist of alternatively arranged metal segments are very attractive approach to find out novel functionality and phenomena, offering promise for a range of application, including nanoscale electronics and sensor technology. There are several well-known way to fabricate nanowires such as optical lithography, self-assembly, and electrochemical deposition that normally used with Anodic aluminum oxide (AAO) template. These methods has disadvantage that final products are dispersed in solution, hard to make nanowires arranged on substrate for further application for actual devices.In this research, we report novel method to fabricate multiple segments linepattern through Capillary Force lithography (CFL) combined with conventional photolithography technique. CFL is one of a soft lithographic method to make regular nanopatterns on substrate. Firstly, we generate pre-line patterned substrate which has alternative line array two different materials in micro-scale by using photolithograph, Ion-milling and lift-off process. Then, we create polymer line pattern in nano-scale using CFL in perpendicular direction upon the substrate which is made by photolithography, after etch uncovered part of metal substrate, we can finally obtain multi-segmented line-pattern array. We usually use poly(dimethylsiloxane)(PDMS) as a mold for CFL because of its durability and flexibility. Our approach offers very effective way to produce highly arranged linepattern with high throughput and facile manner. We expected this kind of linepattern can be functionalized differently in each segment so that we can use it as a sensor, transistor or waveguide of electronic, biological or optical devices.
9:00 PM - G6.9
The Study of Improving the Conversion Efficiency and Reducing the Thickness of the HIT Solar Cell.
Yasuko Hirayama 1 , Hirotada Inoue 1 , Kenta Matsuyama 1 , Yasuhumi Tsunomura 1 , Daisuke Fujishima 1 , Ayumu Yano 1 , Shigeharu Taira 1 , Takeshi Nishiwaki 1 , Toshio Asaumi 1 , Toshihiro Kinoshita 1 , Mikio Taguchi 1 , Hitoshi Sakata 1 , Eiji Maruyama 1
1 Advanced Energy Research Center, Sanyo Electric Ci.,Ltd., Hyogo Japan
Show AbstractThe HIT (Heterojunction with Intrinsic Thin-layer) solar cell is an amorphous silicon (a-Si)/crystalline silicon (c-Si) heterojunction solar cell that makes possible to realize excellent surface passivation and hence high open circuit voltage (Voc). Besides, its symmetrical structure and low-temperature fabrication process under 200°C provide advantages in reducing thermal and mechanical stresses within the device so that it can be easily applied to the thinner solar cell. In 2009, we updated the world's highest conversion efficiency of 23.0% with a practical size of 100.4 cm2 and 22.8% with a thin c-Si wafer of 98 μm in R&D stage. The utilization of thinner silicon wafers brings out several problems; (1) the drop of the photocurrent, caused by the shorter optical path length in the thinner silicon, (2) the warpage of the solar cells arising from lower mechanical strength, which might affect the process yield seriously, (3) the increased impact of the surface recombination on the effective lifetime of excess carrier, which has negative effect on Voc.Firstly, to make up for the less chance to absorb the light, we have to reduce any other optical losses such as the ultraviolet light absorption in the front transparent conductive oxide (TCO) layer and a-Si layers, the near-infrared light absorbed in the rear TCO layer, and the shadow loss by the grid electrode. In order to minimize the absorption of the light in short and long wavelength region, we have applied the wider band gap a-Si and optimized the deposition conditions, and improved the TCO material. Concerned to the warpage of the solar cell, the symmetrical structure of the HIT solar cell and the low temperature process mentioned above can relieve the thermal and the physical stresses in the cell, and enables us to fabricate solar cells with the very thin thickness down to 58 μm.The effective lifetime in silicon substrates is determined by the bulk lifetime and the surface recombination velocity. If the surface recombination velocity of solar cell is not low enough, the effective lifetime decreases as substrates become thinner, resulting in the decrease in Voc. Thus, it is important to keep the surface passivation quality of a-Si/c-Si heterointerface high enough. We investigated our fabrication process with these three points; (1) preparation of ultra-clean surface of c-Si, (2) low damaging deposition process onto c-Si, (3) deposition of high quality amorphous silicon layer. As a result of these investigations, the surface recombination velocity of HIT solar cell can be suppressed to very low value of 4 cm/s. With these technologies, we have fabricated the HIT solar cell using thin wafer from 58 to 98 μm, and confirmed that the Voc increased with the decreases in the thickness, and reaches to the record value of 0.747 V with 58 μm thick substrate.
Symposium Organizers
Lukas Schmidt-Mende Ludwig-Maximilians-Universität München
Henry J. Snaith University of Oxford
Gregory L. Whiting Palo Alto Research Center
David S. Ginger University of Washington
G7/D3/F6: Joint Session: Noval Manufacturing Strategies for Electronic Devices
Session Chairs
Jurgen Daniel
Soeren Steudel
Wednesday AM, December 01, 2010
Room 311 (Hynes)
9:30 AM - G7.1/D3.1/F6.1
Chemically Modified Ink-jet Printed Electrodes for Organic Field-effect Transistors.
Gregory Whiting 1 , Tse Nga Ng 1 , Natasha Yamamoto 1 , Ana Arias 1
1 , Palo Alto Research Center (PARC), Palo Alto, California, United States
Show AbstractInk-jet printing is a desirable manufacturing technique for electronic devices as this mask-less, additive method should allow for integration of different electronic components over large substrate areas at low cost. In order to realize entirely jet-printed devices, appropriate printed electrodes for organic semiconductor-based field effect transistors (FETs) must be chosen. Generally, gold is used as the source and drain contacts in these devices. While gold creates good contact with many organic semiconductors, its high price makes it non-ideal for large-scale manufacture. There is therefore a desire to investigate other conductors for printed devices, and typically printable conductive inks are silver based, which is lower cost and allows for low-temperature processing, but can lead to poor energy level matching with the organic semiconductor. This report will study the use of both a nanoparticle silver ink as well as a soluble silver precursor ink and will show that by modifying the surface chemistry of the printed silver contacts through solution-based self-assembly of the organic electron acceptor 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ), the electronic and wetting characteristics of the electrodes can be tailored. Combining these modified electrodes with p-type organic semiconductors such as 6,13-bis(triisopropyl-silylethynyl) pentacene (TIPS-pentacene), and polymer/small molecule (acene-type) blend semiconductors results in a significant improvement in device performance over the untreated electrodes, yielding high-quality devices with field-effect mobilities > 1 cm2 V-1 s-1. The effect of this treatment on field-effect transistors incorporating n-type small molecule organic semiconductors will also be discussed.
9:45 AM - G7.2/D3.2/F6.2
Subfemtoliter Inkjet for 3-V Operation, High Mobility Organic Transistors.
Tomoyuki Yokota 1 , Tsuyoshi Sekitani 1 , Yoshiaki Noguchi 1 , Kenjiro Fukuda 1 , Ute Zschieschang 2 , Hagen Klauk 2 , Tatsuya Yamamoto 3 , Kazuo Takimiya 3 , Masaaki Ikeda 4 , Hirokazu Kuwabara 4 , Takao Someya 1 5
1 , University of Tokyo, Tokyo Japan, 2 , Max Planck Institute for Solid State Research, Stuttgart Germany, 3 , Hiroshima University, Higashi-Hiroshima Japan, 4 , Nippon Kayaku Co., Ltd., Tokyo Japan, 5 , Institute for Nano Quantum Information Electronics, Tokyo Japan
Show AbstractWe have fabricated top-contact p-channel organic transistors with very high transconductance by inkjet technology with subfemtoliter accuracy. A gate dielectric is formed using single-molecule-thick self-assembled monolayer (SAM). Very fine source/drain electrodes with 2-μm-linewidth are printed using subfemtoliter inkjet directly on high mobility organic semiconductors, dinaphtho[2,3-b:2’,3’-f]thieno[3,2-b]thiophene (DNTT) [1], and channel length is systematically changed with ranging from 2.5 μm to 98 μm. The TFT with channel length of 98 μm exhibited a high mobility of 1.2 cm2/Vs within 3-V operation. Furthermore, the TFT with channel length of 2.5 μm exhibited a high transconductance of 254 μS/mm, which is the highest value among organic transistors fabricated by using direct printing methods.These transistors are fabricated by vacuum evaporation, solution, and inkjet printing process. First, 30-nm-thick Al layer is thermally evaporated through a shadow mask as gate electrode. Second, we form a gate dielectric layer, which comprisies thin layers of 4-nm-thick aluminum oxide and 2-nm-thick molecular SAMs (n-octadecylphosphonic acid) [2]. A thin aluminum oxide layer with a large density of hydroxyl groups for molecular adsorption is formed by oxygen-plasma treatment (300W 30 min) and a SAM layers are prepared from a 2-propanolsolution at room temperature. On the dielectrics, 30-nm-thick p-type organic semiconductor DNTT [1] is patterned by thermal evaporation through a shadow mask. Finally, on the organic semiconductor films, we printed silver lines by inkjet printing systems with subfemtoliter accuracy and sintered at 90 °C for 5 hours in nitrogen environment to form source and drain electrodes.We thank Harima Chemicals for providing high-quality Ag nanoparticles, and SIJ Technology for technical support in the inkjet process. This work is partially supported by Special Coordination Funds for Promoting, JST/CREST and KAKENHI (Wakate S). One of the authors (T.Y.) is grateful to the research fellowships for young scientists of JSPS. This study was partially supported by JST/CREST and NEDO.[1] T. Yamamoto and K. Takimiya, J. Am. Chem. Soc. 129, 2224 (2007).[2] H. Klauk, U. Zschieschang, J. Pflaum and M. Halik, Nature 445, 745 (2007).
10:00 AM - G7.3/D3.3/F6.3
Structure and Properties of Gravure Printed Organic Diodes.
Kaisa Lilja 1 , Timo Joutsenoja 1 , Donald Lupo 1
1 Department of Electronics, Tampere University of Technology, Tampere Finland
Show AbstractThe development of organic electronic components, such as diodes and transistors, offers the possibility to manufacture flexible and lightweight electronics using cost-effective processes. We report characteristics and properties of gravure printed organic diodes that are fabricated using a process that is scalable to high-volume production. The diodes consist of a layer of poly(triarylamine) (PTAA) sandwiched between copper and silver electrodes. A wet etching process where the etch resist was printed by rotary screen printing was used to pattern the copper cathode. The PTAA and silver layers were printed using a laboratory scale automatic gravure printing press. The diode fabrication and characterization was performed in ambient laboratory conditions. The diode characteristics showed no significant degradation after months of storage.Previously, we have demonstrated printed organic diodes as RFID rectifiers and as the active components in a display driving circuitry. [1,2] In these applications, a sufficient rectification ratio is needed. The used diode structure has a significant effect on the performance of the diodes. Here, we report a thin interfacial layer that reduces the reverse current of the printed diodes without having a notable effect on the forward characteristics above 1 V. This enables the diodes to be used for applications that require low reverse currents and/or high rectification ratios.References:[1] K. E. Lilja, T. G. Bäcklund, D. Lupo, T. Hassinen, T. Joutsenoja, Org. Electr. 10 (2009) 1011.[2] K.E. Lilja, T.G. Bäcklund, D. Lupo, J. Virtanen, E. Hämäläinen, T. Joutsenoja, Thin Solid Films. 518 (2010) 4385.
10:15 AM - **G7.4/D3.4/F6.4
Low-temperature, Solution-processing of Organic and Metal Oxide Field-effect Transistors.
Henning Sirringhaus 1
1 Cavendish Laboratory , University of Cambridge, Cambridge United Kingdom
Show AbstractOrganic semiconductors have been considered for some time as an attractive class of materials for realising electronics on plastic substrates by low-temperature solution processing and direct-write printing. However, there are also certain inorganic semiconductors which are amenable to solution processing, in particular metal oxide semiconductors. We have worked on both classes of materials and in this presentation we will present the status of device performance achievable with both approaches and discuss specific aspects of the device and materials physics of organic semiconductor as well as metal oxide field-effect transistors.
10:45 AM - G7/D3/F6
BREAK
11:15 AM - **G7.5/D3.5/F6.5
Enabling Roll-to-roll Manufacturing of Flexible Electronics: Advances in Vacuum Deposition, Photolithography and Wet Processing of Thin Film Multilayers on Flexible Polymeric Substrates.
Mark Poliks 1 2 , James Switzer 2 , Paul Wickboldt 2 , Bruce White 2 , Bahgat Sammakia 2
1 Research and Development, Endicott Interconnect Technologies, Inc., Endicott, New York, United States, 2 Center for Advanced Microelectronics Manufacturing (CAMM), State University of New York at Binghamton, Binghamton, New York, United States
Show AbstractThe Center for Advanced Microelectronics Manufacturing (CAMM) is an academic-industry- government research and development center established by an award from the Flex Tech Alliance (formerly called the United States Display Consortium) to Binghamton University, Endicott Interconnect Technologies and Cornell University. The CAMM was established to demonstrate the feasibility of roll-to-roll (R2R) electronics manufacturing by acquiring first generation tools and establishing processes capable of producing low volume prototypes. The CAMM addresses R2R fundamental enabling science, technologies, and system design (integration, performance, yield and manufacturing feasibility) issues. This revolutionary approach will enable both ubiquitous and disposable electronic devices.Recent R2R research activities include: single micron photolithography and interlayer registration on unsupported flexible PET and PEN, as well as vacuum deposition of Al, Si, their oxides and ITO. The CAMM has produced a number of products and technologies including: flexible sensors, intravascular ultrasound devices, flexible polymer optical waveguides and structured surfaces for tailored wetting. Having established this suite of film deposition, lithography and etch capabilities, our next goal is demonstration of thin film transistor fabrication. A process flow based on zinc oxide and gallium indium zinc oxide TFTs is being developed. Sputtered SiO2, PECVD Si3N4 , and sputtered HfO2 are be explored for use as gate dielectrics. The demonstration of these high performance, low cost thin TFTs is a critical first step in generating the process, device, and desgn infrastructure required to realize the revolutionary products enabled by R2R manufacturing.
11:45 AM - G7.6/D3.6/F6.6
Mass-printed Integrated Circuits with Enhanced Performance Using Novel Materials and Concepts.
Heiko Kempa 1 , Mike Hambsch 1 , Kay Reuter 1 , Georg Schmidt 1 , Michael Stanel 1 , Maxi Bellmann 1 , Arved Huebler 1
1 Institute of Print and Media Technology, TU Chemnitz, Chemnitz Germany
Show AbstractLab-scale experiments, which were demonstrating the basic suitability for printing of electronic devices and circuits of so-called mass-printing techniques, which are based on patterned ink transfer from rotating cylindrical printing forms to flexible substrates moving with a speed of several m/s and which therefore exhibit an unrivalled productivity for large numbers of copies, resulted in transistor circuits with performances not sufficient for targeted application scenarios. [1] Further developments aiming at enhanced circuit performance while avoiding decline in productivity have three basic options: i) reduction of critical structure dimensions, ii) enhancement of charge carrier mobility and iii) novel concepts of circuitry.i) Optimization of conventional printing techniques and application of innovative modifications has led to transistor channel lengths below 20 µm, resulting in stage delay times as low as 7 ms. [2] However, further reduction of these values will be connected with superlinear increase of efforts in terms of investment costs and manpower. In addition, parasitic effects are limiting the reduction of switching times at small transistor dimensions, which require further technological challenges to be overcome.ii) Progress in synthesis and production of soluble organic semiconductor materials has led to impressive progress in this respect. Especially the commercial availability of relevant amounts of printable formulations of small molecules, namely TIPS-Pentacene, with charge carrier mobilities of the order of 1 cm2/Vs has facilitated printing of high-mobility transistors and circuits thereof. [3]iii) Undoubtedly, the introduction of complementary circuitry in printed electronics will lead to an immediate enhancement of circuit performance. Due to the recent availability of stable and printable n-type semiconductors with decent mobility, this process is currently on the way. [4] However, control of threshold voltage of printed transistors by means of a permanently stored charge in the gate dielectric allows for an alternative quasi-complementary approach using enhancement and depletion transistors that might turn out to be as effective as the usage of different (n- and p-type) semiconductors. [5]We report on progress in terms of performance of mass-printed integrated circuit which goes beyond reduction of critical structure dimensions. In particular, we focus on printing of highly performing p- and n-type semiconductors and on printed quasi-complementary circuits using charged gate dielectrics.[1] A. Hübler et al., Org. Electr. 8 (2007) 480.[2] G. Schmidt et al., subm. to Org. Electr. (2010).[3] S.K. Park et al., IEDM Tech. Digest (2005) 105.[4] H. Yan et al., Adv. Mater. 20 (2008) 3393.[5] K. Reuter et al., Org. Electr. 11 (2010) 95.
12:00 PM - **G7.7/D3.7/F6.7
Towards Roll-to-roll Fabricated Organic Devices.
Paul Blom 1 , Ton van Mol 1 , Ronn Andriessen 1 , Andreas Dietzel 1 , Erwin Meinders 1 , Gerwin Gelinck 1 , Herman Schoo 1
1 , TNO/Holst Centre, Eindhoven Netherlands
Show AbstractOrganic light-emitting diodes (OLEDs) and organic photovoltaic devices (OPV) have the potential to revolutionize the lighting and energy harvesting market. For lighting applications OLEDs made on flexible foils have a number of beneficial properties, such as free form factor, tunable color, being difficult to break compared to glass, having a large area emitting surface, and being potentially very efficient and low cost. For OLED lighting and signage, the layer quality requirements can be harsher as compared to OPV. One of the reasons is that for OLED devices, any (major) layer in-homogeneity causing an electric trouble will result ultimately in a visual defect. For OPV this will “only” affect efficiency. Another difference is that organic light emitting diodes (OLED) are more very sensitive to moisture and oxygen. The cathode, typically BaAl, easily oxidizes and this oxidation leads to formation of black spots. Also the organic emitter can degrade under the influence of oxygen. Both effects are undesired and necessitate the need for good encapsulation of the OLED. Today, OLED’s (on glass) are encapsulated with either a metal or glass lid with a cavity. Despite its effectiveness in terms of encapsulation, glass or metal lid packaging is expensive and prevents the possibility to fabricate thin, flexible devices. At the Holst Centre flexible OLED lighting and OPV architectures are being developed including thin film barriers which can be processed with roll-to-roll (R2R) compatible technology with high yield.
12:30 PM - G7.8/D3.8/F6.8
Self-aligned Fabrication of Flexible Organic Thin Film Transistors by Means of R2R-compatible Nanoimprint Lithography.
Barbara Stadlober 1 , Andreas Petritz 1 , Ursula Palfinger 1 , Thomas Rothlaender 1 , Frank Reil 1 , Herbert Gold 1
1 Institute of Nanostructured Materials and Photonics, Joanneum Research, Weiz Austria
Show AbstractOrganic thin film transistors (OTFTs) need to fulfil several performance requirements in order to be applicable in e.g. active matrix display backplanes. On the one hand high on/off ratios are required in the drain current to achieve a better contrast ratio, on the other hand the area consumption should be as small as possible. Both demands can be met by scaling down the dimensions of the transistors, as smaller channel length values result in higher currents. We’ve realized the miniaturization of flexible OTFTs by use of self-aligned nanoimprint lithography (NIL) for the definition of the source and drain electrodes in the submicron range. PET as well as polycarbonate films were used as the substrate. It is shown that this approach is compatible with different organic dielectric materials, as for example a BCB derivative and an Ormocer™ material. The devices show satisfactory saturation in the output characteristics even for channel length values in the submicron regime as long as proper scaling of the dielectric layer thickness is maintained. The nanoimprinting was either based on hot embossing or on UV-nanoimprint lithography. Self-alignment, which is very important for large-area NIL techniques, was achieved by either utilizing a back-substrate exposure technique or by direct imprinting. In the former approach the nanoimprinted gate layer defines the pattern of the source-drain layer thus resulting in perfect alignment and minimized gate-to-source and gate-to-drain overlaps. In the direct imprint approach a metal layer is applied first on the imprint resist coated flexible substrate followed by the coating of an ultrathin dielectric layer. Then imprinting was performed defining both the gate as well the channel electrodes in one step. The device is finalized by the application of the organic semiconductor. The self-aligned submicron devices are either of n- or p-type and show proper device characteristics with a very large bandwidth as is expected for transistors with minimized electrode overlaps and therefore decreased parasitic gate capacitance.
12:45 PM - G7.9/D3.9/F6.9
Concepts of Metal-organic Decomposition (MOD) Silver Inks for Structured Metallization by Inkjet Printing.
Claudia Schoner 1 , Stephan Jahn 1 , Thomas Blaudeck 1 , Alexander Jakob 2 , Heinrich Lang 2 , Reinhard Baumann 1
1 Digital Printing and Imaging, Chemnitz University of Technology, Chemnitz Germany, 2 Inorganic Chemistry, Chemnitz University of Technology, Chemnitz Germany
Show AbstractInkjet printing has gained growing interest in the field of electronics for the manufacture of many devices of printed electronics such as OLEDs or solar cells. Moreover, patterned metallization at ambient conditions is a key issue for a cost-effective manufacturing of passive wiring components. Recently, Jahn et al. introduced the silver(I)-2-[2-(2-methoxyethoxy)-ethoxy]acetat as an aqueous metal-organic decomposition (MOD) ink without additional stabilizing ligands. Based on a silver salt concentration of 23 wt%, conductivities of printed structures of above 10^7 Sm^(-1) were obtained applying thermal or photo-thermal annealing techniques [1, 2]. In this contribution, we report about the further optimization of the MOD ink by varying the solvent base and increasing the silver salt concentration. Five different ink concepts were compared with respect to their key physical parameters relevant for inkjet printing and the final performance, including viscosity, surface tension, and density. The concepts include the usage of N-methyl-2-pyrrolidone as a humectant with low vapor pressure, addition of sodium lauryl sulfate as optional stabilizing ligand or addition of co-solvents such as diethylene glycole or acetonitrile. Following this route, printability could be achieved for inks with silver salt concentrations up to 45 wt% at maintained conductivity. References[1] S. F. Jahn, T. Blaudeck, R. R. Baumann, A. Jakob, P. Ecorchard, T. Rüffer, H. Lang, P. Schmidt, Chemistry of Materials 22 (10), 3067-3071 (2010). [2] S. F. Jahn, A. Jakob, T. Blaudeck, P. Schmidt, H. Lang, R. R. Baumann, Thin Solid Films 518 (12), 3218-3222 (2010).
G8: Field-Effect Transistors
Session Chairs
Wednesday PM, December 01, 2010
Room 311 (Hynes)
2:30 PM - G8.1
Effect of Carrier Gas Pressure on the Growth of Pentacene by Organic Vapor Phase Deposition.
Cedric Rolin 1 , Karolien Vasseur 1 2 , Robert Muller 1 , Jan Genoe 1 , Paul Heremans 1 3
1 , Imec, Leuven Belgium, 2 MTM, Katholiek Universiteit Leuven, Leuven Belgium, 3 ESAT, Katholiek Universiteit Leuven, Leuven Belgium
Show AbstractOrganic electronics will only be able to realize their low cost potential if the active layers can be processed using roll-to-roll techniques that enable high deposition rates and a good control over the thin film microstructure and morphology. Among the organic semiconductor processing techniques, organic vapor phase deposition (OVPD) has shown promise in terms of growth speed, layer uniformity and material utilization efficiency. In OVPD, a carrier gas transports organic molecules from a sublimation cell into a deposition chamber. The distribution of the carrier gas onto a cooled susceptor allows for the formation of a thin film through the condensation of molecules on the substrate. In previous work, we have shown how this technology can be applied to the growth of pentacene layers using an in-line OVPD system that is roll-to-roll compatible. Very high-speed growth (>100 Å/s) of highly uniform pentacene films displaying excellent electrical characteristics (mobilities of up to 1.5 cm2/Vs) was demonstrated [1].In vacuum thermal evaporation, two parameters are used to vary the thin film morphology: deposition rate and substrate temperature. Thanks to the use of an inert gas that transports the organic molecules, OVPD possesses an additional growth parameter: the background pressure set by the carrier gas flow. This enlarges the parameter space available to finely control layer growth and provides access to new thin film morphologies.However, as it affects in multiple ways both sublimation in the source cell and deposition on the substrate, the effect of this extra-parameter remains complex and poorly understood. In this work, by varying the chamber pressure from 0.05 mbar up to 60 mbar, the effect of carrier gas pressure on the OVPD process is evaluated at two levels: First, the evolution with pressure of growth speed and film uniformity is monitored. A peak in growth speed is observed at an intermediate pressure close to 5 mbar. An analytic model describing transport in the OVPD system is developed that shows that this non-monotonic behavior of the growth speed corresponds to a peak in material utilization efficiency. Secondly, it is shown how the growth of pentacene thin films at increasing pressures leads to an unprecedented layer roughening (rms roughness >50 nm for films grown at 60 mbar). The systematic fabrication of top contact field effect transistors reveals that the best electrical characteristics are obtained on films grown at pressures below 5 mbar. In order to give some understanding of this dramatic evolution of thin-film properties, the effect of carrier gas pressure on microscopic crystal growth events such as nucleation is discussed.In conclusion, this work enables a deeper understanding of a unique and distinctive characteristic of OVPD, a technology with a high potential for large-volume processing of organic semiconductors.[1] Rolin et al., Org. Electr., 11 (2010) 100.
2:45 PM - G8.2
Simultaneous Dual Interface Modification by Spin-cast Monolayers for Organic Thin Film Transistors.
Orb Acton 1 , Hong Ma 1 2 , Tae-Wook Kim 1 , Guy Ting 3 , Manish Dubey 4 , Tobias Weidner 4 , Daniel Hutchins 1 , Kevin O’Malley 3 , David Castner 4 , Alex K.- Jen 1 2 3
1 Materials Science & Engineering, University of Washington, Seattle, Washington, United States, 2 Institute of Advanced Materials and Technology, University of Washington, Seattle, Washington, United States, 3 Chemistry, University of Washington, Seattle, Washington, United States, 4 National ESCA and Surface Analysis Center for Biomedical Problems, Departments of Bioengineering and Chemical Engineering, University of Washington, Seattle, Washington, United States
Show AbstractIn organic thin film transistors (OTFTs), the first few molecular layers at the semiconductor/bottom-contact-electrodes and semiconductor/dielectric interfaces are responsible for charge injection/collection and transport, respectively. Interface modification at bottom-contact-electrodes and dielectric surfaces using self-assembled monolayers (SAMs) has been widely used to improve device performances. However, modifying both bottom-contact electrode and dielectric surfaces has required two different processes, each with different materials (often which are incompatible with one another). In addition, typical processing routes for high-quality SAM modification of metal electrode or dielectric surfaces have required multiple complex steps and/or time consuming processing conditions from a combination of solution phase assembly, and extensive post processing. Therefore, developing a simplified and compatible approach that distills the multiple processing steps required for SAM modification of both bottom-contact electrode and dielectric surfaces into one process while still maintaining desirable interface properties for high-performance OTFTs is essential for integration of OTFTs into low-cost electronic applications.Here we introduce a simultaneous interface modification priming layer (SIMPL) spin-coating process wherein a single-molecular-component SAM is used to modify both bottom-contact electrode and dielectric surfaces in one-step to achieve compatible interface properties for high-performance bottom-contact OTFTs. This one-step SIMPL spin-coating process yields structurally well defined SAMs on both metal and oxide surfaces as suggested by near edge X-ray absorption fine structure (NEXAFS) spectroscopy, X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), atomic force microscopy (AFM), and spectroscopic ellipsometry characterization. Rational selection of the SAM used in the SIMPL process leads to greatly enhanced performance of both n-channel and p-channel based OTFTs with driving voltages under 3V. These findings suggest the SIMPL process provides a generally applicable and versatile approach for the fabrication of high-performance bottom-contact OTFTs.
3:00 PM - **G8.3
Controlling Crystallization and Grain Growth in Solution-processable Organic Semiconductors for Organic Thin-film Transistors.
Stephanie Lee 1 , John Anthony 2 , Lynn Loo 1
1 Chemical and Biological Engineering, Princeton University, Princeton, New Jersey, United States, 2 Chemistry, University of Kentucky, Lexington, Kentucky, United States
Show AbstractLarge-area electronics based on organic materials promise low-cost fabrication, lightweight construction, mechanical flexibility and durability. To truly realize the low-cost aspects of organic electronics, however, conventional high-vacuum deposition technologies – costly both in terms of instrumentation as well as operation – will have to be replaced by solution processing methodologies, like inkjet printing or spin casting. This need has in turn driven the development of solution-processable organic semiconductors, and even solution-processable organic conductors. We have fabricated bottom-contact thin-film transistors with spun-cast triethylsilylethynyl-anthradithiophene (TES-ADT) comprising the electrically-active channel. As-spun, TES-ADT is amorphous and the device characteristics are unremarkable. Subjecting the same transistors to brief solvent vapor annealing, however, induces crystallization of TES ADT and, accordingly, improves the device characteristics dramatically. Specifically, the carrier mobility and on-off current ratio increase by more than two orders of magnitude, to > 0.1 cm2/V-sec and > 104, making the performance competitive for display backplane applications. More importantly, we observe a great reduction in the current hysteresis on cycling, an implication of trap reduction at the dielectric-organic semiconductor interface. More recently, we have demonstrated control over the grain size of TES ADT within the channels of thin-film transistors by seeding the crystallization process with fractional amounts of additives. Tuning the grain size from 30 microns to 3 millimeters has afforded us the opportunity to examine its effects on the electrical characteristics of thin-film transistors. Not surprisingly, the device mobility increases with increasing grain size; this trend quantitatively agrees with the simple composite mobility model predicted by Horowitz.
3:30 PM - **G8.4
Aerosol Jet Printed, Sub-3V Polymer Transistors and Circuits.
Daniel Frisbie 1
1 , University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractOne challenge for printed organic electronics is to achieve low voltage operation, which is desirable for applications where circuitry is powered by batteries or external AC fields. This talk will describe aerosol jet printing and characterization of polymer and carbon nanotube circuits that operate at supply voltages below 3 V. A key to achieving fast, sub-3V operation is a printable gel electrolyte that serves as the gate dielectric. The gel electrolyte, a so-called ion gel, is based on an ionic liquid and a gelating block copolymer and it has both a short polarization response time (1 microsec) and a large specific capacitance (~ 10 μF/cm2). These characteristics in printed TFTs lead to high output conductance (> 2 mS/mm), low threshold voltage (< 1 V) and high inverter switching frequencies (1-10 kHz). Aerosol jet printed inverters, ring oscillators, NAND gates and flip-flop circuits will be described. Five-stage ring oscillators have been printed with > 2 kHz oscillation frequencies, corresponding to a propagation delay of 50 microseconds per stage. These printed gel electrolyte gated circuits compare favorably with other reported printed circuits that often require much larger operating voltages. Materials factors influencing the performance of the devices will be discussed. Key features of the aerosol printing process will also be covered.
4:30 PM - G8.5
Construction and Operation of Molecular Quantum Dot Vertical Transistor.
Shachar Richter 1
1 Chemistry Department, Tel Aviv University, Tel Aviv Israel
Show AbstractThe increasing demand for smaller and molecular devices arranged in dense arrays, requires the development of new methods for the parallel fabrication of nanometer-sized structures. However, because of limitations in current technology, the achievement of these goals is very challenging. Although some isolated examples of such devices and architectures have been demonstrated, they exhibit only moderate or limited performance or are constructed via sophisticated multi-step methodologies. Here, I will describe recent progress achieved in our lab focusing on the fabrication and operation novel three- terminal vertical molecular devices. These devices, fabricated in parallel fashion using conventional methodologies, can be used to explore the conduction properties of several molecular systems. This Central-Gate Molecular Vertical Transistor (C-Gate MolVeT) is used to investigate the transport properties of modified C60 molecule. This molecule is known to exhibit strong electron- vibron coupling thus often termed as "molecular quantum dot". A unique spectrum is obtained which allow the operation of the device in two distinct modes: "reversible switchable conductance" and "gate induced hysteresis". These phenomena will be explained in view of the molecular-leads coupling and of the molecular polaronic effect. References:1.Large-scale fabrication of 4-nm-channel vertical -proteins ambipolar transistor- E. Mentovich , B. Belgorodsky , I. Khalifa and S. Richter. Nano Lett., 9 1296–1300. (2009).2.1-Nanometer-Sized Active-Channel Molecular Quantum-Dot Transistor E.. Mentovich, B. Belgorodsky, M. Gozin and S. Richter Adv. Mater., 22, , 1–5 (2010)
4:45 PM - **G8.6
Solution-processed, Inkjet-printed Non-volatile Memory Arrays Based on Ferroelectric Field-effect Transistors.
Tse Nga Ng 1 , Beverly Russo 1 , Brent Krusor 1 , Ana Claudia Arias 1
1 Electronic Materials Lab, Palo Alto Research Center, Palo Alto, California, United States
Show AbstractNon-volatile memory devices for mechanically flexible electronics are being developed for short-term data storage in applications that require a maximum lifetime of only a few weeks. Inkjet printing was used to pattern ferroelectric field effect transistors (feFETs) and addressing thin film transistors (TFTs). The organic feFETs were fabricated with poly(vinylidene fluoride-co-trifluoroethylene) (PVDF-TrFE) as the gate dielectric material. Application of an electric field across the ferroelectric insulator induces polarization of the dielectric layer. The remnant polarization alignment can be used as a record of the input voltage and thus functions as memory states. The integration of feFETs with addressing TFTs into an active-matrix memory array is needed in order to avoid pixel cross talking. The electrodes and addressing lines were composed of inkjet printed silver nanoparticles. A polythiophene derivative was used as semiconductor in the memory feFETs while a soluble small molecule was used as the semiconductor in the addressing TFTs. Memory feFETs were shown to retain 50% of output current over seven days. The transistor characteristics were monitored to understand the limiting factors to data retention time. We studied the degradation in the dielectric layer and in the semiconductor layer separately to understand the origins of possible device instabilities. Finally the flexible memory array was integrated with electrophoretic media to enable visualization of the working printed memory process.
5:15 PM - G8.7
Graphene Nanoconstriction Field Effect Transistor.
Ye Lu 1 , Brett Goldsmith 1 , Doug Strachan 1 2 , Jonghsien Lim 1 3 , A.T.Charlie Johnson 1
1 Department of Physics and Astronomy, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 2 Department of Physics and Astronomy, University of Kentucky, Lexington, Kentucky, United States, 3 Physics, Swarthmore College, Swarthmore, Pennsylvania, United States
Show AbstractWe report a method to pattern monolayer graphene nanoconstriction field effect transistors (NCFETs) with critical dimensions below 10 nm. NCFET fabrication is enabled by the use of feedback controlled electromigration (FCE) to form a constriction in a gold etch mask that is first patterned using conventional lithographic techniques. The use of FCE allows the etch mask to be patterned on size scales below the limit of conventional nanolithography. We observe the opening of a confinement-induced energy gap as the NCFET width is reduced, as evidenced by a sharp increase in the NCFET on/off ratio. The on/off ratios we obtain with this procedure can be larger than 1000 at room temperature for the narrowest devices; this is the first report of such large room temperature on/off ratios for patterned graphene FETs.
5:30 PM - G8.8
Synthesis of Monolithically-integrated Graphene Electronics.
Jang-Ung Park 1 2 , SungWoo Nam 3 , Charles Lieber 1 3
1 Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 2 School of Nano-Biotechnology and Chemical Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulsan Metropolitan City Korea (the Republic of), 3 School of Engineering and Applied Science, Harvard University, Cambridge, Massachusetts, United States
Show AbstractWe present an unconventional synthesis approach to build monolithically-integrated electronic devices with graphene. Complex geometries of graphene transistor arrays with metallic interconnects are formed from single-step synthesis, in contrast to multiple steps required in conventional CMOS processes. We will describe the design and selective localized synthesis of graphene areas with different numbers of layers, which exhibit predictable variations in conductance and transconductances, to enable monolithic integration of field-effect transistor arrays. The synthesized integrated devices were transferred to both rigid and flexible substrates, including transparent plastic films. In addition, applications of our new approach will be described, including fabrications of flexible, transparent monolithic graphene transistor arrays, and real-time, multiplexed chem/bio sensor arrays.
5:45 PM - G8.9
Creating Novel Transport Properties in Electronic Double Layer Field Effect Transistors Based on Layered Materials.
Jianting Ye 1 , Monica Craciun 4 , Saverio Russo 5 , Mikino Koshino 2 , Yuichi Kasahara 1 , Hongtao Yuan 1 , Hidekazi Shimotani 1 , Alberto Morpurgo 3 , Yoshihiro Iwasa 1
1 Quantum-Phase Electronics Center, Department of Applied Physics, School of Engineering, The University of Tokyo, Tokyo Japan, 4 Centre for Graphene Science, School of Engineering, University of Exeter, Exeter United Kingdom, 5 Centre for Graphene Science, School of Physics, University of Exeter, Exeter United Kingdom, 2 Department of Physics, Tokyo Institute of Technology, Tokyo Japan, 3 DPMC and GAP, Université de Genéve, Geneva Swaziland
Show AbstractIonic-gated devices utilizing the electrochemical principle of electronic-double-layer are attracting increasing interests due to their high gate efficiency and effective applicability to broad range of materials. New device functionalities, especially, lower operation voltage found in EDL-gated organic field effect transistors, are crucial for the possible application to the real devices. As exemplified by the realization of gate-induced superconductivity in SrTiO3, the high gate efficiency also provide unique abilities to realize the high carrier densities, which is accessible only by the chemical doping, previously.Recently, novel gate dielectrics: electronic double layer (EDL) formed by ionic liquids were demonstrated to achieve an surface charge density on the order of ~10^14 cm^-2 electrostatically. Compared with the carrier density of conventional superconductors, this carrier density is well within the range to induce superconductivity in broad range of materials and hopefully, new superconductors. Combining ionic liquid gate dielectrics with field-effect transistor as well as novel materials provide new opportunities in manipulate their electronic properties electrostatically. Using the graphene techniques, atomically flat surface can be fabricated on the layered material easily. We utilized layered compound: ZrNCl and graphite to make EDL transistors in searching for new transport phenomena when high-density carriers are electrostatically accumulated. For both ZrNCl and graphene, we achieved high carrier density up to 10^14 cm^-2. For ZrNCl EDLT, we observed metallic states at gate voltage higher than 3.5 V followed by gate-induced superconductivity after metal-insulator transition when the transistor was cooled down to about 15 K. For graphene, we studied the high carrier density region for graphene of single-, bi-, and tri-layers. Transport properties in the high carrier density exhibit clear layer dependence governed by the intrinsic band structures of graphenes.
Symposium Organizers
Lukas Schmidt-Mende Ludwig-Maximilians-Universität München
Henry J. Snaith University of Oxford
Gregory L. Whiting Palo Alto Research Center
David S. Ginger University of Washington
G11: Poster Session II
Session Chairs
Lukas Schmidt-Mende
Gregory Whiting
Thursday PM, December 02, 2010
Exhibition Hall D (Hynes)
G9: Structuring of Electronic Materials I
Session Chairs
Thursday PM, December 02, 2010
Room 311 (Hynes)
9:30 AM - G9.1
Blister Actuated Laser Induced Forward Transfer Printing of Patterned Organo-metallic Electroluminescent Devices.
Nicholas Kattamis 1 , Neil McDaniel 2 , Stefan Bernhard 2 , Craig Arnold 1
1 Mechanical and Aerospace Engineering, Princeton University, Princeton, New Jersey, United States, 2 Chemistry, Carnegie Mellon University, Pittsburgh United States
Show AbstractSmall organic molecular semiconductors have the potential to ease fabrication and packaging constraints on organic electronic devices such as OLED’s or organic photovoltaics due to their increased lifetimes in oxygenated environments. As the desire to print these materials in complex geometries on low temperature, flexible substrates for advanced electronic devices continues to grow, new techniques have been developed to accomplish these goals. Here we discuss a laser-based approach known as blister-actuated laser induced forward transfer (BA-LIFT), to produce conformal, high resolution patterns of small molecule organic luminescent materials onto a polymer substrate. In traditional laser transfer processes, organic molecules are especially susceptible to thermal, optical and mechanical damage during the printing process. However, our novel technique uses a thick polymer laser absorbing layer (6 um) that protects the delicate organic material during the transfer process. In this non-contact method, individual droplets of solution as small as 10 um are dispensed on the surface of interest while a computer controlled manipulation stage combined with a high repetition rate pulsed laser allows for rapid printing and patterning formation on arbitrary surfaces. We discuss the details of this technique with characterization of material properties to demonstrate damage free transfers for a variety of luminescent materials. We further apply this technique to the fabrication of patterned [Ru(dtb-bpy)3]2+(PF6-)2 electroluminescent devices in ambient conditions. Devices are shown to exhibit emission spectra, luminous efficiencies, and lifetimes similar to literature values of devices fabricated in nitrogen environments.
9:45 AM - **G9.2
Towards Control of Polymer Donor-acceptor Heterostructures by Photocrosslinking: New Architectures and Insights.
Peter Ho 1
1 Physics, National University of Singapore, Singapore Singapore
Show AbstractWe have recently developed in collaboration with Cambridge a new sterically-substituted bis(fluorinated phenyl azide) photocrosslinking methodology that can generally apply to high-molecular weight polymers without degrading the essential charge carrier transport and exciton properties. This opens up a key capability to crosslink polymer charge-transport films so that more complex heterostructures with refined control over morphology and function can be produced for a wide variety of polymer semiconductor devices, including light-emitting diodes, transistors and photovoltaics. The two new families of donor-acceptor heterostructures have been developed: the contiguous interpenetrating donor-acceptor heterojunction (as distinct from the conventional bulk heterojunction) cells, and the back-infiltrated cells. In these cells, continuity in the carrier conduction paths is imposed by the device fabrication itself. Therefore these morphologies offer a route to overcome internal recombination losses, and the possibility to optimize the sub-processes involved in photovoltaic conversion in a systematic way. In this talk, I will report a progress update of the photocrosslinkers and of these polymer photovoltaic cells.
10:15 AM - G9.3
Inkjet Patterning of Layer by Layer Assembled Nanocomposites.
Christine Andres 1 , Nicholas Kotov 1
1 Chemical Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractNanomaterials with exceptional properties have been created via layer-by-layer assembly (LBL) based on the formation of insoluble nanoparticle-polyelectrolyte complexes. However, the multiple dipping and rinsing cycles which provide structural control and thermodynamic stability hamper the utilization of the technique in practical applications such as microtechnologies and electronics. We have developed a technique based on the precision of inkjet technology to create LBL nanocomposites without the multiple fluid cycles which limit such practical applications. When the exact amount of LBL components necessary for film build-up are delivered to the surface sequentially with the precision of inkjet technology, a stable film is created without intermediate rinsing steps. The strictly additive nature of inkjet LBL deposition provides nanoscale control over thickness and nanoparticle coverage that is equal to or greater than films produced using traditional LBL as well as an excellent opportunity for versatile, multi-component, and non-contact pattering for the simple production of stratified patterns that are much needed in advanced devices. Here we demonstrate the technique with the production of several patterned composites of a positively charged polyelectrolyte with negatively charged gold, cadmium tellurium and carbon nanomaterials.
10:30 AM - G9.4
Inkjet-printed PEDOT:PSS/SWCNTs on Paper: Substrate Effects on Conductivity.
Peter Angelo 1 , Ramin Farnood 1
1 Chemical Engineering & Applied Chemistry (Pulp & Paper Centre), University of Toronto, Toronto, Ontario, Canada
Show AbstractPoly(3,4-ethylenedioxythiophene):poly(styrenesulfonate), or PEDOT:PSS, and single-walled carbon nanotubes (SWCNTs) were incorporated into an inkjet ink. Both of these materials were considered suitable for inkjet printing due to their nanoparticulate size; in the case of PEDOT:PSS, 100-200 nm diameter micelles of PEDOT (stabilized with PSS) are suspended in water. Although the SWCNTs had a high aspect ratio, they were sufficiently small to incorporate into the ink. The combination of PEDOT, a conjugated, conductive polymer, and highly conductive CNTs, yielded a conductive film after printing and curing of the ink.Conventionally, both PEDOT and SWCNTs have been deposited onto glass and indium-tin-oxide (ITO)-coated glass, to function as transparent electrodes for optoelectronics and photovoltaics. More recently, polymers such as PET have been considered as substrates. The moderate to low conductivity of PEDOT:PSS (when in a thin enough film to be highly transparent) has been improved recently by many orders of magnitude through the addition of polar solvents or carbon nanostructures, and post-deposition oxidation treatments. Therefore, a composite of PEDOT/SWCNTs was considered suitable to be used not only as a semiconductive anode material, but also as a highly conductive cathode on an opaque substrate.Paper, being a biodegradable, renewable, and flexible material, was used as a substrate for depositing printed patterns of the PEDOT:PSS/SWCNT ink. Wide variability in sheet resistivity/conductivity was observed for different commercial paper types. The papers varied in their physical properties (density, porosity, thickness) and materials (coating pigments, fillers, additives and fibre types). Correlations between both fibre/filler type and physical structure and conductivity were established for the printed sheets. Polymer adsorption to inorganic (filler) and cellulosic (fibre) components was also studied, and the distribution of PEDOT/PSS on the substrate relative to the paper components was illustrated.
10:45 AM - G9.5
Novel Imprinting Techniques for Fabrication of Multilevel Flexible Electronics.
Daniel Turkenburg 1 , Henk Rendering 2 , Arjan Hovestad 2 , Niki Stroeks 2 , Pascale Maury 3 , Pieter Moonen 4 , Jurriaan Huskens 4 , Ionut Barbu 1 , Erwin Meinders 1
1 , HOLST Center, Eindhoven Netherlands, 2 , University of Twente, Enschede Netherlands, 3 , ASML, Veldhoven Netherlands, 4 , TNO Science & Industry, Eindhoven Netherlands
Show AbstractFueled by the quest for new applications such as flexible displays, smart sensor arrays and low cost memory devices, the market for flexible electronic applications is growing rapidly. Flexible Electronics display a number of advantages including cost reduction, improved robustness, lower power consumption, less use of scarce materials and bendable properties opening the route to roll-to-roll fabrication.These applications require micron-sized metallic patterns applied on 25-100 um thick polymeric foils. Dimensional stability and overlay issues are currently among the biggest challenges for TFT patterning. One of the solutions would be to immobilize the foil on a rigid substrate –the foil on carrier concept- but this excludes the use of roll-to-roll techniques. Alternatively deformations and misalignments could be measured while patterning using alignment marks and corrected for in situ, but complex optical lithographic techniques would be needed to apply corrected patterns. We however propose to introduce self-alignment to these patterns themselves. We combine different types of wetting and dewetting phenomena with conventional imprint lithography. Both of the approaches that we work on are compatible with roll-to-roll fabrication and do not depend on complex and costly photolithographic patterning processes.Our first method comprises the use open micro-capillaries. Structures consisting of channels of various widths ranging from 1 to 100 um are applied onto a free standing foil using UV-imprint. Structures of a certain size are treated selectively with a solution containing catalytic seeds. The surface tension is delicately balanced to the surface energy of the substrate and the size the structures to guarantee selectivity. Capillary forces fill in the selected channels where after evaporation of the solvent only metallic nucleation centers remain. Metallic plating of the structures is carried out subsequently using an ELD bath.Alternatively conventional thermal imprint lithography is used to construct composite microstructures of high and low surface energy patterns. The structures are uniformly treated with a dispersion of catalytic nano particles. In a subsequent step the treated structures are pressed against a piece of foil of tuned intermediate surface energy. The low surface energy patterns transfer the nano-particles in case whereas the high surface energy patterns do not transfer. ELD plating of the transferred seeds gives the original structures in metal self aligned onto the foil.We demonstrate that with both methods homogeneous multilevel, multiscale functional metallic structures can be achieved of sub-micrometer resolution.
11:30 AM - G9.6
Nano-imprinted Polymer Device: Molecular Structure and Stability of the Nano-imprinted Pattern.
Htay Hlaing 1 2 , Xinhui Lu 1 , Ben Ocko 1
1 Department of Condensed Matter Physics and Materials Science, Brookhaven National Lab, Upton, New York, United States, 2 Department of Physics and Astronomy, Stony Brook University, Stony Brook, New York, United States
Show AbstractCurrent polymer-based devices such as organic photovoltaic, transistor and light emitting diode are mainly fabricated by spincoating or dropcasting followed by thermal or solvent annealing. The morphologies of these devices are uncontrollable and irreproducible and this affects their electrical performances as well as the prospect for mass production. Nanoimprint lithography (NIL) offers a potential solution for producing well-defined morphologies and it also has the potential to be compatible with roll-to-roll processing. In order to use nano-imprinted polymer nanostructure as a device, we need to understand the molecular structure and stability of the imprinted pattern outside of the mold, both over a wide temperature range and during sub-sequence fabrication processes. In this talk, we will present the result of the Grazing Incidence Small and Wide-Angle X-ray Scattering (GISAXS AND GIWAXS) studies on nanoimprinted polymer films prepared using a stamp with a 100 nm pitch during thermal processing at temperatures up to 200C. We observe, for the first time, the real time evolution of the imprinted nano-pattern (using GISAXS) while simultaneously measuring the melting of the lamellar structure of the polymer (using GIWAXS).
11:45 AM - G9.7
Work Function Controlled Printed Metal Alloy Pattern Prepared by Using Pressure Annealing Technique.
Manabu Yoshida 1 , Kouji Suemori 1 , Sei Uemura 1 , Satoshi Hoshino 1 , Noriyuki Takada 1 , Takehito Kodzasa 1 , Toshihide Kamata 1
1 Photonics Research Institute, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan
Show AbstractVarious flexible printed electronic devices have been intensively studied and developed in this decade. High quality printed metal leads and electrodes are required for producing these flexible devices. In spite of the fact that printed metal patterns with various work functions are necessary for producing all-printed diode, solar cell and EL devices, there have been very few works for work function control of printed metal patterns so far. Therefore, we have developed the pressure annealing technique for fabricating low work function metal pattern on plastic substrate. In general, the difficulty to print conductive low work function metal patterns is caused by the insulating metal oxide layer covering on metal particles included in metal paste. The pressure annealing technique can destruct the metal oxide layer and can form conductive layer on printed metal pattern. Further, we have confirmed that a binary solid solution is easily formed on metal patterns including two kinds of metal particles by using the pressure annealing technique. Changing the composition ratio of the binary metal paste led to the work function control of the pressure-annealed metal patterns. Formation of the binary solid solution was confirmed by using XRD spectra, and work function values were measured by using photoelectron emission spectra. In the case of the binary metal paste of Cu and Zn, we have succeeded in controlling work function from 3.8 eV to 5.0 eV. Since the Cu-Zn paste is composed of relatively low price metals, this would be applicable to large-scale flexible electronic devices. This work is supported by Industrial Technology Research Grant Program in 2008 from New Energy and Industrial Technology Development Organization (NEDO) of Japan..
12:00 PM - **G9.8
Block Copolymer Lithography in the Magnetic Storage Industry.
Ricardo Ruiz 1 , Joan Bosworth 1 , Elizabeth Dobisz 1 , Olav Hellwig 1 , Kaniyalal Patel 1 , Toshiki Hirano 1 , Thomas Albrecht 1
1 San Jose Research Center, Hitachi GST, San Jose, California, United States
Show AbstractWith the demonstration of feature density multiplication by e-beam directed self assembly, block copolymer lithography stands as a promising method to generate master patterns for nanoimprint templates for magnetic bit patterned media (BPM). The lithography for BPM calls for small features with densities in excess of 1Tdot/in2, dimensions that lie ahead of the conventional semiconductor roadmap, presenting unprecedented challenges with additional demanding restrictions due to the specifications set forth by the particular aspects of hard disk drive architecture. Nanofabrication challenges towards bit patterned media, however, reach far beyond pattern formation at small length scales. We explore two potential architectures amenable to directed self assembly: arrays of hexagonal close packed (hcp) circular dots and arrays of rectangular bits with a high aspect ratio. On the one hand, hcp patterns maximize feature density for a given lithographic dimension while, on the other hand, rectangular patterns support wider write head poles in order to achieve the high write fields needed to write high-coercivity media. In both cases a combination of e-beam lithography with block copolymer self assembly ensures the small dimensions required for high density media together with the flexibility to achieve accurate translational placement over circular tracks with constant angular pitch. We review the benefits and implications of using block copolymer thin films as lithographic masks. We will show that, even though it represents a major departure from the semiconductor lithography roadmap, a combination of e-beam lithography with block copolymer assembly stands as one of the most viable candidates to nanoimprint templates for BPM technology.
12:30 PM - G9.9
Functional Three-dimensional Structures with Submicron Feature Sizes by On-demand Dielectrophoretic Printing.
Niklas Schirmer 1 , Stefan Stroehle 1 , Manish Tiwari 1 , Dimos Poulikakos 1
1 Mechanical and Process Engineering, ETH Zurich, Zurich Switzerland
Show AbstractPrecision manufacturing of microstructures by on-demand ink-jet printing of colloidal suspensions has been proven to be an excellent alternative to photolithographic fabrication processes and a feasible approach for low cost, printed electronics. However, state of the art ink-jet printing is typically limited to feature sizes of tens of microns, since piezo-based nozzles used therein need to overcome the high capillary pressure and viscous effects in the small printing orifice. Besides this limited miniaturization potential, another draw-back of this technique is the non-uniformity of the deposited structures due to “coffee-ring” formation, which often call for special tuning of the suspension formulations and substrate temperature. Electrospraying technique overcomes the miniaturization problem by generating micron-size droplets formed by break up of a highly focused liquid jet ensuing through a conical liquid meniscus (also called Taylor cone). The focused jet is produced by imposing a high electric potential difference between a liquid filled nozzle and an underlying substrate. The technique, however, is limited to liquids with relatively high electrical conductivity and is not suitable for on-demand printing. In addition, reliable fabrication of functional devices using printing techniques requires the ability to control the deposit morphology and also the ability to form three-dimensional (3D) structures. In the current work we explore a novel dielectrophoresis assisted printing technique for on-demand printing of ultra-high resolution features and nanowires, which was recently introduced by our group. Here we elucidate that the interplay of solvent evaporation rate and dielectrophoretic triggering of colloidal ejection essentially helps us control the printed solid morphology and form on-demand 3D structures with a micron or submicron scale feature size. First, scaling arguments and careful sweep through variable space for the dielectrophoretic printing process are used to understand the printing mechanism and the different involved time scales. The analysis is then used to demonstrate how smooth colloidal deposits can be obtained by intentionally profiting from coffee-ring formation in dielectrophoretically printed features. Finally, the technique is employed for printing multilayer submicron conductive gold tracks to extract thickness dependent electrical conductivity of such deposits. To the best of our knowledge, in addition to exploring the time scales involved, this is the first study reporting on-demand printed submicron conducting tracks with controllable thickness.
12:45 PM - G9.10
Patterned Large Area Nanoporous Arrays Using High-velocity Droplet Impact.
Meng Qu 2 , Andrew Gouldstone 1
2 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 1 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractHere we describe continuing, quantitative progress in a new pathway for the production of nanoporous surfaces, by recourse to molten droplet impact and solidification. The nanopores in this case are frozen in bubbles that nucleate in the melt due to gas supersaturation within 100 nanoseconds of impact. Initial observations and previous analysis are presented, as well as ongoing work to control or pattern porosity via process variation and substrate pre-treatment. This method is presumably not limited in material, and has potential to create large area, functional surfaces. Here we describe progress in experimental expansion of the technique, and models of bubble formation and growth to control size and distribution of resulting nano-pores. Preliminary work was performed on Ni, but the process is not limited to any material in particular.
G10: Structuring of Electronic Materials II
Session Chairs
Thursday PM, December 02, 2010
Room 311 (Hynes)
2:45 PM - G10.1
Two-Dimensional TiO2 Inverse Opal with Closed Top-surface Structure for Enhanced Light Extraction from Polymer Light-emitting Diodes.
Woo Jin Hyun 1 , Hang Ken Lee 1 , Sang Soon Oh 2 , Choon-Gi Choi 2 , Sang Hyuk Im 3 , O Ok Park 1
1 Detp. of Chemical and Biomolecular Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon Korea (the Republic of), 2 , Electronics and Telecommunications Research Institute (ETRI), Daejeon Korea (the Republic of), 3 , Korea Research Institute of Chemical Technology (KRICT), Daejeon Korea (the Republic of)
Show AbstractIn order to realize light-emitting diodes (LEDs) with 100% external quantum efficiency, recently, two-dimensional photonic crystals (2D PhCs) inserted between the structure of LEDs have been researched extensively since it has been demonstrated that the use of 2D PhCs inserted between the glass and the anode electrode could effectively reduce the waveguide problem of LEDs. As one of the most promising candidates for the PhC structure, inverse opals (IOs) have been adopted by several groups. However, although several attempts showing their promising approaches with IOs, LED with 2D IO structure which is introduced between the glass and the anode electrode to enhance light extraction has never been reported despite its many advantages, because most of the reported 2D IOs have been fabricated with open top-surface structure, where it is impossible to deposit thin layers for fabrication of LEDs onto the mesoporous structure. Therefore, it is very challenging to fabricate 2D IOs with closed top-surface structure. In this study, we successfully prepared 2D TiO2 IOs with closed top-surface structrure and demonstrated its use as a 2D PhC to enhance light extraction from LEDs. The 2D TiO2 IOs with closed top-surface structure were fabricated from 2D colloidal crystal films by adopting a doctor blade technique for infiltrating the colloidal crystal template with TiOx sol solution. Compared with conventional 2D IO structure, the 2D IO with closed top-surface structure has thin flat film on top which covers the 2D hexagonal array of air holes. Because of the closed top-surface structure with flat film on top, thin layers for fabrication of LEDs can be formed easily onto the 2D IO structure even by solution process. In order to check the effectiveness of the 2D TiO2 IO structure as a 2D PhC pattern on light extraction, we performed a computational simulation through the three-dimensional finite-difference time-domain (3D-FDTD) calculation. The simulation result shows that the 2D TiO2 IO structure effectively contributes to enhance light extraction from LEDs. We also confirmed that photoluminescence intensity is more than doubled when the emissive layer was formed on the glass with the 2D TiO2 IO. Finally, by inserting the 2D TiO2 IO with closed top-surface structure between the glass and the anode electrode, we observed that light extraction of the polymer LED is enhanced by 92% without a change in electrical property, compared with a conventional device. This enhancement attributes to that waveguided light is diffracted by the 2D TiO2 IO acting as a 2D PhC. For enhancing light extraction from LEDs, this approach with the 2D TiO2 IO structure is very useful since 2D PhC patterns can be easily obtained by using a simple colloidal assembly technique and sol-gel method without special equipment. Furthermore, it is convenient to control the period of the 2D PhC pattern just by varying the size of opal template nanoparticles used in the colloidal assembly process.
3:00 PM - G10.2
Stable, Bright and Fast Solid State Light Emitting Electrochemical Cells.
Henk Bolink 1 , Martijn Lenes 1 , Daniel Tordera 1 , Antonio Pertegas 1 , Ruben Costa 1 , Enrique Orti 1
1 Instituto de Ciencia Molecular, University of Valencia, Paterna Spain
Show AbstractLight-emitting electrochemical cells (LECs) are one of the simplest type of molecular electroluminescent devices. LECs have a simple architecture, are prepared from solution and operate with air-stable electrodes, which make them suitable for low cost/large area efficient lighting and signing applications.[1-4] In its simplest form it consists of a single active layer composed of an ionic transition-metal complex (iTMC) which supports all three processes of charge injection, charge transport and emissive recombination.[2-4] Until recently, the main drawback of these molecular devices was their short lifetimes. We showed that by using iridium(III) complexes capable of forming a supramolecularly-caged structure the lifetime of LECs can be increased to more than 3000 hours.[5-7] Remaining issues of these devices, for one, are there rather slow turn-on times. Additionally, there is a strong debate on the operation mechanism of these devices.[8-10] We will present the latest developments in iTMC based LECs. The work presented is partly the result of a European Project (www.cello-project.eu) that aims to prepare white LECs with power efficiencies in excess of 25 lm/W exhibiting lifetimes above 5000 hours. Detailed analysis of carrier transport and injection is used to shed light on the operational mechanism of these devices. [1]Q. Pei, G. Yu, C. Zhang, Y. Yang, A. J. Heeger, Science 1995, 269, 1086.[2]E. S. Handy, A. J. Pal, M. F. Rubner, J. Am. Chem. Soc. 1999, 121, 3525.[3]F. G. Gao, A. J. Bard, J. Am. Chem. Soc. 2000, 122, 7426.[4]J. D. Slinker, J. Rivnay, J. S. Moskowitz, J. B. Parker, S. Bernhard, H. D. Abruña, G. G. Malliaras, J. Mat. Chem. 2007, 17, 2976.[5]H. J. Bolink, E. Coronado, R. D. Costa, E. Ortí, M. Sessolo, S. Graber, K. Doyle, M. Neuburger, C. E. Housecroft, E. C. Constable, Adv. Mater. 2008, 20, 3910.[6]R. D. Costa, E. Ortí, H. J. Bolink, S. Graber, C. E. Housecroft, M. Neuburger, S. Schaffner, E. C. Constable, Chem. Commun. 2009, 15, 2029.[7]R. D. Costa, E. Orti, H. J. Bolink, S. Graber, C. E. Housecroft, E. C. Constable, J. Am. Chem. Soc. 2010, 132, 5978.[8]Q. Pei, A. J. Heeger, G. G. Malliaras, J. D. Slinker, J. A. Defranco, M. J. Jaquith, W. R. Silveira, Y. Zhong, J. M. Moran-Mirabal, H. G. Graighead, H. D. Abruña, J. A. Marohn, Nature Mater. 2008, 7, 167.[9]P. Matyba, K. Maturova, M. Kemerink, N. D. Robinson, L. Edman, Nature Mater. 2009, 8, 672.[10]D. B. Rodovsky, O. G. Reid, L. S. C. Pingree, D. S. Ginger, ACS Nano 2010, asap article.
3:15 PM - G10.3
Towards Soft Matter Circuits: Quasi-liquid Diodes and Memristors.
Ju-Hee So 1 , Hyung Jun Koo 1 , Michael Dickey 1 , Orlin Velev 1
1 Chemical and Biomolecular Engineering, NC State University, Raleigh, North Carolina, United States
Show AbstractNew types of electronic devices and circuits based on soft materials have potential applications in bio-electronic circuits, artificial neural networks, and brain-machine interfaces. We will present a new class of diodes and memristors composed entirely of soft, liquid-based materials formed by combining a moldable liquid metal and hydrogel doped with polyelectrolytes. The electronic functionality of these devices originates from the ability to control the electronic and ionic transport at the interface between the metal and the hydrogel. The metal is a eutectic alloy of gallium and indium (75 % Ga, 25 % In). At room temperature it is a low viscosity liquid with a high conductivity (σ = 3.4 x 104 S/cm). Its surface is coated with a thin, native skin of gallium oxide, which is a wide band-gap semiconductor. The oxide skin passivates the liquid metal; that is, the oxide does not grow thicker with time without any additional driving force. The electrical resistance through the oxide skin depends on its thickness, which can be controlled using pH and/or electrical bias to oxidize or reduce the skin. The agarose gel, which interfaces with the liquid electrodes, is composed of more than 90 % water and offers a soft aqueous media into which polyelectrolytes may be doped to control the local pH. We fabricated soft and quasi-liquid electronic devices that mimic solid-state, semiconductor devices, such as diodes and memristors, by sandwiching two hydrogel films: one doped with polyacrylic acid (PAA) and one doped with polyethyleneimine (PEI, which is basic). We contacted both sides of the film stack with liquid metal electrodes. The PEI-doped hydrogel suppresses the oxidation reaction at the metal electrode interface, whereas the thickness of the metal oxide layer at the interface with the PAA-doped hydrogel can be controlled by applying an electric bias. The direction and the magnitude of the electric bias pre-applied to the electrodes controls the anisotropy of current conductance, resulting in tunable rectification ratios. The pre-programmed anisotropy is preserved for more than an hour, which enables the fabrication of memory storage devices (i.e., memristors). We will discuss the rectification characteristics of diodes and the on/off switching behavior of memristors with different geometries composed entirely of soft materials.
3:30 PM - G10.4
Ultrathin Semiconductor Nanomembranes Vertically Contacted by Rolled-up Metallic Contacts.
Dominic Thurmer 1 , Carlos Cesar Bof Bufon 1 , Christoph Deneke 1 , Oliver Schmidt 1
1 IIN, IFW Dresden, Dresden, Sachsen, Germany
Show AbstractCombining modern self-assembly techniques with well established top-down processing methods is clearly paving the way for more sophisticated device generations in the future. Nanomembranes, made of many different material classes, have been shown to provide the necessary framework for a diverse range of structures and devices incorporating wrinkling, buckling, folding and rolling of thin films. In the past decade, an elegant symbiosis of bottom-up and top-down methods has emerged to fabricate hybrid layer systems incorporating the controlled release and rearrangement of inherently strained layers. Self-assembled rolled-up structures have already become increasingly attractive in a number of fields including micro/nanofluidics optics (including metamaterial optical fibers), Lab on a Chip applications, and micro- and nano-electronics. Most commonly, vertical contact through semiconductor membranes is made using highly doped substrates or buried “back contact” layers. Vertically contacting ultrathin semiconductor membranes using metallic contacts, without transferring them to a host substrate, has yet to be demonstrated effectively. Using selective III-V etchants in combination with inherently strained layers we are able to fabricate structures which allow us to contact through a selected semiconductor nanomembrane creating many devices in parallel and on the original semiconductor substrate. Well designed AlAs stop-etch layers in combination with a citric acid based etching system allows the precise formation of single and multi-material membranes. Furthermore, by stacking the layers and selectively etching away the strained layer locally, the active membrane can be completely decoupled from the strained layer needed for rolling. In this way, it is possible to easily create ultra-thin semiconductor junctions with tunable dimensions below 100 nm without the use of nano-patterning techniques such as electron beam lithography, or nano imprint lithography, for example. We demonstrate this technique by fabricating mesoscopic superconducting Josephson junctions created by sandwiching the semiconductor nanomembrane between two superconducting contacts. Using solely optical lithography techniques we are able to form Josephson junctions with lateral dimensions of a few micrometers and a semiconductor barrier thickness of down to 5 nm. While this example illuminates the benefits of our method, the wide application range will be discussed in more detail highlighting the different materials and material combinations which could be incorporated for their use in areas such as magnetic tunnel junctions, spin valves, resonant tunneling structures, contacting quantum dots and molecular electronics to name a few.
3:45 PM - G10.5
Self-folding and Curving Lithographically Patterned Nanostructures.
Jeong-Hyun Cho 1 , Si-Young Park 1 , Teena James 1 , David Gracias 1
1 Chemical and Biomolecular Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractThe availability of patterned nanostructures is critical to building complex devices since patterning codes information and enables heterogeneous integration. Lithographic patterning in quasi-3D geometries has enabled very large scale integration of heterogeneous materials, such as semiconductors, insulators and metals, to form functional logic and memory circuits. Patterns of metals and dielectrics are needed to create novel optical, metamaterial, plasmonic and biosensing devices.We describe methods that can be used to address a critical challenge in nanotechnology, namely three dimensional (3D) fabrication with precise patterning. Though precise sub-100 nm resolution patterning can be achieved using advanced-optical or imprint lithography and maskless direct-write techniques, it is still extremely challenging to create 3D patterned nanostructures at these small nanoscale dimensions.Two approaches based on enabling self-assembly of lithographically patterned hinged and hingeless panels will be discussed. Specifically, we self-assembled polyhedral particles with sizes as small as 100 nm and with specific and lithographically defined surface patterns with a feature resolution of 15 nm. We have also developed strategies to curve patterned nanoscale panels to form tubes, spirals and talons with radii as small as 20 nm. These structures have been created with panels patterned using either e-beam or nanoimprint lithography and with a range of material compositions. Mechanistic details and electronic applications of these approaches will also be discussed. 1. J. H. Cho and D. H. Gracias, Self-assembly of lithographically patterned nanoparticles Nanoletters 9, 12, 4049-4052 (2009)2. J. H. Cho, T. James and D. H. Gracias, Curving nanostructures using extrinsic stress Advanced Materials 22, 21, 2320 - 2324 (2010). [Featured on the Cover]
4:30 PM - **G10.6
Block Copolymer Self-assembly Concepts for Device Fabrication.
Ulrich (Uli) Wiesner 1
1 Materials Science & Engineering, Cornell University, Ithaca, New York, United States
Show AbstractBlock copolymer self-assembly governed by non-covalent interactions is an area of significant scientific and technological promise as it allows access to nanostructured, multifunctional materials without the need for costly top-down techniques. As the approaches often involve complex multicomponent systems with multiple short and medium-range interactions, elucidation of the exact assembly mechanisms often remains a major challenge. This talk will report on progress in the area of macromolecular self-assembly and co-assembly with inorganic nanoparticles involving blocked macromolecular architectures. The resulting polymer-inorganic hybrid materials exhibit good control over structural as well as morphological characteristics down to the nanoscopic length scale. This makes them ideal candidate materials for energy conversion and storage devices. Examples will show technological areas where nanostructure control of block copolymer assemblies may lead to advanced materials applications with specific emphasis on photovoltaic devices.
5:00 PM - **G10.7
Solid-state Processing of Organic Semiconductors.
Natalie Stingelin 1 2
1 Department of Materials and Center for Plastic Electronics, Imperial College London, London United Kingdom, 2 Department of Materials , ETH Zurich, Zurich Switzerland
Show AbstractRecognizing that a broad range of conjugated organic species fall in the category of “plastic crystals”, we explored the option to process this class of materials in the solid state. We find that solid-state compression molding indeed can effectively be applied to a wide spectrum of organic small molecular and polymeric semiconductors. Interestingly, the intrinsic favorable electronic characteristics of these materials are not adversely affected – to the contrary, we often observed significantly enhanced [bulk] charge transport and essentially identical field-effect transistor performance, when compared with their solution- or melt-processed equivalents. We thus illustrate that fabrication of functional organic structures does not necessitate the use of solution processing methods, which often require removal of 99 wt % or more of solvent, or precursor side-products, nor application of cumbersome vapor deposition technologies.
5:30 PM - G10.8
Fully Solution Processable Encapsulation Technology for Printed Electronics. Based on a Novel Sol-gel UV Cure Multi Layer Architecture: Suppression of the 535nm Peak in PFO.
Allan Mackintosh 1 , Richard Pethrick 1 , Peter Skabara 1 , Alex Kanibolotsky 1 , Martin Dawson 2 , Benoit Guilhabert 2
1 Pure and Applied Chemistry, University of Strathclyde, Glasgow United Kingdom, 2 Institute of Photonics , University of Strathclyde, Glasgow United Kingdom
Show AbstractThe research explore at a fundamental and applied level the creation and characterization of photo-polymer sol-gel composites. UV initiated polymers can undergo rapid cure allowing them to be used on line with printing techniques, commonly used in the graphics arts industry, such as screen printing, flexography, gravure, offset lithography and inkjet. The polymers themselves are unlikely to offer sufficient barrier-protection to air and moisture. Therefore, the work looks to increase the fundamental understanding of the influence of different metal oxide based alloys in decreasing the permeation of air and moisture in process able ‘solution based over layers’. Recent work by Alan J. Heeger group has shown that titanate sub-oxides have been used to successfully encapsulate organic field effect transistors. The work looks at different in situ growth of functionalised nano-particles within the polymer to be used as a single layer. A detailed examination of the growth kinetics and the functionization of the surfaces of the nano-particles to further the detailed understanding. To achieve the required level of barrier properties it is essential that a continuous film structure is formed. The problem is therefore the transformation of the sol-gel particles into sheet structures. This process can be aided by the chemistry but will depend critically on the nature of the processing which is applied to the system. Small holes in the coating will make the systems pervious to the gasses and lead to the failure to achieve the desired objectives. We use a hybrid systems composed of inorganic and organic layered structures, the inorganic providing the high barrier characteristics and will actively scavenge oxygen and moisture and the organic ensuring film integrity. The gas barrier, mechanical, optical and thermal properties will be characterised and the effect of the encapsulant will be demonstrated using PFO and the fluorenone 535nm peak suppression analysed and related to barrier capability of the encapsulating layer.
G11: Poster Session II
Session Chairs
Lukas Schmidt-Mende
Gregory Whiting
Friday AM, December 03, 2010
Exhibition Hall D (Hynes)
9:00 PM - G11.10
Vertically Aligned Nanowire Arrays for Next Generation Field-effect Transistors.
Ole Bethge 1 , Stephan Abermann 2 , Christoph Henkel 1 , Emmerich Bertagnolli 1
1 Vienna University of Technology, Institute for Solid State Electronics, Vienna Austria, 2 , Austrian Institute of technology, Vienna Austria
Show AbstractNanowires (NWs) are expected to form the channel region in next generation high-k metal gate field-effect transistors (FETs), as a further improvement of the device performance is predicted [1].
Thereby, Atomic Layer Deposition (ALD) is the favoured deposition process for high-k dielectric materials [2] even on complex 3-D structures with high aspect-ratios, such as Fin-FET and NWs. Additionally, ALD platinum to be applied for metal gates has been successfully realized on planar device structures [3]. Therefore, the application of ALD metal-gate stacks in NWFETs is an exigency, as such an approach offers the possibility of forming a "gate-all-around" structure.
Compared to vapor–liquid–solid “bottom-up” grown nanowires, a “top-down” etching approach offers the possibility to fabricate well-aligned and well-defined nanowire-arrays with a high reproducibility. In this work, we show a “top-down” process scheme for vertically aligned Si-NW-arrays by means of Reactive-Ion-Etching techniques. Diameters below 30 nm can be achieved, which can be further reduced to the sub 20 nm region by oxidation and etching techniques. The applicability of ALD to coat such structures with high-k oxides and platinum metal-gate layers is demonstrated. For the electrical characterization and further fabrication of a vertical all-around metal-gate NW-transistor, we have developed specific processing including Spin-on-Glass (SOG) fillings and advanced platinum gate etching techniques.
This work is funded by the Austrian Science Fund (FWF), project No. P19787-N14. The support of the GMe, and the Zentrum für Mikro- und Nanostrukturen ZMNS are greatfully acknowledged.
[1] International Technology Roadmap for Semiconductor Devices 2005 http://www.itrs.net
[2] T. Suntola, Mater. Sci. Rep. 4 (1989) 261.
[3] C. Henkel, S. Abermann, O. Bethge, and E. Bertagnolli, Semicond. Sci. Technol. 24 (2009), 125013.
9:00 PM - G11.11
Ohmic and Highly Reflective Ag Based Contacts on p-GaN for Resonant Cavity Light Emitting Diodes.
Vamsi Kumbham 1 , Sridhar Kuchibhatla 1 , Kyoungnae Lee 1 , Lee Rodak 1 , Dimitris Korakakis 1 2 , L. Hornak 1
1 Lane Department of Computer Science and Electrical engineering, West Virginia University, Morgantown, West Virginia, United States, 2 , National Energy Technology Laboratory, Morgantown, West Virginia, United States
Show AbstractThe necessity to replace conventional lighting by solid-state lighting has led to much interest in the development of high brightness Gallium Nitride (GaN) LEDs. Efficient LED structures such as a flip chip configuration and a resonant cavity LED typically need a highly reflective ohmic contact on p-GaN. Resonant Cavity Light Emitting Diodes (RCLEDs) are of great interest due their features like, high spectral purity and high emission intensity when compared conventional GaN based LEDs [1]. Au based contacts are not appropriate for the RCLEDs due to low reflectance in the blue region. Ag films have much higher reflectivity in the visible region when compared to Au films. Furthermore, metallic mirror films provide reflectivity over a wide wavelength range in comparison to the reflectivity of a Distributed Bragg Reflector (DBR) which is usually tuned operate over a narrow wavelength range.However, Ag based contacts degrade due to agglomeration of Ag when annealed above 400 C [2]. In this work a Ni/Al/Ag/Pt/Ni/Au metallization stack is investigated to reduce Ag agglomeration. The inclusion of platinum as a diffusion barrier is expected to suppress excess oxygen diffusion into the Ag films thereby preventing Ag agglomeration and can also provide high thermal stability when compared to other metallization schemes. The reflectivity of this kind of metallization scheme is around 80-85 % in the wavelength range of 400-600nm making it suitable for blue and green LEDs, with a specific contact resistivity value comparable to other well developed contacts to p-GaN. [1] Shih-Yung Huang, Ray-Hua Horng, Yu-Ju Tsai, Po-Rung Lin, Wei-Kai Wang, Zhe Chuan Feng, Dong-Sing Wuu, Semicond. Sci. Technol. 25, 035013 (2010).[2] Jun Ho Son, Yang Hee Song, Hak Ki Yu, and Jong-Lam Lee, Appl. Phys. Lett., 95, 062108 (2009)
9:00 PM - G11.12
Biotemplating of Metal Carbide Microstructures: The Magnetic Leaf.
Zoe Schnepp 1 , Wen Yang 1 , Cristina Giordano 1 , Markus Antonietti 1
1 , Max Planck Institute for Colloids and Interfaces, Potsdam Germany
Show AbstractBiological microstructures display an unparalleled degree of complexity that has long been a source of inspiration in art and science. In materials synthesis, these fascinating structures have been employed as templates to create exquisite replicas from a variety of metals and metal oxides. Far from being mere curiosities, such methods have been shown to modify or enhance the material properties through incorporating features of the biological structure, such as porosity or hierarchical assembly. Remarkably, although biotemplating techniques are well established in oxide synthesis, there are relatively few corresponding routes to metal carbides. This is in spite of the unique properties available to carbide materials. Here, we report a one-step synthesis of intricate, hierarchical microstructures of iron carbide from a leaf skeleton. The simple, aqueous route results in perfect replication of the vascular structure of the leaf skeleton, which remains intact throughout the synthesis. We then describe the use of these leaves as stable electrodes for oxygen evolution from water and for electrodeposition of platinum. Importantly, since iron carbide is highly magnetic, chemically resistant and shows metallic conductivity, these structures have considerable potential as electrode materials.
9:00 PM - G11.14
Contacting Molecules through Surface Diffusion Mediated Deposition.
Andrew Bonifas 1 2 , Richard McCreery 2 3
1 Materials Science & Engineering, The Ohio State University, Columbus, Ohio, United States, 2 , National Institute for Nanotechnology, Edmonton, Alberta, Canada, 3 Chemistry, University of Alberta, Edmonton, Alberta, Canada
Show AbstractVirtually all types of molecular electronic devices depend on electronically addressing a molecule or molecular layer through the formation of a metallic contact. Incorporation of molecular components into integrated circuits will likely depend on contact formation through a vapor deposition technique; a technique that frequently results in penetration, disordering, or damage to the molecular layer. We have recently introduced a method for forming metallic contacts on molecular modified surfaces through surface diffusion mediated deposition (SDMD)1. In contrast to direct evaporation techniques where the depositing metal atoms are incident onto the molecular layer, the SDMD technique involves depositing metal atoms onto a SiO2 surface adjacent to and about 50 nm away from the molecular layer. Direct impingement of metal atoms and source radiation is prohibited by a protective “overhang” fabricated above the molecular layer. Deposition adjacent to the molecular layer allows the kinetic energy and heat of condensation of the depositing metal atoms to be dissipated off the molecular layer reducing the tendency for molecular damage. Surface diffusion during the deposition process allows the deposited metal atoms to become incident onto the molecular layer creating the second contact. Since contact to the molecular layer is controlled through surface diffusion, bonding between adjacent metal atoms provides a constant barrier to metal penetration. This presentation will outline the novel SDMD process and discuss the advantages of the technique. We have shown the ability to fabricate Au, Cu, and Pt contacts on both aliphatic and aromatic monolayers without the presence of molecular damage or metal penetration which allows insight into the effect of work function on the current-voltage behavior. In addition, the SDMD technique allows in-situ electronic characterization during the deposition process from the initial contact with single/several molecules to junctions containing thousands of molecules. With this approach, we can measure the single molecular conductance of a variety of molecules and probe how current-voltage behavior changes with the number of molecules incorporated into a junction.1 Bonifas, A. P., & McCreery, R. L., “Soft” Au, Pt, and Cu contacts for molecular junctions through surface-diffusion-mediated deposition. Nature Nanotech.,in press(2010), doi: 10.1038/NNANO.2010.115.
9:00 PM - G11.15
Template Directed Mesoporous and Macroporous ZnO Thin Films For Hybrid Photovoltaics.
Martyn McLachlan 1 , Natalie Stingelin 1 , Mary Ryan 1
1 Department of Materials, Imperial College London, London, 14999, United Kingdom
Show AbstractUsing a scalable template directed growth route we outline our recent progress in the preparation of controlled diameter macroporous and mesoporous ZnO thin films. The templates are prepared from aqueous solution by a simple technique that supports the controlled variation of pore diameter, film thickness and area. Electrochemical deposition is used to deposit crystalline ZnO at low temperatures (< 100 °C) directly into the templates, subsequent thermal or solvent dissolution of the template yields the porous arrays. In this contribution we report on the order-disorder transition that occurs as the template dimensions are reduced, and present our findings into changes in the ZnO orientation and structure that occur during the processing. Mesoporous p-type semiconducting thin films have been widely utilised as high surface area materials in hybrid and dye sensitised photovoltaics. Here we outline our preliminary studies into the formation of composite ZnO:poly-3-hexylthipohene (P3HT) films and their photovoltaic performance.
9:00 PM - G11.16
Ultra-sensitive Gas Sensors Based on Nano-columnar TiO2 Thin Films.
Hi Gyu Moon 1 2 , Young-Seok Shim 1 2 , Jin-Sang Kim 1 , Hyung Ho Park 2 , Ho Won Jang 1 , Seok-Jin Yoon 1
1 , Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 , Yonsei University, Seoul Korea (the Republic of)
Show AbstractThe use of 1-dimensional (1D) metal oxide nanostructures as gas sensors has potential advantages compared to conventional thin film devices due to the intrinsic properties of 1D nanostructures such as high surface-to-volume ratio and high crystallinity. However, the use of individual nanowires in real devices is still in a preliminary stage and how to integrate them with low-cost and high-yield mass production process remains a challenge issue. Alternatively, nano porous thin films composed of 1D metal oxide nanostructures are considered as more desirable configurations for gas sensor applications.In this work, we report fabrication and gas sensing properties of nano-columnar TiO2 thin films with a high surface area to volume ratio by glancing angle deposition (GAD) using rf sputtering which is a deposition technique with controlled rotation of the substrate about two axes during deposition. Nanosize porosity is created in the films by enhanced self-shadowing during the deposition where a random growth fluctuation in one area produces a shadowed region. By varying the incident deposition angle, the rotation speed of the substrate holder, rf power, and chamber pressure, the shape, diameter, and interspacing of nanocolumns in the films could be controlled. As a result, compared with plain TiO2 films, nano columnar TiO2 thin films show remarkably enhanced gas sensing properties with higher sensitivity and faster response and recovery time, which is attributed to the large surface area and the high diffusivity of gas molecules to the surface. In addition, the sensors based on the nano-columnar films exhibit excellent thermal stability due to no existence of neck growth. Our results propose that nano-columnar TiO2 thin films fabricated by GAD are very promising for novel gas sensors.
9:00 PM - G11.17
Parallel Tandem OLED with CNT Interlayers.
Alexios Papadimitratos 1 , Anvar Zakhidov 2 1
1 , Solarno Inc, Richardson, Texas, United States, 2 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractThe demands of the general illumination market require the development of advanced solid-state lighting technologies. Organic Light Emitting diodes (OLEDs) have become well recognized as an important candidate for future lighting and display applications. Reported work on tandem OLED technology has displayed devices with increased, brightness, efficiency and lifetime. The earlier developed tandem OLED structures consisted of multiple electroluminescent units in series that require a complex interconnecting layer. The interconnecting layer is a critical part of the in-series tandem device operation and we show here that Carbon Nanotube (CNT) sheets are excellent candidates as interconnecting layers. The CNT sheets may not only simplify the fabrication process due to excellent electrical, optical and mechanical properties, but enable new architecture for tandems [1]. Solarno Inc. has developed a CNT growth process by RF modified chemical vapor deposition of carbon nanotube forests on silicon wafer [2], based on the work done at the Nanotech Institute at UT Dallas [3], and demonstrated growth of CNT sheets with improved conductivity, as well as showed advantages of using the CNT sheets in OLEDs [4]. Particularly CNTs are useful in flexible devices and can enable new architectures which impossible with brittle ITO. We present here a new concept of parallel tandem PT-OLED, which utilizes a carbon nanotube charge injecting interlayer for connection of the two electroluminescent units with different colors [1]. Both multi wall and single wall CNT sheets are used as active interlayers in tandem devices. The CNT interlayer functions as active electrode in the OLED from which charge carriers are injected into the top and bottom OLED units of the tandem. The emission intensity of each OLED unit can be controlled by independently tuning the driving voltage and current. We show that carbon nanotube sheets are excellent alternative electrodes and interconnecting layers in PT-OLEDs. We also compare the performance of multi wall CNTs vs. that of single wall CNTs in the tandem PT-OLEDS and demonstrate flexible PT-OLEDs. Furthermore, we fabricated PT-OLED tandems with a combination of different materials and showed that independent control of the current density of each sub unit of tandem OLED is possible. We have the capability of tuning the emission chromaticity and with active layers emitting complimentary colors white light emission can be achieved. This work is supported by STTR DOE grant DE-SC0001145. [1] A. Zakhidov and A. Papadimitratos, US patent application, No. 61347272. [2] W.A. Holmes, US patent application, No. 61333327.[3] M. Zhang, S. Fang, A.A. Zakhidov, S.B. Lee, A.E. Aliev, C. D. Williams, K.R. Atkinson, and R.H. Baughman, Science, 309, 1215 (2005). [4] C.D. Williams, R.O. Robles, M. Zhang, S. Li, R.H. Baughman and A.A. Zakhidov, Appl. Phys. Lett. 93, 1 (2008).
9:00 PM - G11.18
Atmospheric Atomic Layer Deposition (AALD): Fast and Low Temperature Fabrication of High Quality Oxide Films.
David Munoz-Rojas 1 , Diana Iza 1 , Luke Dunlop 1 , Ahmed Kursumovic 1 , Judith MacManus-Driscoll 1
1 , University of Cambridge, Cambridge United Kingdom
Show AbstractAtomic Layer Deposition is one of the best methods to make conformal coating of metals and oxides on substrates having high aspect ratio features. It offers a perfect thickness control and operates at lower temperatures than other fabrication techniques for thin film coatings. Furthermore, patterning or protection of the substrate to be coated provides a means of fabricating of surface selective ALD coatings.1 Thus, in a conventional ALD, the substrate to be coated is introduced in a chamber. Next, a second step needs to be done involving a vacuum or purge with an inert gas. A precursor is then injected in the chamber and is chemisorbed on the surface of the substrate. Again, a new step of vacuum or purge with inert gas is needed to remove excess of the first precursor. After the purge, a second precursor is injected which reacts with the chemisorbed molecules of the first one forming the first layer of the desired material (metals, oxides). Then the whole cycle is repeated a certain number of times until the desired thickness is achieved. But in spite of its many advantages, industrial application of ALD is limited due to its lack of speed, due to the long purge steps, and the difficulty of scaling. Here we will present in detail a novel Almospheric ALD system (AALD), designed by Kodak, which works at atmospheric pressure, thus being much faster than conventional ALD, and which is very easy to scale and integrate in a production chain.2 The key to the ability to work without the need of purge steps is the separation of reactants in space rather than in time. Additionally, crystalline oxides can be deposited at temperatures as low as 150 C, while doping is easily achievable.3We have been developing and optimizing the new system in order to be able to deposit high quality films of different materials (Al2O3, ZnO, TiO2, Cu2O, CoO) by a careful selection and conditioning of metallic precursors, and control of the system parameters. Several examples of the performance of the novel AALD system will be shown, including the coating of large-area arrays of free standing nanorods with an oxide film of controlled thickness in extremely short times, the deposition of dense and flat anatase-TiO2 films as blocking layers in bulk heterojunction solar cells, and, the fabrication of bottom gate p-type thin film transistors.The advantages of the AALD system presented (high speed, low temperature operation and scalability) makes it a very attractive method for the fabrication of advanced devices. [1] Knez, M., Nielsch, K., Niinistö, L., Adv. Mater. 19 (2007) 3425.[2] ] Levy, D., Freeman, D., Nelson, S., Cowdery-Corvan, P., Irving, L., Appl. Phys. Lett. 92 (2008) 192101.[3] Dunlop, L., Kursumovic, A., MacMAnus-Driscoll, J.L., Appl. Phys. Lett. 93 (2008) 172111.
9:00 PM - G11.19
Inkjet Printing of Nano-size Particles for Electronic Applications.
Shulin Vaingonkar 1 , Iulia Salaoru 1 , Shashi Paul 1
1 Emerging Technologies Research Centre, De Montfort University, Leicester United Kingdom
Show AbstractIntensive research is currently underway to exploit the properties of nano-size materials and organic molecules for fabrication organic electronic devices with high performance, low cost, simplified process and most importantly on a large area flexible substrate. In recent years, inkjet printing has attracted a lot of attention as an easy and flexible patterning method for depositing nanomaterials and organic materials [1]. Recently, there has been growing effort for using inkjet printing method to fabricate electronic devices such as memory devices [2], biological sensor [3] and organic solar cells [4].The aim of this work is to print nanoparticles (Ag, Al, Cu)) with different geometrical patterns on flexible substrate using an ordinary (conventional inkjet printing for paper) printing machine. The ink was designed by optimising its surface tension and viscosity. The electric properties of printed patterns were measured using an HP4140B picoammeter. The surface of the printing patterns and also the interface between printing/plastic substrates were also studied.
References
[1] P.Calvert, Chem. Mater. 13, (2001), 3299
[2] K.Lian, R.Li, H.Wang, J.Zhang, D.Gamota, Materials Science and Engineering B, 167, (2010), 12
[3]M.S.Khan, D.Fon, X.Li, J.tian, J.Forsythe, G.Garnier, W.Shen, Collids and Surface B: Biointerfaces, 75, (2010), 441
[4] C.N.Hoth, S.A.Choulis, P.Schilinsky, C.J.Brabec, Advanced Materials, 19, (2007), 3973
9:00 PM - G11.2
Large Area Fabrication of Integrated Au and Oxide Nanobox Arrays by Sidewall Deposition with Controllable Heights and Thicknesses.
Hidekazu Tanaka 1 , Nam-Goo Cha 1 , Azusa Hattori 1 , Atsushi Ono 1
1 The Institute of Scientific and Industrial Research, Osaka University, Ibaraki, Osaka, Japan
Show AbstractThe fabrication of integrated and ordered 3D nanostructures with large-area and low-cost is remaining a challenging work although considerable progress in nano-fabrication method. Future electronic devices will be based on elements with nanometer dimensions. Nanomaterials offer a wide range of new functionalities compared to their macroscopic counterparts because the properties of nano-scale materials are governed by the laws of quantum mechanics. The combination of scale reduction and the use of materials exhibiting important properties, but controlling the size and position of nanomaterials for fabricating nanodevices is still challenging. We report large area fabrication of integrated 3D Nanobox of Au, Co, ZnO, (Fe,Zn) 3O4 etc.. toward nano-scale electronics/spintronics, in combination of thin film deposition and large area Nano Imprint Lithography (NIL) beyond the limitation of top down nano-lithography. NIL is a promising technique which can fabricate at large area with low-cost and high-throughput as small as 10 nm. However, one of issues in NIL technology is that the pattern resolution is primarily determined by the mold. One of the good directions to overcome resolution limits is using a sidewall deposition. In this paper, we demonstrated the ability to fabricate 3D nanostructures by applying a sidewall deposition by using a direct dry deposition technique of sputtering and pulsed laser deposition technique. This method provides great tuneability in feature shapes, sizes, and materials through different combination of imprint molds and changing target materiaks. In addition, this facile process showed high reproducibility, accurate position, large-area, and sub-10-nm resolution overcome pattern resolutions of mold for integrated 3D nanostructure arrays.References, Nanotechnology, 20 (2009) 395301
9:00 PM - G11.20
Polycrystalline Silicon Photovoltaic Solar Cells Fabricated at Low Temperature by a Novel Method.
Iulia Salaoru 1 , Shashi Paul 1 , Richard Cross 1
1 Emerging Technologies Research Centre, De Montfort University, Leicester United Kingdom
Show AbstractWe present a photovoltaic (PV) solar cell that uses a novel, low temperature, high-growth rate and low-cost process (developed within EMTERC), based on thin-film polycrystalline silicon (poly-Si). The method for making the material for the cell is based on substrates having to undergo some initial preparatory steps prior to the deposition of Si films using radio frequency (13.56 MHz) plasma enhanced chemical vapour deposition (PECVD), at temperatures less than 400°C. Poly-Si films deposited by the novel, low temperature technique were characterised using scanning electron microscopy, atomic force microscopy, Fourier transform infrared spectroscopy and UV-Visible spectroscopy. The optical band gap of the poly-Si films is approximately 1.2 eV. In addition, a standard PV junction structure was prepared and in-depth analysis of the electric behaviour (open circuit voltage, short circuit current, etc) will also be presented.
9:00 PM - G11.21
InGaN MQW LED Structures Using AlN/GaN DBR and Ag-based P-contact.
Kyoungnae Lee 1 , L. Rodak 1 , S. Kuchibhatla 1 , V. Kumbham 1 , J. Dudding 1 , R. Rahimi 1 , D. Korakakis 1
1 Lane Department of Computer Science and Electrical Engineering, West Virginia University, Morgantown, West Virginia, United States
Show AbstractInGaN based light emitting diodes (LEDs) have drawn attraction due to their capability to emit from ultraviolet (UV) to infrared spectrum and their application in the area of signal, display, and illumination devices. LEDs with high external efficiency are highly in demand for their application so that technology developments have been made accordingly. In order to improve the light external efficiency, it is essential to enhance the extraction efficiency as well as the internal efficiency in LED structures. A resonant cavity LED is a viable solution because the use of a resonant cavity guides the preferential propagation of generated photons into the light extraction cone. RCLED has advantages compared to conventional LEDs, such as a narrow spectral linewidth, better emission directionality, higher spontaneous emission efficiency, higher output efficiency, and enhanced light extraction efficiency.The active region of a RCLED is placed in two high reflectance mirrors that form a Fabry-Perot cavity. In this work, a resonant cavity is formed using an AlN/GaN Distributed Bragg Reflector (DBR) for the bottom mirror, while a Ag-based p-contact serves as the top mirror. AlN/GaN DBRs with reflectivity between 60-80 % and a center wavelength around ranging from 400 nm to 470 nm have been employed [1] and the Ag-based mirrors have been characterized optically by reflectometry, and electrically by TLM measurements. A low specific contact resistivity has been measured, after annealing of the contacts. For the GaN to Ag interface a reflectivity of 80-85 % has been measured for a wavelength of 400-600 nm. Key design parameters and fundamental properties of InGaN/GaN RCLED structures with different resonant cavity lengths will be investigated and correlated to the optoelectronic and structural properties of the devices using electroluminescence (EL), I-V, X-ray diffraction (XRD), atomic force microscopy (AFM), and relative optical power measurements. [1] L. E. Rodak, C. M. Miller, and D. Korakakis. Mat. Res. Soc. Symp. Proc., 1195, 1195-B10-01 (2010).
9:00 PM - G11.23
Carrier Dynamics in Thin Film Nanocrystalline Cu2ZnSnS4 Photoelectrodes.
Shannon Riha 1 , Sarah Fredrick 1 , Justin Sambur 1 2 , Yuejiao Liu 2 , Bruce Parkinson 2 , Amy Prieto 1
1 Chemistry, Colorado State University, Fort Collins, Colorado, United States, 2 Chemistry and School of Energy Resources, University of Wyoming, Laramie, Wyoming, United States
Show AbstractInterest in the development and commercialization of thin film solar cells has been growing over the past decade due to their low cost and scalablity—two key factors needed to make solar technologies competitive with carbon-based fuels. Cu2ZnSnS4 (CZTS) is an emerging solar cell material that contains earth abundant elements, has a near optimum direct band gap energy of ~1.5 eV and a large absorption coefficient, >104 cm-1. Historically, high cost, low-throughput techniques have been used for the fabrication of CZTS solar cells; however, recently Todorov, et al. reported a liquid processed, slurry-based coating method for fabricating high performance solar cell devices of Cu2ZnSn(S,Se)4 reaching 9.6% efficiency. This method still requires high temperature annealing (>500 °C), which can be costly and leaves little control over impurity phases. Therefore, we present a new method for scaling up solar cell production based on nanocrystal “inks”, a printable solution of nanocrystals, which can either be thermally annealed into larger grain thin films or used as deposited to make solar cells composed of 3-D arrays of photoactive nanocrystals.Thin films of stoichiometric and Zn-rich Cu2ZnSnS4 (CZTS) nanoparticles, synthesized by a hot injection solution method, have been fabricated by dip-casting CZTS nanocrystal inks onto fluorine doped tin oxide (FTO) substrates. Photoelectrochemical measurements in an aqueous electrolyte with the Eu3+/2+ redox couple demonstrate p-type current-voltage behavior for the stoichiometric and Zn-doped films. Low temperature annealing enhances the optical and electrical performance for both stoichiometric and Zn-rich films, with the Zn-rich films exhibiting a 10-fold increase in IPCE (incident photon to current efficiency). Carrier transport dynamics were investigated as a funtion of incident photon energy, film thickness, and light penetration depth into the film. The results suggest that minority carrier diffusion limits the photocurrent response and overall cell efficiency. Currently we are focused on tuning the optoelectronic properties of the CZTS nanocrystal thin films and exploring different nanostructured architectures for solid-state devices.
9:00 PM - G11.24
Low Temperature Copper Etching Using Hydrogen-based Plasmas.
Fangyu Wu 1 , Galit Levitin 1 , Dennis Hess 1
1 School of Chemical & Biomolecular Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractAnalogous to traditional subtractive etching of aluminum, the semiconductor industry has been interested in plasma-based etching of copper (Cu) films. Unfortunately, the lack of volatile Cu etch products inhibited achievement of this goal at temperatures less than 180 oC. Therefore damascene technology was utilized to pattern Cu and thereby avoid the difficulty of subtractive Cu plasma etching. In recent years it has been recognized that the damascene process does not alleviate the critical limitation that the electrical resistivity of Cu increases dramatically as feature sizes shrink below the 100 nm regime. A simple, hydrogen-based plasma etch process has been developed to etch Cu at low temperature (10 oC), which could address this issue by allowing grain growth prior to etching.H2 plasma etching of blanket and SiO2 masked Cu thin films (100 to 300 nm thick) has been performed in an inductively coupled plasma (ICP) reactor. Anisotropic features were achieved and the etch rates varied within the 10 - 20 nm/min range depending upon the specific plasma process parameters. Etching experiments with Ar plasmas displayed lower Cu etch rates than observed with a H2 plasma; similarly, a He plasma displayed higher etch rate than observed with Ar but lower than H2, even though Ar and He are more efficient sputtering agents. This result suggests the importance of chemical reactions in Cu etching. In addition, the etch rates observed were nearly proportional to the ICP platen power at a constant coil power; likewise, with constant platen power, increasing coil power resulted in an increase of etch rate. These trends suggest that ion bombardment is also important to the removal of Cu in low temperature H2-based plasmas. Etch mechanisms that account for these observations are believed to involve ion bombardment, hydrogen interaction with Cu surfaces and ultraviolet photon impingement.
9:00 PM - G11.25
Fundamental Processes of Ion-induced Nanostructures on InGaN.
Joseph Stansbery 1 , Eric Buss 1 , Phil Cohen 1
1 Electrical Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractIon-induced nanostructures on semiconductors offer the opportunity to induce local step morphologies that can enhance epitaxial growth at lower than usual substrate temperatures. Nitrogen ions with an energy of 300eV and an angle of 7 degrees from glancing were produced by a Kaufman ion source and used to induce Bradley-Harper ripple onto InGaN surfaces during plasma assisted molecular beam epitaxial growth. The effect of these steps on the growth and defect formation was examined at a range of growth rates and substrate temperatures. Reflection high-energy electron diffraction (RHEED) was used to monitor the nanostructure and surface ordering. X-ray diffraction, cathodoluminescence, atomic force microscopy, and etch pit measurements were used to characterize the films. RHEED was used for the in situ measurements. Starting with a clean surface, Ga was deposited until the diffraction pattern was obscured. Then the ion flux was used to remove the Ga, giving a diffraction pattern nearly as bright as the starting surface. If the ion bombardment were continued, the diffracted beams became streaked indicating ripple. The ripple had a period in the 200 nm range. This means that the long coherence length of RHEED in the direction parallel to the incident beam allowed it to be sensitive to the nanostructure despite its size. Interrupting the ion flux and subsequent annealing at 400 degrees Celsius with or without incident, reactive nitrogen increased the diffracted intensity further. This gives a measurement of surface diffusion both in the presence of nitrogen and without. Measurement of the annealing process versus substrate temperature gave an activation energy of 0.1 eV. We examined the the ripple with the ion beam in the [11-20] direction and at an off-axis direction rotated by 15 degrees from the [11-20] direction. No crystallographic dependence to the nanostructure was observed. However the nanostructure has a dramatic impact on the InGaN epitaxial surface morphology.Partially supported by the National Science Foundation and by the University of Minnesota Institute for Renewable Energy and the Environment.*
[email protected]*
[email protected] 9:00 PM - G11.27
Synthesis and Application of Branched Titania Nanotubes in Dye-sensitized Solar Cells.
Gorun Butail 1 , P. Ganesan 1 , R. Mahima 1 , R. Teki 1 , N. Ravishankar 1 , Ganpati Ramanath 1
1 Materials Science Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractTitania is a promising photocatalyst used in a variety of photovoltaic, optoelectronic and biofiltering applications. Inexpensive synthesis of high surface area titania nanostructures are attractive for high-efficiency low-cost because of unidirectional charge transport and low scattering losses. Here we demonstrate branched titania and nanotubes using potentiostatic anodization of titanium thin films, and compare the light harvesting efficiency of dye-sensitized solar cells fabricated from branched and unbranched titania. Our results show that diameter scales linearly with the anodization voltage, which can be exploited to obtain branched structures with diameters between 30 to 110 nm by stepping down the voltage. We specifically compare branched titania nanotubes formed by ramping down the voltage from 60 to 33 V with titania nanotubes formed at 60 V and 33 V. Branched structures exhibit a 33% higher in active surface area. Dye-sensitized solar cells fabricated from branched titania nanotube array films and a rutheim based N719 dye results show an efficiency of 1.04±0.1% and a short circuit current density of 9.1±0.8 mA/cm2. These values are significantly higher than a 0.58±0.2% efficiency and 6.3±1.0 mA/cm2 short circuit current density observed in cells fabricated from the unbranched structures. The fill factor of the branched structures is also higher at 23.7±0.1% compared to 22.4±0.2 seen in unbranched branched titania nanotubes. We show that the nearly two-fold higher photovoltaic efficiency in branched samples correlates well with the difference in surface area and optical properties of the two structures.
9:00 PM - G11.28
Molydenum Trioxide Interlayer for Inverted Polymer LED.
Cephas Small 1 , Franky So 1
1 Dept of Materials Science and Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractThe use of transition metal oxides (TMOs) as anode interlayers for small-molecule OLEDs has been of significant interest recently. Transition metal oxides with high electron affinity, such as vanadium pentoxide (V2O5) and molybdenum trioxide (MoO3), have been reported to reduce the drive voltage in small-molecule organic light emitting diodes (OLED)s. In this work, the use of MoO3 as an anode interlayer in polymer light emitting devices was investigated. In particular, light emitting polymers with deep-lying highest occupied molecular orbital (HOMO) levels are studied since MoO3 provides an efficient hole injection in small molecule materials with similar properties. We fabricated the following bottom-anode and inverted top-anode contact hole only devices using poly(9,9-dioctylfluorene-cobenzothiadiazole) (F8BT) as a light emitting polymer: (1) ITO/PEDOT:PSS/F8BT/Au, (2) ITO/MoO3/F8BT/Au, (3) Au/PEDOT:PSS/F8BT/Au, (4) Au/MoO3/F8BT/Au, and (5) ITO/MoO3/F8BT/MoO3/Au (inverted structure). Current-voltage characteristics for these devices revealed that efficient charge injection using MoO3 anode interlayer was only observed in the inverted device structure. The hole injection layer poly(3,4-ethylenedioxythiophene)/poly(styrenesulphonic acid) (PEDOT:PSS) was used for comparison with the MoO3 anode interlayer. Dark injection transient studies will be presented to quantify the injection efficiency for the MoO3 anode interlayer.Inverted F8BT polymer LED devices featuring MoO3 as anode interlayer with the following structure will be presented: ITO/electron injection layer/F8BT/MoO3/Au. The performance of these devices will be compared with devices having a normal, bottom-anode structure.
9:00 PM - G11.30
Study of Charge Blocking Properties in Organic Light Up-conversion Devices.
Dong Woo Song 1 , Do Young Kim 1 , Franky So 1
1 Dept of Materials Science and Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractIntegrating near infrared absorbing organic photodetectors and organic light-emitting diodes enables infrared-to-visible light up-conversion devices with very low operating voltage [1,2]. In the past, we have fabricated these up-conversion devices using tin (II) phthalocyanine (SnPc): C60 as an IR absorbing layer and indium-tin-oxide (ITO) as an anode. For optimum operation conditions, it is important that there is no hole injection from the ITO electrode when the device is not under IR irradiation. However, due to the small difference between the ITO work function and the HOMO level of SnPc, hole injection from ITO starts even at low voltages, and hence decreasing the sensitivity of the up-conversion devices. To suppress hole injection under electric fields, different hole blocking layers are inserted between the ITO electrode and the SnPc layer. In this presentation, we report the effects of hole blocking layers on the performance of up-conversion devices. We have fabricated devices with a SnPc:C60 IR sensitizing layer and devices with different hole blocking layers. The control device has the following structure: ITO/SnPc:C60 (1:1, 20 nm)/1,1-bis[(di-4-tolylamino)phenyl]cyclohexane (TAPC) (45 nm)/7% fac-tris(2-phenylpyridinato)iridium(III) (Ir(ppy)3):4,4-N,Ndicarbazole-biphenyl (CBP) (30 nm)/tris[3-(3-pyridyl)-mesityl]borane (3TPYMB) (45 nm)/LiF(1 nm)/Al (100 nm). We used p-bis(triphenylsilyly)benzene (UGH2) and bathocuproine (BCP) as hole blockers between ITO and the IR absorbing layer. The luminance-current-voltage (LIV) characteristics were measured with and without the 830 nm infrared light irradiation.The comparison between the devices with different thickness of the IR sensitizing mixed layer and the devices with various hole blocking layers will be presented. The luminance-current-voltage (LIV) characteristics were measured with and without infrared irradiation. Without infrared irradiation, emission was not observed until the applied voltage reaches 14.5 V in the device with a 10 nm thick BCP, compared with the control device where light emission was observed at 6 V. The results show that hole injection from ITO was suppressed by the hole blocking layer. On the contrary, when the device was irradiated with infrared light, photo-generated holes are injected to the light-emitting layer and the device turns on at at 3 V. The resulting up-conversion devices have a current efficiency of 80 cd/A which is comparable with a standard OLED.References[1] M. Chikamatsu, Y. Ichino, N. Takada, M. Yoshida, T. Kamata and K. Yase, Appl. Phys. Lett. 2002, 81, 769.[2] D. Kim, D. W. Song, N. Chopra, P. D. Somer and F. So, Adv. Mater. 2010, 22, 2260.
9:00 PM - G11.33
Microstructural Characterization of Copper Metallic Deposition by Electroplating Growth for SIP Applications.
Celine Durand 2 , Bernadette Domenges 1 , Philippe Le Duc 2
2 , IPDIA, Caen, Normandy, France, 1 Lamips, ENSICAEN-CNRS, Caen France
Show AbstractDemands for "System in Package" solutions are growing as they represent one of the most important way of downsizing Integrated Circuits. This comes with the acquisition of new technologies in thin films deposition field. Indeed, integration of passive components (capacitors, inductors) associated with several micron thick copper metallization required to transfer from metallurgy industry electrochemical deposition process. Thus, its optimization based on physical, chemical and microstructural studies pointed out, besides the necessary control of deposition tool and electrolytic bath evolution, the strong influence on the process of the crystallographic structure of the copper under-layer. It appeared that the presence or not of aluminum under-layer would strongly influence the growth rate of electroplated copper, though post-annealing would be performed to ensure grain size growth and homogenization between CVD deposited copper nucleation layer and electroplated one. FIB cross-section observations showed that this phenomenon might be related to grain size and orientation. Indeed, platelet grain morphology was observed above aluminum under-layer, related to the slowest growth rate. Several experiments have been performed, playing on the thickness of the CVD copper and diffusion barrier Ti layer . TEM studies allowed identifying the root cause of this microstructure. When <111> crystallographic orientation was confirmed to be the most common, the platelet morphology was related to twinning phenomena, which would settle along planes depending on the crystallographic nature of the under-layer.
9:00 PM - G11.35
Effect of TiOx Layer on the Printed Organic Photovoltaic Cells.
Inyoung Kim 1 , Jung-Su Kim 1 , Yunseok Jang 1 , Jeongdai Jo 1 , Dong-Soo Kim 1
1 Printing System Team, Korea Institute of Machinery & Materials, Daejeon Korea (the Republic of)
Show AbstractNowadays, various types of solar cell such as silicon solar cell, thin film solar cell, Dye sensitized solar cell (DSSC) and organic photovoltaic cell (OPV), have been developed and their distinct features are breaking new ground in alternative, renewable sources of energy. All the solar cells have been usually fabricated using vacuum process. However, roll-to-roll based printing techniques have been spotlighted because of their cost competitiveness as a new mass-production process based on non-vacuum method. Among the various solar cells, OPV has advantage over the application of the roll-to-roll based continuous printing technique due to the flexible nature of organic materials so the many OPVs have been reported to use a solution process for the active layer. However, a few reports have presented the solution based the cathode electrode for OPV cells to have low power conversion efficiency (PCE) [1-2]. It was speculated the metal inks for the electrode might degrade the solar cell performance. In this study, printed OPV was fabricated using electrostatic spray deposition (ESD). ESD system was designed to combine with roll-based substrate moving unit and gravure printing unit for metal electrode. A transparent polymer anode (PEDOT:PSS) and active layer (P3HT:PCBM) were ESD-deposited onto indium tin oxide (ITO) coated-substrate. And then Ag ink was printed for the cathode. In order to apply the printed metal electrode to OPV without the degradation of cell performance, TiOx interlayer was inserted between active layer and cathode. TiOx had been used as an optical spacer to improve the solar cell performance [3]. The PCE of ESD-deposited OPV was measured ~ 2.5%. The effect of TiOx was discussed based on the analysis of XRD, XPS and solar simulator.[1] F. C. Krebs, Sol. Energy Mater. Sol. Cells, 93 (2009) 1636-1641.[2] F. C. Krebs, Sol. Energy Mater. Sol. Cells, 93 (2009) 465-475.[3] J. Y. Kim, S. H. Kim, H. -H. Lee, K. Lee, W. Ma, X. Gong, A. J. Heeger, Adv. Mater., 18 (2006) 572-576.
9:00 PM - G11.36
AlGaAs on Si Tandem PV Cells for Extended Spectral Conversion.
Mahieddine Emziane 1
1 Materials and Energy, Masdar Institute of Science and Technology, Abu Dhabi United Arab Emirates
Show AbstractIn order to ensure a wider deployment of photovoltaics (PV) worldwide and its increased contribution to our energy portfolio for the coming decades, two major parameters need to be continually addressed: The conversion efficiency of the solar cells and their fabrication cost. Because of its technological merit over other approaches, thin-film technology is the focus for next generation advanced PV. The shift to thin-film PV also allows the PV industry to address continuing demand for enhanced performance, improved reliability and lifetime, and reduced costs.We have adopted a double-junction three-terminal configuration [1] for these novel PV devices based on AlGaAs and Si for top and bottom cells, respectively. The main advantages of choosing these materials and device configuration are: (i) cheapest, largest and easiest Si substrate; (ii) extended spectral coverage leading to more photons being converted; and (iii) no current matching or the associated tunnel junctions as the two cells are operated independently. This configuration also provides an improved output compared to the same sub-cells used in a two-terminal device as it has been shown for structures based on III-V semiconductors. We have undertaken a comprehensive modeling analysis for the device optimization and performance prediction. The simulations allowed the optimal thicknesses of the active layers and their doping levels to be determined. Furthermore, the device PV parameters were predicted leading to a total efficiency over 24% under AM1.5G for relatively thin devices. I-V curves of AlGaAs/Si double-junction PV devices were determined. The simulated quantum efficiency of these devices indicates an extended spectral coverage compared to a single-junction cell. The device performance was studied as a function of a variety of illumination and operating conditions, and the results were interpreted. The behaviors of the top and bottom cells were also thoroughly investigated and a discussion is presented regarding the potential applications of these new devices.[1] Emziane M., Nicholas R.J., Journal of Applied Physics, 102 (2007) 074508.
9:00 PM - G11.37
Slow Charge Recombination Dynamics in P3HT:PCBM Distributed Heterostructures at 10 K.
Francis Paquin 1 , Gianluca Latini 2 , Houssem Kallel 1 , Natalie Stingelin-Stuzmann 2 , Carlos Silva 1
1 Department of Physics, Université de Montréal, Montréal, Quebec, Canada, 2 Department of Materials, Imperial College, London United Kingdom
Show AbstractIn order to understand the photophysical proprieties of charge separated states of bulk heterojunction blends of P3HT:PCBM, we use photoinduced absorption spectroscopy (PIA), a powerful tool to isolate and probe the kinetics of the polaronic species, precursors of free charge carriers, observed in thin films. P3HT and P3HT:PCBM blends tend to self-organize in a bi-dimensional π-stacked lamellar structure. The interchain interaction is heavily affected by the microstructure of the polymer. In the PIA spectrum, at 10K, we observe a high-energy delocalised polaron band at 1.86eV, as has been reported in numerous previous work. We also observe a low-energy polaron photoinduced absorption with higher energy than is typically ascribed to low-energy polarons (usually <0.5 eV). This low-energy polaron at 0.65 eV is not seen in pristine P3HT nor P3HT:Acceptor blend and we assign tentatively this feature to an interfacial, highly localised charge-transfer exciton at the lamellar P3HT/PCBM heterojunction. By solving a bimolecular recombination rate equation, we build a model in which we can extract the bimolecular rate constant, the monomolecular lifetime, and the photogeneration efficiency of the different components from these bands. We reinforce this picture by doing a power and frequency dependence. By applying this model to experimental measurements, we find that the high-energy delocalised and low-energy polarons follow distinct kinetics, supporting our assignment of the low-energy feature to a distinct species to two-dimensionally delocalised polarons. We also extend the detailed balance principle of kinetics analysis to this system in order to unravel the pathways taken by charges upon excitation. By combining absorption and PIA, we explore quantitatively the kinetics of a this prototypical system for organic photovoltaic diodes.
9:00 PM - G11.39
Microstructure and Properties of Liquid-phase Deposited SiO2 Films for Low-k Dielectric Applications.
Shijun Yu 1 , Hyungsuk Lee 1 , Junghyun Cho 1
1 Dept. of Mechanical Engineering & Materials Science and Engineering Program, State University of New York (SUNY) at Binghamton, Binghamton, New York, United States
Show AbstractWe have previously shown that near room temperature processing and self-fluorinated characteristic make liquid-phase deposited (LPD) SiO2 films promising for an interlayer dielectric. In this study, microstructure dependence of LPD SiO2 films on solution parameters and deposition temperature was systematically investigated. Smooth and sufficiently dense films, prerequisite for a reliable dielectric layer, can be grown in 2.0M fluorosilicic acid solution with the addition of 2.5mM or less boric acid at 60°C while highly porous films can be deposited in solutions with lower fluorosilicic acid concentration and higher boric acid concentration at 50°C or lower temperature. The richness of Si-O-Si bond with little Si-OH bond as shown in Fourier transform infrared (FT-IR) spectra indicate that the LPD films have mostly silica network with great chemical stability. The FT-IR results also confirmed that fluorine was incorporated into the LPD silica films during deposition process. In parallel, the deposition rate and film roughness were investigated under various deposition conditions. The corresponding electrical properties (I-V, C-V) and mechanical reliability of the LPD SiO2 films were also studied to highlight microstructure effects.
9:00 PM - G11.4
High Efficiency Warm-white Organic Light Emitting Diodes from a Single Emitter in Graded-doping Structures.
Unnat Bhansali 1 , Huiping Jia 1 , Mohammad Omary 2 , Bruce Gnade 1
1 Dept. of Materials Science and Engg, Univ. of Texas at Dallas, Richardson, Texas, United States, 2 Dept. of Chemistry, Univ. of North Texas, Denton, Texas, United States
Show AbstractIn this work, we report fabrication of high efficiency single emitter warm-white organic light emitting diodes (SWOLEDs) from a phosphorescent emitter, bis[3,5–bis(2–pyridyl)–1,2,4–triazolato]platinum (II) - [Pt(ptp)2]. Broad and balanced white electroluminescence (EL) spectra have been achieved through simultaneous monomer (turquoise blue), excimer (green-yellow) and extended excimer (orange) emissions from low doped (≤ 5%), medium doped (~10%) and neat (100% dopant) films of Pt(ptp)2 respectively, in a graded-doping device architecture. We have also demonstrated a good control of the recombination zone and its impact on device performance by adjusting the number of emissive layers, their thicknesses and relative positions in the device stack. Device optimization has resulted in SWOLEDs with a peak power efficiency (PE) = (30.4 ± 1.3) lm/W, external quantum efficiency (EQE) = (17.1 ± 0.1) %, correlated color temperature (CCT) ~ 3450 K and a color rendering index (CRI) = 62. The devices exhibit a negligible roll-off of EQE at 1000 cd/m2 = (14.8 ± 0.8) %, maintaining > 85% of its peak value. We believe these are the highest efficiency values with acceptable CCT ranges[1] reported in the literature thus far for single-emitter warm-white OLEDs. We have evaluated several simple device structures to optimize efficiency and color of these WOLEDs, keeping in mind low-cost, high volume manufacturability for commercialization of this technology.References:[1] Solid State Lighting Research & Development Multi Year Program Plan FY '09- FY '15, 2009.
9:00 PM - G11.40
Micropatterning of Nano and Biomaterials Using a Flexible Parylene-C Shadow Mask Technology.
Selvapraba Selvarasah 1 2 , Marjan Mohebbi 1 , Ahmed Busnaina 2 , Mehmet Dokmeci 1 2
1 Electrical and Computer Engineering, Northeastern University, Boston, Massachusetts, United States, 2 Center for High Rate Nanomanufacturing, Northeastern University, Boston, Massachusetts, United States
Show AbstractMicroscale patterning of nano and bio molecules using a shadow mask or stencil technique is increasingly being utilized for creating patterns on rigid and polymeric surfaces. Previously reported micro-stencils made of rigid or polymeric membranes have various shortcomings and lack precise pattern definition. In this research, a low cost, reusable, transparent and flexible parylene-C shadow mask technology is introduced for making patterns on flat and curved surfaces. To realize this parylene-C micro-stencil technology, we have also developed a high aspect ratio polymer etching technology using an Inductively Coupled Plasma tool and with this process, demonstrate features as small as 4 μm. Parylene-C shadow mask technology is a very versatile technology and allows micropatterning of metal electrodes, organic semiconductors (eg. pentacene), nanomaterials (eg. CNTs), and biomolecules (eg. proteins and cells) on virtually any substrate from micro up to wafer scale. By utilizing SU-8 support pillars as alignment posts, we also demonstrate multi mask alignment with a tolerance of 5-9 μm. The large Young’s Modulus of the Parylene-C material allows the stencil to be reusable. This flexible parylene-C stencil technology has potential applications in high density patterning for organic and carbon nanotube based electronics, and lithography-free deposition of metals and dielectrics onto fragile (post-release) MEMS devices.
9:00 PM - G11.41
Solution-processed, Doped Silicon Thin Films.
Ryan Gresback 1 , Lance Wheeler 1 , Uwe Kortshagen 1
1 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractColloidal nanocrystal (NC) films are extensively studied for applications in solution-processed, low-cost electronic devices such as thin film transistors and solar cells. The field of NC thin electronic films has been dominated by colloids of group II-VI and IV-VI compound semiconductors, since these can conveniently be synthesized in solution and a range of ligand exchange or removal reactions are know. Here we are reporting the formation of electronic thin films from plasma-synthesized silicon (Si) NCs. Phosphorous (P) and boron (B)-doped Si NCs were synthesized in a low-pressure nonthermal plasma flow-through process, yielding NCs with mean sizes from 8-13 nm. Stable dispersions of Si NCs were produced by dispersing NCs in 1,2-dichlorobenzene (DCB). In contrast to many other solvents, in which “bare” NCs quickly agglomerate and flocculate, Si NCs remain stable in DCB. Films were created by spin casting suspensions of Si NCs in DCB onto glass substrates with predeposited aluminum electrodes. Si NC films were annealed at 400 °C for 30 min. The electrical conductivity of P- and B-doped Si NC films was studied as a function of the doping concentration of the Si NCs. A continuous range of conductivities from 10-11 to 10-1 S/cm was observed for nominal atomic doping concentrations from 0 to 10%. X-ray diffraction indicated that this performance is not due to sintering of the NCs but merely due to changes of the NC surface conditions. Primary support for this work was received from the National Science Foundation under MRSEC Program under Award Number DMR-0819885.
9:00 PM - G11.43
Hard X-ray Lithography Using Sectioned Multilayer Masks: An Ultimate Approach for Large Area Lithography in Nano Scale.
Su Yong Lee 1 , Jae Myung Kim 1 , In Wha Cho 1 , Hyon Chol Kang 2 , G. Brian Stephenson 3 , Do Young Noh 1
1 Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju Korea (the Republic of), 2 Advanced Mateirals Engineering, Chosun University, Gwangu Korea (the Republic of), 3 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractFabricating electronic devices with a pattern dimension below 50 nanometer based on an optical lithography process has been a great challenge. Despite the recent accomplishment of 22 nm pattern based on the ArF immersion lithography combined with double patterning technique, the Rayleigh criterion limits the feature size in a general optical lithography to λ/4. To overcome this limit, tremendous efforts have been made in utilizing short wavelength light such as extreme ultraviolet light and x-rays. Even though the proximity lithography using hard x-rays of sub-nanometer scale wavelength should be an ultimate approach, difficulties in fabricating efficient x-ray masks of a high aspect ratio have hindered its applications. In this presentation, we report a demonstration of hard x-ray lithography using a sectioned multilayer mask. Features smaller than 100 nm was successfully fabricated using x-rays at the wavelength of 0.165 nm. The Talbot effect in the near field regime was investigated and utilized in the patterning. We will present the lithographic conditions that are optimized to improve the line edge roughness below 20 nm. Since technologies for coating multilayers near 1 nm layer spacing is readily available, this method can potentially be developed to a large area lithographic technique for feature sizes close to 10 nm replacing current electron beam lithography.
9:00 PM - G11.44
Matrix-assisted Pulsed Laser Evaporation of Organic Materials for Optoelectronic Devices.
Ryan McCormick 1 , Adrienne Stiff-Roberts 1 , Jeremy Lenhardt 2
1 Electrical and Computer Engineering, Duke University, Durham, North Carolina, United States, 2 Chemistry, Duke University, Durham, North Carolina, United States
Show AbstractThere has been much work done on the processing of conjugated polymers for optoelectronic devices. However, a major challenge resulting from solution-based fabrication techniques, such as spin and drop casting, is that device properties are generally uncontrollable and unrepeatable due to solvent-based conformational defects. Matrix-assisted pulsed laser evaporation (MAPLE), an extension of pulsed laser deposition (PLD), has the potential to address this challenge by controlling substrate exposure to solvents in a repeatable manner [1]. The goal of this work is to demonstrate the potential and novel capabilities of MAPLE as a fabrication technique for organic-based optoelectronic devices. In contrast to other ablation-based techniques (e.g. PLD), the unique approach of this work is to avoid significant polymer degradation by using an infrared laser with energy resonant with hydroxyl bonds. By preparing a target of a polymer emulsion, the absorption of the infrared laser energy occurs only in the target matrix, leaving the polymer intact for deposition onto a substrate. With this technique, MAPLE has been used to demonstrate high-quality thin film deposition of MEH-PPV with an rms surface roughness less than 1 nm [2]. In addition, Fourier transform infrared (FTIR) absorbance spectra have shown that polymer bond stretches of MAPLE depositions resemble those of the native polymer [2]. However, an important question remains regarding the impact of MAPLE deposition on the molecular weight of the polymer. In this work, gel permeation chromatography (GPC) is used to determine the molecular weight of MAPLE-deposited polymers (PMMA and MEH-PPV). The measured results will be compared with published results of other laser-ablation deposition techniques that tend to show degradation of the native polymer. Preliminary results indicate that MAPLE deposition yields molecular weights that most closely resemble that of the native polymers, and may even exhibit polymerization such that the deposited polymer attains a molecular weight larger than the native polymer. In addition, MAPLE can enable thin-film heterostructures of organic-based materials for novel optoelectronic devices analogous to those achieved using inorganic materials. This capability will be demonstrated using cross-sectional transmission electron microscope (XTEM) images of multi-layered nanocomposites consisting of MEH-PPV and CdSe colloidal quantum dots.[1]R. Pate, et al., Chem. Phys. Lett. 477 (2009) 406[2] R. Pate, et al., IEEE J. Sel. Top. Quant. Elec. 14 (2008) 1022
9:00 PM - G11.46
Carbon Nanotube Based Polymer Composites for Electrical Applications.
Nripan Mathews 1 , Mui Hoon Nai 2 , Victor Wang 1 , Charles Baudot 2 , Subodh Mhaisalkar 1
1 Division of Materials Technology, Nanyang Technological University, Singapore Singapore, 2 , STMicroelectronics Asia Pacific Pte Ltd, Singapore Singapore
Show AbstractCarbon nanotubes (CNTs), specifically in the form of single-walled nanotubes (SWNTs), have been extensively used in the past decade for prototyping of nano-electronic devices such as carbon nanotube field effect transistors (CNT-FET). Single CNTFET devices can outperform conventional MOS technology and elementary circuits built on a single nanotube have been demonstrated. However, major roadblocks to realizing CNT based electronic devices have been the difficulty in the separation of the semiconductor SWNTs from their metallic counterparts and the potential toxicity effects due to the inhalation of nano-sized CNT powders during processing. Alternative to single and isolated CNT-devices, the use of SWNT networks is possible. A network of SWNTs can act as a semiconductor if the density and interconnections among the metallic and semiconducting nanotube is below the value of the percolation threshold. Blending CNTs with a polymer traps them within the matrix, circumventing the obstacles caused by their potential toxicity. Promising organic transistors have been demonstrated by incorporating CNTs into an organic semiconducting matrix such as P3HT. Enhancement in transistor performance has been attributed to the reduction in effective channel lengths due to the percolating CNT networks. A major challenge for these CNT-polymer blends in FETs is the reduction of the on/off ratios which limits the applicability of these composites in circuitry. The performance of transistors based on these CNT composites still lag behind that fabricated from pure organic semiconducting thin films. In view of this, the present study aims to develop a semiconductor-dielectric system with enhanced electrical performance to address the ever-increasing demand for high performance, solution-processable materials suitable for low cost printed electronics. This is achieved using a combination of semiconducting composites based on uniformly dispersed SWNTs in P3HT matrix and a tristratal sol-gel silica (SG) gate dielectric. Field-effect mobilities of 0.83cm2/V/s with on-off ratio in excess of five orders of magnitude were achieved at low driving voltages of -3V. This is attributed to good dispersion of the CNT in the P3HT matrix and favorable interfacial interactions between the semiconductor and dielectric layers. In addition, the electrical properties of composites consisting of CNTs dispersed in insulating polymers have also been studied.
9:00 PM - G11.47
Realization of Quenching Effect on the Solution-Processed InGaZnO Thin Film.
Doo Hyun Yoon 1 , Si Joon Kim 1 , Woong Hee Jeong 1 , Dong Lim Kim 1 , Yon Seung Lim 1 , Hyun Jae Kim 1
1 , Yonsei Univ., Seoul Korea (the Republic of)
Show AbstractIn this paper, we performed quenching upon IGZO, by giving rapid temperature variation to the sample. IGZO solution was synthesized by the molarity of 0.5 M, dissolving three precursors (gallium nitrate, indium nitrate, and zinc acetate) in solvent (2-methoxyethanol) with stabilizers (mono-ethanolamine and acetic acid). After the spin-coating process of the solution, pre-annealing at 300oC for 5 min was performed and quenching the pre-annealed sample directly to liquid nitrogen for 5 min was also performed. The post annealing of 500oC for 2 h was followed. The other process was performed exactly same except the quenching process. By analyzing quenched and non-quenched thin-film’s membrance quality and electrical performance, we concluded that there is a possibility of physical transformation in IGZO thin-film accompanying the zinc interstitial. The drastic change of temperature is expected to the driving force that causes the variation in stoichiometry of zinc in IGZO system. Additionally, thin-film grain size and TFT performances according to the quenching time and numbers were also discussed.
9:00 PM - G11.48
Effect of Different High-K Dielectrics on the Pt Nanocrystal Formation Statistics (Size, Density Area Coverage and Circularity) for Flash Memory Application.
Abhishek Misra 1 , Sunny Sadana 1 , Satya Suresh 1 , Meenakshi Bhaisare 1 , Senthil Srinivasan 1 , Mayur Waikar 1 , Amit Gaur 1 , Anil Kottantharayil 1
1 EE, IIT Bombay, Mumbai India
Show AbstractMetal nanocrystal (MNC) based NAND flash memories are being proposed for below 32nm node. Theoretical models predict that nanocrystal size of 2-3nm with an area coverage of 25-35% and areal density of 1012 cm-2 would be required. Self assembly of nanocrystals by PVD deposition of ultra thin metal films followed by thermal annealing is the leading process for nanocrystal formation due to its compatibility to CMOS technology. Apart from this a suitable High-K material for tunnel dielectric and blocking dielectric in scaled flash memory devices is desirable. HfO2, Al2O3 and Si3N4 are the potential candidates in this race. In this work we have studied the effect of nanocrystal formation on different dielectrics (SiO2, HfO2, Si3N4, Al2O3) on p-type Si wafer and the impact of substrate heating during metal deposition on the nanocrystal formation statistics viz. nanocrystal size, density, area coverage and circularity. Different dielectrics viz. SiO2, HfO2, Si3N4,and Al2O3 were grown on p-type Silicon wafers using thermal oxidation, LPCVD, MOCVD and pulsed PVD techniques respectively in industry standard tools. Thin layer of Pt (~ 1 nm) is deposited by PVD on each of above mentioned materials with or without substrate heating. The samples were subsequently annealed in a RTP system at different temperatures ranging from 4500C to 9000C for different time (15sec to 60sec) in N2 ambient. The MNC were imaged using a high resolution SEM and the images were analyzed to obtain nanodot statistics.It has been observed that for a given Pt thickness, nanodots are more readily formed on SiO2 and Al2O3 with smaller size, high density and with more circularity while on Si3N4 and HfO2 nanodots are relatively bigger, have low areal density and less circularity. To obtain the lower size Nanocrystals on Si3N4 or HfO2, higher post deposition anneal temperature or anneal time is required. It was observed that substrate heating during deposition resulted in smaller dots, higher areal density and better circularity. With substrate heating, nanodots of 3-4 nm in size with density close to 1E12 is obtained. For room temperature Pt deposition, the area coverage was about 22% which improves to 30% for heated substrate Pt deposition. This variation in nanodot statistics on different underlying substrate material is attributed to the difference in surface energy of the interface between the underlying material and the metal.
9:00 PM - G11.49
Pentagate Si MOSFETs with Spacer-like Side-gate Structures.
Mustafa Akbulut 1 , Faruk Dirisaglik 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical Engineering, University of Connecticut, Storrs, Connecticut, United States
Show AbstractPentagate transistors offer low leakage currents in off-state and show improved current-voltage characteristics. This intricate MOSFET geometry uses a side-gate structure that surrounds a bulk Si MOSFET body like a guard-rail. The side-gate is controlled independently from the top-gate with a separate contact. Although different approaches can be taken to implement this geometry, this work shows that a spacer-like structure for side-gates reduces the side-gate to top-gate capacitance and improves the transistor performance. Three-dimensional TCAD simuations with hydrodynamic carrier modeling and a comparison with experimental implementation will also be presented.
9:00 PM - G11.5
Low-temperature Solution-deposited High-k Dielectric Ceramic Thin Films.
Biplab Roy 1 , Junghyun Cho 1
1 Program of Materials Science and Engineering, State University of New York at Binghamton, Binghamton, New York, United States
Show AbstractDevelopments of low-temperature, low-cost processing methods for depositing high-dielectric constant (k) ceramic capacitor thin films are critically needed for the advancement of embedded and flexible electronics technologies. With that aim, titania and barium titanate (BaTiO3) thin films were produced from low-temperature solution-based chemical bath deposition techniques. Capacitor thin films grown on cheap metallic substrates (such as copper (Cu)), which act as the base electrode in out-of-plane capacitor assembly, can dramatically reduce the device cost. For generating titania thin films on Cu while avoiding substrate corrosion in acidic precursor of peroxotitanium chemistry, an electrochemical protection scheme in the cathodic electrodeposition technique was adopted. Modifications in precursor chemistry resulted in variations not only in the microstructures but also in the composite nanostructures (comprised of amorphous phase and rutile particles (~2-10 nm diameter)) of as-deposited films. Depending on the presence of the crystalline phase, electrodeposited titania films showed a wide range of dielectric constants (k ~ 9-30 @ 100 kHz). Further enhancement of dielectric properties was achieved by converting these films into cubic BaTiO3 (k ~ 80 @ 100 kHz). In this conversion process, electrodeposited titania films were treated hydrothermally at low temperatures (< 100oC) in high pH, Ba2+-containing aqueous solutions. Such high pH solutions again trigger corrosion in Cu substrates. To obtain pure crystalline barium titanate films while preventing corrosion of Cu and contamination of barium carbonate (formed by reaction of Ba2+ and atmospheric CO2), an electrochemical-hydrothermal method was employed. Chemistry, micro/nano-structure and dielectric properties of as-deposited titania and BaTiO3 films were characterized by Fourier-transform-infrared spectroscopy, scanning electron microscopy, transmission electron microscopy, electron energy loss spectroscopy, X-ray diffraction techniques, LCR and C-V / I-V measurements. Furthermore, a systematic aqueous thermodynamics based study was exploited to relate precursor solution chemistry and other deposition parameters with the aforementioned structural and electrical properties.
9:00 PM - G11.50
Selective Pulsed Heating for Semiconductor and Metal Submicron Spheres Synthesis.
Hongqiang Wang 1 , Naoto Koshizaki 1
1 Nanosystem Research Institute , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractFabrication of size-tailored semiconductor/metal submicron spherical particles has recently attracted significant research interest due to their unique physicochemical properties and emerging applications in many strategically important fields such as photonic crystals, pharmaceuticals, electronics, catalysis, energy and environmental protection. However, due to the intrinsic anisotropic crystal growth behavior of both semiconductor and metal, they always grow anisotropically with a high tendency to form non-spherical nanostructures. The reason for this is that it is kinetically difficult to inhibit anisotropic crystal growth by using conventional heating, which actually provides continuous heating for semiconductor/metal nucleus formation and subsequent preferential crystal growth. Herein, we describe an innovative selective pulsed heating method involved in the pulsed laser irradiation of colloidal nanoparticles (PLICN), which is found encouragingly general for the synthesis of size-tailored semiconductor and metal submicron spheres with smooth surfaces. This new finding could be of great use for the extensive exploration of submicron-sphere-based research. Most importantly, compared with traditional technique of pulsed laser ablation in liquid, the PLICN technique presented here provides a mild reaction condition, flexibility for size/phase control, and dramatically increased productivity, and thus may be adopted for industrial production. We believe that this facile laser irradiation approach represents a major step in the practical application of laser processing for materials synthesis.
9:00 PM - G11.51
Mechanical Property of the Ag Conductive Circuit for Stretchable Electronics Fabricated with Direct Printing Method.
Young-Chul Lee 1 , Kwang-Seok Kim 1 , Jee-Hyuk Ahn 1 , Seung-Boo Jung 1
1 School of Advanced Materials Science and Engineering, SungKyunKwan University, Suwon, Gyeonggi-do, Korea (the Republic of)
Show AbstractThe flexible and stretchable electronic circuit is a relatively new concept aiming in a first instance at improving the comfort of consumer’s needs. This technology can also be used in many other applications where the ability to deform is an advantage or where the electronics should preferably take the shape of the object in which they are integrated. One of the prominent techniques to make the conductive circuit for stretchable electronics is a direct printing method. Direct printing method fabricates the conductive patterns on substrate directly. Such direct printing has a number of potential benefits including, high material usage efficiency, environmental friendliness, the avoidance of expensive process steps such as photo-lithography, etching and vacuum deposition, and the ability to rapidly change circuit designs in real time in a highly cost effective fashion. Despite of the merits of the printing for the conductive circuits, their usage has been limited because direct printing method using metal nanopaste or ink should need a high temperature sintering process and their properties have not been to accumulate insufficiently. Generally, the sintering process in direct printing has been conducted over 150 °C though the Tg of polymer substrates is under 150 °C. Many researchers have been studying for low temperature sintering process to overcome this problem, which makes possible the printed metal circuit on the polymer substrate. However, it is difficult to fabricate conductive circuits by using this method because the difference of Young’s modulus between printed Ag nanopaste and organic substrate induce large number of surface cracks. Therefore, we present an experimental investigation for the mechanical property of the Ag conductive circuit for stretchable electronics fabricated with the direct printing method. The Ag nanopaste was screen-printed on thermoplastic polyurethane. After fabrication of the conductive circuit, we conducted the two kinds of mechanical tests, tensile and sliding test. The measured resistance increased with increasing strain rate and the number of sliding cycles. We found that the conductivity of conductive circuits on compliant substrate depend on the adhesion property because good adhesion property reduce micro crack arising from Young’s modulus mismatching. This discussion on various interesting phenomena will be fully shared in the presentation and paper.
9:00 PM - G11.53
Organic Solar Cells on Thermal and Electrodeposited Thin Films of Copper Phthalocyanine and Boron Subphthalocyanine Chloride.
Gankhuyag Nomundelger 1 , Tsogoo Ariunzaya 1 , Chimed Ganzorig 1
1 Center for Nanoscience and Nanotechnology and Department of Chemical Technology, National University of Mongolia, Ulaanbaatar Mongolia
Show AbstractWe study the morphological and photovoltaic (PV) properties of organic solar cells compared between thermal and electrodeposited thin films such as a copper phthalocyanine (CuPc) or a boron subphthalocyanine chloride (SubPc) nano-layer on indium-tin-oxide (ITO)-coated glass substrates.A second layer of organic material, a fullerene (C60), about 40 nm thick is deposited on top of the CuPc and SubPc thin films by two methods of thermal evaporation and electrodeposition. The Al top contact is vapor-deposited.The morphological properties of thin films are examined using an atomic force microscope and a scanning electron microscope. The optical absorption of the thin films and the PV characteristics of the cells studied in this work studied are also examined. Experimental results and discussion will be concluded in a more detail presented at the proceedings paper.
9:00 PM - G11.55
A New Method for Morphological Investigations of Failure Mechanisms in GaN/AlGaN HEMTs.
Patrick Whiting 1 , Kevin Jones 1
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractGaN/AlGaN High Electron Mobility Transistors (HEMTs) are an enabling technology in the rapidly growing field of RF and microwave communications. Many of these applications are spacebourne, where replacement of failed components is not an option. Thus, a detailed understanding of these failure mechanisms will be a critical factor in their growth as a viable technology for high-power RF and microwave applications. Traditionally, failure mechanisms are investigated by stressing packaged components in extreme operating conditions and periodically monitoring the characteristic electrical output of the component. This analysis is generally performed on the packaged component itself so that any information regarding the spatial variation in material quality is lost. A new method for analyzing the failure of packaged GaN/AlGaN HEMTs will be presented in which a failed packaged component is deprocessed such that the electrical contacts to the source and drain of the HEMT as well as the physical gate electrode are exposed. The gate electrode as well as the underlying channel formed by the HEMT's two dimensional electron gas (2DEG) will be sectioned via Focused Ion Beam milling to form a new device structure consisting of a series of electrically isolated HEMT structures which can be probed individually in order to determine the variation in material quality along the gate length. Analysis of these sections has allowed us to determine the fraction of the gate length which has degraded and to compare the electrical properties of these degraded sections to the packaged device.
9:00 PM - G11.56
Investigation of Strength and Performance of Printed MnO2-Zn Batteries.
Abhinav Gaikwad 1 , Joshua Gallaway 1 , Dan Steingart 1
1 Chemical Engineering , City College of New York, New York, New York, United States
Show Abstract Future generations of flexible/stretchable devices, such as RFID tags and biometric sensor, require a unique power source which is self-contained and durable. Batteries directly printed on such devices can act as a energy source to power these devices, but to date have not been thoroughly characterized with respect to application performance with respect to physical stress state. Printed MnO2-Zn with batteries with printed silver current collectors have been shown to be a suitable system to power such devices. Inks with MnO2 and Zn as electrochemically active material have been mixed with graphite to improve the conductivity of the electrode and a binder to hold the electrode together, and pre-existing formulations have not tested well for flexible applications.Through a fast iteration cycle of printing and microfluidic shear testing, we can quickly iterate through ink formulations and printing conditions to maximize electrochemical performance for a given stress state.
9:00 PM - G11.57
Strongly Enhanced External Quantum Efficiency in White and Monochrome OLED by Inserting an Electrospray Deposited Hole-transport Layer.
Stefan Schneider 1 , Heike Klesper 1 , Klaus Meerholz 1 , Hans-Peter Loebl 2 , Helmut Bechtel 2 , Horst Greiner 2
1 Department of Chemistry, University of Cologne, Cologne, NRW, Germany, 2 Solid State Lighting, Philips Technologie GmbH Forschungslaboratorien, Aachen, NRW, Germany
Show AbstractOne main issue in the field of OLED technology still lies in improving the light output. In the past years the electrical efficiency of many OLED setups has been increased close to the theoretically possible values. However, around 80% of the generated light is lost within the thin layers of the device due to reflection, wave guiding and absorption. In this context, numerous ways have been used to improve light out-coupling out of the device thus increasing the system efficiency. The out-coupling methods which have been reported up to now comprise elements, structures or layers that are not actively involved in light generation. Some of them have been applied to the outside [1, 2] and some to the inner side [3-6] of the substrate to optimize light outcoupling in forward direction. We demonstrate a strongly enhanced light output by inserting an additional hole-transport layer into already fully optimized state-of-the-art OLED systems. In the presence of this layer, which was deposited via electrospray, the external quantum efficiency was increased by up to 25%. This enhancement is due to a lower material density and, therefore, a lower refractive index of the layer.The concept has been applied first to red monochrome OLEDs. By simply depositing the low-index hole-transport layer (LI-HTL) on an ITO-anode and processing the (unchanged) reference stack on top we observed an improvement in the external quantum efficiency (EQE) from 20 to 25% (25% increase) and an increased luminous efficacy from 40 to 49 lm/W (23% increase) for bare devices. Using a hemisphere for improved out-coupling we obtained 85 lm/W. In the case of white OLEDs, we found the EQE to rise from 9% in the in the absence of the LI-HTL to 11% in its presence (22% increase). The luminous efficacy was up to 30 lm/W for the bare diodes. In addition, in the presence of the LI-HTL the angle-dependent colour stability of the white OLEDs was strongly improved, which is very useful for lighting application. The life-time of the LI-HTL modified devices equals the one of the reference stack.[1] C.F. Madigan, M.H. Lu, J.C. Sturm, Appl. Phys. Letters 76, 1650 (2000).[2]M. Boroditsky, T.F. Krauss, R. Coccioli, R. Vrijen, R. Bhat, E. Yablonovitch, Appl. Phys. Lett. 75, 1036 (1999).[3]Y.-J- Lee, S.-H. Kim, J. Huh, G.-H. Kim, Y.-H. Lee, S.-H. Cho, Y.-C. Kim, Y. R. Do, Appl. Phys. Lett. 82, 3779 (2003).[4]T. Tsutsui, M. Yahiro, H. Yokogawa, K. Kawano, M. Yokoyama, Adv. Mater. 13, 1149 (2001).[5] J.M. Lupton, B.J. Matterson, I.D.W. Samuel, M.J. Jory, W.L. Burns, Appl. Phys. Lett. 77, 3340 (2000). [6]N. Takada, T. Tsutsui, S. Saito, Appl. Phys. Lett. 63, 2032 (1993).
9:00 PM - G11.6
Indium Tin Oxide-free Inverted Polymer Solar Cells Using Oxide/Metal/Oxide Trilayer as Anode.
Weiran Cao 1 , Edward Wrzesniewski 1 , William Hammond 1 , Sang-Hyun Eom 1 , Jiangeng Xue 1
1 Materials Science and Engineering, College of Engineering, Gainesville, Florida, United States
Show AbstractOrganic solar cells (OSCs) have becoming one of the economic solutions to energy shortage problem, due to the potential of achieving large-area devices on flexible substrates for organic materials. In particular, OSCs based on polymer bulk-heterojunctions, like poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) blends, have already lead to power conversion efficiency of ~4-5%.1-2 Conventionally, these devices utilize indium tin oxide (ITO) as the transparent electrode for its high transparency and conductivity. However, there are many reasons researchers are trying to replace the use of ITO in thin-film solar cells. For example, there are concerns on the availability of indium for the TW-scale deployment of solar cells containing ITO. The properties of ITO vary significantly depending on the deposition and processing methods used due to variations in its stoichiometry, and there have also been evidences showing the stability issue of the interface between ITO and organic materials. The high temperature processes necessary to achieve good optical/electrical properties of ITO also makes it not compatible for use on top of organic active layers. Here we report the use of a semi-transparent oxide/metal/oxide trilayer structure as an ITO replacement. This trilayer can be deposited through vacuum thermal evaporation to avoid the damage to the active layers. MoO3/Au/MoO3 trilayer was chosen as the anode in this work, showing similar transparency and conductivity to ITO. The trilayer with thicknesses of 3, 10, and 40 nm for the three layers has a sheet resistance of 7 Ω/square and a maximum transmittance of 85% at 600nm. We fabricated OSC device by spin-coating ZnO nanoparticles and P3HT:PCBM solution onto aluminum cathode on glass successively, followed by thermally depositing MoO3/Au/MoO3 trilayer as the anode on top. This inverted ITO-free device shows a power conversion efficiency of ~2% under 1 sun AM1.5 solar illumination, and external quantum efficiency above 55% at 500 nm, compared to a power conversion efficiency of 3.2% for the normal ITO-based device. The performance of these ITO-free devices suggests that this oxide/metal/oxide trilayer electrode is a promising candidate to replace ITO in OSCs.1.W. Ma, C. Yang, X. Gong, K. Lee and A. J. Heeger, Adv. Funct. Mater., 2005, 15, 16172.G. Li, V. Shrotriya, J. Huang, Y. Yao, T. Moriarty, K. Emery and Y. Yang, Nat. Mater., 2005, 4, 864
9:00 PM - G11.7
Trap Induced Limits of PCE in SILAR-based Solar Cell.
Dongho Lee 1 3 , Jangwon Seo 2 3 , Sungjin Kim 1 3 , Alexander Cartwright 1 3 , Paras Prasad 1 2 3
1 Department of Electrical Engineering, State University of New York at Buffalo, Buffalo, New York, United States, 3 Institute for Lasers, Photonics and Biophotonics, State University of New York at Buffalo, Buffalo, New York, United States, 2 Department of Chemistry, State University of New York at Buffalo, Buffalo, New York, United States
Show AbstractCdS/CdSe QD-sensitized solar cells (QDSSC), fabricated via the successive ionic layer absorption and reaction (SILAR) method, were investigated as a function of the number of layers deposited. We use experimental results and theoretical modeling to demonstrate that the traps which are generated during the SILAR growth process limit the charge transport. Firstly, the power conversion efficiency (PCE), short circuit current density (Jsc) and external quantum efficiency (EQE) for different numbers of cycles in CdS/CdSe deposition was studied in detail. An inconsistency was observed between the maximum EQE and the maximum PCE for different numbers of cycles. Specifically, we demonstrate that for light power below ~100μW, the short circuit current increases linearly as the number of CdSe cycles is increased. However, the short circuit current, and PCE, saturates for light intensities above ~105μW and the response is independent of the number of CdSe cycles. As the power is further increased, and approaches 1 sun illumination, a reduction in photocurrent is observed as the number of CdSe cycles is increased. The highest PCE of 2.57%, measured under AM 1.5G, was obtained with a 7:7 ratio of dipping cycles of CdS/CdSe. While the EQE of the device continued to increase as the number of cycles increased, the PCE decreased. The decrease of PCE for structures with larger numbers of cycles beyond the optimum condition (7/7) is attributed to interface degradation and resulting carrier trapping at these interfaces. Then, a model of the system incorporating charge transport, traps and recombination is developed and fit to the experimental data. The simulation results show that the recombination and trapping rates increase from the 7/5 to 7/7 conditions are only 20% and 10%, respectively. However, the estimated recombination and trapping rates of 7/9 condition is 10 and 3.6 times larger than those of the device with the optimum cycles (7/7). This abrupt decrease in material quality is most likely due to the thickness of the CdSe layer exceeding the critical thickness for pseudomorphic growth of CdSe QDs. The estimated size of the CdSe QDs (~5nm) at the optimum condition (7/7) agrees fairly well with our model for the critical thickness for pseudomorphic growth conditions. These results demonstrate that if we can minimize defect formation during the growth of these nanostructures it will be possible to achieve power conversion efficiencies significantly higher than the maximum of 2.57% observed in this work.
9:00 PM - G11.8
Control of Self Organizing Properties of Polythiophene by Nanostructured Confinement.
Surawut Chuangchote 1 , Fujita Michiyasu 1 , Takashi Sagawa 1 , Hiroshi Sakaguchi 1 , Susumu Yoshikawa 1
1 Institute of Advanced Energy, Kyoto University, Uji, Kyoto, Japan
Show AbstractPoly(3-hexylthiophene) (P3HT), one of the polythiophene derivatives, has been intensively studied in various optoelectronic devices, especially organic photovoltaic cells and field-effect transistors. Self organization in properties, which depends critically on processing conditions, is one of the key factors in capability of P3HT devices. It is believed that self-organizing properties of P3HT, including both electronic and optical ones, are sensitive to molecular packing. Influence of the molecular packing controlled by polymer regioregularity or temperature on the optoelectronic properties of P3HT have been reported in the literature. We propose new strategy to facilitate the fabrication of conjugated polymer fiber in nanoscale to enhance nanostructured confinement with higher oriented structures based on interchain stacking. For this purpose, we focused on electrospinning of a blend polymer system composed of regioregular P3HT and poly(vinyl pyrrolidone) (PVP). SEM observation revealed that the blend system forms homogeneous composite nanofibers. This system exhibits the specific feature of strong interchain contribution of P3HT from UV-vis absorption, fluorescence spectroscopic, XRD, and photoelectron spectrometric investigations. For examples, onset of the UV-vis absorption spectrum, which is frequently used to estimate the adiabatic transition energy, in fibers is at lower energy than that in film. That means longer conjugate length and less steric effects of the alkyl chain in the fibers than that in films which are the results from more tightly packing in molecular chains. These results correspond to the remarkable blue shift of the emission peaks of the fibers from those of the films, indicating closer packing of the lamellas in fibers. The closer packing of P3HT chain lamellas attributed from the orientation of molecular chain along the fiber axis during instability of electrospinning due to nanostructure confinement, electric field, and very large stretching. We also demonstrate that removal of the PVP component from the P3HT/PVP composite fibers through the selective extraction and such strong interchain stacking of pristine P3HT fiber mat can be remarkably maintained. The obtained P3HT nanofibers may be widely applicable for various specific applications, such as photovoltaic cells, thin film transistors, light emitting diodes, and so on. Further investigations of applications of these materials for organic photovoltaic devices will be also presented.
9:00 PM - G11.9
Mechanical Characteristics of UV-curable Resin for UV Nanoimprint.
Ryosuke Suzuki 1 4 , Akira Horiba 1 4 , Takeshi Ohsaki 2 , Atsushi Sekiguchi 3 , Yoshihiko Hirai 1 4
1 , Osaka Pref. Univ., Sakai Japan, 4 , CREST-JST, Kawaguchi Japan, 2 , Toyo Gosei, Inzai Japan, 3 , Lithotech Japan, Kawaguchi Japan
Show AbstractUV nanoimprint lithography (NIL) is expected to fabricate fine patterns in high throughput. In UV nanoimprint process, the important interest is not only chemical reactions of the resist, but also mechanical modulation by UV exposure, which is closely related to resist curing and design optimum exposure conditions. The mechanical characteristics are evaluated using UV rheology meter. The storage modulus, phase lag and thickness shrinkage are measured under various UV exposure intensities and discuss about the mechanical modulation in UV resists. Based on the measured characteristics of the UV resist, structural simulation is carried out under variable modulus and shrinkage ratio during UV exposure. The curing characteristics are fairly related to square root of irradiated UV intensity. Based on the experimental results, process modeling of UV cure is discussed for numerical modeling. Also, the resist profile and residual stress are simulated by UV curing.