Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

fall 1997 logo1997 MRS Fall Meeting & Exhibit

December 1 - 5, 1997 | Boston
Meeting Chairs:
 Harry A. Atwater, Peter F. Green, Dean W. Face, A. Lindsay Greer 
 

Symposium W—Chemical Aspects of Electronic Ceramics Processing

Chairs

Barry Arkles, Gelest Inc.
David Beach, Oak Ridge National Laboratory
Aloysius Hepp, NASA Lewis Research Center
Prashant Kumta, Carnegie Mellon Univ
John Sullivan, MKS Instruments, Inc.

Symposium Support 

  • BMDO 
  • CVC 
  • Elsevier Science Ltd. 
  • Gelest, Inc. 
  • Inorgtech, Ltd. 
  • MKS Instruments, Inc. 
  • NanoPore Inc. 
  • NASA Lewis Research Center 
  • Oak Ridge National Laboratory 
  • Office of Naval Research

* Invited paper

SESSION W1: NEW DIRECTIONS IN CHEMICAL PROCESSING OF ELECTRONIC CERAMICS 
Chair: David B. Beach 
Monday Morning, December 1, 1997 
Salon C/D (M)

8:30 AM *W1.1 
EPITAXIAL THIN FILMS VIA HYDROTHERMAL EPITAXY. Fred F. Lange, A. Chien, G. Goh, C. Levi and J. Speck, Materials Department, UCSB, Santa Barbara, CA.

It is well know that oxides, nitrides, sulfides, etc, powders can be directly synthesized in a liquid. Approximately 3 years ago we discovered that single crystal thin films could be produced by placing a substrate into the same solution usually used to synthesize powder. For example, we have grown epitaxial films of BaTiO3, (Ba,Pb)TiO3, PbTiO3, PZT, and (K,Na)NbO3 on SrTiO3 single crystal substrates in water at temperatures < 150C . Although the soluble ions are certainly mobile, we have shown that the epitaxy phenomenon initiates via island nucleation, then coalescence-even when the lattice mismatch approaches zero. In addition, because of pH requirements for synthesis, the surface of the substrate is blanketed by a dense layer of counterions because of the high surface charge density and the high concentration of soluble reactants. This 'blanket' of concentrated counterions effects the growth morphology of the film, as well as the morphology of particles that are concurrently synthesized. These and other subjects, e.g., defects associated with this mode of epitaxy, will be reviewed.

9:00 AM *W1.2 
CHEMICAL SOLUTION DEPOSITION OF ELECTROCERAMIC THIN LAYERS AND THEIR PATTERNING BY MICROCONTACT PRINTING WITH SELF-ASSEMBLED MONOLAYERS. David Payne, Ralph Nuzzo, Paul Clem, Noo-Li Jeon, Duk-Young Jung, University of Illinois, Materials Research Laboratory, Urbana, IL.

The solution deposition of electroceramic thin layers (10-2-10m) from chemical precursors on a variety of substrate materials (Al2O3, MgO, . . .) including semiconductors is described. The ability to integrate functional oxide materials with semiconductor substrates is critical for future microelectronic technologies. Details are reported for the spin-casting of metallo-organic precursor solutions and their transformation into metal oxides (i.e., ceramic) thin layers, and the patterning of oxide devices. Characterization data are given for the thermal processing conditions, densification behavior, crystallization and interfacial stress development. Patterned devices are usually formed by uniform deposition followed by post-deposition ion-beam or chemical etching in a controlled environment (i.e., subtractive processes). In the future, a new ambient atmosphere method is proposed which allows for the selective deposition of functional oxide thin layers without post-deposition etching (i.e., additive process). In this method the substrateís surface is selectively functionalized by hydrophobic monolayers of octadecyltrichlorosilane (OTS) by microcontact printing (-CP) of the molecular mask. Solution deposition of chemical precursor over the functionalized patterns, followed by heat treatment and non-abrasive polishing, yields patterned oxide layers only on the unfunctionalized regions. A variety of micron-scale electrical, magnetic, mechanical and optical devices have been fabricated by this directed patterning method. Information is reported for CP, solution chemistry, evolution of microstructure, and electrical properties, as well as the stress-related mechanism which enables selective de-adhesion and desired patterning. The ability to selectively deposit electroceramic materials on a variety of technologically important substrate materials suggests broad potential for integrated circuit and hybrid microelectronic applications. The feasibility of building-up multilevel structures is demonstrated.

9:30 AM *W1.3 
NEW CHEMICAL PATHWAYS FOR CRYSTAL GROWTH OF NANOPHASE ELECTRONIC MATERIALS. William E. Buhro, Sean D. Dingman, Joel A. Haber, Kathleen M. Hickman, Jennifer A. Hollingsworth, and Paul D. Markowitz, Dept. of Chemistry, Washington Univ., St. Louis, MO; Patrick C. Gibbons, Dept. of Physics, Washington Univ., St. Louis, MO.

Progressive miniaturization of microcircuitry will likely require new strategies for fabricating devices and interconnects. As feature sizes diminish into the nanometer regime, chemical techniques for growing and assembling nanoscale crystals of conductors and semiconductors may become useful alternatives to photolithographic patterning and etching of large crystals. Chemical approaches may also afford lower processing temperatures, new metastable semiconductors with altered electronic properties, and control of crystallite size and morphology. A determining issue in the chemical synthesis of nanomaterials is activation of crystal-growth pathways, the topic of this presentation. We describe new crystallization mechanisms emerging from our recent work. Binary and ternary III-V (phosphide and arsenide) nanowhisker crystals have been grown at <238 C from solution dispersions of metallic droplets (the solution-liquid-solid mechanism). Nanocrystalline InN, -InS, and In2S3 were obtained similarly. A new metastable InS phase having a layered structure was prepared by a catalyzed crystal-growth process (203 C). Finally, AlN nanowhiskers or equiaxed AlN nanocrystals were selectively prepared; the growth morphology depended upon the presence or absence of a vapor-transport species during nitridation of nanocrystalline Al.

10:30 AM *W1.4 
STRUCTURE PROPERTY RELATIONSHIPS OF CHEMICALLY PREPARED LEAD BASED FERROELECTRIC MATERIALS. B.A. Tuttle, J.A. Voigt, P.G. Clem, D. Dimos and D.H. Zeuch, Sandia National Laboratories, Albuquerque, NM.

Uniform microstructures and a high degree of chemical homogeneity are required for lead zirconate titanate (PZT) based ceramics used for high energy power supplies, high energy density capacitors, thin film memory capacitors and thin film piezoelectric elements. A novel chemical synthesis technique has been developed to fabricate powders which potentially results in more uniform and reproducible ceramic microstructures than conventional mixed oxide techniques. Advantages of our technique over previous chemical preparation efforts include minimal solvent use and incorporation of Pb at the molecular level. Hydrostatic depoing characteristics of PZT 95/5 ceramics are used as an example of the differences in structure and properties that can be achieved in electronic ceramics derived from chemically synthesized versus mixed oxide powers. Further, piezoelectric and dielectric properties of PZT 52/48 chemically prepared bulk ceramics as a function of grain size are presented. These structure-property relationships are contrasted with those obtained in PZT thin films of identical composition. Modification of underlying substrate technology and thermal processing is used to control crystallite orientation. 90 domain orientation and microstructure for dielectric property optimization. Specific examples of enhancement of frequency agile and piezoelectric thin film properties are shown.

11:00 AM *W1.5 
HETEROEPITACTIC REGULATION OF LEAD MAGNESIUM NIOBATE CRYSTALLIZATION FROM Pb-Mg-Nb-EDTA GELS. Yeshwanth Narendar, Gary L. Messing, Materials Research Laboratory, Pennsylvania State University, University Park, PA.

The crystallization of perovskite lead magnesium niobate from carboxylate gels is nucleation limited. The addition of barium titanate seeds significantly reduced the activation barrier for perovskite nucleation, due to epitaxial effects. Consequently, barium titanate seeding lowers the lead magnesium niobate formation temperature from 700C to 600C during combustion synthesis from carboxylate precursors. The lower perovskite formation temperature eliminates the formation of pyrochlores at the surface of the precursor bed and allows for the direct crystallization of 98% perovskite lead magnesium niobate during combustion synthesis. The increase in the perovskite nucleation density in the seeded precursor decreases the precursor volume associated with every perovskite nucleus and thus lowers the perovskite particle size from 1 m to 0.2 m. The influence of barium titanate seeding will be discussed in terms of the thermodynamics of perovskite formation, the influence of barium titanate seed concentration and epitaxial nucleation.

11:30 AM *W1.6 
MATERIALS CHEMISTRY AND BULK CRYSTAL GROWTH OF GROUP III NITRIDES IN SUPERCRITICAL AMMONIA. Joseph W. Kolis, Steven Wilcenski, Clemson University, Chemistry Department, Clemson, SC; Robert A. Laudis, Bell Laboratories, Lucent Technologies, Murray Hill, NJ.

The preparation of bulk single crystals of the group III nitrides, AlN and GaN, is particularly desirable for their use as substrates in optoelectronic device fabrication. However, the synthesis of bulk crystals of the nitrides is notoriously difficult because they are extremely refractory and decompose to the elements before they melt or sublime. Thus the only successful preparations of single crystals of group III nitrides require very high nitrogen pressures, and temperatures above 2000C. The use of supercritical water as a medium to crystallize normally intractable solids, such as quartz, at relatively modest temperatures and pressures suggests that supercritical ammonia is a promising solvent for the preparation of single crystals of metal nitrides, particularly since lower temperature growth might be expected to reduce trapping defects and improve device properties. We recently found that single crystals of AlN and GaN can be prepared in supercritical ammonia at 400C at 1 kbar. Reaction of an appropriate amorphous starting material in ammonia under the above contitions, using sodium amide as a mineralizer, leads to formation of high quality crystals as large as several hundred microns. The precursors are mobilized in the supercritical ammonia and spontaneously nucleate as clear, well formed needles of the appropriate nitride. In addition we found that the normally intractable nitride powders are quite reactive in supercritical ammonia and have an extensive descriptive chemistry in ammonia under the appropriate conditions. Several novel products are formed in ammonia at 400C in the presence of various mineralizers, such as ammnonium halides. The nature of these crystalline products, as well as their role in crystalline nitride formation will be discussed.

SESSION W2: MOCVD OF ELECTRONIC CERAMICS I - OXIDES 
Chairs: Barry Arkles and Tobin J. Marks 
Monday Afternoon, December 1, 1997 
Salon C/D (M)

1:30 PM *W2.1 
METAL-ORGANIC CHEMICAL VAPOR DEPOSITION ROUTES TO FILMS OF TRANSPARENT CONDUCTING OXIDES. T.J. Marks, Z. Chen, S. Chen, B. Marcordes, Dept of Chemistry and the Mats Res Center; C.R. Kannewurf, J. Schindler, M. Chudzik, Dept of Electrical and Computer Engg; R.P.H. Chang, C. Dai, Dept of Material Science, Northwestern University, Evanston, IL.

For metal oxide thin film growth, MOCVD offers the attractions of high throughput/large area coverage, simple growth apparatus, conformal coating, and growth of high oxygen pressures. This paper describes the application of this film growth technique to transparent conducting oxides, with the ultimate goal being materials having higher conductivity and improved optical transparency versus idium-tin oxide ( ITO ), which is presently used on a massive scale. We report here the growth, via a simple MOCVD process, and microstructural/electrical characterization of Ga-In-Sn-O and Zn-In-Sn-O films. In optimized cases, films have conductivities rivalling or exceeding that of commercial ITO and have wider optical transparency windows.

2:00 PM *W2.2 
CONTROL OF GROWTH DYNAMICS BY MOLECULAR DESIGN IN THE MOCVD OF ELECTRONIC CERAMICS. T.J. Leedham, A.C. Jones, Inorgtech Ltd., Suffolk, UNITED KINGDOM; P.J. Wright, M.J. Crosbie, D.J. Williams, P.A. Lane, DRA Malvern, Worcs., UNITED KINGDOM.

MOCVD is an attractive technique for the growth of electronic ceramics such as tantalum oxide, niobium oxide, lead zirconate titanate and lead magnesium niobate. However, for the full potential of MOVCD to be realised it is necessary to develop precursors with the appropriate physical properties and decomposition behaviour. It is important that there is an adequate temperature window between precursor vaporisation and decomposition and for the majority of electronic applications the deposition temperature must be limited to a little over 500 C, to prevent degradation of the underlying silicon circuits and interconnect technology. Other important requirements are that the precursors should be compatible and not pre-react, and should pyrolyze to deposit the metal oxide in the same temperature region. The available precursors are generally metal alkoxides or -diketonates and many of the problems associated with the transport of low vapour pressure species can be solved by the use of liquid delivery MOCVD. However, in order to ensure that the precursors do not pre-react and also pyrolyze in the desired temperature regime, it is necessary to consider the molecular structure of the precursor. For instance, during the deposition of lead zirconate titanate and lead magnesium niobate using thd-based precursors, uniformity problems can arise due to the high thermal stability of the Zr and Nb sources relative to Pb(thd)2. Alternative sources are therefore required, and in this presentation we discuss how Zr, Nb and Ta precursors containing both alkoxide and -diketonate ligands demonstrate improved physical properties as well as allowžng oxide growth in thel optimum temperature regime. The modification of precursors in this manner can be extended to a number of other elements and the wider implications for metal oxide MOCVD are considered.

2:30 PM *W2.3 
METALORGANIC CHEMICAL VAPOR DEPOSITION OF PEROVSKITES AND RELATED OXIDES. Bruce W. Wessels, Dept. of Materials Science and Engineering, Northwestern University, Evanston, IL.

Metalorganic chemical vapor deposition has been shown to be a versatile technique for the deposition of epitaxial perovskite and related oxide thin films. We have been developing this technique for synthesis of materials for electronic and electro-optic applications. Application of MOCVD for the the synthesis of epitaxial thin films of high-k dielectrics, non-linear optical and superconducting materials will be reported. Issues related to their epitaxy will also be discussed.

3:30 PM *W2.4 
LOW TEMPERATURE ECR-MOCVD OF Ta2O5 AND BST FILMS AND EFFECTS OF INTERFACES ON ELECTRICAL BEHAVIOR. Sandwip K. Dey, Dept. of Chemical, Bio, & Materials Engineering and Center for Solid State Electronics Research, Arizona State University, Tempe, AZ.

Currently, the need for low temperature processing of high permittivity films for gigabit DRAMs and communication devices is critical. To date, attempts to develop a manufacturable chemical vapor deposition (CVD) process for these multicomponent oxide thin films have been limited by precursor delivery techniques and high deposition temperatures. These two issues have been addressed by using electron cyclotron resonance plasma-enhanced CVD, bubblers, and a direct liquid injection system coupled with thermodynamic phase stability calculations. Moreover, as thicknesses of the films fall below 1000 Å, the chemical and electrical nature of metal-dielectric interfaces begin to play a greater role. Thus, the influences of processing conditions and surfaces on capacitor-voltage (C-V) and leakage current (JL) behavior are also being studied. Thin films in the Ta2O5 and (Ba,Sr)TiO3 (or BST) systems were deposited on passivated polyimide and Si substrates at 150C and 390C respectively, using Ta alkoxides and b-diketonates of Ba, Sr, and Ti. In order to optimize the process for the deposition of films with phase purity, calculated thermodynamic phase stability diagrams in conjunction with design of experiments (DOE) were used for implementing the experiments. The thin films were characterized by XRD, XPS, FTIR, AES, RBS, SEM, Ellipsometry, and TEM in order to determine the phase purity and time-dependent growth behavior. From the voltage, temperature, thickness, and frequency responses, the thin-film capacitors were modelled as a combination of an interfacial capacitance in series with the thin-film bulk. For example, as-deposited SrTiO3 thin films exhibited an asymmetry in the contacts. This was also reflected in the C-V and JL-V behavior. From their analysis, a built-in voltage of 1 V and a barrier potential between 1.16 to 1.6 V was estimated for the top Schottky contact. The non-linear voltage dependence of the measured capacitance (Cm) was attributed to the voltage dependence of the interfacial (top Pt-dielectric Schottky contact) capacitance (Ci). The spatial dependence of the space charge density, Nsc ,was found to be the cause for the observed Ci -V behavior. The value of Nsc decreased with a 1/ x2 dependence from the surface to a constant bulk concentration (Nb) at 280ÅWith increasing reverse-bias voltage, the depletion width (Wt) varied through the Nsc(x) region into the constant Nb region. The distance where Nsc(x) changed to Nb, corresponded to a Wt of 241Åat 4 V. At this voltage, a change in slope from 1 to 6 occurred for the log JL versus log V characteristic. In both these regions, the steady state leakage mechanism followed the modified versions of the Schottky emission model.

4:00 PM W2.5 
Ba1-xSrxTiO3 THIN FILMS DEPOSITED BY ECR PLASMA-ENHANCED METALORGANIC CHEMICAL VAPOR. S.R. Gilbert, S.R. Summerfelt, and J.M. Anthony, Texas Instruments, Inc., Components and Materials Research Center, Dallas, TX.

Development of a low temperature Ba1-xSrxTiO3 (BST) deposition process is necessary for the integration of high density decoupling capacitors on-chip. To reduce the temperature required for BST crystallization, a low pressure, electron cyclotron resonance (ECR) plasma-enhanced metalorganic chemical vapor deposition process was employed. Depositions were carried out in an oxygen plasma using Ti(O-i-Pr)2(thd)2 and the new Group II precursors Ba(thd)2(polyamine) and Sr(thd)2(polyamine). A liquid source delivery approach was employed to deliver the precursors to the CVD chamber. Crystalline Ba0.7SrO.3TiO3 was deposited on both Pt and Si02 substrates at 500C, without a postdeposition anneal. Films deposited at 500C on Pt were single phase and highly -axis textured. In addition, the carbon content was below the detection limit of XPS and Auger. AFM analysis indicated rms roughness values of 1 to 5 nm, and grain sizes between 40 and 50 nm. Capacitance densities as large as 70 fF/m2 were measured for 60 nm thick stoichiometric films, and decreased with increasing Ti content. At 1.6 V, leakage currents as low as 10-8 A/cm2 were observed. The influence of deposition temperature, plasma power, pressure, (Ba+Sr):Ti site ratio, and thickness on the BST properties will also be reported.

4:15 PM W2.6 
LOW TEMPERATURE CHEMICAL VAPOR DEPOSITION OF CRYSTALLINE TITANIUM DIOXIDE THIN FILMS USING TETRANITRATOTITANIUM (IV). David C. Gilmer, Wayne L. Gladfelter, Dan G. Colombo, University of Minnesota, Dept. of Chemistry, Minneapolis, MN; Stephen A. Campbell, Hyeon-Seag Kim, University of Minnesota, Dept. of Electrical Engineering, Minneapolis, MN; Greg Haugstad, University of Minnesota, CIE, Minneapolis, MN.

Tetranitratotitanium (IV) (TNT), Ti(NO3)4 has been used for the chemical vapor deposition (CVD) of titanium dioxide (TiO2) thin films resulting in a polycrystalline anatase phase at deposition temperatures as low as 200C. TNT is a white crystalline solid at room temperature, readily sublimes at 50C and 0.2 torr and has a melting point of 58C. Depositions using TNT were accomplished in a vertical cold wall reactor on hydrogen-terminated, single crystalline p-Si(100) substrates. Rutherford backscattering spectrometry (RBS) indicated the deposited films to be stoichiometric TiO2 and analysis using particle induced x-ray emission (PIXE) indicated only titanium and oxygen. Ellipsometry showed the TiO2 thin films to have an index of refraction of 2.5 (632.8 nm). Film microstructure and interface were studied with high resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The rms surface roughness for as-deposited 10-35 nm films was 0.12-0.15 nm with an average grain diameter of approximately 500 nm. Annealing studies were performed under varying temperatures, anneal times and ambient gas compositions. Capacitors [Pt/TiO2/pSi(100)/Al and RuO2/TiO2/p-Si(100)/AL] were fabricated and capacitance-voltage (C-V) and current-voltage (I-V) measurements were used to characterize the dielectric constants and leakage currents.

4:30 PM W2.7 
MICROSTRUCTURAL AND PHOTOLUMINESCENT STUDIES ON EUROPIUM DOPED YTTRIUM OXIDE FILMS PREPARED BY MOCVD. J. McKittrick and G.A. Hirata, Dept. of Applied Mechanics and Engineering Sciences and Materials Science Program, University of California at San Diego, La Jolla, CA; K.M. Hubbard, S.G. Pattillo and M. Trkula, Materials Science and Technology Division, Los Alamos National Laboratory, Los Alamos, NM.

The microstructural and luminescent properties of europium doped yttrium oxide (Y2O3:Eu) thin films deposited by metallorganic chemical vapor deposition (MOCVD) at different substrate temperatures are presented in this work. It was found that surface morphology, stoichiometry, crystallinity and photoluminescent emission properties are strongly dependent on substrate temperature during deposition. MOCVD was carried out in a stainless steel chamber by using yttrium and europium 2,2,6,6,-tetramethyl-3,5-heptaniodates as volatile precursors and O2 as the reactant gas. At 400C a thin, uneven film of non-stoichiometric, amorphous and non-luminescent Y2O3:Eu films was obtained as determined by Auger, x-ray diffraction and photoluminescent spectroscopy, respectively. At deposition temperatures between 500-600C the films were luminescent and uniform with small average grain sizes varying between 0.1-0.8 m. The samples deposited at a substrate temperature of 700C had the optimal physical and luminescent properties: stoichiometric Y2O3, good crystallinity with a grain size of 1.0-2.0 m and a strong photoemission line at 611 nm, characteristic of Y2O3:Eu.

4:45 PM W2.8 
VAPOR DEPOSITION OF RUTHENIUM DIOXIDE FILMS FROM BIS(2, 4-DIMETHYLPENTADIENYL) RUTHENIUM. Lamartine Meda, Rein U. Kirss, Dept. of Chemistry, Northeastern University, Boston, MA; Richard C. Breitkopf, Terry E. Haas, Department of Chemistry, Keck Foundation Lab, Tufts University, Medford, MA.

Thin films of ruthenium dioxide, RuO2, are attracting interest as diffusion barriers between aluminum and silicon in VLSI circuits. Unlike titanium nitride, ruthenium dioxide is inert toward further air oxidation and unlike many transition metal oxides, RuO2 has a relatively high electrical conductivity (46 -cm). Chemical vapor deposition of RuO2 has been most extensively explored using ruthenocene, Cp2Ru, 1. The chemistry of pentadienyl or ``open metallocene`` compounds is often quite different from the chemistry of metallocenes. While solutions of bis-(2, 4-dimethylpentadienyl) ruthenium, Cp2Me2Ru, 2, decompose in air, solutions of Cp2Ru are quite stable toward air oxidation, suggesting that 2 is an attractive precursor to low temperature CVD of RuO2 films. Compound 2 is readily prepared in a single step from RuCl3Ä x H2O and sublimes at 90_2were deposited from 2 on quartz, pyrex glass, and Si substrates between 200 and 400^C in an argon carrier gas (100 sccm) and oxygen (250 sccm) at a total pressure of 4.5 Torr. Growth rates ranged from 0.1 to 0.3m/min yielding dark reflective films. The films were annealed between 300 and 550^C in air and the conductivity was measured using a four point probe. SEM, XRD, and AFM results will be discussed.

SESSION W3: DEPOSITION OF NITRIDE FILMS 
Chair: Prashant N. Kumta 
Tuesday Morning, December 2, 1997 
Salon C/D (M)

8:30 AM *W3.1 
PRECURSORS FOR THE CHEMICAL VAPOR DEPOSITION OF TITANIUM NITRIDE AND TITANIUM ALUMINUM NITRIDE FILMS. Charles H. Winter, Peggy J. McKarns, and Joseph T. Scheper, Department of Chemistry, Wayne State University, Detroit, MI.

Titanium nitride and ternary alloys thereof are of significant interest due to their hardness, chemical resistance, and good electrical conductivity. We will describe the synthesis, structure, and properties of several new precursors that are based upon hydrazine-derived ligands. Application of several of these complexes in titanium nitride film depositions will be overviewed. Film properties and cterization will be described. Emphasis will be on the evolution of optimum precursor structures. We will also describe a new process for the preparation of titanium aluminum nitride films, and will focus on how the presence of small amounts of aluminum changes the properties of the material.

9:00 AM W3.2 
DEPOSITION OF TI(C,N) THICK FILMS BY ILPCVD STARTING FROM TDMAT. Sandra Gilles, Noureddine Bourhila, Jean-Pierre Senateur, Roland Madar, INPG-LMGP, St Martin d'Hères, FRANCE; Elisabeth Blanquet, INPG-LTPCM, St Martin d'Hères, FRANCE; Roland A. Levy, NJIT, University Heights, Newark, NJ.

Titanium Nitride (TiN) coatings are typically used on high speed steel cutting tools since they present high hardness and low friction coefficient characteristics. The requirements for process at low temperature and low halide contamination have prompted workers to move from classical CVD method starting from TiCl4 and NH3 to new processes using organometallic precursors. The Tetrakis(dimethylamido)titanium (TDMAT) emerges as a new precursor of great interest in TiN deposition. This process, where TDMAT reacts with ammonia, was first developed by Fix et al. [1]. We used a new experimental set-up to deposit TiN from TDMAT and NH3. Our procedure consists in CVD at Low Pressure using Injection technique (ILPCVD). This process described elsewhere [2] is based on computer-controlled injection of micro amount of liquid TDMAT droplets. The droplets are injected by pulse inside an evaporator where they are immediately volatilized and carried to the substrate. The substrate, heated by halogen lamps, is positioned in a stainless steel reactor equipped with controlled temperature walls. The investigated parameters are, the injection frequency, the ammonia flow rate and the deposition temperature. Their influence on thickness morphology, composition and structure of coatings have been examined. In our deposition conditions, NH3/TDMAT flow rates ratio ranging from 0 to 10 and substrate temperature varying from 200 to 500C, Ti(C,N) films were deposited. An increase of injection frequency allows to increase efficiently the deposition growth rate. A growth rate as high as 2 m/min is reached for deposition of Ti(C,N) films at a temperature as low as 350C. Films have been characterized by SEM, Microprobe analyses, X-Ray diffraction and four point probe.

9:15 AM W3.3 
LOW PRESSURE CVD GROWTH OF AlTiCN FILMS WITH TERAKIS-DIMETHYLAMIDOTITANIUM (TDMAT) AND DIMETHYL ALUMINUM HYDRIDE (DMAH) PRECURSORS. Y.-M. Sun, J. Endle, J.G. Ekerdt and J.M. White, The Science and Technology Center for Synthesis, Growth and Analysis of Electronic Materials, The University of Texas at Austin, Austin, TX; N.M. Russell, Semiconductor Process and Device Center, Texas Instruments, Dallas, TX; Matt Healy, Schumacher R&D, Carlsbad, CA.

Quaternary film AlxTi1-xCN have been investigated by a low pressure CVD process and in-situ X-ray photoelectron spectroscopy (XPS). Terakis dimethylamidotitanium (TDMAT) and dimethylaluminum hydride (DMAH) were used as Ti, N, C and Al precursors, At growth temperatures from 250 C to 350 C, film growth on SiO2/Si(100) proceeded. The Al content in the film was well controlled by changing the ratio of the partial pressure of the two precursors in the gas phase. With DMAH to TDMAT ratio ranging from 0.01 to 0.1, the Al/Ti ratio of the films varies from 0.1 to 1, depending on the growth temperature. The C and N concentration for all films remains approximately constant, and the metal:C:N ratio is close to 1:1:1. The chemical states of Ti, C and N are identified as metal carbo nitride, which is similar to that of TiCN films grown with TDMAT alone. The chemical states of the film components do not vary with the growth temperatures. The growth rate depends significantly on the growth temperature and the Al content in the film; with lower growth temperature or higher Al content the growth rate decreases. At any growth temperature, the growth rate of AlTiCN was significantly slower than that of TiCH films, which indicates DMAH suppresses the TiCN film growth rate dramatically. A ligand exchange mechanism for the Al incorporation was proposed.

10:00 AM *W3.4 
DEPOSITION AND CHARACTERIZATION OF THE AlGaN MATERIAL SYSTEM AND ELECTRONIC-OPTOELECTRONIC DEVICES. M.A. Kahn, University of South Carolina, Columbia, SC.

AlGaN based blue LEDs have recently been commercialized by several companies. Research focus in the opto-electronic devices has now shifted to the fabrication and commercialization of ultraviolet detectors and blue-purple lasers. The excellent transport properties of the 2D-electron gas in GaN-AlGaN hetero-structures have resulted in the demonstration of high power microwave devices operating around 10 GHz. In this presentation we will describe some of our recent work aimed at fabricating these devices using GaN-AlGaN hetero-structures over sapphire and SiC substrates. Low pressure MOCVD was used as the deposition technique for the epitaxial layers. We will describe the structural and the electrical characterization of these layered structures. Device fabrication and characterization results will then be used to evaluate the significance of the material parameters in controlling the device performance.

10:30 AM *W3.5 
CONTROL OF DEFECTS IN III-N EPITAXIAL LAYERS. S. Mahajan, Arizona State University, Department of Chemical, Bio and Materials Engineering, Tempe, AZ; Chimin Hu, Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA; F.P. Dabkowski and A.K. Chin, Polaroid Corporation, Norwood, MA; J.M. Van Hove and P.P. Chow, SVT Associate, Eden Prairie, MN.

To control the introduction of defects in III-N layers, AlN/(111) spinel and GaN/Hf/(0001) sapphire heterostructures were grown by gas source molecular beam epitaxy. The structural perfection of various layers and interfaces was evaluated using cross-sectional transmission electron microscopy (TEM). Both conventional and high resolution TEM were used. In addition, the surfaces of GaN layers were examined by scanning electron microscopy (SEM). Results indicate that 5 nm thick AlN layers, deposited at 500C and annealed for 10 min at 800C, are coherent with the spinel substrate and their surfaces are slightly faceted. However, misfit dislocations are observed when the layer thickness is 20 nm. The Hf compliant layer that is 80 nm thick has a columnar structure and a high density of misfit dislocations are present at the layer/substrate interface. The columnar morphology is replicated into the 0.5 m thick GaN overgrowth. The average width of the columns is 60 nm and both the tilt and twist misalignments exist among the columns. The threading dislocation density is 1010 cm-2. SEM results show that the surface morphology is slightly nonplanar and the layers have pores which are located at the confluence of some of the columns. Arguments will be developed to rationalize the above observations. The roles of thin buffer layers and compliant films in controlling the introduction of defects will be emphasized. S. Mahajan and Chimin Hu gratefully acknowledge the financial support from ONR.

11:00 AM *W3.6 
SILICON NITRIDE FILMS DEPOSITED BY ATMOSPHERIC PRESSURE CHEMICAL VAPOR DEPOSITION. Xian Lin, Denis Endisch, Xiaomeng Chen, Alain Kaloyeros, Center for Advanced Thin Film Technology and Physics Dept, The University of Albany-SUNY, Albany, NY; Barry Arkles, Gelest Inc., Tullytown, PA.

Films of silicon nitride are widely used in semiconductor technologies for very large scale integration (VLSI), thin film transistor (TFT), and solar cell applications. Current production technologies for silicon nitride use low pressure chemical vapor deposition (LPCVD) at temperatures >700C or plasma enhanced chemical vapor deposition (PECVD) at temperatures below 450C. In this report, successful deposition of silicon nitride films by the cost effective low temperature thermal atmospheric pressure chemical vapor deposition (APCVD) method is described. Using a novel precursor, deposition of silicon nitride has been achieved at temperatures as low as 450C. Data pertaining to the dependence of film properties on process parameters such as substrate temperature and gas flow ratio are presented, along with a thorough evaluation of the deposition rate, composition, chemical structure, uniformity, and conformity of the resulting films.

11:30 AM W3.7 
LOW TEMPERATURE REMOTE PLASMA JET VAPOR DEPOSITION OF SILICON NITRIDE. J. Veteran, C. Hobbs, R. Hegde, P. Tobin, V. Wang, H. Tseng, M. Hartig, G. Kenig, Motorola APRDL, Austin TX; T. Tamagawa, R. Doran, P. Makowicz, J.Z. Zhang, J. Schmitt, B. Halpern, Jet Process Corp., New Haven, CT.

As MOSFET dimensions are aggressively scaled, minimizing the thermal budget becomes critical for limiting the diffusion of dopants in shallow source/drain junctions and the channel region. Unfortunately, high quality dielectrics with low deposition temperatures have not been readily available. Dielectrics formed at low temperature tend to be porous and electrically leaky. A promising technique for low temperature dielectric deposition is Jet Vapor Deposition (JVD). Two coaxial quartz nozzles spray the process gases to the substrate surface at super-sonic speeds while a microwave cavity generates a plasma in the nozzle. We have successfully deposited silicon nitride films using SiH4/He and N2/He gas mixtures in the inner and outer nozzles, respectively. These are the first reported JVD results on 200 mm wafers. Deposition rates of 9 /min. and a refractive index of 1.86. were consistently achieved without a wafer heater. A wafer level thickness uniformity of better than 10 was achieved by using wafer rotation rate and jet scan profile. The film thickness was radially symmetric and the refractive index variation along the wafer radius can be changed by altering the wafer chuck design. Auger electron spectroscopy and TEM analysis show that the films are nonporous and uniform in composition with depth. In this paper, the effects of the process parameters on the film properties will be presented. Our results show JVD nitride to be a promising low temperature process technique for active dielectric applications.

11:45 AM W3.8
COMPLEXED PRECURSOR APPROACH TO TRANSITION METAL NITRIDE FILMS. K. Scott Weil and Prashant N. Kumta, Carnegie Mellon University, Pittsburgh, PA.

The ternary nitride Fe4W2N has recently been synthesized by heat treating an iron-tungsten containing metal-organic precursor in flowing ammonia at 950C. The metal-organic precursor is prepared by first dissolving the two respective metal chlorides in acetonitrile in a 2:1 stoichiometric ratio. The metal species are then complexed with triethylamine to form a semi-viscous, air stable solution that separates out from the acetonitrile phase. Removal of the solvent yields a fine metal-organic powder precursor which can be further dried under vacuum. This precursor, when heat treated at the appropriate temperature in ammonia, yields a single phase ternary nitride, Fe4W2N. The compound is isostructural with the -carbide structure, first describe by Westgren, crystallizing in a complex cubic structure. However, Fe4W2N. unlike other -carbide compounds with a metals stoichiometry of 2:1, contains twice as many first row transition metal atoms in this compound as there are second or third row transition metal atoms. Nearly all other 2:1 -carbide compounds have a stoichiometry of M2T4N, where M= Fe, Co, or Ni and T = Zr, W. or Mo. A second distinction between Fe4W2N and other other 2:1 -carbide compounds lies in the description of its crystal structure; comparable M and T atoms are not found on the same Wyckoff positions. This presentation will provide a detailed analysis of the crystal structure of Fe4W2N and will draw comparisons with other known -carbide based ternary tungsten nitrides. The presentation will also highlight some of the preliminary results obtained on the electronic/magnetic properties of this new nitride.

SESSION W4: SUPERCONDUCTORS 
Chair: Bruce W. Wessels 
Tuesday Afternoon, December 2, 1997 
Salon C/D (M)

1:30 PM *W4.1 
OXIDE SUBSTRATES FOR SUPERCONDUCTORS. D. Ravichandran, A.S. Bhalla, R. Guo, R. Roy, Materials Research Laboratory, The Pennsylvania State University, PA; J. Talvacchio and R.M. Young, Northrop Grumman Corp., Pittsburgh, PA.

Sr2(AlTa)O6 [SAT] currently represents one of the best potential high temperature superconducting [HTSc] substrates for microwave device applications. It has a dielectric constant K12, loss factor Tan <10-4 at microwave frequency. Epitaxial growth of Sr2(AlTa)O6 was made on LaA1O3 single crystals. Phase pure SAT powders and thin-films were formed using the metal-organic precursors. Thin-films of SAT was made using spin on technique. X-ray diffraction shows epitaxial growth of SAT on LaA1O3 at low temperature (650C). SEM micrographs shows a very fine grains with a film thicknesses of 1m. Correlation of X-ray data with surface morphology and roughness will be presented.

2:00 PM W4.2 
SOL-GEL PROCESSING OF YBa2Cu3O7-x SUPERCONDUCTORS. A. Singhal, M. Paranthaman, D.B. Beach, E.D. Specht, A. Goyal, F.A. List, D.F. Lee, and D.M. Kroeger, Oak Ridge National Laboratory, Oak Ridge, TN.

The aim of this work was to develop a non-vacuum chemical deposition technique for YBa2Cu3O7-x (YBCO) coated conductors on rolling-assisted biaxially textured substrates (RABiTS). The RABiTS approach developed at Oak Ridge gives a new approach for the fabrication of long length conductors for high temperature, high field applications. Biaxially Textured YBCO films are found to carry high critical current densities (Jc). We have chosen the sol-gel precursor route to grow textured YBCO films. In this process, Yttium-isopropoxide, Barium metal, Copper methoxide, and 2-methoxyethanol solvent were used as the starting reagents. The as-prepared YBCO sol was partially hydrolyzed with H20 to form polymeric solutions. These solutions were spin-coated on single-crystal oxide substrates and post-annealed in partial pressures of oxygen at temperatures ranging from 800 860|circC. X-ray diffraction studies indicate the presence of an epitaxiaI YBCO film on a SrTiO3 (100) substrate. Detailed experimental results will be presented. Attempts are being made to grow YBCO on textured-Ni substrates with suitable buffer layers.

2:15 PM W4.3 
-SITU GROWTH AND DOPING OF OXYCARBONATE Sr2CuO2(CO3) EPITAXIAL THIN FILMS. K.-W. Chang, B.W. Wessels, W. Qian, V.P. Dravid, STCS/Northwestern Univ., Dept. of Materials Science and Engineering; J.L. Schindler, C.R. Kannewurf, STCS/Northwestern Univ., Dept. of Electrical and Computer Engineering; D. B. Studebaker, T.J. Marks, STCS/Northwestern Univ., Dept. of Chemistry, Evanston, IL; R. Feenstra, Solid State Div., Oak Ridge National Laboratory, Oak Ridge, TN.

Cuprate oxycarbonate Sr2CuO2(CO3) thin films have been epitaxially grown by metal-organic chemical vapor deposition. The effects of substrate temperature and CO2 partial pressure on the phase stability have been determined. X-ray diffraction, transmission electron microscopy, Rutherford backscattering spectroscopy, inductively coupled plasma atomic emission spectroscopy and Fourier transform infrared spectroscopy were used to characterize the structure and composition of these oxycarbonate thin films. The resistivity decreases from 0.2 ohm-cm 0.01 ohm-cm for the undoped Sr2CuO_2(CO_3) thin films upon post annealing at 700^C in oxygen, but semiconducting behavior was observed. Doping has been achieved through partial substitution of the (BO_3)^-3for CO_3)^-2and superconductivity with T_c(onset)of 34K and T_c(zero) has been obtained.

2:30 PM W4.4 
SOL-GEL SYNTHESIS OF RARE EARTH ALUMINATE FILMS AS BUFFER LAYERS FOR HIGH Tc SUPERCONDUCTING FILMS. David B. Beach, Mariappan Paranthaman, Catherine E. Vallet, Chemical and Analytical Sciences Division, Oak Ridge National Laboratory, Oak Ridge, TN; Eliot D. Specht, Metals and Ceramics Division, Oak Ridge National Laboratory, Oak Ridge, TN; Jonathan S. Morrell, Z. Benjamin Xue, Department of Chemistry, University of Tennessee, Knoxville, TN.

An all-alkoxide sol-gel route has been developed for the preparation of rare earth aluminates as buffer layers for high Tc superconductors. The solutions used for deposition consisted of methoxyethoxide complexes of the rare earth metals and aluminum in 2-methoxyethanol. The aluminates of lanthanum, neodymium, gadolinium, and yttrium were grown epitaxially on strontium titanate [100] single crystals. Partial epitaxy of lanthanum and neodymium aluminate on roll textured nickel substrates was also observed. Solid solutions of rare earth aluminates with double perovskites such as SrAlTaO6 were also prepared using similar chemistry. The barrier properties of these films were evaluated using Rutherford backscattering spectroscopy (RBS) and the surface quality of these films was studied using atomic force microscopy (AFM).

SESSION W5: MOCVD OF ELECTRONIC CERAMICS II - PROCESSING 
Chair: Charles H. Winter 
Tuesday Afternoon, December 2, 1997 
Salon C/D (M)

3:15 PM *W5.1 
ROOM TEMPERATURE MAGNETORESISTANCE IN LaxCayMnO THIN-FILMS DEPOSITED BY MOCVD. D.B. Studebaker, Michael A. Todd, C. Seegal, G. Doubinina and T.H. Baum, Advanced Technology Materials, Inc., Danbury, CT.

Liquid delivery, chemical vapor deposition has been used to deposit unique compositions of Ca and Sr doped lanthanum manganate thin-films. The best results were observed for films deposited onto LaA1O3 substrates after thermal annealing in oxygen. The film stoichiometry is quite critical and may be directly correlated to the observed metal to semiconductor transition temperature and film properties. Using this approach, thin-films wer deposited that displayed room temperature transitions and magnetoresistance in relatively small applied fields. The potential to directly control the transition temperature and MR response, via deposited film stoichiometry, opens the door to thin-film sensor applications, thermal switches and thin-film recording technologies.

3:45 PM W5.2 
KINETICS OF GAS-PHASE REACTIONS RELEVANT TO THE CHEMICAL VAPOR DEPOSITION OF INDIUM COMPOUNDS. Mark. D. Allendorf and Anthony H. McDaniel, Sandia National Laboratories, Livermore, CA.

Compounds containing indium find a wide range of electronic and optical applications. Besides the many uses of compound semiconductors such as InSb and InAsSb, indium oxides are also useful in applications such as optical recording, diodes, and thermally insulating films. Chemical vapor deposition processes using metalorganic compounds (MOCVD) are routinely used to deposit indium compounds. As in the case with most other CVD processes, optimization of deposition conditions and hardware can be difficult given the large number of process variables. Although computational models can provide valuable insight into the effects of process variables on deposition rates, kinetic and thermodynamic data required to make the models quantitative are often lacking. This is particularly true in the case of MO compounds and the chemistry of indium-containing precursors is no exception. In this paper we describe experiments designed to elucidate the kinetics of unimolecular and bimolecular reactions involving trimethylindium (TMI, which is often used in indium CVD. Gas-phase concentrations were measured in a high-temperature flow tube, using a molecular-beam sampling apparatus coupled to a quadrupole mass spectrometer. This equipment allows both reactants and products to be monitored. The system is sufficiently sensitive that relatively low input TMI concentrations can be used (200 ppm), which minimizes the impact of secondary reactions that can complicate data interpretation. Measurements of TMI decomposition in the 325 - 425C temperature range yield rate constants that are in good agreement with earlier studies. We also report rate constants for bimolecular reactions of TMI with electron-donor species such as water, hydrogen chloride, and oxygen, which have not been characterized to date.

4:00 PM W5.3 
SPRAY CHEMICAL VAPOR DEPOSITION OF CuInS2 THIN FILMS: INFLUENCE OF DEPOSITION CONDITIONS ON FILM QUALITY. Jennifer A. Hollingsworth1, William E. Buhro1, Aloysius F. Hepp2, Philip Jenkins2, Maria Faur3, Mark Stan4; 1Dept of Chemistry, Washington Univ, St. Louis MO; 2Photovoltaic Branch, NASA Lewis Research Center, Cleveland, OH; 3SPECMAT, Inc., North Olmsted, OH; 4Dept of Materials Science and Engineering, Case Western Reserve Univ, Cleveland, OH.

Chalcopyrite CuInS2 is a direct band gap semiconductor ( 1.5 eV) which has potential applications in photovoltaic thin film and photoelectrochemical devices. We have employed the relatively novel spray chemical vapor deposition method to deposit CuInS2 using the single-source metalorganic precursor, (Ph3P)2CuIn(SEt)4 (Hirpo, , , 115, 1597), which has allowed for deposition of stoichiometric material independent of changes in reactor conditions. The stoichiometric, dense, polycrystalline films were obtained at 300C to 400C using different carrier solvents and precursor deposition rates. Other aspects of film quality, however, were strongly influenced by deposition parameters. Surface morphology and roughness, crystallinity, and film thickness (300nm to >1000nm) were controlled by changing carrier-solvent volatility and deposition temperature. The rate of initial deposition was found to control film orientation ((112) vs. (204)) which was unaffected by crystallinity and composition of the substrate (e.g. fused silica vs. Si(100) or Si(111)). The films were determined to be -type and exhibited optical transmission curves consistent with a direct band gap ( 1.5 eV) semiconductor. XPS results showed no evidence of C, N, O, or P contamination. Resistivities were fairly high ( 10 to >1000 cm; attempts to improve photoconductivity will also be presented to further assess the usefulness of these films in photovoltaic thin film devices.

4:15 PM W5.4 
IN-SITU GROWTH AND CHARACTERIZATION OF PULSED CE (TMI-ID)4 ON SRONTIUM SULFIDE THIN FILMS FOR ELECTROLUMINESCENT DISPLAY APPLICATIONS. Janice E. Lau, Gregory Peterson, Denis Endisch, Karl Barth, Alain Kaloyeras, Center for Advanced Thin Film Technology and Physics Department, The University of Albany-SUNY, Albany, NY; DIck Tuenge, Planar Systems, Inc., Beaverton, OR; Aloysius F. Hepp, NASA Lewis Research Center, Cleveland, OH.

SrS:Ce thin films have shown promising results as a blue phosphor material for application in electroluminescent displays. Due to temperature limitations of the glass substrates used (i.e. 650C for Corning 7059), one preferable method of phosphor deposition is atomic layer epitaxy (ALE). In this paper, data pertaining to the performance and efficiency of tetrakis (2,2,6,6-tetramethyl-3,5-heptadionato) cerium (IV), or Ce(tmhd)4, for use as the cerium dopant source in ALE are presented. Results on the adsorption behavior of Ce(tmhd)4 pulse deposited under conditions that emulate the ALE are reported. In particular, in-situ x-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analyses under ultra-high vacuum (UHV) conditions will be presented and discussed.

4:45 PM W5.6 
CHEMICAL VAPOR DEPOSITION OF STRONTIUM RUTHENATE POLYCRYSTALLINE THIN FILMS. Lamartine Meda, Rein U. Kirss, Dept. of Chemistry, Northeastern University, Boston, MA; Richard C.Breitkopf, Terry E. Haas, Department of Chemistry, Keck Foundation Lab, Tufts University, Medford, MA.

Thin films of Strontium ruthenate, SrRuO3,are attracting interest in ferroelectric devices and DRAM. Films of SrRuO3 have been prepared exclusively by off-axis sputtering and/or pulsed laser deposition (PLD). Building on our success in low temperature deposition of RuO2, here, we report the characterization of polycrystalline SrRuO3 films deposited from RuCp2 ( Ruthenocene) and Sr(thd)2 on Si substrates by CVD. Effect of deposition parameters on film growth rate, crystallinity, and electrical conductivity will be presented.

SESSION W6: POSTER SESSION: 
SOLUTION AND VAPOR PROCESSING OF ELECTRONIC CERAMICS 
Chairs: David B. Beach, Aloysius F. Hepp and Prashant N. Kumta 
Tuesday Evening, December 2, 1997 
8:00 P.M. 
Salons E-G (M)

W6.1 
STRUCTURAL CHARACTERIZATION OF A SERIES OF TERNARY IRON-ZIRCONIUM NITRIDE COMPOUNDS BY THE AMMONOLYSIS OF METAL-ORGANIC PRECURSORS. K. Scott Weil and Prashant N. Kumta, Carnegie Mellon University, Pittsburgh, PA.

A complexed precursor approach based on the ammonolysis of metal-organic precursors has been developed to synthesize a senes of iron-zirconium nitride compounds all with a 1:1 iron to zirconium ratio. In this technique, the two respective metal chlorides are simultaneously dissolved in a common solvent such as acetonitrile (ACN), then complexed with triethylamine to form a semi-viscous, air stable solution that separates out from the ACN phase. Removal of the solvent yields a fine metal-organic powder precursor which can be further dried under vacuum. This precursor, when heat treated at the appropriate series of temperatures in ammonia, yields a series of single phase ternary nitrides. For example, a layered hexagonal nitride, FeZrN2, forms at 725C, but will de-nitride at higher temperature to form the cubic phase, Fe3Zr3N, at 950C. The main advantage of this technique is the formation of a molecularly mixed polynuclear complex, which can be rapidly converted upon heat treatment (due to short diffusion distances) to the corresponding homogeneous nitride. The approach has been used to synthesize a series of four new iron-zirconium nitride compounds that have been characterized using X-ray diffraction, thermogravimmetric analysis, and scanning electron microscopy. This presentation will focus on both the synthesis technique used to prepare these nitrides and their resulting crystal structures.

W6.2 
LOW COST ALTERNATIVE FOR THE FABRICATION OF AMORPHOUS TiC/C THIN FILMS. Annette Bretschneider-Hurley*, Peter J. Schmitz, Chaitanya K. Narula*, Department of Chemistry, Ford Motor Company, Dearborn, MI.

We have recently shown that TiN films on alumina can be economically fabricated from (CH3)3SiNHTiCl3 solutions by the dip-coat method. We are also exploring the fabrication of metal nitride and carbide thin films from a variety of other precursors employing a dipcoat/fire cycle. Unfortunately, many tetraalkyl titanium compounds are unsuitable for the fabrication of films by the dipcoat method because they decompose at ambient temperature via -hydrogen elimination. Here, we report the fabrication of TiC/C films by a dipcoat/fire cycle employing tetrabenzyl titanium, Ti(CH2Ph)4. The pyrolysis of Ti(CH2Ph)4 in a dynamic vacuum at 300C results in an amorphous TiC/C composite powder. The powder remains amorphous on sintering below 1000C, and the TiC phase crystallizes at 1100C. This study suggests that the precursor is suitable for the fabrication of amorphous TiC/C films. Coating alumina and silicon nitride substrates from 0.8 M tetrahydrofuran solutions of Ti(CH2Ph)4 by the dip-coat method and subsequent firing at 300C indeed furnishes TiC/C films. TiN films are obtained if the pyrolysis is carried out in an ammonia atmosphere at 800C. The Characterization of the films by X-ray powder diffraction, X-ray photoelectron spectroscopy, and electron microscopy will also be presented.

W6.3 
ELECTRODEPOSITED CuInSe2 THIN FILM DEVICES. R.P. Raffaelle, Florida Institute of Technology, Melbourne, FL; A.F. Hepp and S.G. Bailey, NASA Lewis Research Center, Cleveland, OH; E. Gordon and R. Haraway, Wilberforce University, Wilberforce, OH.

We have been investigating the use of electrochemical deposition or electrodeposition for producing thin films and junctions based on CuInSe2. CuInSe2 is considered to be one of the best absorber materials for use in polycrystalline thin film photovoltaic solar cells due to its favorable optical and electrical properties, stability, and inexpensive means of production. A cost-effective alternative to vapor deposition methods for producing thin-film CIS is electrodeposition. The composition and semiconductor type, and therefore the electrical and optical properties of these electrodeposited thin films can be controlled via the deposition potential. Current versus voltage characteristics of Schottky barriers on both and type CIS thin films, as well as a CIS junction electrodeposited from a single aqueous solution, will be presented.

W6.4 
LOW-TEMPERATURE, SOLUTION-BASED ROUTES TO NANOCRYSTALLINE INDIUM SULFIDE PHASES AND A NEW CHEMICAL-BATH PROCESS FOR DEPOSITION OF ORTHORHOMBIC InS THIN FILMS. Jennifer A. Hollingsworth and William E. Buhro, Dept of Chemistry, Washington University, St Louis, MO.

We have developed several new, solution-based preparations for nanocrystalline orthorhombic InS and tetragonal In2S3 which are mid band gap semiconductors (2.44 eV, 2.07 eV, respectively) with potential applications in photovoltaics and optoelectronics. Various reagents were used as indium (-Bu3In, -Bu2InCl, and In) and sulfur (H2S, (TMS)2S, and S8) sources. Growth of crystalline powders was dependent upon the addition or generation of indium metal. These reactions represent the first reported use of a Solution-Liquid-Solid- (SLS-) like mechanism by which semiconductors are grown from a molten metal flux for a system other than the III-V family of semiconductors. Additionally, control over InS particle size from the nano regime (average coherence lengths: 40nm to 75nm) to >100nm was obtained by employing the mild preparative conditions (164C to 238C) inherent to the SLS mechanism which are sensitive to changes in precursor reactivity. The studies on powder preparations were used to develop a new, low-temperature (185C) chemical-bath process for depositing polycrystalline InS thin films. The film was deposited from a solution of -Bu3In and S8 (thiophenol added as a decomposition catalyst) onto an In-coated fused-silica substrate; the indium film acted as the critical crystallization medium. Attempts will be made to extend these processes from the binary III-VI system to the ternary I-III-VI family of chalcopyrite semiconductors for development of novel, low-temperature routes to thin films for use in photovoltaic devices.

W6.5 
MICROSTRUCTURE OF COLUMNAR-GRAINED SrTiO3 AND BaTiO3 THIN FILMS PREPARED BY CHEMICAL SOLUTION DEPOSITION. C.L. Jia, K. Urban, Institut für Festkörperforschung, Jülich, GERMANY; S. Hoffmann, R. Waser, Institut für Werkstoffe der Elektrotechnik, Rheinisch-Westfälische Technische Hochschule Aachen, Aachen, GERMANY.

Columnar-grained SrTiO3 and BaTiO3 thin films were prepared by a chemical-solution deposition technique. The microstructure and lattice defects of these films were characterized by means of transmission electron microscopy. These columnar grains were formed by a local epitaxial growth mechanism within individual grain on the basis of a very dilute precursor solution. In the SrTiO3 films the columnar grains exhibit a preferential orientation with a crystallographic <111> direction parallel to the normal of film, which, in turn, follows the orientation texture of the substrate Pt layer. Cubic-to-cubic relationships were found for the two materials. For the BaTiO3 films the columnar grains are oriented in a random way without any preferential relationship to the substrate Pt layer. Pores, lattice defects and grain boundaries occur in either type of film, however in different configurations. This reflects the individual nature of the materials and the different formation and growth mechanisms of the films under the preparation conditions.

W6.6 
SOL-GEL SYNTHESIS OF (Sr,Ba)Bi2(Nb,Ta)2O9 POWDERS AND FILMS. Johathan S. Morrell, Z. Benjamin Xue, Dept of Chemistry, University of Tennessee, Knoxville, TN; Eliot D. Specht, Metals and Ceramics Div, Oak Ridge National Laboratory, Oak Ridge, TN; David B. Beach, Chemical and Analytical Sciences Div, Oak Ridge National Laboratory, Oak Ridge, TN.

An all-alkoxide sol-gel route has been developed for the preparation of layered bismuth containing ferroelectrics of the general formula (Sr,Ba)Bi2(Nb,Ta)2O9. Solutions of the group IIa cations were prepared by the reaction of the metals with 2-methoxyethanol. A bismuth methoxyethoxide solution was prepared via a ligand exchange reaction between bismuth t-butoxide and 2-methoxyethanol. Solutions of the group Va cations were also prepared via ligand exchange of the appropriate metal exthoxide with 2-methoxyethanol. Reactions were followed by NMR and evidence for the formation of a mixed metal complex with the formula (Sr,Ba)(Nb,Ta)2(OCH2CH2OCH3)l2 was obtained. Single-phase powders were observed at temperatures starting at approximately 700C and were full crystalline at 850C. The solutions used for powder formation also proved suitable for coating and highly oriented thin-films were prepared on silver and single-crystal strontium titanate substrates.

W6.7 
PREPARATION OF YBCO SUPERCONDUCTING THIN FILM BY PULSED THERMAL DECOMPOSITION OF ULTRASONIC-MISTED NITRATE SOLUTION. Junichi Kinugasa, Akimitu Hatta, Toshimichi Ito, Osaka Univ, Dept of Electrical Engineering, Suita, Osaka, JAPAN.

Superconducting YBCO has a high critical temperature of about 90 K and its practical application at the liquid nitrogen temperature is to be expected. One of the technological challenges in superconducting electronics is to fabricate thin film devices such as Josephson junctions with high temperature superconductors. Obtaining smooth surfaces on films are the keys to develop high quality junctions. Recently, as one of the material preparation methods using liquid raw material with a uniform composition, we have developed a pulsed thermal decomposition method where a nitrate solution is sprayed to prepare fine homogeneous drops. Because the size of sprayed drops is not sufficiently small, however, it is indispensable how to control the thermal segregation and morphology of the films deposited. By employing pulsed thermal decomposition of ultrasonic-misted nitrate solution, we have succeeded the preparation of thin YBCO films. The processes of our method were as follows: 1. A nitrate solution with a nominal composition of Y:Ba:Cu=1:2:3 is misted by ultrasonic vibrations. 2. The mist of nitrate solution is carried by carrier gas to a MgO substrate whose temperature is kept at a temperature from 630C to 940C. 3. The carried mist is thermally decomposed on the substrate immediately when deposited. These three processes are repeated for a desired period, yielding film growth. The grown films were analyzed by powder X-ray diffraction method, while the surface morphology of the films was observed by a scanning electron microscope. However, the surface morphology obtained so far depended on the decomposition temperature and was better for a higher substrate temperature. The other experimental parameters should also be optimized. Details of these results will be addressed.

W6.8 
INVESTIGATION OF THE MECHANISM OF THE ZINC OXIDE FILM GROWTH WITH ELECTROCHEMICAL QUARTZ CRYSTAL MICROBALANCE. Jaeyoung Lee, Yongsug Tak, Inha Univ, Dept of Chemical Engineering, Inchon, KOREA.

Electrochemical preparation of ceramic thin films on substrates, which has several advantages over conventional methods, is a relatively new method. Zinc oxide has optical and electrical properties and its thin film has been prepared by CVD, sputtering and sol-gel processes. ZnO film has potential applications in energy efficiency windows, solar cells, liquid crystal displays, varistor and other optoelectronic devices. In our work, the mechanism of ZnO film formation on ITO glass was investigated with quartz crystal microbalance(QCM), which can detect mass change during film formation. In the beginning of film formation, the tetra-coordinate aquo zinc ions in solutions are adsorbed on the glass and then, zinc hydroxide is formed with the increase of pH due to the reduction of nitrate ions. QCM results supported that, during the film growth, zinc hydroxide is initially formed and transformed into ZnO. It also suggests that the pH on the substrate is very important in the film growth. The film growth rate is significantly enhanced by pH increase due to the reduction of oxygen and water.

W6.9 
NOVEL ROUTE THROUGH SPRAY PYROLYSIS OF ORGANOTIN COMPOUND FOR ORIENTED, TRANSPARENT, AND CONDUCTIVE TIN (IV) OXIDE THIN FILM FORMATION. S. Kaneko, T. Kosugi, K. Murakami, and K. Nakajima; Shizuoka University, Hamamatsu, JAPAN.

Spray pyrolysis using a simple apparatus with good productivity is one of the the well-known chemical techniques which facilitate the design of materials on a molecular level in thin film formation. Tin (IV) oxide (SnO2) thin film has been used as transparent electrode in sophisticated electronic devices. We have succeeded the preparation of highly [100] oriented SnO2 thin film on glass substrate through spray pyrolysis di-n-butyltin(IV) diacetate [DBTDA]. As-prepared SnO2 film was transparent in the visible region but its electric resistivity was too high for transparent electrode. This paper focuses on the lowering of the resistivity by means of the insertion [100] oriented film between antimony or fluorine-doped tin(IV) oxide film and glass substrate without degrading the preferred orientation and transparency (Table l).

W6.10 
SINGLE SOURCE CVD OF LiAlO2. Wonyong Koh, Su-Jin Ku, Yunsoo Kim, KRICT,Advanced Materials Divison, Taejon, SOUTH KOREA.

We successfully deposited LiAlO2 films, which can be used as substrates for GaN film deposition with good lattice matching, on Si substrates at 400C by single source chemical vapor deposition. A heterometallic compound containing Li and Al at 1:1 ratio is used as a single source, which is sufficiently volatile to be vapor-transported at 50C. The deposited films were characterized by X-ray photoelectron spectroscopy, X-ray diffractometry, and scanning electron microscopy.

W6.11 
CHEMICAL VAPOR DEPOSITION OF (Ba,Sr)TiO3 THIN FILMS USING A CLOG-FREE CO-EVAPORATOR FOR LIQUID METAL-ORGANIC SOURCES. D. C. Kim, W. Jo, H. M. Lee, and K. Y. Kim, LG Corporate Institute of Technology, Materials Application Group, Seoul, KOREA.

(Ba,Sr)TiO3 (BST) thin films were grown by liquid-source delivery chemical vapor deposition. We invented a clog-free co-evaporator for vaporizing metal-organic chemical sources without any step of flush process. Over a wide range of substrate temperature, the films were grown and their structural and electrical properties were investigated. Atomic ratio of Ba, Sr, and Ti is found to be strongly dependent on the substrate temperature. Electron microscopic studies showed that surface roughness and step coverage of the films are also closely related to the substrate temperature. To enhance their electrical properties, effects of N2O gas incorporation into the working ambient were studied systematically. When the ratio of N2O to O2 was 1 during deposition, dielectric constant and dissipation loss were measured as 200 and 1 %, respectively, in the BST thin film with 500 .

W6.12 
NOVEL ANTIMONY PRECURSORS FOR LOW-TEMPERATURE CVC OF ANTIMONIDE THIN FILMS. Michael A. Todd, Gautam Bhandari and Thomas H. Baum, Advanced Technology Materials, Inc., NovaMOS Division, Danbury, CT.

Many thin-film antimonides, including AlGaAsSb, GaAsSb, GaSb, InSb and InGaAsSb, are currently of interest for applications ranging from IR detectors to optoelectronic and laser devices. However, the lack of commercially available precursors for the constituent elements has proven to be a stumbling block to the development of many of these antimonides. In particular, antimony sources that decompose cleanly at low temperatures (< 300C) are not currently available. The focus of this work is the development of volatile antimony sources which decompose cleanly at low temperatures; the molecules synthesized are Lewis base adducts of tris-trifluoromethyl stibine that display high volatility and reduced reactivity towards oxygen, The molecules were characterized using FTIR, NMR, STA/TGA and, in some cases, by crystal structure determination. Preliminary data suggests that all of the molecules will serve as excellent low-temperature antimony sources for CVD deposited materials.

W6.13 
REACTION RATE STUDIES OF METAL AMIDE PRECURSORS FOR CHEMICAL VAPOR DEPOSITION. Bruce H. Weiller, Mechanics and Materials Technology Center, The Aerospace Corporation, Los Angeles, CA; David M. Hoffman, Department of Chemistry, University of Houston, Houston, TX.

CVD using metal amide precursors, M(NR2)4, and NH3 has become an important method for deposition of nitride materials, especially TiN and related diffusion barrier materials. Amide precursors are also useful for the deposition of oxide films such as SnO_. Earlier work examined reaction rates of Ti(NMe2)4 with NH3, and demonstrated that dimethyl amine (DMA) significantly inhibited the reaction rate in the gas phase. Furthermore, DMA was shown to be useful as an chemical additive for the control of the growth rates for TiN deposition. These studies have been extended to Sn(NMe2)4, a readily synthesized, volatile metal amide compound. The reaction rate of Sn(NMe2)4 with NH3 was determined at room temperature and is similar to the value for Ti(NMe2)4. As with Ti(NMe2)4, DMA is the product of this reaction. However, there is no significant inhibition of the reaction rate by addition of DMA. The results will be discussed in light of thermodynamic and kinetic data for the relevant compounds.

W6.14 
PREPARATION OF BORON NITRIDE THIN FILMS BY MOCVD. Sang-Yeol Lee, Yong-Ki Jin, Young-Woo Nam, Joong-Kee Lee, Dalkeun Park, Chemical Engineering Division, Korea Institute of Science and Technology, Seoul, KOREA.

Boron bitride thin films were prepared on silicon substrate by chemical vapor deposition. Triethylboron(TEB) and ammonia were employed as precursors and operating parameters such as reactor pressure and feed rates of gases were varied to investigate their effects on deposition rate and characteristics of films. Total pressure of the reactor was varied from atmospheric to 1 torr and deposition temperature in the range of 850 - 1,50 degrees Celsius. Deposition rate increased with partial pressure of TEB to the power of 0.7 at fixed reactor pressure of 740 torr. Partial pressures of other gases showed negligible effects. Deposition rate increased with decrease of reactor total pressure when partial pressures of TEB and ammonia were kept constant. Two distinct regimes were observed when total pressure in the reactor was varied while total gas feed rate and feed rates, thus molar fraction, of TEB and ammonia were kept constant. From atmospheric to 70 torr deposition rate did not change much with reactor pressure. But for reactor pressure less than 70 torr deposition rate decreased dramatically with reactor pressure. SEM shows morphology of deposited films was influenced by deposition conditions. XPS and AES confirm that films are of nearly stoichiometric BN. FTIR shows signs of h-BN, but XRD peaks are broad suggesting turbostratic nature of deposited films.

W6.15 
ENHANCEMENT OF PHYSICAL PROPERTIES OF DIAMOND COATINGS MADE BY HOT FILAMENT CVD USING TAILORED MOLECULAR PRECURSORS. Olivier Poncelet, Jean-Jacques Garenne, Sylvie Truchet, Kodak European Research, Kodak Industrie, Chalon Sur Saone, FRANCE.

Hot-filament CVD is a deposition technique allowing to obtain high quality diamond coatings in relatively soft conditions. For specific applications (diamond electrodes for environmental technology), some physical properties of the diamond coatings such like adhesion on a metal plate and/or conductivity have to be improved. A judicious choice of molecular precursors such like borane and titanocene allowed to achieve this goal in a very easy and versatile way. The choice of the molecular precursors and their influence on the physical properties of the diamond coatings will be discussed.

W6.16 
REACTION MECHANISMS IN THE GROWTH AND PROCESSING OF SiC MATERIALS DEPOSITED BY METHYLSILANE CVD. Moon-Sook Lee, Stacey F. Bent, New York University, Dept. of Chemistry, New York, NY.

Recent studies have reported successful application of methylsilanes as precursors for growing SiC-based materials. Understanding the growth mechanisms and subsequent thermal conversion processes are important in developing these single source precursors, but are still not fully understood. In this work, thin a-SiC:H films were grown by several methods, including hot-wire CVD and ECR plasma-enhanced CVD at low temperature using mono-, tri-, and tetramethylsilane. The results by different growth methods were compared using in situ multiple internal reflection infrared (MIRIR) spectroscopy and temperature programmed reaction/desorption (TPR/D). The observation of intact methyl groups and a mix of SiHx(x=1-3) species in the films deposited by low temperature HW-CVD using either pure mono- or trimethylsilane suggest that networking in these films occurs largely via Si-Si bonding. In contrast, films grown using tetramethylsilane incorporate hydrogen primarily as CH2 groups, suggesting that carbon is involved in networking. Dilution of monomethylsilane with hydrogen also leads to CH2 incorporation, and changes the thermal reactivity of the films. The difference between films grown using mono- or trimethylsilane versus tetramethylsilane are consistent with the dominance of Si-H bond cleavage during growth. Thermal annealing studies indicate that chain-terminating groups such as SiH3 are the least stable in the film, and undergo reaction near 600 K to evolve silanes. Hydrogen and hydrocarbon evolution occurs at higher temperatures, and is correlated with decomposition of silicon hydrides and methyl groups. Possible reaction mechanisms for growth and thermal decomposition will be presented.

W6.17 
GIANT MAGNETORESISTIVE THIN FILMS OF (La1-xPrx)0.7Ca0.3MnO3 PREPARED BY AEROSOL MOCVD. Oleg Yu. Gorbenko, Alexey A. Bosak, Andrey R. Kaul, Moscow State University, Chemistry Dept, Moscow, RUSSIA; Natalia A. Babushkina, Lubov M. Belova, Kurchtov Institute, Moscow, RUSSIA.

Three seria of (La1-xPrx)0.7Ca0.3MnO3 (x=0,0.25. 0.5. 0.75, 1) epitaxial thin films were prepared on LaAlO#3, SrTiO3 and ZrO2(Y2O3) single crystalline substrates by aerosol MOCVD using diglyme solution of metal 2,2,6,6-Tetramethylheptandionates (deposition temperature 750C, deposition rate 1m/h). It was found that post deposition annealing in oxygen influences significantly the electrical and magnetic properties of the films. A change of PR for La results in the monotonous decrease of Curie (Tc) and maximum resistivity (Tp) temperatures for all seria but simultaneously with the same film composition of the Tc and Tp values vary significantly with the change of substrate materials. A tetragonal distortion was found by XRD in the films on the perovskite substrates following the sign of film-substrate lattice mismatch for cube-on-cube epitaxial growth (with out-of -plane rocking curve width 0.25). The lattice stain in the film decreases with the growth of the film thickness.

8:30 AM *W7.1 
PRECURSORS FOR VAPOR DEPOSITION OF PHOSPHORS FOR ELECTROLUMINESCENT FLAT PANEL DISPLAYS. William S. Rees Jr, Oliver Just, Henry A. Luten, and David Otway; Georgia Institute of Technology, School of Chemistry and Biochemistry, School of Materials Science and Engineering and Molecular Design Institute, Atlanta, GA.

One challenge for the high brightness, low power consumption, full color electroluminescent (EL) flat panel displays is the blue component of the spectrum. One leading candidate for this composition is SrS:Ce. Earlier efforts in atomic layer epitaxy (ALE) of this EL material relied on the tetramethylheptanedionate derivatives of both strontium and cerium Results will be discussed for new precursors for each metal. In the case of strontium a reed developed for an alternative, -diketonate ligand set, whereas, for cerium, the most promising data has emerged for amide ligands. Syntheses, purifications, characterizations and modeling data will be presented for these new compositions, as well as EL results, obtained in collaboration with Planar, America (Beaverton, Oregon) and Planar, International (Helsinki, Finland). We gratefully acknowledge the contributions of Dr. Dick Tuenge and Dr. Runar Törnquist in this latter ALE effort.

9:00 AM W7.2 
NEW MAGNESIUM PRECURSORS FOR DOPING SEMICONDUCTOR FILMS. Charles H. Winter, Jennifer L. Sebestl and Mary Jane Heeg, Department of Chemistry, Wayne State University, Detroit, MI.

Magnesium-doped semiconductors have existing and anticipated applications in the fabrication of blue and green light-emitting diodes, blue and green laser diodes, and in microelectronics devices. At present, the area is limited by the precursor characteristics of bis(cyclopentadienyl)magnesium and substituted derivatives. We will describe our efforts to identify new magnesium source compounds that are potentially superior to magnesocenes and can be used in chemical vapor deposition processes. Monomeric three- and four-coordinate amides have been synthesized and totally characterized. These complexes are low-melting solids and are significantly more volatile than magnesocene derivatives. We will also describe the synthesis and characterization of several other classes of volatile magnesium compounds that might be useful in chemical vapor deposition processes.

9:15 AM W7.3 
NEW LIQUID PRECURSORS FOR CHEMICAL VAPOR DEPOSITION. Roy G. Gordon, Feng Chen, Nicholas J. DiCeglie Jr., Amos Kenigsberg, Xinye Liu, Daniel J. Teff and John Thornton, Harvard University Chemical Laboratories, Cambridge, MA.

New precursors have been found for chemical vapor deposition (CVD) of many metal oxides. Each precursor is a mixture formed by randomly attaching a selected set of organic groups, such as the isomers of the butyl group, to a metal 2,4-pentanedionate (also known as acetylacetonate) in place of the methyl groups of the 2,4-pentanedionate ligand. Most of these new mixed metal beta-diketonates are liquids at room temperature, whereas the corresponding metal 2,4-pentanedionates are solids. In the cases where they were solids or viscous liquids, organic solvents were added to reduce the viscosity below 2 centipoise. We have so far prepared liquid mixed beta-diketonate precursors for barium, strontium, calcium, magnesium, aluminum, bismuth, titanium, zirconium, tantalum, chromium, manganese, iron, cobalt, copper, zinc, yttrium and lanthanum. Liquid sources are much more convenient for CVD than solid sources. These liquid mixtures or solutions were vaporized by ultrasonically nebulizing the liquid into a flow of hot nitrogen carrier gas preheated to 150-250 C. These vapor mixtures were mixed with air or oxygen and flowed over substrates heated typically to 350-450 C. Films of the corresponding metal oxide (or carbonate, in the case of barium and strontium) were deposited on substrates of silicon or glass. Gas pressures from 20-760 Torr were used. Because a common set of ligands is used for each of these metal precursors, they can be mixed as liquids or vapors without any precipitation due to ligand exchange reactions. To demonstrate their use in forming mixed metal oxides, we have prepared films of ferroelectric barium titanate. This method should be applicable to other mixed metal oxides of current interest, such as high dielectric constant strontium titanate, ferroelectric bismuth strontium tantalate, superconducting yttrium barium copper oxide, refractory yttrium zirconium oxide, second-harmonic generating barium borate, metallic lanthanum strontium cobalt oxide and magnetoresistive lanthanum strontium manganate.

9:30 AM W7.4 
SPECTROSCIPIC AND STRUCTURAL STUDIES OF SOME PRECURSORS FOR THE DEPOSITION OF PZT AND RELATED MATERIALS BY MOCVD. K. Fleeting, A. C. Jones, P. O'Brien, and D. J. Otway, Imperial College, London, UNITED KINGDOM.

PZT (Lead Zirconium Titanate), related perovskite and other oxides are potentially important materials as DRAM memories, piezoelectrics, dielectrics The deposition of PZT by MOCVD is a known process, but the most common Zr source, Zr(tmhd)4, is less well characterised than the corresponding Pb or Ti sources. In addition, it is not an ideal MOCVD precursor, in that it is a high melting point solid, and is really too stable requiring elevated substrate temperatures. We have thus sought to modify the Zr precursor through chemical methods and have synthesised a number of novel, more volatile, and less intrinsically thermally stable MOCVD precursors. Full chemical characterisation of the Zr precursors (NMR, IR, MS, CHN, TGA/DSC, Single Crystal X-ray diffraction) and solid state characterisation (SEM, XRD, Auger, HRTEM) of some thin films deposited from these compounds has been effected. We also have structural results on some related lead precursors.

SESSION W8: SILICON DIELECTRICS 
Chair: Bruce A. Tuttle 
Wednesday Morning, December 3, 1997 
Salon C/D (M)

10:15 AM *W8.1 
FABRICATION OF ULTRATHIN NITRIDES AND NITRIDED OXIDES VIA INORGANIC PRECURSORS. P.R. Varekamp, IBM Microelectronics Division, Hopewell Junction, NY; F.R. McFeely, IBM T.J. Watson Research Center, Yorktown Heights, NY; Kyle E. Litz, K.Z. Zhang, Mark M. Banaszak Holl, Dept of Chemistry, Univ of Michigan, Ann Arbor, MI.

Increasing demands on the silicon dioxide layer within MOS transistors have necessitated the addition of some amount of nitrogen to the oxide, as well as the consideration of replacing some of the oxide with a silicon nitride layer. As the electrical quality of the dielectric is highly dependent upon the exact process conditions, a multitude of processes are being explored for the dielectric fabrication. To this end, novel liquid inorganic silicon nitride precursors have been synthesized so as to generate optimal dielectrics upon pyrolysis, alone or via post reaction. In this paper, soft X-ray photoemission spectroscopy was used to study the pyrolysis of some promising precursors. Owing to the stoichiometry of the compound, a primary difficulty is avoiding nitrogen-deficient films. We shall discuss ways to obviate this problem, either in a post-deposition process or via reaction occurring concurrently with deposition.

10:45 AM *W8.2 
NANOPOROUS SILICA FOR LOW DIELECTRICS. T. Ramos, S. Wallace, and D.M. Smith, Nanoglass LLC, Albuquerque, NM.

The dramatic increases in semiconductor processing power and memory storage capacity over the last several decades are the result of continued shrinking of semiconductor feature dimensions. However, as feature sizes in integrated circuits approach 0.25 m and smaller, problems with interconnect RC delay, power consumption and cross talk become urgent and will prevent further performance improvements. Integration of low dielectric constant () materials will mitigate these problems but many of the candidates with significantly lower than currently employed dense silica (=4) suffer from disadvantages. Considerable progress has been made in development of NanoPorous silica thin films (also known as aerogel or low density xerogels) for ILD and IMD applications. Advantages of these materials include high thermal stability, small pore size, similarity to conventional processes and materials as well as dielectric constants much lower than other candidate materials with the ability to ``tune'' the between 1.1 and 4. We have previously reported success in synthesizing low density, low thin films using ambient pressure processing. These films have improved mechanical properties due to controlled pore size and narrow pore size distribution and also because of higher density. The known properties of the film and the process flow for deposition and post-deposition curing will be presented. The trade-offs between density, mechanical strength and dielectric constant will be elucidated. Also, a review of preliminary results for integration of this material into semiconductor devices will be presented.

11:15 AM *W8.3 
AEROGEL AND SURFACTANT-TEMPLATED MESOPOROUS FILMS FOR ELECTRONICS APPLICATIONS. C.J. Brinker, J.A. Ruffner, W.E. Warren, Sandia National Laboratories, Albuquerque, NM; and Y. Lu, C. Sriram, R. Bhattia, and R. Ganguli, The Center for Micro-Engineered Materials, The University of New Mexico, Albuquerque, NM; S.S. Prakash, Dept. of Chemical Engineering, The University of Minnesota, Minneapolis, MN.

Electronic applications for porous silica coatings include selective adsorbent layers for sensors, thermal isolation/barrier layers, and low dielectric constant interlayers. This presentation will outline several strategies to prepare porous silica films using simple dip- of spin-coating procedures. Films with porosities ranging from 10 to over 80% and pore sizes averaging less than 20nm can be prepared from alkyl-silyl derivatized silica sols. The alkyl-silyl groups prevent further condensation reactions/hydrogen-bonding interactions from occurring during film deposition. As the film dries, the elastic gel network initially shrinks but springsback, recreating porosity, at the final stage of drying where the capillary stress vanishes. Springback is controlled by the extent of alkyl-silyl derivatization, enabling tailoring of the final film porosity, refractive index, and dielectric constant. An alternative approach involves organic templating of the film porosity. Inclusion of amphophilic surfactant molecules results in the formation of interfacially organized liquid crystalline surfactant assemblies fossilized in amorphous silica. Removal of the surfactant creates unique porous silicas exhibiting uniform pore size (1-4 nm) and high porosities (50%). Using such approaches, dielectric constants can be varied in the range 2.5 - 1.5 necessary for the next two generations of IC miniaturization.

11:45 AM W8.4 
SYNTHESIS OF NOVEL POROUS THIN FILMS. Dan Skamser, Mark Hampden-Smith, Toivo Kodas, University of New Mexico, Albuquerque, NM.

Recently there has been tremendous interest in porous low dielectric constant films for the interlayer dielectric layer in microelectronic devices. Of particular concern is the ability to use a simple process to produce porous thin films using standard techniques. We have answered this problem by developing a novel method to produce porous thin films, made using traditional techniques of spin-coating and CVD deposition. The films consist of particles overcoated by a dense layer to form a porous film having an impermeable surface. Sufficient chemical vapor infiltration (CVI) is achieved to result in films having satisfactory mechanical properties. Porosities greater than 75% have been achieved suggesting that a dielectric constant of less than 1.8 can be achieved. Both silica and fluorinated-silica materials can be made into porous thin films with this process. The thickness of the films has been controlled between 100 nm to several microns. Various aspects of the microstructure development will be discussed.

SESSION W9: NOVEL PROCESSING OF ELECTRONIC CERAMICS 
Chairs: C. Jeffery Brinker and D. A. Payne 
Wednesday Afternoon, December 3, 1997 
Salon C/D (M)

1:30 PM *W9.1 
AUTOMOTIVE APPLICATIONS OF MATERIALS PREPARED BY CERAMIC PRECURSOR AND SOL-GEL ROUTES. Chaitanya K. Narula, Department of Chemistry, Ford Motor Co., Dearborn, MI .

The promise of new applications continues to drive research on ceramic precursor and sol-gel routes. These routes offer flexibility in the fabrication of materials in forms such as films, coatings, fibers, foams, and powders etc. We have developed several new automotive applications of sol-gel processed materials in the fabrication of devices needed to further reduce tailpipe emissions e.g. electrically heated catalyst [EHC] devices for exhaust treatment under cold-start conditions, controlled pore size alumina materials for lean burn NOx catalysts, and hydrocarbon sensors for on-board diagnostics. We will review our results on the fabrication and testing of prototypes of these devices. For the large scale manufacture of on-board devices based on these prototypes, low cost routes for the fabrication of conducting films are needed. We are investigating the dipcoat method because of the economic advantage and the ease of integration of this method into component manufacturing. We will present our results on ceramic precursor routes to Group IV metal nitrides and sol-gel processed doped tin oxides. The preparation of thin films of TiN from a ceramic precursor and tin oxide by a sol-gel process will be presented. A comparision of the properties of TiN films employing ceramic precursors with those of APCVD films will also be discussed.

2:00 PM W9.2
MICROWAVE PROCESSING OF COMMERCIAL NANOSTRUCTURED SINTERED CERAMICS: WC-Co; ALUMINA, HYDROXYAPATITE; DIAMOND ETC. Dinesh K. Agrawal, J. P. Cheng, R. Roy, Materials Research Laboratory, The Pennsylvania State University, University Park, PA; and P. Seegopaul, Nanodyne Inc., New Brunswick, NJ.

Use of microwaves in ceramics is finally emerging as an innovation in material processing and sintering. It offers very rapid and highly uniform sintering of variety of ceramics. A key feature of microwave sintering is its ability to produce very fine microstructures in the sintered materials. Nanostructured ceramics were commercialized via the glass ceramics route in the 1950's. But although nanosized powders of virtually any oxide composition have been available for decades, no nanostructured sintered ceramics have been commercialized so far. In this study, we have microwave sintered various nanophase powders including WC-Co (Nanodyne nanometer size powder), sol-gel derived nanostructured alumina abrasive grains, hydrothermally prepared hydroxyapatite biomaterial, and nanophase diamond. Green samples of these materials were prepared and sintered in 2.45 GHz microwave field under varying experimental conditions. Density, microstructural and mechanical properties data will be presented. Comparative studies between microwave processed materials and conventionally sintered materials will also be presented. Microstructural examination of most of the samples processed in microwave field indicate a very fine (submicron) microstruture. The sintering conditions were radically lowered in a microwave field, and near theoretical densities were achieved in a very short sintering times (10-30 minutes) in almost all cases. Mechanical properties like hardness and abrasive index data are better than attained by conventional heating.

2:15 PM W9.3 
COMPOSITE FILMS OF NICKEL/SILICON CARBIDE. Maria Hepel, department of Chemistry, State University of New York at Potsdam, Potsdam, NY.

The development of composite coatings has allowed modification of the physical and mechanical properties of metals made by electrodeposition. The potential applications of composite coatings are as dry lubricant of wear-resistant coatings, corrosion of oxidation resistant coatings and dispersion-strengthened coatings. Far a number of applications, electrochemically formed composites are found superior to coatings formed by other methods. Silicon carbide, as an additive to the electrodeposition nickel, has many applications including the coating of combustion engines and casting molds. In this paper, we describe the electrodeposition of nickel/silicon carbide (Ni/.SiC) on steel substrates. The effect of deposition potential, temperature, pH, variable concentration of metal ions, and silicon carbide in the solution on the composition and morphology of electrodeposition nickel/silicon carbide films were investigated using the electrochemical techniques. Scanning Electron Microscopy (SEM) in combination with Energy Dispersive X-ray Spectroscopy (EDS) was used to study morphology and elemental analysis of electrodeposition films. Improved Vicker's microhardness of these composite films was found in comparison with that of particle-free deposits. Effect of organic additives including surfactants on the incorporation of SiC particles into metal film was investigates. Changes in morphology and microhardness of films exposed to high temperature annealing and oxidation were also investigated.

3:00 PM W9.4 
CHEMICAL SOLUTION DEPOSITED (CSD) EPITAXIAL PEROVSKITE THIN FILMS: INTERMEDIATE CARBONATE AND SEEDED CRYSTALLIZATION ISSUES. P.G. Clem, R.W. Schwartz, M. Rodriguez, J.A. Voight, M. Van Stry, and E. Burgos, Sandia National Laboratories, Albuquerque, NM; W.G. Fahrenholz, Advanced Materials Laboratory, University of New Mexico, Albuquerque, NM.

Chemical solution deposition of epitaxial Pb(Zr,Ti)O3, BaTiO3, SrTiO3, and related perovskite films is of interest for high volume production of a variety of electrical ceramic devices. Attainment of epitaxial films for nonvolatile memory, tunable (frequency-agile) dielectric, and superconductor buffer layer applications is often complicated by the formation and slow decomposition of intermediate carbonate species. In this talk, the decomposition thermodynamics and kinetics of common thin film carbonates are addressed. Application of these concepts and/or use of seeded nucleation principles allows control of thin film epitaxial development, polycrystalline/columnar microstructure, and electrical properties. Notably, epitaxial BaTiO3 films may be deposited, with fully saturated hysteresis loops and 50 dielectric tunability at 0-5V. An alternate chemical synthesis route that avoids formation of such carbonates and enables heteroepitaxy of BaTiO3 is discussed. Infrared spectroscopy, in-situ environmental XRD, and electrical characterization are presented in support of these concepts.

3:15 PM W9.5 
CHEMICAL AND SONOCHEMICAL APPROACHES TO THE FORMATION OF VO2 FILMS AND VO2-IMPREGNATED MATERIALS. V. Keppens, D. Mandrus, and L.A. Boatner, Oak Ridge National Laboratory, Solid State Division, Oak Ridge, TN.

Vanadium dioxide, both in single-crystal form and as a thin film, has been the subject of a number of previous investigations. These prior studies were motivated by the large variations in the electronic and optical properties of VO2 that occur as the matenal undergoes a structural phase transition. This transition is also accompanied by a semiconductor-to-metal transition that is characterized by a change in the VO2 electrical conductivity of over two orders of magnitude. The phase-transition temperature of -68C is sufficiently dose to ambient temperature to make this material suitable for a variety of practical applications. In the present work, a new chemical and chemical/ultrasonic approach to the preparation of VO2 films and VO2-impregnated bulk materials has been developed. To this approach, a V2O5 sol prepared by quenching is used to coat SiO2 substrates. The resulting gel-film is heat created in a reducing atmosphere to form a film identified as VO2 from the results of optical and resistivity measurements that reveal the switching behavior characteristic of vanadium dioxide. The V2O5 sol can also be used to impregnate porous silica sonogels which are than heat treated to form VO2 embedded in SiO2. Thc switching properties of these materials are investigated using optical and resistivity mcasuremcnts, and the results are compared to those obtained for VO2-containing materials prepared by more-conventional methods.

3:30 PM W9.6 
LASER CVD RAPID PROCESSING OF CERAMICPHOTONIC BAND-GAP MICROSTRUCTURES. Mike Wanke, Kurt Mueller, Michael Stuke, Max-Planck-Institut f. biophys. Chemie, AG Laser Chemical Processing, Goettingen, GERMANY.

Three-dimensional periodic microstructures of aluminum oxide ceramic - important for creating photonic band-gap structures(PBG¥s) - are fabricated by laser rapid processing using laser-induced direct-write deposition from gas phase mixtures of oxygen and trimethylaminealane or dimethylethylaminealane [1,2]. The structures consist of layers of parallel rods forming a face-centered tetragonal lattice with lattice constants of 66 m and 133 m respectively. These show transmission minima for electromagnetic radiation centered around 4 THz (75 m) and 2 THz (150 m), respectively. PBG¥s will allow precise control of the properties of materials for electromagnetic radiation including their optical properties.

3:45 PM W9.7 
LASER CHEMICAL PROCESSING OF SOLID STATE REACTIONS INVOLVING AMORPHOUS TIO2 FILMS. Tom McEwen, Joseph M. Osman, Rebecca Bussjager, Rome Laboratory, Griffiss AFB, NY; J. Chaiken, Laser Chemical Corporation, Fayetteville, NY and Department of Chemistry, Syracuse University, Syracuse, NY.

We have used a new low temperature CVD precursor, tetrakisdimethylamino titanium, to prepare amorphous TiO2 films on a variety of substrates. For deposition temperatures not exceeding 60C, the films are found to be contiguous, to have high electrical resistance, and to have only small amounts of ligand impurities. This new precursor has none of the corrosion problems of TiCl4 but it does have a more complex deposition chemistry. These films have been used as reactants for laser driven chemistry with other oxides and inorganic salts so as to explore oxygen transfer reactions. The laser chemical role of adsorbed gases and water are discussed in comparison with observations using standard thermally driven control experiments. These results are important in terms of describing inorganic laser chemistry involving common oxides and in terms of applications such as electronic materials processing, photocatalysis and optical memory.

4:00 PM W9.8 
MICROSTRUCTURES IN THIN BaTiO3 FILMS BY HYDROTHERMAL METHOD. Thomas Hoffmann, University of Wuppertal, Institute of Material Science, Wuppertal, GERMANY; Victor M. Fuenzalida, Department of Physics, University of Chile, Santiago, CHILE.

Microstructures in BaTiO3 thin films (BT) are fabricated by combining hydrothermal growth with the lift-off technique. The structures (10 m 10 mm) are obtained by spin-coating and exposure of photoresist on top of Si(100)/Ti-W(5nm)/Pt(100nm) substrates. A thin layer of Ti (80-150 nm) is sputtered on top of the structured photoresist. Subsequently the substrates are treated in aqueous solution of Ba(OH)2 at different temperatures (75-150C) and for different times (30-120 min). The final structures are developed by dissolution of the remaining photoresist in acetone. Scanning electron microscopy shows well defined microstructures. Thickness and width of the grown structures increase in comparison to structures obtained in the untreated Ti layer. This is due to nucleation and growth of BT grains on top of the Ti layer. X-ray diffraction reveals that BT grows in the cubic phase. The incomplete consummation of the Ti layer and alternative methods to overcome this limitation are discussed.

4:15 PM W9.9 
LOW-TEMPERATURE, FAST REACTION CONDITIONS FOR THE SYNTHESIS OF HYDROTHERMALLY PREPARED PEROVSKITE-TYPE MATERIALS. Bonnie Gersten, Richard Riman, Rutgers University, Dept. of Ceramic Engineering, Piscataway, NJ; Margaret Lencka, OLI Systems Inc., Morris Plains, NJ.

A study was conducted on the hydrothermal synthesis of perovskire-type systems (i.e. PbTiO3, BaTiO3, SrTiO3, and (Ba,Sr)TiO3) from soluble metal species and nano-oxides. The conditions (e.g. temperature, reagents, and input concentrations) were chosen based on the thermodynamically modeled region for phase pure perovskite. A comparison between theoretically modeled and experimental conditions were made. Phase pure perovskite was predicted to precipitate at temperatures as low as 25C. However, minimum reaction temperatures were found to experimentally depend on the precursor and specific perovskite. For example, reaction conditions for the formation of pure crystalline PbTiO3 could be reduced from 140C to as low as 70C depending on the precursor. While pure crystalline SrTiO3 could be made as low as 40C. This result is especially significant since reactions were then be performed at ambient pressure in open refluxing reactors as opposed to less practical closed reaction bombs typically employed. Additionally, kinetics of the materials were studied It was found that metal2+/metal4+ molar ratio, temperature, and mineralizer concentration all significantly affected the reaction rate. A comparison between the various perovskites will be reported and discussed.

4:30 PM W9.10 
THICK FILMS OF Pb(Mg,Nb)TiO:(Ba,Sr)TiO BY AEROSOL COMBUSTION. Anton C. Greenwald and Andreas Kussmaul, Spire Corporation, Bedford, MA; T.F. Morse and C.H. Wei, Brown Univ., Providence, RI.

Thick films of solid solutions of lead-magnesium-niobium-oxide (PMN), lead titanate (PTO), and barium-strontium-titanate (BST) were deposited by aerosol combustion Films consisted of submicron ceramic particles synthesized by combustion of a metalorganic solution in a methaneoxygen flame. Both water based (sol-gel) arid organic solvent based (TMHD) solutions were tested. The particulate material was deposited on heated substrates (200C) and subsequently sintered at elevated temperatures. Results varied with starting chemistry and sintering conditions. As-deposited materials were mixed perovskite-pyrochlore phase. sintering at low temperatures produced pure perovskite material that was not completely dense. sintering at high temperatures produced dense ceramics of pyrochlore material. his technique requires further optimization for direct deposition of continuous films, but is immediately suitable for producing fine powders for tape casting of capacitors, etc.

4:45 PM W9.11 
PROCESSING AND CHARACTERIZATION OF SUPERPARAMAGNETIC IRON OXIDE-TITANIA NANOCOMPOSITE BY GAS PHASE FLAME AEROSOL ROUTE. Guixiang Yang and Pratim Biswas, Aerosol & Air Quality Research Lab, Dept of Civil & Environmental Engineering, Punit Boolchand, Dept of Electrical and Computer Engineering, University of Cincinnati, Cincinnati, OH.

Gas phase combustion processes offer potential to produce industrial scale nanostructured particles and films at low cost. Iron-oxide/silica superparamagnetic nanocomposites were prepared by flame aerosol routes (Biswas et al., 1997). In this work, similar route was used to produce iron-oxide/titania nanocomposite using titanium isopropoxide and iron carbonyl as precursors. The objective is to synthesize superparamagnetic materials with application of magnetic refrigeration. In the synthesizing flame region, precursors are decomposed in high temperatures and corresponding oxide species are formed, which in turn, will nucleate to form clusters. Such particles assembled from gas phase can be limited to smaller size and retain high purity. The different components of TiO and FeO may collide with each other and matrix particles are thus obtained in situ in the flame zone. The combustion processes in terms of time-temperature histories were modified as to be able to control the nanocomposite domain sizes. Electron microscopy, X-ray diffraction, Mossbauer spectroscopy and vibrating sample magnetometry were used to characterize the as produced nanocomposites in an effort to investigate the structural effect and optimize the Giant Magnetocaloric effect. Raman scattering and FT infrared spectroscopy were used to study the shifted quantum band in the nano-isolated materials. Results provide perspectives into the solid state modification of FeO/TiO composite with many attractive features, which also have applications in several different industries.

SESSION W10: PROCESSING OF SILICON CARBIDE AND DIAMOND 
Chairs: Robert F. Davis and Rustum Roy 
Thursday Morning, December 4, 1997 
Salon C/D (M)

8:30 AM *W10.1 
EFFECTS OF HYDROGEN ON SILICON CARBIDE POLYTYPE CONTROL AND THE GROWTH OF DIAMOND IN MOLTEN NICKEL. Robert F. Davis, R.S. Kern, S. Tanaka, K. Jarrendahl, P.C. Yang, W. Liu, C.A. Wolden, J.T. Prater*, and Z. Sitar, North Carolina State University, Department of Materials Science and Engineering, Raleigh, NC. *Army Research Office, Research Triangle Park, NC.

Thin films of SiC have been grown at 1000-1500C on vicinal and on-axis (6H)-SiC(0001) substrates via gas-source molecular beam epitaxy. Growth using only SiH4 and C2H4 resulted in 3C-SiC(111) epilayers under all deposition conditions and substrate orientations. With the addition of H2, films of 6H-SiC(0001) were deposited on the vicinal substrates at deposition temperatures 1350 C. Kinetic analysis showed all depositions to be surface reaction controlled. In situ doping was achieved by intentional introduction of nitrogen and aluminum into the growing crystals. Diamond films having the (100) and (111) orientation have been grown in similarly oriented single crystal Ni substrates using a multi-step, diamond and non diamond seeding and subsequent growth process. Large changes in the reflectivity of the seeded Ni surface occurred upon heating due to surface melting and dissolution of the seeding phase. The presence of atomic hydrogen lowered the eutectic melting point of the thin, Ni-C surface solution from 1325 C to 1100 C. The molten Ni-C-H surface layer suppressed graphite formation and promoted diamond nucleation. The atomic hydrogen acted as a chemical pressure. TEM studies revealed the nucleation of the diamond occurred on crystals of Ni4C which, under equilibrium conditions, only occurs at the high temperatures and pressures associated with commercial synthesis of diamond using Ni as a solvent. The SiC and diamond synthesis routes and the relevant chemistries involved in the growth of both materials will be presented.

9:00 AM W10.2 
PECVD OF AMORPHOUS SILICON CARBIDE FROM TRIMETHYLSILANE. James T. Kelliher, Mona Massuda, Peter A. DiFonzo and Thomas R. Neal, Microelectronics Research Laboratory, Columbia, MD.

Plasma enhanced chemical vapor deposition (PECVD) of amorphous silicon carbide (SiC) was achieved for the first time in a commercial Applied Materials Precision 5000 using trimethylsilane (3MS) and either argon or nitrogen as the carrier gas. The effect of process conditions of temperature (200-400ƒC), power (100-600 W), pressure (2-8 torr), gas flow rates, and electrode spacing were investigated for the deposition of SiC. Using ellipsometry and n&k Analyzer techniques, the index of refraction ranged from 1.79 to 2.36 depending on 3MS flow (25-100 sccm) and carrier gas. From process optimization, we achieved a deposition rate of 1 micron / minute with a standard deviation of < 5% on a 150 mm silicon wafer at 350ƒC. Analysis of film composition was done by Auger and verified by RBS on some samples. The SiC films were also characterized for stress, pinhole density, dielectric constant and breakdown strength.

9:15 AM W10.3 
INVESTIGATION OF LOW MOLECULAR WEIGHT CARBOSILANES AS POTENTIAL SINGLE-SOURCE PRECURSORS TO SILICON CARBIDE. Michael A. Lienhard, Leonard V. Interrante, RPI, Dept of Chemistry, Troy, NY.

The current route to epitaxial silicon carbide (SiC) employs separate molecular sources of both silicon (silane) and carbon (typically propane) and additionally requires hydrogen as a co-reactant/carrier gas. Low molecular weight carbosilanes containing a ``built-in'' 1:1 ratio of Si:C potentially offer an alternate route to epitaxial SiC. These organometallic molecules are sufficiently volatile for CVD processes, decompose at relatively lower temperatures (700C) than those employed in the conventional CVD process (>1400C), and already possess the proper stoichiometry. In addition to potentially simplifying the SiC CVD process, these single-source precursors could alleviate the need for the hydrogen co-reactant which also etches hot graphite reactor components and results in releasing significant amounts of unwanted impurities. A comparison of the first four members of the carbosilane family containing a 1:1 Si:C ratio [methylsilane (CH3SiH3), 1.3-disila-n-butane (SiH3CH2SiH_2CH3), 1,3-disilacyclobutane (SiH2CH2)2, and 1,3,5-trisilacyclohexane (SiH2CH2)3] in terms of both their pyrolysis chemistry (decomposition onset temperature and gaseous by-products) and characterization of the solid deposits produced (growth rate, stoichiometry, crystallinity and morphology) is underway to determine the feasibility of using such carbosilanes for epitaxial SiC SVD as well as to gain a better fundamental understanding of the pyrolysis chemistry of these compounds.

10:00 AM *W10.4 
FOUR REVOLUTIONS IN DIAMOND SYNTHESIS: THE VINDICATION OF EDISONIAN CHEMISTRY. Rustum Roy, Materials Research Laboratory, The Pennsylvania State University, University Park, PA.

The first principles of materials synthesis‹crystal chemistry and phase equilibria‹have proved over the last 50 years to be extremely reliable because they are based on infallible empirical evidence. Thousands of brand new phases have been made using chemical first principles. Principles from the subatomic domain have failed in 60 years to provide any guidance for phase synthesis or stability from Born's studies of the NaCl-RbCl transition through the non-existent ``C3N4'' fiasco. Of the many early chemical approaches made to diamond synthesis it now appears virtually certain that several were successful. The investigators did not have the tools to prove their case since only small crystals would have formed. Hence when the high-pressure high-temperature (HPHT) process‹the first revolution‹was confirmed in many labs by 1955 and exploited commercially by GE, all other methods appeared discredited and the doctrine that diamonds could only be made in the P-T stability region was established. The Soviet revolutionary successes in 1 atm CVD diamonds had started in 1966 and by 1976 were well established. By 1982, with the convenient Japanese processes, this key revolution demolished the HPHT‹stability region dogma. Carefully planned and executed Edisonian experiments have proved their value, with no further ``theory'' needed. By 1992 when we introduced the low pressure solid state Source (LPSSS) process and showed that mixing a metal powder with carbon and exposing it to a hydrogen plasma made diamonds, the same resistance to innovation was observed. In the same patent we described an even more revolutionary process involving no plasma and I atm total pressure. This route uses metal hydride decomposition as the source of nascent hydrogen. Recently we provided detailed evidence for successful growth of diamonds under hydrothermal conditions : 800C, 1 kbar H2O, with metal (Fe or Ni) additives. The most unexpected new revolution was found by accident by QQC, Inc. in the use of multiplexed pulsed lasers by to form diamond layers on WC cutting tools at the rate of about 1/sec in the open air. Data will be presented on all these new processes (except HPHT).

10:30 AM *W10.5 
MORPHOLOGY AND ELECTRICAL PROPERTIES OF NANOCRYSTALLINE CVD DIAMOND THIN FILMS. Alan R. Krauss, Dieter M. Gruen, Daniel Zhou, Thomas G. McCauley, Lu Chang Qin, Timothy Corrigan, Materials Science and Cehmistry, Divisions, Argonne National Laboratory, Argonne, IL; Orlando Auciello, Materials Science Division, Argonne National Laboratory, Argonne, IL; Sergei Pimenov, Vitaly Konov, Alexaner Karabutov, General Physics Institute, Moscow, RUSSIA; R.P.H. Chang, Materials Science Department, Northwestern University, Evanston, IL.

Nanocrystalline diamond thin films have been produced by microwave plasma-enhanced chemical vapor deposition (MPECVD) using plasmas consisting of either Ar or N2, C60 or CH4, and varying amounts of H2. For H2 concentrations = 20%, these films are found to be nanocrystalline diamond, largely devoid of observable graphitic or amorphous carbon inclusions, with atomically abrupt grain boundaries. The growth mechanism and morphological properties of these films are explained in terms of a high nucleation rate resulting from a low concentration of atomic hydrogen in the plasma. Initial growth is in the form of diamond, which is the thermodynamic equilibrium phase for grains = 5 nm in diameter. Once formed, the diamond phase persists for grains up to at least 15-20 nm in diameter. The renucleation rate in the near-absence of atomic hydrogen is very high (1010 cm-2 sec-1), limiting the average grain size to a nearly constant value as film thickness increases, although the average grain size increases as hydrogen is added to the plasma. The growth species is believed to be the carbon dimer, C2, for hydrogen concentrations less than 20%, rather than the CH3 growth species associated with diamond film growth at higher hydrogen concentrations. For the films grown from the C60 precursor, the surface conductivity and threshold field for cold cathode electron emission depend strongly on the hydrogen concentration in the plasma, with threshold fields as low as 2 volts/micron. A model of electron emission, based on quantum well effects at the grain boundaries is presented. This model predicts promotion of the electrons at the grain boundary to the conduction band of diamond for a grain boundary width 3-4 Å, a value within the range observed by TEM. *This work has been supported by the U. S. Department of Energy, BES Materials Sciences, under Contract W-31-109-ENG-38.

11:00 AM W10.6 
NON PLASMA SOLID STATE SOURCE DIAMOND SYNTHESIS AT ATMOSPHERIC PRESSURE. P. Ravindranathan, R. Roy, A. Badzian and W.R. Drawl, Intercollege Materials Research Laboratory, Pennsylvania State University, University Park, PA.

The discovery of the low pressure solid state source process (LPSSS) in 1992 involve exposing metal and carbon mixtures in hydrogen plasma to yield diamond. Here we report a variant of our LPSSS process in which we use no hydrogen plasma at all. The mixed carbon and metal (Cu and Ni) pellets are exposed to ''nascent H'' given off by thermal decomposition of metal hydrides e.g. ZrH4, TiH4 etc. at between 800 1200C. The X-ray powder diffraction and Raman spectra show formation of diamond. The details of the temperature cycle and other processing parameters will be presented.

11:15 AM W10.7 
CHEMICAL ETCHING OF DIAMOND REVISITED: PAST BASIC RESEARCH AS GUIDE TO NEW PROCESSING TECHNOLOGIES. Kuruvilla A. Cherian, Jim Litster, Victor Rudolph and Edward T. White, Dept. of Chemical Engineering, Univ. of Queensland, Brisbane, AUSTRALIA.

Increased rate of material removal and a high degree of surface finish during the polishing of diamond is desirable not only in the technology of gem diamond processing, but also for the tribological, optical, electronic and thermal management applications of chemical vapour deposited (CVD) diamond films. Conventional mechanical abrasion techniques, though with comparatively larger material removal rates, have limitations to the surface finish attainable due to the inherent nature of the material removal process predominantly microchipping. Chemical etching of diamond, on the other hand is understood to involve atom by atom material removal preferentially from defect sites, but with lower overall material removal sates. It has been realized that a combination of these two processes, leading to chemi-mechanical polishing, may therefore provide better overall results, in terms of material removal and surface finish. In recent work on chemi-mechanical polishing of diamond, KN03 - an oxidizing agent shown to be a dislocation etchant for diamond by Tolansky and Patel in the 1950s - has been employed. Dislocation etchants usually lead to the formation of block patterns on diamond surfaces under the usual etching conditions because of the normal and tangential etch rates (Vn and Vt) of comparable magnitude. KN03 therefore would impose a limitation on the degree of surface finish attainable when used for chemi mechanical polishing. However, if a chemical etchant and conditions for which Vn Vt could be established for diamond surfaces, better chemical polishing effects may be achieved. Experimental investigations in this direction have provided encouraging results and some aspects of the new results are presented.

11:30 AM W10.8 
SINGLE CRYSTAL HOMOEPITAXIAL GROWTH OF DIAMOND FILM BY LOW PRESSURE SOLID STATE SOURCE PROCESS (LPSSS). R. Roy, J.P. Cheng, P. Ravindranathan, J. Cooper, A. Badzian and W.R. Drawl, Intercollege Materials Research Laboratory, Pennsylvania State University, University Park, PA.

Currently, there is an enormous interest and effort to grow single crystal diamond via a variety of techniques such as hot filament chemical vapour deposition (CVD) and microwave assisted plasma CVD. The present work follows our discovery of the LPSSS process, using solid carbon sources instead of gaseous carbon sources for single crystal diamond growth. Single crystals of diamond are surrounded in intimate powdered mixtures of various metals with carbon. The samples are processed using a wave guided 2.45 GHz microwave tubular reactor. A growth rate of 5-8 m/h have been achieved for rather perfect overgrowths on single crystal diamond substrates using the LPSSS process with Ag and Co among others.

11:45 AM W10.9 
CONSIDERATIONS FOR THE NUCLEATION AND GROWTH OF DIAMOND USING OXYGEN RICH CHEMISTRY. C.A. Wolden, C.E. Draper, R.F. Davis, Z. Sitar, North Carolina State University, Department of Materials Science and Engineering, Raleigh, NC; J.T. Prater, Army Research Office, Research Triangle Park, NC.

It has been shown that the addition of oxygen can significantly improve certain aspects of diamond growth, including increasing growth rates and reducing deposition temperatures. However, it has also been observed that high quality (i.e high thermal conductivity) has not been produced from oxygen rich mixtures. We have studied the nucleation and growth of diamond in a flat flame CVD reactor. The dependence of nucleation was studied by using a range of different substrate materials. Substrates examined include silicon, glass, titanium, tungsten, nickel, and molybdenum. We demonstrate that the reactant composition ``window'' for diamond nucleation is strongly determined by the nature of the substrate material. Furthermore, once a continuous diamond film is formed, the composition window for diamond growth appears to be independent of the original material. The influence of reactant composition on diamond growth rate, morphology and quality has been studied systematically. The dependence of growth rate and morphology have been quantified using scanning electron microscopy. Raman spectroscopy and secondary ion mass spectroscopy (SIMS) have been used to assess the quality of the films. An important finding was that the highest quality diamond was grown at conditions where diamond does not nucleate on many materials including the conventional substrate silicon. Thus, the production of high quality diamond on silicon requires different processing conditions for nucleation and growth.

SESSION W11/II8: JOINT SESSION: 
INTELLIGENT PROCESSING OF ELECTRONIC CERAMICS 
Chairs: Thomas F. Kuech and Peter A. Rosenthal 
Thursday Afternoon, December 4, 1997 
Salon C/D (M)

1:30 PM *W11.1/II8.1 
GAS PHASE REACTIONS OF TRIMETHYLGALLIUM, AMMONIA AND TRIMETHYLAMINE: GROWTH CHEMISTRY AND REACTOR MODELS. T. F. Kuech, Nicole Pauly, S.A. Safvi, A. Thon, and D. Saulys, University of Wisconsin, Department of Chemical Engineering, Madison, WI; Joan Redwing and M. Tischler, Epitronics, Phoenix, AZ.

The gas phase reactions between the trimethyl alkyls and ammonia are a defining feature of the MOVPE of GaN and related materials. We have carried out extensive homogeneous gas phase studies of the reaction between trimethylgallium and ammonia. The immediate release of methane and the resultant formation of a new compound at almost collision rates characterize the gas phase reaction. This gas phase reaction is modified through the co-introduction of trimethyl amine. These gas phase reactions are used in the development of a reactor model that allows the impact of this known chemistry on the detailed materials properties. The measured kinetic data and proposed reaction schemes will be presented as well as the use of these measured kinetic parameters in a chemical and transport model of a working MOVPE reactor. Through the comparison of model predictions and the measured growth rate data and uniformity, we both test the proposed reaction scheme as well as utilize this scheme in process optimization.

2:00 PM W11.2/II8.2 
IN SITU MONITORING THE EFFECTS OF GAS MIXTURES ON THE ION BEAM DEPOSITION OF DIAMOND-LIKE CARBON FILMS. Richard L.C. Wu, William C. Lanter and Aaron Dalton, K Systems Corporation, Beavercreek, OH; Peter B. Kosel and Roberto Monreal, Univ. of Cincinnati, Dept. of Electrical and Computer Engineering, Cincinnati, OH.

A quadruple mass spectrometer and a total ion current measuring device have been utilized to monitor the ion compositions of the gas mixtures of CH4/H2,CH4/Ar, CH4/H2/O2 and CH4/H2/N2 during the deposition process for quality control and process optimization. An ultra high vacuum system using a 20 cm diameter RF excited (13.56 MHz) ion gun and a four-axis substrate scanner has been developed for the deposition of diamond-like carbon films for electrical, optical, and tribological applications. At a constant RF power below 500 W for all the different mixtures the mass spectra showed the most abundant ion is CH3+. Addition of Ar, H2, O2 and N2 with CH4 into the ion source was found to affect the adhesions deposition rate, and physical and chemical properties of the DLC films. By use old mass spectrometer with and without the electron beam, the degree of ionization of CH4 can be calculated. As the concentration of Ar increased, the deposition rate of DLC increased. Whereas, as the hydrogen concentration increased, the deposition rate was found to decrease. With no oxygen in the CH4/H2(13.6/34), the mass spectra showed the important ionic species of CH3+, CH4+, CH2+, H2+ H+, and H3+. As the concentration of oxygen increased in the plasma at 179 W, the m/e =19 (H3O+) was found to increase and other hydrocarbon and hydrogen ions decreased resulting in a decrease in the film growth and began to etch the Si and glass substrates. The optical bandgap and electrical properties of these DLC films have been characterized. Thus, in-situ mass spectrometric monitoring of the ion distribution inside the Rf discharge plasma will ensure the quality of the DLC films. The correlation between the ionic distribution and the DLC film growth rate and their properties will be presented and discussed.

2:15 PM W11.3/II8.3 
IN SITU MONITORING AND ANALYSIS OF SiNx/SiC MULTILAYERS GROWN BY PULSED LASER DESPOSITION. E.C. Samano, Gerardo Soto*, Roberto Machorro, and Leonel Cota, Instituto de Fisica-UNAM, Laboratorio de Ensenada, Ensenada, MEXICO; *Also at Programa de Posgrado en Fisica de Materiales, CICESE, Ensenada, MEXICO.

Si3N4 and SiC are two materials with remarkable properties. The good strength, low thermal expansion and good dielectric properties of the nitride are well known, whereas the carbide is a semiconductor with a higher thermal conductivity, a better hardness and oxidation resistance. Hence, the challenge is to combine these complementary characteristics in a same material. Si3N4 and SiC are intrinsically difficult to sinter because of their basically covalent bonding and low diffusion coefficients. Multilayers on SiNx/SiC would be an alternative to fabricate this now material. The in situ monitoring is highly desirable to control the thickness, composition and properties of each layer during the deposition processing. SiNx/SiC multilayers are grown on single crystal silicon substrates by laser ablating Si3N4 and SiC targets in an UHV system using a KrF excimer laser. The growth of each layer is controlled by real time ellipsometry at a fixed wavelength, 496 nm, and incidence angle, 72. After depositing each layer, the optical properties and composition are obtained by performing in situ ellipsometric measurements in the 247 to 823 nm wavelength range. The best curve fitting of the experimental data is used to find the film composition. The ellipsometric data are complemented by in situ characterization using surface analytical techniques, XPS and AES.

3:00 PM W11.4/II8.4 
RHEED INVESTIGATIONS OF CARBON INTERACTION WITH SILICON FOR SILICON CARBIDE FORMATION. Jorg Pezoldt, Volker Cimalla, Thomas Stauden, Gerd Eichhorn, TU Ilmenau, Institut fur Festkorperelektronik, Ilmenau, GERMANY.

The buffer layer formation is a common technique for a successful heteroepitaxial growth. In the case of SiC growth on Si the carbonization of the substrate is the standard method to form the pseudosubstrate. This process was extensively studied for the interaction of different hydrocarbons with Si in CVD and gas source MBD (GSMBE) environments. Comparing this methods with solid source MBE (SSMBE) the latter one offers the possibility to reduce the SiC formation temperature because of the absence of hydrocarbon decomposition and to form structures which were not observed under CVD and GSMBE conditions. However up to now no systematic research for the SiC formation is published. We studied the dynamics of SiC formation under SSMBE conditions with in situ RHEED and ex situ AFM and AES in a temperature range from 600C to 1200C for different carbon fluxes. The temperature and flux dependence of the incubation time of the SiC formation was determined in order to investigate the kinetics of the initial growth. In dependence on the growth conditions we observed a change in the early interaction mechanism leading to layers with changing Si/C ratios. With increasing substrate temperature the roughness of the formed SiC layer increases caused by a changing silicon transport mechanism. The obtained results pointed out the role of a thin single crystalline diffusion barrier for a successful following epitaxial growth.

3:15 PM W11.5/II8.5 
IN SITU FTIR SPECTROSCOPIC DETECTION OF ADSORBED SPECIES ON SAPPHIRE SUBSTRATES IN A DIAMOND ECR-PACVD SYSTEM. F. Shahedipour and H.W. White, Department of Physics and Astronomy, University of Missouri-Columbia, Columbia, MO.

A novel in situ FTIR spectroscopic technique at high grazing angles was used to monitor the diamond film growth on a sapphire substrate. The main focus was on the determination of the chemical nature of the adsorbates. Deposition of diamond on transparent sapphire is confirmed by Raman and SEM characterization methods. In this study an ECR MW PACVD method was used for gas molecular dissociation in order to deposit carbon species from methane. The most abundant carbon containing gaseous species present in most activated systems are methyl radicals and acetylene molecules which are also considered to be predominant growth precursors for diamond growth. In this study it has been shown by real time monitoring of the adsorbed species on the substrate that the dominant growth precursor is the methyl radicals present in the plasma.

3:30 PM W11.6/II8.6 
IN SITU DETERMINATION OF SURFACE ROUGHNESS FROM OPTICAL PYROMETRIC STUDIES OF THE INITIAL GROWTH OF CVD DIAMOND FILMS. Z.L. Akkerman, Y. Song, Z. Yin, and F.W. Smith, Physics Department, City College of the City University of New York, New York, NY; R. Gat, ASTeX, Woburn, MA.

The initial growth of diamond films on Si and Mo substrates in a microwave plasma reactor has been studied using in situ two-color infrared pyrometry. Analysis of the observed temperature oscillations has yielded the substrate temperature, the film growth rate, and the rms surface roughness of of the growing film up to roughnesses 0.4 m. A procedure is described that allows the parameters of the growing film to be extracted in situ. Two distinct regimes of growth have been clearly identified from the dependence of on film thickness: an initial region of rapidly increasing before the diamond nuclei coalesce at a thickness of d0.3m, followed by a slower, quasi-linear increase of as the continuous film grows further. For the growth of diamond of higher optical quality the roughness increases faster, but the transition between the two regimes occurs at the same film thickness. Significant differences have been observed for diamond growth on Si and Mo which are due to the initial roughnesses and optical properties of the two substrates. The initial deposition of diamond on Mo is affected by the nucleation on a rough surface and by the non-isothermal conditions caused by the rapid increase of the emissivity, and therefore by radiational cooling of the surface, after the deposition starts. THe parameters of the growth process obtained from this in situ study are in agreement with ex situ measurements of the final thickness and roughness of the films as determined using IR UV-VIS spectroscopy, and AFM.

3:45 PM W11.7/II8.7 
DETERMINATION OF POSITIVE ION PROFILE IN Ar/CH4 PLASMA USING TOF MASS SPECTROSCOPY*. George Z. Yi, Physics Department, Columbia University, New York, NY; A. Krauss and D. Gruen, Materials Science and Chemistry Divisions, Argonne National Laboratory, Argonne, IL; P.I. Herman, Applied Physics Department, Columbia University, New York, NY.

Nanocrystalline diamond thin films have been grown from Ar/CH4 plasma-assisted chemical vapor deposition. Emission spectroscopy and absorption spectroscopy have been used to diagnose the concentration of neutrals in the plasma. To further understand the gas phase chemistry, we need information about ion concentration in the plasma. A time-of-flight mass spectroscopy (TOFMS) was designed and implemented at ANL to detect the ion species in Ar/CH4 plasma. The plasma was excited by rf or microwave discharge. Positive ion concentration has been estimated. In particular, we have examined the evolution of the profile of positive ions as a function of pressure (20 mTorr to 10 Torr), rf power, and CH4 concentration in gas mixtures. We found the relative concentration of positive ions is very sensitive to the pressure. For example, in a 3% CH4 in Ar plasma, C2+ /CH3+ ratio is almost zero at 20 mTorr, 1:25 at 130 mTorr, 1:16 at 200 mTorr, 1:1 at 1 Torr, when pressure rises to 10 Torr, the ratio is 1.5 to 1. Carbon dimers become the dominant carbon species. This supports the growth mechanism proposed for diamond thin film utilizing C2 as growth specie. Another interesting result is that as pressure rises, Ar+ intensity drops dramatically while ArH+ increases. At 200 mTorr, the ratio of ArH+/Ar+ is already 30:1. This strongly suggests Ar++ is involved in fast ion molecular process in cracking CH4, C2H6. A comparison with ion beam experimental results and electron impact process will be discussed.

4:00 PM W11.8/II8.8 
SPECTROSCOPIC DETERMINATION OF [] IN AR// AND AR// MICROWAVE PLASMAS FOR NANOCRYSTALLINE DIAMOND SYNTHESIS. A.N. Goyette, J.E. Lawler, L.W. Anderson, Department of Physics, University of Wisconsin, Madison, WI; D.M. Gruen, T.G. McCauley, D. Zhou, A.R. Krauss, Chemistry and Materials Science Divisions, Argonne National Laboratory, Argonne, IL.

In contrast with conventional methods of diamond chemical vapor deposition (CVD), nanocrystalline diamond films may be grown in environments where hydrogen comprises only a small percentage of the feed gas mixture. Methyl, which is thought to be critical to diamond formation in conventional hydrogen-rich CVD environments, is produced in very small quantities under these novel chemistries and alternative mechanisms for diamond growth must be considered. The carbon dimer, , is believed to be an important species in these growth chemistries. We have measured the concentration of gas phase and have systematically compared the optical emission intensity of the (0,0) vibrational band of with the absolute concentration in Ar// and Ar// microwave plasmas used in the deposition of nanocrystalline diamond films. High sensitivity white light absorption spectroscopy is used to monitor concentration using the (0,0) Swan band of as chamber pressure, microwave power, substrate temperature and feed gas mixtures are varied in both chemistries. We report the results of these experiments .

4:15 PM W11.9/II8.9 
MICROANALYSIS OF SOOT PARTICULATES USING STEM. David C. Bell*, Lenore C. Rainey** and John Vander-Sande**. *Center for Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA. **Department of Materials Science, Massachusetts Institute of Technology, Cambridge, MA.

Scanning transmission electron microscopy (STEM) coupled with energy dispersive x-ray analysis (EDX) and electron energy-loss spectroscopy (EELS) has been used to characterize the elemental composition and oxidation conditions of various soot samples. The STEM employed in this investigation was the Vacuum Generators HB603-MIT, with a microanalyical resolution approaching 1 nm, that allowed the analysis of individual soot particles and aggregates. The aim of this research is quantification of the EDX spectra which is possible after background and absorption corrections. This information can then be used for comparative studies of different fuels and combustion processes. EELS has been employed to determine the amount of graphitic carbon in a soot particulate, and the detection of trace elements of low atomic number. It has been shown in soot that for Carbon the energy-loss of the p shell electrons increases with the amount of oxidation at high temperatures. Analysis and characterization of gas turbine soot, collected from an engine exhaust duct of a 737-300 aircraft showed an abundance of different elements. Some of these elements originated from the fuel and combustion processes, while other elements were components of the engine itself that that combined with the soot particulates during the combustion process. The study showed that soot impurities were found in all discrete sections of aggregates, and that only one or two small soot particulates were necessary to obtain a chemical fingerprint. Other investigations include; coal soot, diesel soot at different engine operating conditions and soot produced from wood burning. The richness of the spectra obtained and the ability to quantify results represents an opportunity to accomplish source identification in a novel, powerful way.

4:30 PM W11.10/II8.10 
MOCVD OF FIELD EMISSION PHOSPHORS USING A LIQUID DELIVERY SYSTEM. T.S. Moss, B.F. Espinoza, K.V. Salazar, and R.C. Dye, Los Alamos National Laboratory, Los Alamos, NM.

The deposition of thin film field emission phosphors is an important alternative to the traditional powder processing techniques. This advancement is important because thin film phosphors can offer several advantages over powder-based emission systems, such as low outgasing rates and fine element sizes. The process of metal-organic chemical vapor deposition (MOCVD) is uniquely suited to produce high quality, crystalline-as-deposited materials that can be examined under excitation. The MOCVD of several field emission phosphors has been developed using a commercial liquid delivery system instead of using sublimed vapor. This method of reagent delivery was superior in that it allowed for accurate and reliable reagent delivery to the system. In this work, the system used a single source mixture of the starting metal-organic reagents that were dissolved into a common solvent. The phases of interest has been green emitting terbium-doped yttrium silicate (Y2SiO5:Tb) and terbium-doped yttrium aluminate (Y2Al5O12:Tb). These materials have been deposited by MOCVD in a horizontal hot wall deposition system under low pressures; substrates were glass slides with a transparant conductor to allow for emission measurements through the glass. Crystallography and microstructural analysis has come from x-ray diffraction and scanning electron microscopy; chemical anaylsis was done using x-ray fluorescence; and cathodluminescent excitation was used to examine the quality of the emission. This information will be used to optimize the processing conditions, particularly with respect to the precursor mixture ratios and content. Emission measurements taken from an integrating sphere will be compared to those available for similar powders for their efficiency.

4:45 PM W11.11/II8.11 
THE DESIGN OF A METALORGANIC UHV-CVD PROCESS FOR THE GROWTH OF MgAl2O4 THIN FILMS ON SILICON WITH NANOSCALE BARRIER LAYERS OF ALUMINUM OXIDE. Luke Emmert, Center for Materials Science, Los Alamos National Laboratory, Los Alamos, NM; Rishi Raj, Dept. of Mechanical Engineering, University of Colorado, Boulder, CO.

The integration of single crystal ferroelectric thin films with silicon technologies requires processes for the growth of thin single crystal coatings of a cubic oxide, such as magnesia or spinel, onto silicon wafers. These wafers then serve as substrates for the growth of ferroelectric overlayers. A UHV-CVD system equipped with surface science instrumentation (XPS, LEED and Auger Spectroscopy) is being used to design the multilayer architecture that is leading to successful growth of epitaxial spinel on silicon. The steps in this process developement will be described in detail to highlight the importance of the capabilities of the UHV approach. The XPS studies showed how direct deposition of MOCVD spinel on silicon was unsuccessful because of interfacial reactions; the reaction showed depletion of magnesium from the overgrowths. This information led to a two layer design consisting of a ''sub-critical'' epitaxial interlayer of cubic alumina which served as a diffusion barrier as well as a template for the growth of spinel. At the time of writing, the efficacy of the very thin alumina interlayer in preventing a reaction between the spinel overlayer and the silicon substrate has been demonstrated. We have successfully grown subcritical layers of cubic (gamma) alumina on silicon. The growth of ''epitaxial'' spinel on alumina is currently under investigation. The MRS presentation will describe the synergy between in-situ analysis, design and epitaxial growth of oxides on silicon in detail.