Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

fall 1997 logo1997 MRS Fall Meeting & Exhibit

December 1 - 5, 1997 | Boston
Meeting Chairs:
 Harry A. Atwater, Peter F. Green, Dean W. Face, A. Lindsay Greer 
 

Symposium Q—Semiconductor Process and Device Performance Modeling

Chairs

Scott Dunham, Boston Univ
Jeffrey Nelson, Sandia National Laboratories
Craig Wilson, Sunnyvale

* Invited paper

SESSION Q1: SEMICONDUCTOR BULK PROCESS MODELING-1 
Chair: Scott T. Dunham 
Tuesday Morning, December 2, 1997 
Independence East (S)

8:30 AM *Q1.1 
ATOMIC SCALE MODELING OF BORON IMPLANTATION AND TRANSIENT ENHANCED DIFFUSION IN SILICON. Tomas Diaz de la Rubia, Maria Jose Caturla, Mark D. Johnson and Jing Zhu, Lawrence Livermore National Laboratory, Livermore, CA.

We present results of atomic-scale computer simulations of boron implantation and transient enhanced diffusion (TED) in silicon. The simulations use the UT-Marlowe code to model the ion range and Frenkel pair production during implantation at room temperature. The Marlowe results are coupled to kinetic Monte Carlo simulations of defect and dopant diffusion. This coupling enables a description of the system evolution over time scales comparable to experiment and allows simulations of TED at elevated temperatures. The defect and dopant kinetics employed in the Monte Carlo code are obtained from first principles calculations. We show that this approach can be used to understand the complete TED process and to predict dopant profiles, the fraction of active dopant, and the distribution of defect clusters resulting from high temperature annealing of implanted silicon. We present results for boron implantation and annealing of silicon at various energies and temperatures and discuss the clustering reactions that lead to inactive boron in the peak region of the implant, and the activation energy for TED.

9:00 AM Q1.2 
REDUCTION OF TRANSIENT DIFFUSION FROM 1-5 keV Si+ ION IMPLANTATION DUE TO SURFACE ANNIHILATION OF INTERSTITIALS. Aditya Agarwal, Oak Ridge National Laboratory, Solid State Division, Oak Ridge, TN, and Bell Laboratories, Silicon Processing Research Dept., Murray Hill, NJ; H.-J. Gossmann, D. J. Eaglesham, L. Pelaz, D. C. Jacobson, Bell Laboratories, Silicon Processing Research Dept., Murray Hill, NJ; T. E. Haynes, Oak Ridge National Laboratory, Solid State Division, Oak Ridge, TN; J. Jackson and Yu. E. Erokhin; Eaton Corporation, Beverly, MA.

The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 11014 cm-2 Si+ was implanted at 1-, 2-, or 5-keV into boron doping superlattices to locate sources of excess interstitials at depths I of 33, 60 or 136, respectively, below the surface. Enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050C, and analyzed as a function of I. A linearly decreasing dependence of diffusivity enhancement on decreasingI is observed at all temperaures. For example, at 1050C, the diffusivity enhancement decreases from 5 for 5 keV implantation energy to 2 for 1 keV, extrapolating to 1 for zero keV. This is consistent with our expectation that at zero implantation energy, there would be no excess interstitials from the implantation and hence no TED. The experimental depth-dependent diffusivity enhancement data at 810C has been compared with time-integrated depth distributions of interstitial hops, obtained by Monte Carlo simulations in which the surface recombination velocity of silicon interstitials was varied. Based on best fits of the experimental data to simulations, the surface recombination length at 810C is estimated to be 380. The data presented here demonstrate that in the range of temperatures of interest for p-n junction formation, transient enhanced diffusion is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface.

9:15 AM Q1.3 
3D ATOMISTIC SIMULATIONS OF DEEP SUBMICRON DEVICE FABRICATION. Marius M. Bunea, Boston Univ., Dept of Physics, Boston, MA; Scott T. Dunham, Boston Univ., Dept. of Electrical and Computer Engineering, Boston, MA.

With MOSFET device dimensions moving towards 0.1m and below, the atomistic structure of the silicon substrate becomes increasingly important. Such devices can be expected to have only a few hundred dopants in the channel region and junction depths of only a few 10s of atomic dimensions. At these scales, continuum models begin to break down and more complex models are required to deal with nonideal effects as well as reduced tolerances. In contrast, atomistic simulations become easier due to the reduced system size. Forseeing a time when atomistic simulations will be both more efficient and more accurate than continuum simulations, we have developed a three-dimensional atomistic simulator using a lattice Monte-Carlo (LMC) approach. We consider three mobile defect types: vacancies, interstitials and interstitialcy dopants. All interactions in the system (e.g., defect/defect, dopant/defect, dopant/dopant) are specified in terms of energy as a function of atomistic configuration. Through these interactions, the system includes extended defect formation as well as dopant/defect diffusion. We have applied our LMC simulator to structures typical of doping in MOS devices, with arsenic-doped source/drain regions and a boron doped channel region. Our results suggest that for sub-0.1m MOSFET structures, LMC simulations become a practical alternative to continuum simulations, particularly when 3D simulation results or statistical variations are desired.

9:30 AM Q1.4 
MODELING ARSENIC DEACTIVATION IN HEAVILY DOPED SILICON. M. A. Berding, A. Sher, SRI International, Applied Physical Sciences, Menlo Park, CA.

Very high, metastably active concentrations of active arsenic can be incorporated into the silicon lattice by various methods, but during subsequent processing at elevated temperatures this arsenic is found to deactivate electrically. In this paper we will present a statistical mechanical model of the deactivation of heavily arsenic-doped silicon. Energies for the model are calculated within the local density approximation and the statistical mechanical model is based on a generalized quasichemical formalism which includes both electronic and extended cluster topological disorder. We find that in equilibrium a lattice vacancy surrounded by four arsenic () is the dominant deactivating complex, in agreement with Pandey et al.,1 but that vacancy clusters with fewer arsenic neighbors are present in significant quantities. Moreover, because the and clusters have one and two acceptor levels, respectively, they are just as effective at compensating donors as is the neutral complex. The vacancy complexes containing fewer As provide a pathway for the formation of the larger complex. Furthermore, we will show that even if the formation of complexes are kinetically inhibited, the vacancy clusters containing just three or even two arsenic atoms can explain the observed deactivation. The model predicts an electrical solubility limit that increases with increasing temperature, with [e] [As] at low arsenic concentrations, and which at high enough [As] saturates and become independent of [As], in agreement with experiment. The observed transient reactivation of arsenic upon heating is also explained.

10:15 AM Q1.5 
TIGHT BINDING COMPUTER SIMULATION STUDIES OF BORON CLUSTERS IN CRYSTALLINE SILLICON. Weiwei Luo, Paul B. Rasband and Paulette Clancy, School of Chemical Engineering, Cornell University, Ithaca. NY.

Tight Binding models and molecular statics techniques have been used to study the stability of very small boron clusters in crystalline silicon. Boron clusters containing 2-4 boron atoms were considered as defects in a crystalline Si matrix. Two-atom (B2) clusters in which both boron atoms are located at substitutional sites were found to be stable. The formation energy increases when a third and fourth B atom is added to the cluster. Equilibrium concentration estimates indicate that substitutional boron clusters larger than two atoms are unlikely in silicon. In contrast, the formation energy of defect clusters involving an interstitial (for BnI clusters for n=1-4) decreases with increasing cluster size, down to 1.4 eV for B4I. While several BnI clusters were found to be more stable ( by 1-2eV) than isolated Si self-interstitials, none had formation energies leading to stable bound clusters. Clusters containing more than four boron atoms and a silicon self-interstitial (I), are shown to be unlikely to be important, in agreement with Stillinger-Weber studies of self-interstitial clusters in Si. Boron clusters involving a boron interstital were found to be less likely to form than analogous clusters involving a Si self-interstitial. Boron-vacancy clusters are also not important defect species in such systems. The recent suggestion by PelaZ et al. that B2I2 is a metastable ''center'' for nucleation is given some support by our calculations. In summary, these Tight Binding results corroborate experimental evidence that boron clusters serve as traps for self-interstitials under conditions of interstitial supersaturation in highly-doped silicon.

10:30 AM Q1.6 
A NEW PRACTICAL APPROACH TO IMPLEMENT A TRANSIENT ENHANCED DIFFUSION MODEL INTO AN FEM-BASED 2-D PROCESS SIMULATOR. Noriyuki Sugiyasu, Kaina Suzuki, Syuichi Kojima, Yasushi Ohyama and Hiroshi Goto; Fujitsu Limited, Kawasaki, JAPAN.

[Introduction] Transient enhanced diffusion (TED) models are now inevitable to describe accurately MOSFET electrical behaviors such as the n-channel reverse short channel effect (RSCE) and/or p-channel drain current characteristics. A lot of efforts have been undertaken to refine the TED models but sophisticated models are often too stiff to be implemented into the partial differential equations (PDE) for the diffusion system. There have usually been trade offs between the model precision and the robustness of the numerical solver. In this paper we propose a new practical approach to reduce the trade-offs by introducing not only suitable approximations to the pair-diffusion model but also a coupled and de-coupled combined solution method for the PDE. 
[Process Model Description] The model is basically the same as the one proposed by M.E.Law et al.[1][2], but non-logarithmic diffusion equations and a trapless equilibrium Bm boron duster model are employed. We also consider the time derivative of the impurity-defect pair concentration. The effective diffission coefficients of impurities as in Ref[5] are set to be independent from the binding energy of not only the impurity-interstitial pair but also the impurity-vacancy pair. The modified +1 model is applied to the initial defect concentration [Numerical Techniques] The partial differential equations are descretized by the Galerkin method and implemented into our in-house 2-D process simulator named FFEAST[3]. A coupled method is used to solve the diffusion equations and the charge neutrality equation. A de-coupled method is used to solve the self-consistent system of all the diffusion and charge neutrality equations. Although we do not use the so-called plug-and-play open system to solve the PDE[4], we can flexibly add extra diffusion models as coupled sub-systems into the total de-coupled system. In order to save CPU time only the electron density is considered as a variable in the charge neutrality equation unless a highly doped boron diffusion process is simulated. In the case of the highly doped boron diffusion process with the cluster model, the electron density the neutral interstitial concentration the neutral vacancy concentration and the substitutional impurity concentration are treated as variables. Also CAl+CI, CAV+CV, CAchem values are kept constant and the charge neutrality law is applied. Here, CI, CV, CAchem, CAl, CAV are concentration of interstitial silicon vacancy chemical impurity, impurity-interstitial pair and impurity-vacancy pair. Our approach has made it possible to achieve a robust solution system to reduce the number of loop iterations as well as the required memory area because of the combination of coupled sub-systems and a larger de-coupled loop. 
[Results and Discussion] We have simulated a boron diffusion process by rapid thermal annealing with ramping. The anomalous boron diffusion is suppressed by introducing the time derivative of the BI amd BV pair concentration without any simplification. We fitted the parameters of our simulator with the experimental SIMS result, and the good agreement is obtained except in the highly doped peak region. A full process simulation result for a subquarter micron nMOSFET is also calculated. The 2-dimensional boron pile-up is clearly shown in the channel region. The 1-dimensional mid-channel profile of boron shows that the magnitude of the boron pile-up determining the RSCE characteristics[5] depends on the binding energy of the boron-defect pairs. 
[Conclusion] We have proposed a coupled and de-coupled combined method to solve the PDE for a transient enhanced diffusion model as well as suitable approximation for the model and achieved a robust solution system for the FEM-based 2-D process simulator FFEAST that uses the Galerkin method.

10:45 AM Q1.7 
SILICON SELF-INTERSTITIAL EFFECTIVE DIFFUSIVITY IN THE PRESENSCE OF CARBON*. M. D. Johnson, T. Diaz de la Rubia, Lawrence Livermore National Lab, Livermore CA.

The goal of predictive process modeling requires that the fundamental processes be modeled on an atomic scale. These studies require the detailed understanding of the interactions of point defects and impurities. Furthermore, as the scale of micro-electronics shrinks, the need for more accurate models and hence increased complexity require the capability to simulate macroscopic features on an atomic scale. We have developed a flexible, high speed Monte-Carlo algorithm based on work by H. L. Heinisch1, as the last step in a predictive process modeling effort which starts with ab-initio calculations, and includes molecular dynamics input as well. The first test of the algorithm is to examine a large system (up to 1m2) and observe the long time behavior. We have studied the effect of varying the carbon concentration on the effective diffusivity of Si self-interstitials produced by oxidation. We find that the profiles are sensitive to the specific atomic interactions included and that the effective diffusivity decays over very long time scales (0-10sec). We compare the results to recent experiments and also discuss the effect of varying the C concentration on the transient enhanced diffusion of boron implanted in Si and annealed.

11:00 AM Q1.8 
ATOMISTIC MODELING OF ION IMPLANTATION WITHIN A 2D PROCESS SIMULATOR. B. Schmidt and M. Posselt, FZ Rossendorf, Inst. f. Ionenstrahlphysik und Materialforschung; N. Strecker, Swiss Federal Institute of Technology, Integrated Systems Laboratory; T. Feudel, ISE Integrated Systems Engineering AG.

Advanced silicon technologies increasingly demand physically based 2D and 3D process simulators. Atomistic methods based on binary collision codes are best suited in order to simulate ion implantation. They are able to treat ion channeling, damage accumulation and amorphization. The codes inherently describe 3D motions of projectiles in the target. They are therefore applicable to real target structures like mask edges and trenches occuring in process simulations. The dynamic binary collision code Crystal-TRIM was integrated into the process simulator DIOS as an optional module. The direct access to the DIOS simulation mesh ensures the use of exactly the same geometry representation and registration cells as in the other simulation steps. This is especially important because ion implantation is usually preceded and followed by process steps like deposition, etching, oxidation and annealing which may alter shape and properties of the target. However, the computing time for obtaining statistically significant implantation profiles in complex 2D or even 3D structures by atomistic methods is considerable. The 2D simulations presented in this work can be considered as an intermediate step on the way to the full 3D description. In order to increase the computational efficiency of the dynamic binary collision code two approaches have been employed: (i) a trajectory split algorithm similar to that already used in the 1D-simulator TESIM, (ii) a mechanism for a lateral duplication of ion trajectories into equivalent regions. Applications to trench- and LDD-implantations are presented. Channeling and damage accumulation effects as well as the occurence of ion reflection are discussed in detail.

11:15 AM Q1.9 
COMPUTATIONALLY EFFECTIVE MODEL FOR 2D ION IMPLANTATION SIMULATION. Misha Temkin and Ivan Chakarov, SILVACO International, Inc., Santa Clara, CA.

The scaling down of VLSI technologies to very deep submicron feature sizes and wider use of low-thermal-budget processing lead to higher requirements for predictive simulation of critical implant steps. Very fast ion implantation simulation method were developed and implemented into the general-purpose process simulator ATHENA. The method allows the effective calculation of 2D ion implantation profiles in arbitrary shaped structures and is valid for both amorphous and crystalline materials. The 2D distribution is represented by the product of longitudinal Fl and transversal Ft functions. Following are the main distinguishing features of the model. First, the 9-parameter double Pearson formula is used for Fl when channeling is pronounced. Second, the correlation between transversal and longitudinal motion of ions is taken into account. This is achieved by using Ft as a symmetrical Pearson function (type II or VII) with both second and fourth transversal moments dependent on depth along the implant direction. Third, we have derived a method of close form integration of such transversal functions which allows very fast convolution of a single-point implant distribution into 2D profiles for arbitrary masked implants. Fourth, the depth dependent functions and are directly extracted from our Binary Collision Approximation (BCA) calculations. 2D profiles calculated using the present model show very good agreement with accurate BCA calculations for both amorphous and zero-tilt (well-channeled) implants. The main advantage of the new analytical model is its computational efficiency. For example, it takes only a few minutes to calculate a 2D implant profile in an arbitrary structure with 5000 grid points while the BCA calculations take several hours and may take several days for implants with channeling.

11:30 AM Q1.10 
A THREE-DIMENSIONAL MONTE CAROL MODEL FOR PHOSPHORUS IMPLANTS INTO (100) SINGLE-CRYSTAL SILICON. Myung-Sik Son, Ho-Jung Hwang, Semiconductor Process and Device Laboratory, Dept. of Electronics Engineering, Chung-Ang, University, Seoul, SOUTH KOREA.

The continued scaling of feature size in the ULSI device technology has required shallower, more compact impurity profiles, and more precisely controlled doping profiles. In addition, the implant-induced damage has large effect on the impurity diffusion such as TED(Transient Enhanced Diffusion) phenomena during thermal annealing after ion implantation. Detailed understanding is needed of dependence of both the impurity and the damage profiles on all of the key implant parameters such as energy, dose, tilt and rotation of the wafer. Simulation of subsequent thermal processing (diffusion models) must begin with the correct as-implanted impurity and the damage profiles. As a result, greatly reduced thermal budgets are necessary for the development and manufacturing of ULSI CMOS devices. For this reason, the final dopant profile after heat treatments depends more strongly on the as-implanted impurity and the defect profiles, and an accurate and efficient physically-based 3D model capable of predicting the as-implanted dopant and the defect profiles around and under the implanted area becomes highly desirable. In this paper are presented a newly proposed 3D Monte Carlo(MC) damage model for the dynamic simulation in order to more accurately and consistently predict the implant induced point defect distributions of the various ions in crystalline silicon. This model was applied to P implants for the ULSI CMOS technology development. In addition, a newly applied 3D trajectory split method has been implemented into our model to reduce the structural fluctuations of the implanted impurity and the defect profiles in the relatively large implanted area as compared to 1D or 2D simulations. Also, a empirical electronic energy loss model is proposed for P implants. The 3D formations of the amorphous region and the ultra-shallow junction around the implanted region could be predicted by using our model, TRICSI(TRansport Ions into Crystal-Silicon).

11:45 AM Q1.11 
FIRST-PRINCIPLES CALCULATION OF DISPLACEMENT-THRESHOLD ENERGIES IN Si AND SiC. Wolfgang Windl, Thomas J. Lenosky, Joel D. Kress, and Arthur F. Voter, Theoretical Division, Los Alamos National Laboratory, Los Alamos, NM.

All macroscopically observed radiation effects are caused by one or more of the following interactions: electronic excitations, nuclear reactions, and elastic collisions. In the latter case, the irradiating particle transfers a recoil energy E to a lattice atom, the so-called primary knock-on atom (PKA). If this recoil energy E exceeds a material dependent displacement-threshold energy Ed, the PKA leaves its original site, thereby creating a Frenkel pair. We have calculated the displacement-threshold energies for the main symmetry directions in Si using the self-consistent first-principles approximation of Sankey et al. We show that--depending on the knock-on direction--a system as small as 64-atoms can be sufficient for accurate displacement-threshold calculations, making the use of first-principles methods possible. We use quantum-molecular dynamics techniques to determine the displacement-threshold energies; further, we propose a zero-mass approximation static method which agrees reasonably well with the QMD results for selected directions, allowing the use of even more computationally demanding quantum methods. We have used this zero-mass approximation to estimate the displacement-threshold energy using a fully self-consistent plane-wave LDA code. Our results are compared to all existing previous work, which employed exclusively empirical methods. We also present similar calculations for c-SiC.

SESSION Q2: SEMICONDUCTOR BULK PROCESS MODELING-2 
Chair: Ulrich M. Goesele 
Tuesday Afternoon, December 2, 1997 
Independence East (S)

1:30 PM *Q2.1 
ATOMIC DYNAMICS DURING SI OXIDATION AND THE NATURE OF DEFECTS AT THE SI-SIO2 INTERFACE. S. T. Pantelides and M. Ramamoorthy, Department of Physics and Astronomy, Vanderbilt University, Nashville, TN.

We report first-principles calculations in terms of which we propose a unified description of the nucleation and growth of O precipitation in Si and the oxidation of Si. We identify the mechanism for the observed emission of Si interstitials and show that it eliminates electrically active defects without introducing dangling bonds. Residual defects are Si atoms that are bonded to one or more threefold-coordinated O atoms (``frustrated'' Si-O bonds) and are akin to the so-called thermal donors in crystalline Si. The results explain the low density of interface defects at the Si-SiO2 interface and suggest that dangling bonds are not intrinsic defects. The proposed frustrated-bond defects provide a basis to account for experiments that find that most defects that degrade the Si-SiO2 are in fact not dangling bonds. Work supported in part by ONR Grant No. N00014-96-1-1286.

2:00 PM Q2.2 
A STUDY OF DEGRADATION IN SILICON DIOXIDE FILMS BY FOWLER-NORDHEIM STRESS: NEW MODEL AND EXPERIMENTAL PROCEDURE. Alexander E. Kotov, Angstrem Co., Moscow, RUSSIA.

We present a new scenario concerning to the degradation chain of thin oxide films, which includes four stages: 1) generation of deep traps/negative space charge; 2) self-localization of injection current; 3) formation of local defect spot; 4) appearance of leakage channel -> breakdown region. This paper addresses at the second stage of this chain which plays a crucial role in oxide reliability context. As early shown in [1-3], the sensitivity of small poly-Si/SiO2/Si structures (with micron length scale) to the non-uniformity of electron injection permits to go into new particulars of intimate relation between degradation and breakdown. The only concept - admittance of small crystalline regions (with length scale 100 angstroms) in thermally grown silicon dioxide which are highly resistant to electron trap creation - successfully links two independent experimental phenomena: the enhanced recombination at Si/SiO2 surface and non-uniform electron trapping in the oxide bulk during Fowler-Nordheim (FN) stressing. The quantitative models for oxide bulk and surface degradation are presented which result in practically identical kinetics of FN injection localization. It seems likely that self-localization of FN electron injection is an unavoidable stage in degradation/breakdown phenomena for thermally grown oxide films which, having generally amorphous structure, incorporate small regions in crystal phase (SSR). The wear-out under electrical field stressing can be efficiently reduced from technology search oriented in a better way to suppress the harmful impact of the SSRs on the oxide reliability.

2:15 PM Q2.3 
PHYSICAL MODEL AND COMPUTER SIMULATION RESULTS OF DEGRADATION OF THE Si/SiO2 STRUCTURE DURING ANNEALING AND HYDROGEN PLASMA TREATMENT. Grigorii V. Gadiyak, Institute of Computational Technologies, Russian Academy of Sciences, Siberian Division, Novosibirsk, RUSSIA.

In this paper it is suggested a theoretical model and numerical results for description of the behavior of each of the three Pb varieties and new defect centers L. (Pb at the (111) interface, Pb0 and Pb1 at the (100) interface). It was used the following set of the chemical reactions: 
That to explain experiments [1] the author introduced new hydrogen-related defects L near Si/SiO2 interface. They are trapping centers for hydrogen and take place the same (2) chemical reaction. For Pb and new defect centers L we used rate equations and for mobile species (atomic and moleculor hydrogen) we used diffusion equations which was solved numerically. We can explain experiments by vacuum annealing and remote hydrogen exposed samples and obtained good agreement with experiment.

2:30 PM Q2.4 
NUCLEATION AND GROWTH OF VOIDS IN SILICON. P. Plekhanov, U. Goesele, T.Y. Tan, Department of Mechanical Engineering, Duke University, Durham, NC.

Nucleation of voids and vacancy-type dislocation loops in Si under vacancy supersaturation conditions was investigated. Based on the nucleation barrier calculations, it was shown that voids can be nucleated, but not dislocation loops. The void nucleation rate has been calculamperatures by assuming different enthalpy values of Si vacancy formation at a given temperature for both the homogeneous and oxygen-assisted processes. Considering the actual cooling rate and hence time available for nucleation to occur during crystal growth, it was shown that homogeneous nucleation of voids could account for the experimentally observed void concentrations if the enthalpy of Si vacancy formation is larger than 2.8 eV. On the other hand, our simulation results of the void growth process can account for the experimentally observed void sizes if the enthalpy of vacancy formation is larger than 3.2 eV. Thus, for the homogeneous process, the enthalpy of vacancy formation was estimated to be in the range of 3.2-3.8 eV and nucleation temperature to be in the range of 850-900C. The possibility of oxygen assistance to void formation was considered. It was shown that nucleation may occur at higher temperatures, i.e. at earlier times during crystal growth.

3:15 PM *Q2.5 
THE APPLICATION OF GaAs TCAD IN INDUSTRY. Peter Blakey, Deepak, Karl Johnson, Cyndi Recker and Subadra Varadarajan, Simulation Group, Communication Products Laboratory, Motorola, Tempe, AZ.

The establishment and use of GaAs TCAD in an industrial R&D environment is described. Commercial Si-oriented TCAD software was extended to make the software applicable to GaAs technology development. This phase of the work involved internal and collaborative efforts. The application of the resulting capabilities resulted in the evolution of a powerful applications-oriented methodology that has been applied successfully to the development of several GaAs technologies. In the first part of the paper we review the incremental models that were found necessary for GaAs technology development. GaAs process simulation requires improvedThe establishment and use of GaAs TCAD in an industrial R&D environment is described. Commercial Si-oriented TCAD software was extended to make the software applicable to GaAs technology development. This phase of the work involved internal and collaborative efforts. The application of the resulting capabilities resulted in the evolution of a powerful applications-oriented methodology that has been applied successfully to the development of several GaAs technologies. In the first part of the paper we review the incremental models that were found necessary for GaAs technology development. GaA models of ion implantation, implant activation, and defect formation. GaAs device simulation requires improved models of non-local charge transport, non-local impact ionization, deep level traps, tunneling, and piezo-electric effects. Post-processing capabilities for small-signal AC analysis and large-signal RF simulation are also required. In the second part of the paper we present our application methodology. Large-scale simulation-based experimentation (as implemented by the Virtual Wafer Fab (TM)) provides the central level of a three-level hierarchical framework . The other two levels involve analysis and scaling, and 'real' experiments. Design is viewed as a search for an optimum solution within a constrained design space. Each level of the hierarchy plays different roles in the search, and the overall design process iterates between levels. This methodology retains calibration 'memory' that persists and evolves from one generation of the technology to the next. The design and optimization of a GaAs MESFET PA for wireless applications is used to illustrate the general methodology.

3:45 PM Q2.6 
MODELING OF ATOMIC DIFFUSION AND SEGREGATION IN SEMICONDUCTOR HETEROSTRUCTURES. Hartmut Bracht1,2, W. Walukiewicz1 and E.E. Haller1,2. 1Lawrence Berkeley National Laboratory and 2University of California at Berkeley, Berkeley, CA.

Many applications of semiconductor materials require the formation of structures with composition and/or doping level profiles that change abruptly on a nanometer scale. Any diffusion of the host lattice atoms or dopants during crystal growth and/or processing can have detrimental effects on the functionality of such structures. We present an extensive numerical analysis of diffusion in semiconductor superlattices. We consider the effects of spatial variations of chemical and electrochemical potentials as well as defect formation energies on the host lattice diffusion and impurity segregation in spatially inhomogenous semiconductor systems. Specifically, we address the issue of interdiffusion and impurity segregation in AlxGa1-xAs/GaAs and InGaAs/InP superlattices. Our results show that at low doping levels the composition dependent defect formation energy leads to segregation of acceptor impurities into Ga rich layers. An extensive interdiffusion and formation of new chemical phases is expected at higher acceptor concentrations. The results of the calculations will be compared with existing experimental data and with our recent results on self-diffusion in isotopically controlled AlGaAs/GaAs heterostructures.

4:00 PM Q2.7 
THE EFFECT OF THE BANDGAP HETEROGENEITY ON DISORDERING OF III-V COMPOUND SUPERLATTICES. C.-H. Chen, U. Goesele, T.Y. Tan, Department of Mechanical Engineering and Materials Science, Duke University, Durham, NC.

The phenomena of doping enhanced disordering of GaAs based III-V compound (e.g., GaAs/AlAs) superlattices as well as the diffusion of Si in GaAs have been previously explained by the Fermi-level effect model with the triply-negatively-charged group III lattice vacancies identified as the responsible point defect species. These vacancies has a thermal equilibrium concentration proportional the cubic power of the electron concentration n, which leads to the same dependence of also the group III atom diffusivity on n. In previous analyses the effect of the band gap heterogeneity was not considered. Presently, we have taken into account of this factor, starting from a heterojunction. In heavily n-doped superlattices, including GaAs as well InAs based materials, this effect is negligible. However, at low n-doping levels and particularly for short times, the disordering rate can be significantly slowed down to become difficult to distinguish from the intrinsic case. These results explain the difficulties in fitting experimental data to the Fermi-level effect predictions when the n-doping level is low. It appears that no other model is needed.

4:15 PM Q2.8 
MODELING OF THE SURFACE ANNIHILATION OF EXCESS SELF-INTERSTITIALS GENERATED BY GOLD DIFFUSION INTO SILICON. Nicolaas Stolwijk, Wilfried Lerch, Axel Giese, Univ Muenster, Inst f Metallforschung, Muenster, GERMANY.

In many semiconductor high-temperature processes self-interstitials play a prominent role. Specifically, non-equilibrium concentrations of these point defects can be generated by surface reactions and dopant diffusion treatments. Based on the kick-out diffusion mechanism, we have modeled the time evolution of the Si self-interstitial supersaturation during Au diffusion from evaporated surface layers into silicon substrates. As a special feature, our model contains a limited annihilation velocity of self-interstitials at the surface, which accounts for the gradual increase of the Au boundary concentration observed both during rapid thermal annealing at higher temperatures and furnace annealing at lower temperatures. Within this model, computer simulations performed with the aid of the software package ZOMBIE are able to reproduce Au diffusion profiles resulting from spreading-resistance analysis. We also derived analytical expressions which predict to a good approximation the decay of the surface self-interstitial supersaturation and the associated increase of the Au boundary concentration as a function of annealing time. Fitting of recently obtained and previously published experimental data by using PROFILE software yields an Arrhenius equation for the self-interstitial annihilation velocity at gold-covered {100}-oriented Si surfaces in the temperature range from 845C to 1119C.

4:30 PM Q2.9 
GOLD DIFFUSION IN SILICON DURING GETTERING BY AN ALUMINUM LAYER. S. M. Joshi, U. Goesele, T. Y. Tan, Department of Mechanical Engineering and Materials Science, Duke University, Durham, NC.

Our pervious simulation studies have shown that [1], gettering of Au using an Al layer on one side of the Si wafer at the same temperature Au was introduced to its solubility limit in the wafer, the process will be highly effective at both wafer surface regions but not in the wafer interior. Au is a substitutional-interstitial (Aus-Aui) species in Si with its diffusion governed by the kick-out mechanism which is mediated by Si self-interstitials (I). During gettering by the Al-Si liquid at one wafer surface, Aus atoms changeover to Aui atoms to rapidly migrate out of Si into the liquid. The changeover process consumes Si I. At the two wafer surface regions the consumed I will be quickly replenished, while in the wafer interior an I undersaturation develops which hinders the Aus-Aui changeover and hence the gettering process. We have presently obtained experimental evidences which confirm the simulation predictions. FZ Si wafers were saturated with indiffused Au at 1100oC. After removing the Au source materils, these wafers were annealed at 1100oC with or without an Al layer. There is basically no change of Au profile for wafers without Al. For wafers with an Al layer on one wafer surface, both wafer surface region Au concentrations were significantly decreased while there was little change in the wafer interior Au concentration. The model predictions, the experimental results, and the implications on Si self-interstitial parameters will be discussed.

4:45 PM Q2.10 
DEFECT REACTIONS INDUCED BY REACTIVE ION ETCHING. Song Zhao, Lionel C. Kimerling, Dept. of Materials Science & Engineering, Massachusetts Institute of Technology, Cambridge, MA.

Reactive ion etching (RIE) is an important anisotropic etching technique to achieve pattern transfer, oxide isolation, and trench isolation in device processing. On the other hand, RIE causes substrate surface contamination (10-50 on the surface), substrate damage (100-1000 beneath the surface), and it induces defect reactions to produce carrier traps at depths extending to micron range. The defect depth profiles in the defect reaction region have been measured with photoluminescence (PL) and deep level transient spectroscopy (DLTS) experiments for both p-type and n-type Si substrates. We have developed a model describing the injection of interstitials and the subsequent reactions in the defect reaction region to predict the defect depth profiles. We formulate the reaction kinetics as a series of 1-D coupled interstitial diffusion-reaction partial differential equations (PDEs) with a moving boundary. The defect depth profiles predicted by our model are consistent with those measured in the PL and DLTS experiments. We conclude that the defect depth profiles are determined by the interstitial diffusion coefficient (Di), the etch rate (vE), the etch time (tE), the interstitial defect reaction rate (K), and the background dopant and impurity concentrations ([C], [B] and [O]) in the Si substrate. The micron range defect depth profiles can be explained as: (i) fast diffuser Si self-interstitial (Sii) injection to a micron depth range of (Di/K)1/2 limited by [C] and [B]; (ii) the generation of carbon and boron interstitials (Ci and Bi) through the Watkins replacement reactions; and (iii) the formation of Ci- and Bi-related defect pairs through diffusion limited pairing reactions. Ci and Bi injection are limited by vE and extremely shallow (Di/vE) during a typical RIE process with vE1000/min and tE10minutes. The injection of vacancy (V) adds a negligible modification to the defect depth profiles. Contamination from the etching plasma and carrier recombination enhanced diffusion are also considered in the model.

SESSION Q3: POSTER SESSION 
Chair: Craig D. Wilson 
Tuesday Evening, December 2, 1997 
8:00 P.M. 
Grand Ballroom (S)

Q3.1 
HIGH TEMPERATURE IN-SITU TEM STUDY OF DEFECT EVOLUTION IN Si+ IMPLANTED SILICON. Jing-Hong Li and Kevin S. Jones.

In order to better understand the role of extended defects on transient enhanced diffusion in silicon, high temperature in-situ TEM has been used to study defect evolution in Si+ implanted silicon. The effect of TEM sample thickness has been studied in order to investigate if the additional surface in the TEM sample influences the 311 defect evolution. Results from TEM shows that TEM sample thickness has no obvious effect on the defect evolution. Subsequent in-situ investigation showed that 311 defects at angles to the surface dissolve much faster than those parallel to the surface. The dissolution rate did not vary significantly as a function of length of the 311 defects implying coarsening of these defects is an Ostwald-type process. Finally the electron beam is observed to affect the nucleation of 311 defects. The correlation of these observations of electron stimulated point defect with the process of migration will be discussed.

Q3.2 
TOWARDS THE OPTIMIZATION OF AMT BARREL REACTORS FOR SILICON EPITAXY. Maurizio Masi, Guido Radaelli, Sergio Carra', Politecnico di Milano, Milano, ITALY; Giovanni Vaccari, Danilo Crippa, MEMC Electronic Materials, Novara, ITALY.

The epitaxial silicon deposition is indistrially performed by cold wall barrel reactors because their large productivity. Unfortunately, such a high productivity is associated to film thickness control problems. System optimization can be performed satisfactorily only throgh models where the geometry and the reactor fluid dynamics are accounted in detail. Here, the atmospheric Si deposition by SiHCl3/H2 mixtures in a AMT 7700 barrel reactor is simulated through a detailed 2D model solved by the commercial finite elements code FIDAP. Problems arise because this reactor configuration does not exhibit any simmetry plane. Different geometrical configurations and deposition conditions were examined, comparing all the simulations with experimental data.

Q3.3 
MODELLING OF LIQUID-PHASE EPITAXY PROCESSES. Ludmil Zambov, Univ. of Chemical Technology and Metallurgy, Dept. of Semiconductors, Sofia, BULGARIA; Cyril Popov, Central Lab. of Photoprocesses, Bulgarian Academy of Sciences, Sofia, BULGARIA; Borislav Ivanov, Peter Babanov, Univ. of Chemical Technology and Metallurgy, Dept. of Semiconductors, Sofia, BULGARIA.

Mathematical description of the processes of oriented growth of compound semiconductor films from melt solutions at dominant diffusion or diffusion- convective mechanism of mass transfer to the crystalization front has been made. Analytical solutions of the non-stationary convective-diffusion differential equation are derived, describing the Liquid Phase Epitaxy (LPE) processes in different types of equipment - in open and close systems, at one-sided and double-sided charge of substrates, from semi-limited and limited volume of the liquid phase. Determinate models are suggested of the basic LPE methods for creation of supersaturation in the melt-solution: 
- equilibrium cooling at constant rate; 
- step cooling; 
- super cooling. 
A technique for calculation of properties (density, viscosity) and parameters (diffusion coefficient, free convection rate) of metal melts has been elaborated, applying relationships from the hydrodynamic theory. Mathematical description of the liquidus curves in phase diagrams of different III-V semiconductors is performed. The developed mathematical models are checked for adequacy by comparison with experiments on LPE of GaAs and good agreement of the results has been demonstrated. By means of numerical simulation the influence of the process parameters on the film quality, growth rate and supersaturation in the system is investigated. Conclusions on the process control at different requirements for the thickness of the films are made by analysis of the models. It is found that the method of step cooling in open system conditions is most appropriate for growing of thin epi layers.

Q3.4 
SIMULATION OF SILICON IN- AND OUTDIFFUSION PROCESSES IN GALLIUM ARSENIDE. C.-H. Chen, U. Goesele, T.Y. Tan, Department of Mechanical Engineering and Materials Science, Duke University, Durham, NC.

The diffusivity of Si in GaAs shows a strong dependence on the cubic power of its concentration or the concentration of electrons n under both in- and outdiffusion conditions. Hence, the diffusion of Si in GaAs is consistent with the Fermi-level effect model invoking the triply-negatively-charged Ga vacancies as the point defect species responsible for diffusion to occur on the Ga sublattice under n-doping conditions. However, the Si diffusivity values of the indiffusion cases are several orders of magnitude smaller than those of the outdiffusion cases at the same temperatures and Si concentrations. This discrepancy has been qualitatively attributed to an undersaturation of these vacancies in the indiffusion cases and a supersaturation of these vacancies in the outdiffusion cases. Using a set of parameters of these vacancies, including their thermal equilibrium concentration and diffusivity values in consistency with Ga self-diffusion data, we have obtained Si in- and out-diffusion profiles that are in agreement with available experimental results. Indeed, a vacancy undersaturation has been found for the Si indiffusion cases while a vacancy supersaturation has been found for the Si outdiffusion cases. The GaAs surface states have also played a key role in leading to the vacancy non-equilibrium phenomena. The assumed density of these GaAs surface states is in agreement with known experimental results.

Q3.5 
CHARGE TRANSFER MODELING FOR CHARGE-COUPLED DEVICES. James P. Lavine, Edmund K. Banghart, Eugene A. Trabka, Microelectronics Technology Div., Eastman Kodak Co., Rochester, NY; David J. Schneider, Cornell Theory Center, Cornell Univ., Ithaca, NY.

Silicon image sensors use charge-coupled devices (CCDs) to move the photogenerated charge packets that represent the image. Excellent charge transfer is required to maintain the image through thousands of transfers. A simple model is used to demonstrate how the signal is degraded by a potential well or barrier. More detailed studies solve the carrier continuity (or Smoluchowski) equation in three spatial dimensions by a random walk or Monte Carlo approach that Utilizes a time-independent electric field. The field comes from solving the nonlinear Poisson equation in three dimensions. The algorithms used include the biconjugate gradient squared method for solving large, asymmetric sets of equations. A potential well or barrier slows the charge transfer, but the associated characteristic time constant in three dimensions increases slower with well depth than in one dimension. Spatial distributions of potential obstacles are also studied to understand their effects on charge transfer.

Q3.6 
INFLUENCE OF RTP ON VACANCY CONCENTRATIONS. Michael Jacob, Fraunhofer-Institut fur Integrierte Schaltungen, Bauelementetechnologie, Erlangen, GERMANY; Current address: Siemens AG, HL PI R, Regensburg, GERMANY; Peter Pichler, Fraunhofer-Institut fur Integrierte Schaltungen, Bauelementetechnologie, Erlangen, GERMANY; Michael Wohs, Lehrstuhl fur Elektronische Bauelemente, Universitat Erlangen-Nurnberg, Erlangen, GERMANY; Heiner Ryssel, Fraunhofer-Institut fur Integrierte Schaltungen, Bauelementetechnologie and Lehrstuhl fur Elektronische Bauelemente, Universitat Erlangen-Nurnberg, Erlangen, GERMANY; Robert Falster, MEMC Electronic Materials SpA, Novara, ITALY.

Annealing at high temperatures may change the concentrations of intrinsic point defects in silicon wafers, depending on the ambient gas. From their effects on impurity diffusion and on the formation of stacking faults, it was concluded that thermal oxidation and nitridation cause an injection of silicon self-interstitials and vacancies, respectively. This work confirms these conclusions by evaluation of the vacancy concentrations via platinum diffusion. The method to determine vacancy concentrations by platinum diffusion is based on the very rapid diffusion of platinum as interstitial atoms. At low temperatures and sufficiently high vacancy concentrations, the platinum atoms become substitutional via a reaction with the vacancies. The depth profiles of substitutional platinum were measured by DLTS and represent the vacancy concentration before platinum diffusion with a correlation being nearly identical to unity. Our experiments were performed on dislocation-free, (100)-oriented, 4-6 cm boron-doped FZ and CZ silicon substrates with diameters of 100 mm. In as-grown FZ silicon, vacancy concentrations were found in the range from 41013 to 1014 cm-3. In contrast, in as-grown CZ silicon wafers, the vacancy concentrations were lower than the detection limit of about 1011 cm-3. A series of experiments with RTP at 1180 C for times from 10 to 160 s in oxygen, nitrogen and ammonia ambients was performed on CZ wafers (with low initial vacancy concentration) as well as on FZ wafers (with high initial vacancy concentration). RTP of FZ silicon in an oxygen ambient leads to a decreasing concentration with inverse U-shaped profiles. No vacancies could be detected in likewise processed CZ wafers. In contrast, RTP of CZ silicon in nitrogen or ammonia ambients lead to vacancy concentrations up to 1013 cm-3 with U-shaped profiles. The effect of RTP on the vacancy concentration is reversible: Vacancies introduced into CZ silicon by RTP in ammonia are reduced in concentration during a subsequent RTP step in oxygen.

Q3.7 
TWO-DIMENSIONAL DOPANT DELINEATION BY SELECTIVE CHEMICAL ETCHING. Kwang-Ki Choi, Tae-Yeon Seong, Dept of Materials Science and Engineering, Kwangju Institute of Science and Technology (K-JIST), Kwangju 506-712, KOREA.

Recent developments in semiconductor device technology have successfully reduced device dimensions down to the submicron level, in part by employing very light implant conditions to produce very shallow junction. Continued progress in this field consequently requires accurate information concerning dopant distribution and junction depth, especially the lateral diffusion of dopants in the gate area of metal-oxide-semiconductor (MOS) devices. Secondary ion mass spectroscopy (SIMS) has high compositional sensitivity and is currently the most widely used technique for profiling dopant distributions in electronic devices. Spreading resistance profiling (SRP) is another popular method which measures local carrier concentration. Both methods have been extensively used to obtain calibration data for one-dimensional (1D) device simulation and, to a lesser extent, for data on two-dimensional (2D) dopant distributions. However, both are severely limited by poor spatial resolution and provide only 1D information. In this work, we present selective-chemical etching method to obtain accurate 2D dopant distribution. A basic idea of this technique is related to a combination of the selective-chemical etching of the doped layers and microscope examination. The chemical etchant for silicon dissolution is a mixture of nitric (HNO3) and hydrofluoric (HF) acids. The nitric acid reacts with the silicon surface to form oxides which are removed by the hydrofluoric acid. This process is faster at regions with higher dopant concentrations. The characteristic of this technique is to image the etched surface using atomic force microscope (AFM). The high spatial and vertical sensitivity of AFM in imaging the sample surface topography allows high resolution measurements as well as an easy deconvolution of the raw data. Wafers with different concentrations were used to construct calibration curve of dopant concentration versus etched depth, the use of these calibration curve allows us to deconvolute the AFM topography of an etched surface into a dopant concentration image. An additional advantage of the AFM method is that it allows us to image the surrounding structures (oxides, metal, etc.).

Q3.8 
ION IMPLANTATION IN SILICON: EFFECT OF A FREE SURFACE ON DEFECT PRODUCTION. Jeffrey M. Finder, James B. Adams, Arizona State University, Dept of Chemical, Bio, and Materials Engineering, Tempe, AZ.

Molecular dynamics simulations of scattering events were carried out in order to understand the effect of a free surface on the defect production process in single crystal silicon. MD simulations were performed with ion energies ranging from 100 eV to 5 keV and directed along three major crystallographic directions at 0, 300, and 600 Kelvin. Both collision cascades in the bulk and at the surface result in the formation of large amorphous regions which rapidly crystallize leaving isolated defects and clusters. The differences between collisions at the surface and in the bulk will be discussed.

Q3.9 
COMPUTER MODELING OF ENHANCED GROWTH OF BURIED OXIDE IN SILICON OBTAINED BY COMBINED ION IMPLANTATION. Alexey Efremov, Vladimir Litovchenko, Boris Romanyuk, Institute of Semiconductor Physics NAS of Ukraine, Kiev, UKRAINE.

To obtain a superthin SiO2 buried layer under low dose ion Implantation and at moderate temperature of subsequent annealings it is necessary to accumulate oxygen in a very thin region and to suppress SiO2 precipitation in silicon outside this region. This aim may be achieved due to preliminary implantation of such impurities as C or H. This combined implantation provide enhanced growth of buried oxide within ultra thin layer via formation of temporary intermediate complexes or phases containing carbon or hydrogen correspondingly. In order to handle these processes we have written a code ``SYNTHESIS''. The code calculates the solution of a set of differential equations describing the diffusion, drift and reactions for all components of a system which behaviour is important for the process studied. Computer simulation was carried out in the framework of model which take into account (i)the quasi chemical reactions between implanted species and defects, (ii) their diffusion and pairing (iii) the initial stage of SiO2 precipitates growth/decomposition (iv) hydrogen-containing nanobubles formation and (v) finish stage of one-dimensional growth of buried oxide layer. It was found in a good accordance with the experiment that carbon-containing complexes or intermediate sinks for oxygen including hydrogen nanobubles in silicon are very important for the effective oxygen accumulation at the initial stage of nucleation and growth.

Q3.10 
AUGER MECHANISM IN A GaInAsSb INFRARED PHOTOVOLTAIC DETECTOR. Tian Yuan, Zhou Tianming, Zhang Baolin, Jin Yixin, Ning Yongqiang, Jiang Hong, Yuan Guang.

In the last years, the III-V quaternary alloys GaInAsSb become very important materials for fabrication of detectors designed for infrared wavelength application. For example, GaInAsSb/GaSb infrared detector at wavelegths from 1.7m to2.4m has been prepared by Metalorganic Chemical Vapor Deposition. However, the theoretical analysis on the GaInAsSb detector properties has not been reported by now. In this paper, the theoretical calculation results on Auger mechanism are shown. Among noise mechanisms in narrow band-gap materals, Auger mechanism is the most fundamental and important to effect on the performances of an infrared photovoltaic detector, working at near room temperature. Through the theoretical calculation and analysis, the results are shown as the follows: (1) The detectivity of a GaInAsSb detector is clearly decreased if the surface recombination velocity on n or p region is considered. (2) In p region, the surface recombination velocity is equal either to zero or not, the detectivity will be increased when the width become narrow and the mobility is lower. However, in n region, it is required that the width is narrow if the surface recombination velocity is equal to zero while the width is wide if the surface recombination velocity is not equal to zero; the lower is the mobility, the higher is the detectivity, which is not related with the surface recombination velocity. (3) When the carrier concentration in n region is more than 1019 and the width is less than 1m, the detector are seen as a n+-p structure. (4) Because each of the above parameters is indenpendent to effect on the detector, the detectivity will be highest when each of the parameters is used to be a optimum value.

Q3.11 
INFLUENCE OF SHALLOW DONORS ON Er-RELATED ELECTROLUMINESCENCE IN SILICON. Sergey Sobolev, Ioffe Physical-Technical Inst, Dept of Solid State Electronics, St. Petersburg, RUSSIA.

Erbium doped crystalline single crystal silicon emitting at 1540 nm is a promising material for optoelectronic applications. Light emitting Si:Er diodes operating at room temperature have been fabricated. In spite of these new experimental results, modeling of physical processes in Si:Er structures is recently begun. One of important processes is the excitation of Er ions by optically or electrically generated carriers. Several models describing the processes of exitation and deexcitation of Er centers were suggested [1-3]. The purpose of this work was to study dependence of Er- related luminescence intensity on shallow donor parameters. In our model, the excitation of luminescence is due to recombination of excitons bound to Er-related levels in the energy gap of Si. Shallow donors being in neutral state capture injected electrons and prevent formation of bound excitons at Er centers. It predicts an appearance of a threshold in the pumping current dependence of Er-related electroluminescence intensity. A temperature increase leads to a decrease of the threshold current due to ionization of shallow donors. Simulated data good describe the experimental dependencies of Er luminescence intensity on the drive current and temperature observed in [4].

Q3.12 
MODELING OF TRANSIENT ENHANCED DIFFUSION OF BORON USING KINETIC MONTE CARLO AND CONTINUUM RATE EQUATIONS. M.-J. Caturla, M. Johnson, J. Zhu, T. Diaz de la Rubia, Lawrence Livermore National Laboratory, Livermore, CA; A.D. Lilak, M. E. Law, Dept. of ECE, University of Florida, Gainesville, FL; and M.D. Giles, Intel Corporation, TCAD Dep., Santa Clara, CA.

A kinetic Monte Carlo model is used to calculate the transient enhanced diffusion of boron under a set of different conditions, that is, different annealing temperatures and times, and ion energies and doses. All parameters used have been obtained from either ab initio calculations or Stillinger-Weber molecular dynamics. The results of this simulation provide a better understanding of the phenomenon of transient enhanced diffusion. The evoulution of boron clusters and silicon defect clusters is studied as a function of time. We observe the presence of very stable clusters containing three Boron atoms and one silicon interstitial that produces the fixed peak in the boron concentration profiles. 
The results of these simulations are compared with those obtained from continuum models based on rate equations. The equation solver Alamode was used to simulate the evolution of boron profiles under annealing considering the same model for boron clustering as the kinetic Monte Carlo simulation, as well as the same parameters for vacancy and intestitial diffusion. Very good agreement is obtained between the two simulations, and moreover, they are able to reproduce the experimental SIMS profiles for the different conditions modeled. For the first time this provides a direct link from ab initio calculations to the continuum modeling level used for process simulation in silicon submicron technology development.

Q3.13 
SIMULATION STUDY OF IBE PROCESS FOR III-V COMPOUNDS IN MESA AND TRENCHES. Lionel Houlet, Ahmed Rhallabi, Guy Turban, Laboratoire des Plasmas et des Couches Minces, Institut des Materiaux de Nantes, FRANCE.

In the microelectronic manufacturing of III-V devices, Ion Beam Etching is one of the dry etching processes which allows a good anisotropy etching of trenches. In this study we have developed an IBE model for III-V compounds to simulate the trench and MESA etching through a mask. The model is based on the analogy between the evolution of the hydrodynamic surfaces and the vacuum-solid interfaces. The main physical phenomenon in the IBE is the ion sputtering where the ion energy allows to eject the atoms from the surface. The local etching rate is proportional to the energetic flux and the sputtering yield. Mask erosion and shadowing are considered in the model. Redeposition of etched materials may be neglected if the mask walls are sloped and the structure is large but not deep. In order to take into account the angular dependent etching, we use experimental curves which give the sputtering yield as a function of the surface canting. This angular dependence permits to underscore the faceting and trenching phenomena which represent respectively the formation of facets in mask corners and the overetch in the trench sides. On the other hand, we have studied the effect of mask erosion on pattern transfer of both trench and MESA structures. The differences in the mask etching rates cause differences on the etched surface topography. The numerical simulations of trench and MESA structures based on this IBE model show a good agreement in comparison with experimental profiles.

Q3.14 
MODELLING OF REFLOW PROCESSES OF INTERMETAL DIELECTRIC DURING PLANARIZATION OF MOS INTEGRATED CIRCUITS. Ludmil Zambov, Georgi Peev, Univ. of Chemical Technology and Metallurgy, Dept. of Semiconductors, Sofia, BULGARIA.

Experiments have been carried out on conventional and plasma-enhanced chemical vapor deposition of silicate glass films with different composition and their planarization characteristics are investigated. A geometric description of glass layer contours after deposition and reflow is performed and a kinetic parameter - planarization rate - is formulated. Mathematical model of dielectric layer flow has been developed applying the dimensions analysis according to which the relationship between planarization rate, dielectric film properties and geometric characteristics of circuit relief is given by an equation of the type of degree term. Furthermore, three borderline cases, based on the physical concepts of the fusion process, are considered: 
- surface and gravitational forces are commensurable; 
- surface forces are dominant; 
- gravitation forces are prevalent. 
A technique for calculation of properties of multicomponent glasses is elaborated, that describes with sufficient accuracy the parameters of the layers: density, viscosity, surface tension, etc. The models derived have been competitively tested for adequacy by juxtaposing with experiments conducted at different regimes of the reflow process. From the analysis carried out follows that prevalent for the planarization are gravitational forces and consequently the mechanism of soften of glass film under its own weight is determinant for transformation of surface relief. In correspondence to this, the rate of planarization turns out to be proportional to the film density and step height and inversely proportional to the viscosity of glasses. By extrapolation of the suggested models predictions have been made about oxide systems with better planarization properties, satisfying the requirements of VLSI IC technology. Germanates have been found to be very promising materials among them.

Q3.15 
COMPUTER SIMULATION OF THERMOMIGRATION PROCESS. V.Yu.Gershanov, S.I.Garmashov, A.R.Minyaev, Physics Department, Rostov State University, Rostov on Don, RUSSIA.

Thermomigration is a unique method of local semiconductor doping, permitting to control distribution of impurities in a plate depth. Theory of the movement of discrete inclusion has to be worked out for technological use of the process. Creation of the analytical theory is difficult because of the non-one-dimensional problem and nonlinear (in common case) boundary conditions for heat and masstransfer equations. The theory should also take into account the nonstationary temperature conditions of the process, migration peculiarities of the groups of the inclusions, and partial transparency of the semiconductor plates. It stands to reason that the computer simulation of the thermomigration process has to be realized. The package of the modeling programs is based on a numeric solution of heat and masstransfer equations. These programs permit a user to get the information about the form and velocity of migrating inclusions that have one of their dimensions significantly larger than two others (two-dimensional case of heat and masstransfer equations). The growth and dissolution processes are considered to be normal on the rough interphase boundaries and screw-dislocation and two-dimension nucleation processes on the singular ones. The movement of the stairs has been considered by using the combined interface condition, that depends on the angle between a real surface orientation and singular interface plate next to it.

8:30 AM *Q4.1 
CHEMICAL KINETICS MODELS FOR SEMICONDUCTOR PROCESSING. Michael E. Coltrin, Sandia National Laboratories, Albuquerque, NM.

Gas-phase and surface chemical reaction kinetics are important in many process steps in semiconductor manufacturing. However, most TCAD tools in use today do not consider details of process chemistry. This talk will describe a general software approach to modeling process chemistry utilizing the Chemkin suite of codes. Chemkin provides a widely used interface for describing chemical reaction kinetics, either in terms of elementary reactions or global reaction mechanisms. It affords a structured approach to writing physically based TCAD modules through its reusable libraries describing gas-phase reaction kinetics, surface reaction kinetics, and transport properties. Application codes (TCAD modules) typically use these libraries in simulations of the coupled fluid-flow, transport, and reaction kinetics in models ranging from the reactor-scale to the microelectronic feature scale. A range of numerical models for thermal Chemical Vapor Deposition (CVD) processes will be described including: stirred-reactors, stagnation-flow / rotating-disk reactors, channel-flow reactors, and multiple-stacked-wafer low-pressure CVD reactors. The basic kinetic modules in Chemkin have been extended to incorporate non-thermal effects necessary for modeling plasma processes, including multiple temperatures and reaction kinetics that depend on ion-energy. Two plasma application codes will be described: a well-stirred reactor model, and a model for a 2-D, axisymmetric inductively coupled plasma. Chemical kinetics can also control the evolution of microelectronic feature topography during deposition or etch processes. The Chemkin software is currently being incorporated into feature-scale evolution software, and examples will be given. A major impediment to use of these TCAD models is the lack of chemical kinetics information necessary to describe the processes in manufacture today. There are relatively few well-established reaction mechanisms available for such models. Issues involved in mechanism development and, equally important, mechanism reduction will be discussed.

9:00 AM Q4.2 
REACTION PATHWAYS UNDERLYING IN SITU BORON DOPING OF SILICON. Istvan Lengyel, Klavs F. Jensen, MIT, Chemical Engineering Department, Cambridge, MA.

In situ doping of silicon during growth exhibits up to two orders of magnitude enhancement of the growth rate. In order to provide insights into the origins of this phenomenon, a detailed chemical mechanism of in-situ boron doping of silicon has been developed for the SiCl2H2/B2H6/H_2low-temperature (850-1000 K) chemical vapor deposition system and used in reactor simulations. The core of the proposed mechanism is the ability of BH_3to remove chlorine from the silicon surface without direct Si-B bonding. Chlorine-hydrogen exchange reactions were systematically studied by ab-initio and hybrid density functional quantum chemistry techniques to determine out reactivity trends and thermodynamics/kinetics parameters. Cluster calculations were used a model of silicon surface to compare gas-phase and surface reactivities. The reactants and products of eleven chemical reactions and their transition states were computed. The reactivity trends are as follows: chlorine removing ability is BH_3>BH_2Cl>BHCl_2; chlorine donating ability is SiClH_3>SiCl_2H_2>SiCl_3H>SiCl_4and BH_2Cl>BHCl_2>BCl_3. The reactivity of SiClH_3, Si_2H_5Cl and Si_9H_13Cl clusters are similar. Since borane is able to remove chlorine from silicon with a low activation barrier (16-20 kcal/mol) and BH_2Cl is able to transfer chlorine to silanes easily ( 25 kcal/mol), a catalytic pathway for chlorine removal from the surface is suggested. The catalytic species is BH_3, and it is regenerated from BH_2Cl in the gas phase. The mechanism was analyzed by finite element reactor simulations and comparison with experiments. The most important processes were identified by sensitivity analysis. The model describes growth rates and boron incorporation as a function of temperature, reactant and dopant gas concentrations.

9:15 AM Q4.3 
3-D MODELING OF MOCVD GROWTH IN THE In-Ga-As-P SYSTEM IN A HORIZONTAL REACTOR. M. Dauelsberg, L. Kadinski, P. Kaufmann, C. Lindner, Yu. N. Makarov, Institute of Fluid Mechanics, University of Erlangen-Nürnberg, GERMANY.

It is known that non-uniformity of thickness and composition of the ternary layer is strongly influenced by the three-dimensional effects of convective flow, rotation of the wafer and formation of the deposits on the reactor walls. The chemically reacting low Mach number flow with large density and temperature gradients is considered. The mathematical description consists of the solution of coupled flow, heat and mass transfer including heat transfer in solid parts, radiative heat transfer and multicomponent species transport. The capability of the developed method is demonstrated for the MOCVD-growth in the In-Ga-As-P system in a horizontal tube reactor. A kinetic model proposed in [1] is used to predict the formation of deposits. The results of numerical computations are compared to the experimental data obtained in the AIX-200 horizontal reactor.

9:30 AM Q4.4 
ROBUST REACTION-TRANSPORT MODELS OF MOVPE REACTORS. T.J. Mountziaris, C. Theodoropoulos, State Univesity of New York, Dept of Chemical Engineering, Buffalo NY; H.K. Moffat, Sandia National Laboratories, Albuquerque NM.

Although Metalorganic Vapor Phase Epitaxy (MOVPE) is a well-established technique for the growth of compound semiconductors, it is still limited by the extremely costly and time-consuming experimental trial-and-error procedures required for design and calibration of MOVPE reactors. Robust reaction-transport models, that can accurately predict film growth rate and thickness uniformity and are valid for different reactor geometries, can become essential tools for optimal reactor design and on-line process control (through appropriate model reduction techniques). A severe limitation for the development of such models is the lack of kinetic data, especially on the surface of the film. Since compound semiconductors are typically grown at operating conditions controlled by the rate of reactant arrival to the surface, reactive sticking coefficient models describing the net reactant flux to the surface of the substrate can predict the local film growth rates reasonably well. The advantage of such models is their ability to describe mass-transport-limited conditions using simplified kinetics so that they can be applied to materials, like GaN, that are of great importance, but for which kinetic information is scarce. The objective of our work is the development of robust reaction-transport models for MOVPE reactors. The MOVPE of GaAs is used as a test case because the underlying kinetics are fairly well understood and ample growth data for a variety of reactor geometries are reported in the literature. Two- and three-dimensional models of typical horizontal and vertical reactors are used to test the kinetic models. Surface kinetic schemes describing the chemisorption of reactants and their decomposition byproducts on the surface are used with temperature- and coverage-dependent sticking coefficients fitted to reproduce reported film growth data. The sensitivity of model predictions to the values of the fitted parameters is tested and the complexity of the model is increased to improve its robustness (if necessary). This approach is used to identify the most simplified models and the corresponding parameter values that make model predictions independent of reactor geometry. MP-Salsa, a state-of-the-art finite-element code developed at Sandia National Laboratories which runs on parallel machines, is used for the numerical solution of the systems of coupled nonlinear partial differential equations arising from the momentum, energy and mass balances describing the growth of GaAs. Flow structures, temperature and species concentration profiles (in the gas phase and on the surface of the film) can be predicted and their effects on film growth rate and thickness uniformity of the films can be studied by computer simulations. Robust reaction-transport models that are valid across reactor geometries are essential steps towards the development of virtual MOVPE reactors.

10:15 AM Q4.5 
Abstract Transferred to Q3.18

10:30 AM Q4.6 
MULTISCALE ANALYSIS OF MICROLOADING DURING CHEMICAL VAPOR DEPOSITION. Seth T. Rodgers and Klavs F. Jensen Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge MA

Multiscale Analysis of Microloading During Chemical Vapor Deposition Chemical Vapor Deposition is a complex operation used in the manufacture of integrated circuit (IC) devices. Much of this complexity arises from the fact that key processes occur on widely separated length scales. Because of this complexity, modelling efforts have typically involved focusing on events at either the reactor scale (m), the feature scale (microns), or the atomic scale (nm). These models have enjoyed considerable success. many problems of interest cannot be cast within the context of a single length scale. One such problem is microloading, where microscale surface topography affects reactor - wide distribution of reactive precursors, which in turn govern topography evolution. While events at the reactor scale may be treated with a continuum view of flow and transport, this is not appropriate at the microscale due to the particulate nature of the gas phase. Thus, a linked modelling strategy is required to capture interactions between macro and microscale processes. Transport and reaction at the microscale are summarized and fed to the reactor scale model as an effective reactivity functiion. This function is used with with either Monte Carlo or ballistic integral representations of species transport at the microscale, and is compatible with the macroscopic conservation equations. Rigorous criteria are proposed for dilineation of macroscopic and microscopic model domains. Results are presented for collisional and free molecular flows at the feature scale over several topographies typical of modern integrated circuit devices.

10:45 AM Q4.7 
OPTIMAL DESIGN OF STAGNATION FLOW MOVPE REACTORS WITH AXISYMMETRIC MULTI-APERTURE INLETS. C. Theodoropoulos, V. Gupta, J.D. Peck, T.J. Mountziaris, State University of New York, Dept of Chemical Engineering, Buffalo, NY.

Single-wafer stagnation flow reactors are extremely popular for industrial Vapor Phase Epitaxy (VPE) and etching operations, because of their simplicity, high throughput and easy integration with automatic wafer handling systems.In such reactors, gases flow perpendicular to a heated substrate, which can be rotated to enhance the uniformity of the films. The objective of this work is the optimal design of the gas inlets of stagnation flow reactors used for Metalorganic Vapor Phase Epitaxy (MOVPE) of compound semiconductors. Two distinct scenaria are considered: 
Case 1: The MOVPE of compound semiconductors whose precursors undergo parasitic pre-reactions in the gas phase leading to precursor depletion and particle formation that adversely affect the quality of the film. This is a problem encountered during the MOVPE of some II-VI materials (e.g. ZnSe) as well as group III nitrides (e.g. AlN).To counteract such pre-reactions, our group has recently proposed an axisymmetric split inlet consisting of two concentric tubes and used it to grow high quality ZnSe and Zn(1-x)FexSe films in a stagnation flow MOVPE reactor [Peck et al., J. Crystal Growth, v. 170, pp. 523-527 (1997)]. In such a design, the precursors are fed separately to the reactor and are mixed just above the surface of the film . Case 2: The MOVPE of compound semiconductors whose precursors do not undergo parasitic pre-reactions and they can be premixed before they enter the reactor. A typical example is the growth of GaAs from metal alkyls and arsine or tert-butyl-arsine under mass transport limited conditions. In such a case, an axisymmertic split inlet can be used to improve the thickness uniformity of the film in the radial direction by varying the flow rate of the gases carrying the reactants through the concentric tubes. 
Models of transport phenomena and chemical reactions underlying the MOVPE of ZnSe from dimethyl-zinc:triethylamine and hydrogen selenide (Case 1) and GaAs from trimethyl-gallium and arsine (Case 2) have been used to design axisymmetric split inlets by finite element simulations of stagnation flow reactors. Optimal reactor designs that lead to minimal contact time between the precursors in the gas phase (Case 1) and to uniform film thickness across the substrate (Cases 1 and 2) were sought by varying the following parameters: inlet to substrate distance, number of concentric inlet tubes and flow rate through each tube. Performance diagrams based on dimensionless quantities such as the Reynolds numbers of the inlet streams, the Grashof number, the Peclet numbers for mass transfer of each precursor and the aspect ratio of the reactor have been constructed in order to identify the regions of the parameter space that would yield optimal reactor designs. 
The ultimate objective of this work is to establish a methodology for the optimal design and operation of stagnation flow MOVPE reactors for the growth of uniform films of compound semiconductors over large-area substrates.

11:00 AM Q4.8 
A THEORETICAL STUDY ON THE FUNDAMENTAL CHEMICAL REACTIONS IN TITANIUM PLASMA-ENHANCED CVD. Ken-ichiro Tsuda, NEC Corporation, Fundamental Research Labs, Tsukuba, JAPAN; Koji Watanabe, Yoshio Ohshita, NEC Corporation, Microelectronics Research Labs, Tsukuba, JAPAN; Toshikazu Takada, NEC Corporation, Fundamental Research Labs, Tsukuba, JAPAN.

The important intermediates in Ti plasma-enhanced CVD using TiCl4 /Ar/ H2 are investigated by ab initio molecular orbital(MO) calculations. Firstly the important adsorbates generated from the TiCl4 decomposition are studied by the reactivities of TiCln (n=2,3,4) and their ionized species with respect to the endo- and exo-thermicity of reactions with H2 and H radicals in the plasma. Because of the high exo-thermicity of 2TiCl4+ + H2 2TiCl3+ + 2HCl (30.90kcal/mol) and TiCl4+ + H TiCl3+ + HCl (60.65kcal/mol), TiCl3+ is generated more easily than other species if TiCl4+ is generated in the plasma which is considered sufficient enough to ionize the source gas of TiCl4. Secondly the reactivities of TiCl3+ and TiCl3 with the Si substrate are discussed by the existing time which is estimated by calculating stabilization energies of their species on Si. TiCl3 is considered to be important species for the deposition based on the thermodynamic calculations. It is found that the existing time of TiCl3 is about 103 times larger than that of TiCl3+, concluding that TiCl3 is most probable as the deposition species in PECVD. However, TiCl3+ seems to be still important as sub-dominant species because of the high stabilization energy of 29.62kcal/mol. Thirdly the difference of the stabilization energies of TiCl3 on SiO2 against on Si substrate is investigated. This energy difference, which is 10kcal/mol, gives the larger existing time of factor 102 to TiCl3 on Si. Thus, the difference of the growth rate of Ti thin films between the Si and SiO2 substrates is expected to appear in the experimental result.

11:15 AM Q4.9
USE OF RIGOROUS THREE-DIMENSIONAL ELECTROMAGNETIC SIMULATION TO EVALUATE THE EFFECTIVENESS OF OPTICAL PROXIMITY CORRECTION FOR NONPLANAR LITHOGRAPHY. Michael Yeung and Eytan Barouch, Boston University, Department of Manufacturing Engineering, Boston, MA.

The use of resolution-enhancement techniques such as off-axis illumination, phase-shifting mask and optical proximity correction has contributed to extending the life of i-line (365-nm) optical lithography beyond the 0.35-m generation. It is anticipated that the 0,18-m generation will involve the use of both resolution-enhancement techniques and 248- or 193-nm exposure wavelength. 
Optical proximity correction (OPC) is a technique involving re-shaping of the mask to maximize both resolution and depth of focus. Hitherto, OPC has been applied primarily to correct for such effects as the differential bias between dense and isolated features, line-end shortening and corner rounding. Little as known about the effectiveness of applying OPC to correct for linewidth variation over nonplanar topography. The popular attitude in industry seems to be that most substrate-reflection problems in nonplanar lithography can be virtually eliminated by the use of suitable bottom antireflective layers (BARC). 
In this paper, we present results of the study of the effectiveness of applying OPC to nonplanar lithography, either in lieu of or in conjunction with BARC. Our study is based on rigorous three-dimensional electromagnetic simulation of photoresist exposure over nonplanar topography. Partial coherence is taken into account by dividing the extended light source into independent point sources and computing the image due to each point source separately. The single integral equation method developed recently by one of us, is used to solve the electromagnetic scattering problem associated with each diffraction order. The fast multipole method, extended recently by one of us to account for periodic boundary conditions is used to accelerate the iterative solution of the corresponding matrix system. Our technique is capable of handling defocus effects efficiently.

11:30 AM Q4.10 
MODELLING ANAYLSIS OF OXYGEN TRANSPORT DURING CZOCHRALSKI GROWTH OF SILICON CRYSTALS. Yu.E. Egorov, Yu.N. Makarov, Fluid Mechanics Institute, University of Erlangen-Nürnberg, Erlangen, GERMANY; E.A. Rudinsky, E.M. Smirnov, Department of Hydroaerodynamics, State Technical University of St. Petersburg, RUSSIA; A.I. Zhmakin, A.F. Ioffe Physical Technical Institute, St. Petersburg, RUSSIA.

Control of oxygen transport during Czochralski growth of bulk Si crystals is important to obtain the wafers of the necessary quality. High uniformity of oxygen concentration in radial and axial directions is required. This control becomes especially difficult during the growth of the crystals of 300 mm in diameter. Modelling is a powerful tool for analysis of oxygen transport during the growth. In the present paper for the first time coupled consideration of oxygen transport in the gas and Si-melt is presented. As a first step simulation of global heat transfer in the whole growth system, laminar flow of argon and turbulent flow in the melt is performed. Combined structured/unstructured computational grid is used: global unstructured grid with the embedded structured blocks in the melt. Such a hybrid algorithm permits to employ the advantages of the both approaches - geometrical flexibility of the unstructured grid for complex multiblock computational domain and smooth resolution of turbulent shear layers by the structured grid in the melt. Simulation of transport of oxygen in the gas and melt is performed in a coupled way. On the melt-crucible interface dissolution of the silica is taken into account. On the free surface of the melt coupled simulation of transport of oxygen to the melt surface, chemical reaction of formation of volatile SiO and transport of SiO in the gas from the melt surface are accounted for. Deposition of SiO on the parts of the reactor system is also taken into account. Results of simulation of oxygen transport in a system used for growth of Si crystals are shown. Using the proposed model effect of argon How and total pressure in the system on oxygen incorporation is studied. The results of computations are compared to the available experimental data.

SESSION Q5: SEMICONDUCTOR TOPOGRAPHY MODELING 
Chair: Carl V. Thompson 
Wednesday Afternoon, December 3, 1997 
Independence East (S)

1:30 PM *Q5.1 
THE ROLES OF 3D/3D AND 3D/2D TOPOGRAPHY SIMULATORS IN VIRTUAL SEMIDCONDUCTOR FABS. Timothy S. Cale, Department of Chemical, Bio & Materials Engineering, Arizona State University, Tempe, AZ.

This paper discusses the roles of and interactions between deposition, etch and thin film flow process simulators with different dimensionalities. The dimensionality (D) of these process simulators can be characterized as 3D/3D and 3D/2D, where the first number specifies the dimension of the transport and the second characterizes the dimension of the evolving surface. In future virtual fab applications, fully 3D (3D/3D) process simulators will be uesd. However, simpler 3D/2D models will continue to be used to obtain the fundamental transport and kinetic parameters which will be used in 3D/3D process simulators. This is because of the relative computational ease, as well as the absence of experimental methods which use information about 3D surfaces. Kinetic and transport information will continue to be obtained using well-designed experiments and samples whose surfaces can be completely represented in two dimensions; i.e., trenches, lines and features of circular horizontal cross-section.

2:00 PM Q5.2 
AN ATOMIC-SCALE DERIVED CONTINUOUS APPROACH FOR THE ANISOTROPIC ETCHING. Nicolaie Moldovan*, Sorin Nedelcu*, Henri Camon**, * Institute of Microtechnology, Bucharest, ROMANIA; ** LAAS/CNRS Toulouse, FRANCE.

Starting from the Ising model for the bond-breaking algorithm associated to the problem of anisotropic etching of crystals at atomic level, a method is used to write the discrete master equation for etching normally to indefinite large planes. This equation links the values of the absence probabilities for atoms placed in neighbouring lattice planes and can be transformed to describe a continuous spatial field of probabilities. The method allows the calculation of the etching rate, the average roughness of the etched surface and their transient evolution during the etching. Examples are shown for several orientations and sets of parameters governing the bond-breaking scheme at atomic level. Comparisons with experimental results are provided.

2:15 PM Q5.3 
EXPERIMENTAL ETCH DATA FOR PROCESS MODELING AND TOPOGRAPHY SIMULATION IN SUB-HALF-MICRON METAL ETCH PROCESS DEVELOPMENT. Thomas Schuelke, Raymond Joy, Paul Ho Kwok Keung, V. Premachandran, Lee Wai Lok, Ramasamy Chockalingam, and Tuan Ba Pham, Chartered Semiconductor Manufacturing Ltd., Singapore, SINGAPORE.

The purpose of process modeling and simulation is to increase the understanding of the process behavior in semiconductor manufacturing in order to optimize the equipment and process design as well as to reduce the necessary experimental development to a minimum level. However, very complex processes like plasma etch can only be simulated using semi-empirical models which are heavily reliant on experimental data. In order to calculate the surface reaction parameters it is still necessary to supply this type of process simulation with experimental data like etch rates /1/. For a sub-half-micron metal etch process, we measured step by step the etch rates, selectivities and profile data for a complex multi metal-stack (TiN-Al(Cu)-Ti-TiN-Ti-oxide) from the mask, down to the final etch pattern for different feature sizes. This compilation of data is further used on a standard process simulation tool and the results will also be presented.

2:30 PM Q5.4 
MODELING OF GRAIN STRUCTURE EVOLUTION AND ITS IMPACT ON THE RELIABILITY OF Al(Cu) THIN FILM INTERCONNECTS. Stefan P. Riege, Vaibhav Andleigh, and Carl V. Thompson, Massachusetts Institute of Technology, Department of Materials Science and Engineering, Cambridge, MA; Harold J. Frost, Thayer School of Engineering, Dartmouth College, Hanover, NH.

The reliability of Al-alloy integrated circuit interconnects is limited by electromigration-induced failure. The rate of electromigration-induced failure in Cu-doped Al interconnects is governed by grain structure and the spatial distribution of Al2Cu precipitates. We have extended a 2D simulation of grain growth to treat the effects of precipitates on the evolution of interconnect grain structures during post-patterning processing. It is known from experiments that different annealing histories result in different precipitate sizes and locations. When interconnects are annealed, they evolve toward the bamboo structure, in which individual grains span the full width of the interconnect. Segments of bamboo structure are separated by clusters of grains. Precipitates capture and effectively pin grain boundaries and inhibit grain growth and evolution toward bamboo structures. We find that even a small volume fraction of precipitates prevent an interconnect strip from reaching the fully bamboo structure by retarding grain growth and lowering the average grain size. At a later stage of evolution, cluster regions are pinned by precipitates on both sides preventing further transformation to the by far more reliable bamboo structure. The results from grain growth simulations have been used with our electromigration simulator EmSim to investigate the dependence of interconnect reliability on linewidth and precipitate distribution. Linked structure evolution and electromigration simulations allow prediction of the effects of interconnect processing on the statistics of electromigration induced failure.

2:45 PM Q5.5 
CREATION AND MODELING OF FOCUSED ION BEAM ETCHED PROFILES IN GaAs(001). Amol Kalburge, A. Konkar, P. Chen, and A. Madhukar, Photonic Materials and Devices Laboratory, University of Southern California, Los Angeles, CA.

Direct-write focused ion beam assisted chemical etching (FIBCE) affords a capability to create various profiles in the substrates without the need for any masks. Due to the highly non-equilibrium nature of FIBCE, the profiles created in the substrates are also expected to have non-equilibrium shapes, governed primarily by the ion beam conditions. In this work, we have used FIBCE to create stripe mesas oriented along [110], [10]and <100> having sidewall angles ranging from a few degrees to 60. We have developed a phenomenological model for predicting the mesa profiles based upon the knowledge of various ion beam parameters and the chlorine flow.[1] Good agreement is found between the mesa profiles measured by atomic force microscope (AFM) and those predicted by the model for the same etching conditions. Also, as expected from the non-equilibrium nature of FIBCE, we find that the mesa profiles are only dependent on the etching conditions, and not on the crystallographic orientation as commonly observed in conventional wet chemical etching. These patterned GaAs(001) substrates with FIBCE created mesas are then used to study the nature of subsequent molecular beam epitaxial growth of GaAs. Study of evolution of growth of GaAs on FIBCE created stripe mesas, carried out using AFM and TEM, reveals that size-reducing epitaxial growth conducive to quantum wire fabrication occurs on mesas with as-patterned sidewall angles greater than 19 and 45 for [10] and <100> mesa orientations, respectively, which are the angles corresponding to the (114) and 101 facets, respectively. This work was supported by JSEP, ARO, ONR, and in part by AFOSR.

SESSION Q6: SEMICONDUCTOR CHARACTERIZATION AND DEVICE MODELING 
Chair: Jeffrey S. Nelson 
Wednesday Afternoon, December 3, 1997 
Independence East (S)

3:30 PM *Q6.1 
ELECTRON SPIN RESONANCE CHARACTERIZATION OF DEFECTS FOR OXIDE MODEL DEVELOPMENT AND VERIFICATION. John F. Conley, Jr., Dynamics Research Corporation, Beaverton, OR; R.J. Milanowski, Vanderbilt University, Nashville, TN; H. Walker, M. Pagey, W. McArthur, Dynamics Research Corporation at NRaD, San Diego, CA; and C.J. Nicklaw, Dynamics Research Corporation, Beaverton, OR.

As the building in reliability (BIR) philosophy permeates the semiconductor industry, the need for physically based reliability models for TCAD will increase. Development and verification of these models may require application of new or novel characterization tools. In the case of thin film SiO2 reliability, charge trapping at precursor point defects in the SiO2 bulk and at its interfaces with Si dominates. (These precursors can become activated when free carriers are introduced via oxide stress such as hot carriers, high fields, radiation, etc..) Development and verification of a physically based oxide defect model will therefore require a characterization tool capable of providing qualitative and quantitative information about electrically active point defects. Perhaps the only tool with these capabilities is the somewhat novel electron spin resonance (ESR). ESR can provide structural information about point defects with a quantitative sensitivity approaching that of standard MOS electrical measurements and, because measurements can be made on minimally processed structures, timely feedback to process and modeling engineers is also possible. We describe the role of ESR measurements in the development of a predictive zero-order model of the process dependence of MOS oxide charge trapping.

4:00 PM Q6.2 
EFFECTS OF COMPOSITIONAL SEGREGATION AND SHORT CHANNEL ON THRESHOLD VOLTAGE OF N-MOSFETs. Julie Y.H. Lee, Tom C.H. Lee, Mike Embry, Keenan Evans, Dan Koch, Robert Tucker, Motorola Inc, Semiconductor Product Sector, Phoenix, AZ.

The purpose of this paper is to evaluate the capability of the commercial available 2D device simulator- SILVACOTM - ATLAS to calculate the threshold voltage roll-off behavior caused by short channel as a result of scaling as well as to model the reverse short-channel effect due to boron segregation around source and drain junctions. In this study, the simulation results by this commercial available 2D device simulator are comparable with the experimental data. The results suggest that the drift diffusion physics can predict both the short channel effect and the reverse short channel effect very well to sub-quarter micron Si n-MOSFET devices. The modeling results indicates the threshold voltages roll off at shorter channel length for devices with higher substrate dopant concentration. The threshold voltage increases if the local p-dopant segregation exists around the source and drain junction, also known as reverse short channel effect. It is observed that this reverse short channel effect is more significant for devices with lower substrate dopant concentration and shorter channel length.

4:15 PM Q6.3 
MODELING AND 2D NUMERICAL SIMULATION OF TRANSIENT PHENOMENA IN FLOATING BODY SOI MOSFET. Adrian M. Ionescu, Frederique Chaudier, Alain Chovet, LPCS, ENSERG, Grenoble, FRANCE.

The interest in understanding and modeling transient phenomena in floating body SOI MOSFETs is major because they are critical limitations for SOI ICs performances. This paper presents a detailed investigation of Zerbst-type relaxation and overshoot of the drain current in both partially and fully depleted SOI MOSFETs. The main elaborated analytical models for these transients and their underlying physics are validated and improved using 2D numerical simulation via ATLAS (SILVACO) simulator. In the generation-type transients (Zerbst-type), the 2D simulation is able to highlight the role of the source and drain junctions in the generation process and consequently, to deduce correction curves for accurate generation lifetime extraction in short channel SOI MOSFETs. The influence of the front gate bias is analyzed in terms of a balance between strong and weak inversion regions of the drain current transient. An original investigated point deals with the simulation of the drain voltage influence on the transient duration: transients in saturation and the contribution of impact ionization are accurately revealed. The overshoot of the drain current (specific to partially depleted SOI MOSFETs) is successfully simulated and it is validated that its decay (0.1-20s) is shorter compared to generation transients (1-500s) because it mirrors the recombination lifetime (lower than the generation lifetime, in silicon). Experimental overshoots obtained on n-channel SIMOX MOSFETs have allowed us to calibrate the ATLAS simulator and to validate models for the recombination lifetime extraction. In conclusion, this paper demonstrates that 2D numerical simulation is extremely useful in SOI MOSFETs in order to improve transient modeling and to obtain accurate information on generation/recombination parameters.

4:30 PM Q6.4 
NUMERICAL SIMULATIN OF AlGaN/GaN HETEROJUNCTION FIELD EFFECT TRANSISTORS. Mahesh S. Krishnan, Neil Goldsman, Aris Christou, University of Maryland, College Park, MD.

An efficient and rigorous 2-D ensemble Monte Carlo simulation has been developed for simulating the performance of a conventional Heterojunction Field Effect Transistor. A robust iterative numerical scheme is used for the solution of the Poisson equation, which is solved in conjunction with the Monte Carlo procedure. It makes use of coupling the Cloud-In-Cell formulation for the charge assignment with a smoothened, successively-under-relaxed electrostatic potential. The smoothing technique dissipates noisy fluctuations in the mobile charge concentrations that usually arise while performing an ensemble Monte Carlo simulation of sub-micronic devices. This numerical scheme was found to be robust in handling potential discontinuities at the hetero-junctions. The results are presented in this work The simulation is performed on a conventional Algan/GaN 0.3 HFET. Results of the transfer characteristics are presented. The effect of degeneracy of a heavily doped donor on the device performance has been demonstrated. Degeneracy in electron states is found to cause significant real- and k-space transfer that degrades the performance of the device. The phenomena of velocity-overshoot, real-space transfer of electrons from the channel to the surrounding areas and gate leakage currents in GaN-HEMTs are effectively addressed. The modeled results of the current-voltage characteristics are compared with existing experimental results in the literature for comparable device structures. Excellent agreement between the simulation schemes and the experimental results are obtained.

4:45 PM Q6.5 
NEW APPROACH TO QUANTITATIVE MOBILITY SPECTRUM ANALYSIS. I. Vurgaftman, J. R. Meyer, C. A. Hoffman, Code 5613, Naval Research Laboratory, Washington, DC; D. Redfern, J. Antoszewski, L. Faraone, The University of Western Australia, Nedlands, AUSTRALIA; J. R. Lindemuth, and D. S. Holmes, Lake Shore Cryotronics, Inc., Westerville, OH.

Since the earliest days of semiconductor device development, Hall characterization has been one of the most important and frequently used evaluation tools. Numerous analysis techniques have been employed over the years for extracting mixed-conduction information from Hall and resistivity data as a function of magnetic field. Our previous version of the Quantitative Mobility Analysis (QMSA) was the first to combine the advantages of full computer automation and easily interpreted graphical output format with the quantitative accuracy and numerical stability1,2. In this presentation, we will describe a new and improved QMSA algorithm based on a fundamentally new approach whose far greater flexibility expands the capabilities of the original. Extensive testing on real (HgCdTe, GaAs/AlGaAs, InGaAs/InAlAs, etc.) and synthetic data has demonstrated that the new algorithm maximizes the information available from a given data set. Significant improvements over the previous QMSA include the following: 1) The derived spectra much more accurately reproduce the experimental field dependences of the conductivity tensor components. 2) Linewidths (related to nonuniformity or thermal broadening) of the mobility peaks are much more reliably preserved. At the same time, closely spaced distinct mobility features tend to be well resolved. 3) Peaks corresponding to low-mobility carrier species (, where is the highest experimental magnetic field) emerge from the algorithm in a natural way, whereas previous approaches either ignored low-mobility species or else treated differently from electrons and holes with .4) Ghost peaks, i.e. low-density minority-carrier features that mimic the majority-carrier spectrum, and other unphysical artifacts are not as prevalent as in most previous approaches. This prevents ghosts from robbing carriers from the majority peaks and thereby distorting the derived carrier concentrations.