Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

fall 1997 logo1997 MRS Fall Meeting & Exhibit

December 1 - 5, 1997 | Boston
Meeting Chairs:
 Harry A. Atwater, Peter F. Green, Dean W. Face, A. Lindsay Greer 
 

Symposium A—Evolution of Surface Morphology and Thin-Film Microstructure

Chairs

David Cahill, Univ of Illinois-Urbana
L. Freund, Brown Univ
Robert Hwang, Sandia National Laboratorie
Jan-Eric Sundgren, Linkoping Univ

Symposium Support 

  • Digital Instruments, Inc.
  • KLA-Tencor *Komag, Inc. 
  • Park Scientific Instruments

1997 Fall Exhibitor

* Invited paper

SESSION A1: OXIDE FILMS AND SURFACES 
Chairs: C. Barry Carter and Robert Q. Hwang Monday 
Morning, December 1, 1997 
Essex Center (W)

8:30 AM A1.1 
SURFACE MORPHOLOGY OF SEQUENTIALLY DEPOSITED Pb2-xSr2+xEuCu3Oy FILMS. Yuichi Motoi and Sumio Ikegawa, Advanced Research Laboratory, Toshiba Corporation, Kawasaki, JAPAN.

Pb-3212/Pb-32n2/Pb-3212 trilayer Josephson junction is expected to have an excellent intra-cell barrier with sharp interface. In order to clarify the growth condition for ultra flat electrodes, the surface morphology of Pb2-xSr2+xEuCu3Oy ( Pb-3212 ) thin films was investigated. The number of deposited atoms per shuttering cycle was found to strongly affect the formation of precipitates. Pb2-xSr2+xEuCu3Oy thin films were grown by sequential deposition using the MBE method. The composition of the films was analyzed by ICP, and the surfaces were investigated by AFM and in situ RHEED. Precise study of the relation between the surface morphology and the composition revealed that that the surface morphology strongly depends on the amount of atoms supplied during one cycle of the deposition sequence to form the unit cell especially for Cu and Sr, rather than on the ratio among them. Cu2O precipitates appear when the amount of supplied Cu per ab-plane unit cell area in one cycle of the deposition sequence is greater than 3, or when the amount for Sr is less than 2. In other conditions, we have succeeded in synthesizing precipitate-free films with 0.30 nm averaged roughness. It is speculated that Cu oxide grows easily in the island growth mode when the surplus Cu atoms are supplied. It is suggested that the size of critical nucleus in the crystallization process of Cu2O is relatively small compared with other oxides.

8:45 AM A1.2 
FIRST LOCAL DETERMINATION OF THE TERMINATING LAYER OF SRTIO3. J. Fompeyrine1,2, R. Berger1,3, H.P. Lang1,3, Ch. Gerber1, J. Perret4, E. Machler1, J.-P. Locquet1, 1IBM Research Division, Zurich Research Laboratory, SWITZERLAND; 2Institute for Inorganic Chemistry, Universitat Bern, SWITZERLAND; 3 Institute of Physics, Universitat Basel, SWITZERLAND; 4Institut de Physique, Universite de Neuchatel, SWITZERLAND.

The characteristics of a substrate greatly affect the quality and the physical properties of a film. Both the topography and chemical nature of the substrate surface must be considered on a nanometer scale. In the case of SrTiO3 (001), the fabrication processes lead to samples with different surfaces. The terminating layer is usually a mixture of TiO2 and SrO plane. While a lot of work has already been done to understand its topographical features, only few techniques allow to determine its chemical nature. Electron and ion scattering spectroscopies are able to provide such information but so far only on a macroscopic scale. 
Using a combination of surface treatments (annealing at different temperatures and oxygen pressures including atomic oxygen) and scanning probe microscopy, we reveal here a method which allows, for the first time, to differentiate the nature of the terminating layer of these substrates, on a nanometer scale. Our results further uncover how the terminating layer can influence the geometry of the terrace edges, even for terrace steps of up to 4 unit cells high.

9:00 AM *A1.3 
FACETING OF OXIDE SURFACES AND THIN-FILM GROWTH. C. Barry Carter, Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, MN; and Jason R. Heffelfinger, Sandia National Lab, Livermore, CA.

Single-crystal ceramic materials are increasingly being used as substrates for the growth of thin films. Often surfaces of these substrates are prepared by cutting and polishing a particular crystal orientation which produces a mechanically damaged surface. To remove this damage substrates may be annealed; at sufficiently high temperatures kinetic smoothing and faceting occurs. The type of faceted surface which results depends on the material and original orientation of the surface. Different orientations of Al2O3 (corundum structure) have been used to investigate these effects on thin-film growth. Atomic-force microscopy and electron microscopy techniques are used to monitor the progression of faceting as a function of annealing time. Whether the surface facets into a hill-and-valley structure, such as the m-plane surface, or into a terrace-and-step structure, such as the (0001) surface, faceting starts with the nucleation and growth of individual facets. The present study demonstrates that factors such as surface steps and facets can influence the alignment of thin films and must be taken into account when growing onto annealed ceramic substrates. Thin-films of YSZ (yttria-stabilized zirconia) and Y2O3 (cubic structure) have been grown on such substrates by pulsed-laser deposition. Surface steps and facets do influence growth of the thin film. Examples will be shown where the alignment of these materials depends on whether or not they grew near a step on the surface or which facet they grew on. These differences and their wider relevance will be discussed.

9:30 AM A1.4 
RECIPROCAL SPACE MAPPING OF YBCO FILMS DEPOSITED ON VICINAL SUBSTRATES. U. Scotti di Uccio, Fabio Miletto Granozio, F. Ricci, Universitá Federico II di Napoli ITALY; J. C. Villegier, CEA/DRFMC/SPSMS/LCP, Grenoble, FRANCE.

YBCO thin films have been deposited onto SrTiO3 vicinal substrates. Purely (110) oriented YBCO/PBCO bilayers have been obtained on (110) SrTiO3 resorting to a template procedure. Transport properties of such films have been analyzed as a function of thickness (10 nm t 500 nm), proving very high quality of the samples, but also a relevant depression of Tc on ultrathin films. Reciprocal space mapping has been performed by XRD in order to analyze the details of the epitaxial relation between film and substrate. In the (110) YBCO films, the relation (110)YBCO//(110)STO holds to a high accuracy. Due to the orthorhombic distortion of the cell, (100) and (010) planes are instead tilted with respect to the substrate axes during the tetragonal to orthorhombic transition. (110) films deposited on vicinal substrates appear to be twin free. The reversibility of the process leading to axes alignment during a oxidation - deoxidation cycle is analyzed.

10:15 AM A1.5 
DOMAIN STRUCTURE AND INITIAL STAGE GROWTH OF EPITAXIAL SrRuO3 THIN FILMS ON VICINAL (001) SrTiO3 SUBSTRATES. Q. Gan, R.A. Rao, and C.B. Eom, Department of Mechanical Engineering & Materials Science, Duke University, Durham, NC.

We have studied the effect of both miscut angle () and miscut direction () of vicinal substrates on the epitaxial growth and domain structure of isotropic metallic oxide SrRuO3 thin films. The thin films have been grown on vicinal (001) SrTiO3 substrates with up to 10º and up to 45º away from the in-plane [010] axis. Single domain epitaxial (110)o SrRuO3 thin films were obtained on vicinal SrTiO3 substrates with a large miscut angle ( = 1.7º - 10º) and miscut direction close to the [010] axis. Decreasing the substrate miscut angle or aligning the miscut direction close to the [110] axis ( = 45º) resulted in an increase of 90º domains in the plane; these two domains are of the same volume amount in the thin films at = 45º. The initial stage epitaxial growth was studied by AFM and STM on ultra-thin SrRuO3 films, its relationship with the miscut angle and miscut direction of the substrates, as well as the domain structure will be discussed.

10:30 AM A1.6 
STABLE CONFIGURATION OF EPITAXIAL PbTiO3 ISLANDS ON PEROVSKITE SUBSTRATES. Jin Hyeok Kim, Materials Department, University of California, Santa Barbara, CA; Yoonseon Song, Research Department, Electronics and Telecommunications Research Institute, Taejon, KOREA; Frederick F. Lange, Materials Department, University of California, Santa Barbara, CA.

Epitaxial PbTiO3 (PTO) islands were grown on (001) LaAlO3 (LAO) [lattice mismatch = 0.15 %] and on (001) SrTiO3 (STO) [lattice mismatch = 2.77 %] substrates by spin-coating from a mixed Pb-Ti double-alkoxide solution precursor. Scanning electron microscopy and transmission electron microscopy were performed to characterize the islands. PTO films, heat treated at 800 oC for 1h, broke into PTO islands due to an instability phenomenon caused by the film thickness. The islands formed on STO substrates have a shape of a truncated pyramid which has orientation relationship of [110](001) [110](001). Those on LAO substrates have a shape of a cube which has that of [100](001) [100](001). An equilibrium stability diagram that explains the lowest free energy conditions for different island configurations, i.e., either pyramids or cubes, was calculated for any island/substrate system.

10:45 AM A1.7
GROWTH AND CHARACTERIZATION OF TIN OXIDE THIN FILMS. L. Fu, X. Pan, Dept. of Materials Science & Engineering, University of Michigan, Ann Arbor, MI; B. X. Chen and C. Uher, Dept. of Physics, University of Michigan, Ann Arbor, MI.

Tin dioxide thin films have been grown using electron-beam evaporation deposition and metal-organic deposition (MOD). Microstructures and grown textures have been characterized by 4-circle X-ray diffraction and plane-viewing TEM. Interfacial atomic structure and growth defects have been studied by cross-sectional HRTEM. It has been found that the microstructure of the films strongly depends on the processing parameters such as the substrate structure, deposition temperature, post-deposition annealing temperature and time, doping. Epitaxial films were grown on the R-surface of sapphire substrate using electron-beam evaporation deposition at high temperatures (500 -700 † C) followed by annealing at the same temperature in air. Effects of metallic doping on the film growth and microstructure have also been studied. Ion-beam assisted e-beam deposition has been used to control the film growth, crystal defects, film stoichiometry and the texture. Data will also be presented on the electrical properties of the films. The relationship between the atomic structure and properties will be addressed.

11:00 AM A1.8 
MORPHOLOGY AND MICROSTRUCTURE OF EPITAXIAL RUTILE THIN FILMS GROWN BY MOCVD. D.R. Burgess, Univ of Florida, Dept of Chemical Engineering; P.A. Morris Hotsenpiller, DuPont Co CR&D; T.J. Anderson, Univ of Florida, Dept of Chemical Engineering; G.S. Rohrer, J.B. Lowekamp, Carnegie Mellon Univ, Dept of Materials Science and Engineering.

Thin film morphology and microstructure are important issues in chemical sensor research because they affect properties such as conductivity and adsorption. TiO2 is often used in chemical sensor applications. As a widely studied metal-oxide, it is ideal for investigating the relationship between thin film properties and sensor behavior. In this study, epitaxial rutile phase TiO2 thin films with (001), (100) and (101) crystal orientations have been grown by the MOCVD technique. These films are being used to examine the effects of dopant concentration, orientation, and growth rate on microstructure and morphology. Films have been doped from 1 to 7 atomic percent on a per Ti basis with Nb and Ga, n- and p-type dopants respectively. Changes in the microstructure are observed in the form of other crystal orientations for dopant levels greater than 3 at%, and the anatase phase of TiO2 is found in the (100) oriented films. The overall epitaxial structure is maintained for each orientation in spite of respective microstructural changes. Surface morphology is also affected by doping but to a greater extent by in-plane film direction and growth rate. AFM analysis has shown surface features aligning with in-plane film directions and surface roughness increasing with growth rate.

11:15 AM A1.9 
EPITAXIAL YITTRIA-STABILIZED ZIRCONIA FILMS ON CERIA BUFFERED NI. Chau-Yun Yang, S.E. Babcock, D.C. Larbalestier, University of Wisconsin-Madison, Madison, WI; A. Goyal, M. Paranthaman, D.M. Kroeger, Oak Ridge National Lab, Oak Ridge, TN.

There is a considerable interest in stabilized zirconia due to its good corrosion resistance and potential as the buffer layer for high temperature superconductors. The microstructure characteristics of epitaxial YSZ films on (001) ceria buffered Ni substrates grown by e-beam evaporation were investigated by plan-view and cross-sectional TEM and HREM analysis. The YSZ epilayer has a needle-shaped grain structure. The average grain diameter is 10 nm. The needle-shaped grains are well-aligned both in-plan and out-of-plan. The SAD patterns showed the YSZ layer possess a good c-axis alignment with all grains. Those needle-shaped YSZ grains start to grow in 8 off c-axis beyond approximate 100 nm. The morphology of the YSZ surface is uniformly rough due to the faceted tip shape of the YSZ grains. The needle-shaped grain structure also was observed in the ceria layer, but several grains may have better connection to form both [110] and [10] aligned clusters thus finally a network is constructed. Such needle-shaped grain structure can function as a mechanism to alleviate the built-in strain which may cracks the thin films during thermal cycling.

11:45 AM A1.11 
POLARITY DETERMINATION OF C-AXIS ORIENTED ZnO FILMS BY CAICISS. Tsuyoshi Ohnishi, Akira Ohtomo, Masashi Kawasaki, Mamoru Yoshimoto and Hideomi Koinuma, Materials and Structures Lab., Tokyo Inst. of Tech., Yokohama, JAPAN.

ZnO expitaxial thin film attracts our interest for its possible application as a new material for short wavelength light emitting devices. Since wurzite ZnO has a polarity along with c-axis, it is important for construction of high quality ZnO heterojunction to elucidate the film growth direction. In the present work we have fabricated c-axis oriented ZnO films on sapphire (0001) substrates by laser MBE and determined their polarity by means of coaxial impact collision ion scattering spectroscopy (CAICISS). The CAICISS spectra were taken in an ultra-high vacuum by measuring the time-of-flight of coaxially scattered 2keV IIe+ ions for the films as well as for a bulk ZnO single crystal. From the comparison of CAICISS spectra of the films with those of ZnO single crystal with well defined (0001) or (0001) surfaces, (0001) O-face was found to terminate the topmost surface of the c-axis oriented ZnO film. The preferred growth direction of (0001) for the ZnO films is discussed from the view point of surface stability and chemical interaction at the boundary between the films and substrates.

SESSION A2: HETEROEPITAXY 
Chairs: Ernst G. Bauer and Hartmut Zabel 
Monday Afternoon, December 1, 1997 
Essex Center (W)

1:30 PM *A2.1 
PSEUDOMORPHIC GROWTH OF FCC METALS ON BCC SUBSTRATES. Hartmut Zabel, Ruhr-Universit, Bochum, GERMANY.

One of the driving forces behind the growth of epitaxial films is the possible stabilization of metastable structures with promising new physical properties. The successful pseudomorphic growth of epitaxial films depends on a number of parameters, including the substrate crystal structure, orientation, misfit strain, and adhesion between film and substrate. Two examples for the growth of fcc/hcp - metals on bcc-Cr substrates will be discussed to illustrate the basic ideas of pseudomorphic growth. Growth of Co on Cr(001) results in a pseudo bcc-Co structure with an epitaxial relation equivalent to the Pitsch-Schrader orientational relationship. With increasing Co film thickness a continuous structural relaxation takes the pseudo bcc Co crystal lattice back to the bulk hcp structure with the c-axis lying in the film plane parallel to the Cr {001} direction [1]. The Co structure and relaxation on Cr(001) has a pronounced effect on the magnetic anisotropy and is responsible for a reorientational transition of the easy magnetization axis [2]. For Pd(111) on Cr(110) the Kurdjumov-Sachs relationship is expected according to the atomic diameter ratio of the metal atoms. However, recent systematic investigations show two epitaxial orientations, either the Kurdjumov-Sachs or the Nishiyama-Wassermann [3]. A continuous transition between both takes place as a function of temperature and film thickness, requiring the coalescence of islands to reduce dislocation and defect energy.

2:00 PM A2.2 
''VOLCANOES'', CAVERNS AND METASTABLE ALLOY FORMED DURING EPITAXIAL GROWTH UNDER THE CU-CO SPINODAL. G. L. Zhou and C. P. Flynn, Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, IL.

Nanostructures of successive Cu and Co layers have attracted attention for their potential as spin-valve systems with giant magnetoresistance (GMR). However, the behavior during heteroepitaxial synthesis has proved hard to understand. Specifically, a metastable fcc alloy is observed to form despite the well-established immiscibility of Cu and Co in the relevant temperature range below 500C1 . We have discovered that the alloy forms during the epitaxial growth of Co on Cu by a surface pump mechanism that also creates remarkable microstructures in the form of volcano-like structures and caverns. In the deposition of Co at 500C even on smooth flat Cu, pinholes assemble at the intersections of ripened islands which form domains of alternative fcc stackings, ABCA... or ACBA.... The driving force exists because the surface energy is reduced by 0.8J/m2 when Cu covers Co. This free energy reduction pumps Cu from the covered Cu film, through the pinholes by surface diffusion, onto the outer surface of the Co, where it is trapped as metastable alloy by the Co flux from the evaporation source. The limited surface diffusion localizes the alloyed material close to the pinhole where it creates a micron-sized volcano profile with the pinhole as the central cavity. We observe that the Cu removal leaves compact voids in the form of hexagonally facetted chambers directly beneath the volcanoes.

2:15 PM A2.3 
NUCLEATION, GROWTH AND ELECTRICAL TRANSPORT ACROSS THIN Pt FILMS ON INSULATING FLUORIDE LAYERS ON Si(111). Y.V. Shusterman, V.P. LaBella and L.J. Schowalter, Rensselaer Polytechnic Institute, Physics Dept., Troy NY.

The MBE growth of thin Pt films and nanometer-scale Pt clusters on thin CaF2 and CaMgF2 films on silicon was investigated by RHEED, STM and BEEM. Both on-axis and vicinal Si(111) wafers were used. The presence of step bunches on the vicinal Si(111) surface allowed for the fabrication of fluoride layers with a self-controlled thickness of only 2 ML. In this regime, the excess amount of deposited fluoride forms thick islands near step bunches, leaving a flat, thin film on the terraces. The addition of magnesium to calcium fluoride served to match the lattice parameter of the films to that of silicon, which may improve their insulating characteristics. At very low coverages, platinum was found to form clusters of about 3 nanometers in diameter and 1 nanometer in height, when deposited on the fluoride surface at room temperature. Then, the growth proceeded by increasing number of the clusters on the surface rather than by enlargement of their size. We have found also that the Pt sometimes forms very flat wide grains over a 24 hr period at RT in the UHV environment of the MBE system. At the moment, it is not clear whether this flattening is driven by surface diffusion or some chemical reaction. In-situ Ballistic Electron Emission Microscopy (BEEM) was used to assess the electronic properties of the grown structures. For 10-angstrom-thick Pt on CaF2, a peak in the BEEM spectra was observed at energies below the CaF2 conduction band minimum. This peak was attributed to resonant tunneling in the tip-vacuum-metal-insulator- semiconductor system.

3:00 PM *A2.4 
INITIAL GROWTH OF HETEROEPITAXIAL FILMS ON CLOSE PACKED METAL SUBSTRATES. J. C. Hamilton, Sandia National Laboratory, Livermore, CA.

Heteroepitaxial film growth is of great technological importance, yet theoretical progress in this area has been limited. Typical heteroepitaxial films are strained due to lattice mismatch, thus misfit dislocations which relieve this strain are often present . I shall present recent developments in the modeling of submonolayer metal films based upon the Frenkel-Kontorova model and upon more detailed atomistic calculations. For many systems on close-packed substrates, island diffusion by dislocation nucleation and glide allows rapid motion of islands having a particular magic size. The effects of this process on the initial stages of film growth will be described. In particular, the range of experimental conditions under which this process will determine initial film morphology will be considered.

3:30 PM A2.5 
GROWTH MORPHOLOGY, CHEMICAL BONDING AND THE RESULTING INTERFACE- AND MICROSTRUCTURE OF THIN CU FILMS ON (110) TIO2. J.Marien, T. Wagner and M. Rühle, MPI für Metallforschung, Stuttgart, GERMANY.

Thin films of Cu were grown on top of single crystalline (110) TiO2 at room temperature by means of MBE in a UHV chamber. The evolution of the morphology and the orientational relationship of the thin film to the substrate was monitored in-situ by reflection high energy electron diffraction (RHEED). Individual islands nucleated with two different orientations. These two orientations were rotated about 180 normal to the surface plane and were in perfect epitaxial orientation to the substrate. These results were confirmed by ex-situ scanning electron microscopy (SEM) and pole-figure measurements. Quantitative analysis of high-resolution transmission electron micrographs showed that the resulting interface was incoherent within the resolution limit. Furthermore, the distance between the terminating Ti-O layer of the substrate and the first Cu layer was determined to be (0.192 0.05) nm. Electron energy loss (EEL) studies showed that there was no charge transfer at the interface. The existence of two orientations in the thin film and the lack of charge transfer at the interface suggested that the interaction between the subtrate and the Cu thin film was limited to the very first layers. Thus, Cu/TiO2 is an ideal model system for a weak interaction at the metal/ceramic interface.

3:45 PM *A2.6 
COMPUTER MODELING OF INITIAL STAGES OF THIN FILM FORMATION. G. Betz, Inst. F. Allgemeine Physik, Technische Universitaet Wein, Wein, AUSTRIA.

Molecular Dynamics (MD) and Monte-Carlo (MC) calculations have been proven to be powerful techniques to model the fundamental processes of early stages of thin film deposition. Processes ranging from thermal deposition up to energetic atom/cluster impact have been successfully studied. MD simulations have increased our understanding of the fundamental processes occurring for times up to a ns, when the impinging particles transfer their initial energy to the target atoms. Recent results obtained by MD and MC calculations will be discussed: 
a) Sputter deposition on non-planar substrates from multicomponent targets including gas phase transport processes and resputter processes from the substrate as an example for an applied MC calculation. 
b) Impact of slow and energetic clusters on a surface. Different processes become dominant, if instead of a single atom a large cluster bombards a surface. Depending on the cluster energy one observes all stages from epitaxial growth of the cluster atoms at very low bombarding energies up to crater formation at high energies. 
c) Initial stages of thin film deposition for thermal deposition of metals on metals. As an example we will discuss the deposition of Cu atoms on a Pb surface, where a new growth mode (subsurface island growth) was observed experimentally and also found in our MD calculations. Also the influence of low energy ion bombardment as in biased sputter deposition has been, investigated. 
Results and the possibilities of extending such calculations to thicker layers will be discussed.

4:15 PM A2.7 
EPITACTIC GROWTH OF Al FILMS ONTO AIN BY MBE. Jason R. Heffelfinger, Douglas L. Medlin and Kevin F. McCarty, Sandia National Laboratories, Livermore, CA.

The growth of Al films onto thin-film AlN substrates has been studied using conventional and high-resolution transmission electron microscopy (TEM), scanning electron microscopy (SEM), Auger electron spectroscopy and low-energy electron diffraction (LEED). The relatively simple structures of Al and AlN make this a model system and an attractive means for studying the evolution of metal/ceramic interfaces. Thin-film substrates were fabricated by growing AlN onto (0001) orientated single crystal Al2O3 substrates by MBE. Onto these substrates, thin films of Al were deposited by MBE. The first step in understanding the progression of Al growth onto the AlN surfaces was to characterize the AlN films for their surface morphology and epitaxy with the Al2O3 substrates. These AlN films were found to be well aligned with the Al2O3 substrate and to provide a nearly single-crystal AlN substrate onto which the Al films could be grown. The AlN films were found to have varying degrees of surface roughness which is associated with the island type growth mode of these films. The Al films were characterized for their alignment and microstructure with AlN substrate surface. The structure of the Al/AlN interfaces was analyzed using high resolution TEM in conjunction with image simulations. Research is currently underway to perform atomic simulations of these Al/AlN interfaces. Future work will alter the surface orientation of the Al2O3 substrates in order to produce thin-film AlN substrates with different microstructural features. Reasons for the observed epitaxy and morphology of the Al and the AlN films were discussed.

4:30 PM A2.8 
REAL-TIME X-RAY STUDIES OF GALLIUM-NITRIDE GROWTH ON SAPPHIRE(0001). R.L. Headrick1, J.D. Brock2, S. Kycia1, M.V.R. Murty3, and A.R. Woll2, 1Cornell High Energy Synchrotron Source, Cornell University, Ithaca, NY; 2School of Applied and Engineering Physics and Materials Science Center, Cornell University, Ithaca, NY; 3Physics Department and Materials Science Center, Cornell University, Ithaca, NY.

An extensive set of in-situ x-ray scattering studies of the nucleation and growth of GaN onto sapphire have been performed. Growth was performed by metal-organic MBE using TEG and NH3 as the precursors. Ga K fluorescence was used to monitor the deposition, which followed a power law in time with an exponent of approximately three. Simultaneous time resolved x-ray reflectivity studies show that the growth mode is three dimensional, producing an array of nano-scale clusters. AFM studies confirmed this growth mode. The nucleation is modeled by incomplete condensation of Ga onto the sapphire surface leading to a deposition rate that is proportional to the size of GaN clusters, and hence the power law growth. This is a new mechanism for three-dimensional growth, specific to gas-source heteroepitaxy with incomplete condensation. Low energy NHx+ ions were also used to influence the growth mode during the nucleation stage for some studies. The results were striking, showing layer-by-layer intensity oscillations at the GaN [0001] anti-Bragg reflection during growth from TEG and 30eV NHx+. This shows that ion-assisted growth induces a two-dimensional growth mode. The mechanism of the change of growth mode is believed to be an increase of the nucleation density induced by the ion-irradiation. The deposition rate was constant, in contrast to growth from thermal precursors only. X-ray diffraction was also used to monitor the in-plane GaN lattice parameter during ion-assisted growth. An early relaxation, well before the expected critical thickness, was observed. These results show that the initial growth mode and lattice relaxation can be controlled. The three dimensional cluster growth mechanism also appears to be a promising method for producing a two-dimensional array of GaN quantum dots.

4:45 PM A2.9 
STABILIZATION OF CUBIC AlN PHASES IN EPITAXIAL AlN/W AND AlN/NbN SUPERLATTICES. I.W. Kim, A. Madan, Murat U. Guruz, V.P. Dravid and S.A. Barnett, Department of Materials Science and Engineering, Northwestern University, Evanston, IL.

Zinc-blende AlN and rocksalt AlN were epitaxially stabilized in AlN/W superlattices and AlN/NbN superlattices, respectively. The films were grown on MgO(001) by D.C. reactive magnetron sputtering in Ar-N2 mixtures. Modulation wavelengths ranged from 3.5 to 9 nm. The ratio of the AlN layer thickness to the NbN or W layer varied from 1:5 to 1:1. For structures with AlN thicknesses 1.5 nm, cross-sectional transmission electron microscopy showed that layers were well-defined and relatively planar, and selected-area electron diffraction patterns confirmed that the AlN was in a cubic phase. As the AlN thickness increased above 2-3nm, high-angle x-ray diffraction (XRD) -2 scans showed a rapid decrease in satellite peak intensities, indicating that the superlattice layered structure was lost. This suggests that the AlN had transformed into the stable hexagonal phase. In AlN/W superlattices, pole-figure scans showed that the AlN (110) reflection had a lattice spacing close to the theoretically predicted lattice spacing of zincblende-AlN, 0.437 nm. -2 XRD scans of AlN/NbN combined with dynamical and kinematical fits to the data showed an AlN lattice constant close to that expected for B1-AlN, 0.408 nm. The fact that two different AlN structures were obtained in these superlattices is surprising given that both NbN(001) and W(001) surfaces lattice match zincblende-AlN to within 2, but have > 7 mismatch with B1-AlN. The results can be explained by assuming that zincblende-AlN/B1-NbN interfaces had a high energy, making the B1-AlN/B1-NbN interface more favorable despite the large mismatch. The zincblende-AlN/BCC-W interfacial energy was apparently favorable.

SESSION A3: POSTER SESSION: 
PHASE TRANSFORMATIONS, SILICIDES AND THE FORMATION OF AMORPHOUS DIELECTRICS 
Monday Evening, December 1, 1997 
8:00 P.M. 
America Ballroom (W)

A3.1 
SOLID-PHASE REACTION OF Ni WITH AMORPHOUS Si THIN FILM. Zhonghe Jin, Bhat A. Gururaj, Milton Yeung, Hoi S. Kowk, and Man Wong, Department of Electrical and Electronic Engineering, The Hong Kong University of Science and Technology, Kowloon, HONG KONG.

Recently, metal induced crystallization (MIC) of amorphous silicon (a-Si) and amorphous germanium (a-Ge) has been studied with great intensity [l,2,3]. MIC has been proposed as a hopeful candidate for lower temperature solid phase crystallization, dramatically decreasing the process temperature and improve the thin film transistor characteristics [4,5]. However, the mechanism of the MIC is still not clear, though some possible mechanisms for MIC of a-si and a-Ge have been proposed[1,3, 6]. Since metal is inevitably involved in MIC and its presence and distribution inside the crystallized semiconductor films can seriously affect the performance of the resulting devices, we have studied carefillly the reaction of Ni with thin film a-Si on SiO2 using Raman scattering spectroscopy and X-ray photolectron spectroscopy (XPS). 
Ni (10nm) was evaporated on l00nm of a-Si which was deposited by LPCVD at 550C on thermally oxidized silicon wafer. The reaction of Ni and a-Si layers was performed at 520C in a conventional furnace. Ni induced of Si was confirmed by Raman spectroscopy. XPS results indicate Ni pile-up at or near the interface of the crystallized silicon and the Si02 substrate. Ni staying inside the Si lazier exists in more of a NiSi-like form while that near the interface is more like Ni-rich alloy or a mixture of elemental Ni and NiSi. A possible mechanism of the reaction of Ni with amorphous Si was proposed to explain the observed phenomena.

A3.2 
DETECTION OF COBALT SILICIDE PHASE FORMATION BY PICOSECOND ULTRASONICS. C. Lavoie, C. Cabral, Jr., J.M.E. Harper, and R. Martel, IBM T.J. Watson Research Center, Yorktown Heights, NY; G. Tas, H.J. Maris, R.J. Stoner, Brown Univ., Providence, RI; C.J. Morath, Rudoph Technologies Inc., Flanders, NJ.

We demonstrate that the evolution of phases in the cobalt silicon reaction can be detected using picosecond ultrasonics. The measurements were performed on films of cobalt, 9.4 nm in thicknessthat were sputter deposited on Si(l00) substrates and annealed in an atmosphere of helium at 3C/s to temperatures ranging up to 9500C. In the current experimental configuration, picosecond laser pulses (=800 nm) focused to a diameter of 20 m are used to generate ultrasonic waves in the opaque film. As the waves evolve in the material, changes in the optical reflectivity are measured using a delayed probe pulse. From the acoustic properties of the material (density, sound velocity), these changes can be used to determine film thickness, interface quality, roughness and material homogeneity. For films of thickness similar to the absorption length of the light, the relaxation of the initial thermal stress is observed as sinusoidal oscillations. The frequency and damping of this acoustic ringing was measured for each annealed sample. We found that the vibration is only observed for well defined layers of either Co, CoSi or CoSi2. For anneal temperatures at which two phases are coexisting, the signal is damped so rapidly that oscillations are not present. The thicknesses determined from the vibration frequencies are found to be within 5% of the results obtained from RBS measurements. The damping rates are similar to expected values for the Co film but more than 40% larger for the CoSi2 layer. This is consistent with rougher surfaces and interfaces for the disilicide film.

A3.3 
GROWTH OF SEMICONDUCITNG Mg2Si THIN FILMS BY CODEPOSITION. A. Vantomme and G. Langouche, Instituut voor Kern- en Stralingsfysika, Katholieke Universiteit Leuven, Leuven, BELGIUM; J.E. Mahan and J.P. Becker, Department of Electrical Engineering, Colorado State University, Fort Collins, CO; M. J. Van Bael, K. Temst and C. Van Haesendonck, Laboratorium voor Vaste-Stoffysica en Magnetisme, Katholieke Universiteit Leuven, Leuven, BELGIUM.

In spite of potential optoelectronic applications in an important infrared range, the growth of semiconducting Mg2Si thin films on silicon substrates has received scant attention. This may be due to the difficulty of preparing Mg2Si in thin film form. We find that ultra-high vacuum evaporation of magnesium onto a hot silicon substrate (200 500C), with the intention of forming a Mg2Si thin film by reaction, does not result in any accumulation of magnesium or its silicide. On the other hand, co-deposition of magnesium with silicon at 200C, using a magnesium-rich flux ratio, gives a stoichiometric Mg2Si film which can be grown several hundreds of nm thick. The number of magnesium atoms which condense is equal to twice the number of silicon atoms which were deposited; all the silicon condenses while the excess magnesium in the flux desorbs. In other words, the condensation coefficient of magnesium automatically assumes a value which results in a stoichiometric film. For both Si(111) and Si(100) substrates, the Mg2Si layers thus obtained are polycrystalline with a (111) texture. The surface roughness of the Mg2Si layers was studied with atomic force microscopy - from the rms roughness analysis as a function of sampling length, a self-affine growth mode with a roughness exponent equal to 1 is deduced, suggesting an atomistic model of 3D island growth. Optical characterization of thin Mg2Si films thus obtained suggests an indirect bandgap of 0.74 eV, plus direct transition at 0.83 and 0.99 eV.

A3.4 
C40 PHASE FORMATION STRUCTURE IN Ti/Mo BILAYERS DEPOSITED ON Si SUBSTRATES. A. Quintero, C. Cabral, Jr.*, C. Lavoie*, J.M.E. Harper* and M. Libera, Stevens Institute of Technology, Hoboken, NJ; *IBM T.J. Watson Research Center, Yorktown Heights, NY.

The formation of TiSi2 in the C54 phase from various thin-film configurations has been studied extensively because of its use in interconnect applications. Recently there has been interest in the effects of metal interlayers on silicide formation in Ti/Si bilayer structures. In this context, it has been demostrated that interposing a thin (0.1 to 0.5 nm) molybdenum layer between the silicon substrate and the deposited titanium, can produce the desirable low-resistivity C54 phase at relatively low temperature annealing. X-ray diffraction experiments indicate that Mo promotes the formation of the hexagonal C40 phase. The basal plane of C40 and the <010> plane of C54 have a 3% mistmatch suggesting that C40 acts as a template on which C54 nucleates and grows. This paper describes the effect of a Mo interlayer on the evolution of thin-film microstructure in structures of 32nm Ti/0.85nm Mo/Si (100) single-crystal substrates. As- deposited films were subjected to rapid thermal annealing ( 3C/sec.) from room temperature to 900C in N2. The Mo thickness was so chosen to enhance the C40 phase formation during annealing. The hexagonal C40 crystal structure has been unambiguously characterized using in situ x-ray diffraction analysis and several transmission electron microscopy techniques. The crystal lattice and the space group derived from high-resolution images in conjunction with Fourier transform data and convergent beam electron diffraction data agree with computer simulations using the data for the ternary compounds Ti-Mo-Si from the JCPOS database (Joint Committee on Powder Diffraction Standards Card Numbers: 6-607 and 7-331). In the temperature range from 650C to 800C, X-ray diffraction analysis gives evidence of Ti5Si4 formation preceding the formation of C40 crystal structure and no evidence of C49-TiSi2 formation. Transmission electron microscopy on annealed cross sectional samples shows a layer of hexagonal C40 adjacent to the substrate and a fine layer of titanium nitride at the free surface. The high-resolution and electron diffraction data are corroborated by energy dispersive (EDS) X-ray spectrum profiles. EDS analyses also shows an irregular distribution of molybdenum in the silicide with Mo accumulation close to the Si/Silicide interface and Mo accumulation at the silicide/nitride interface.

A3.5 
MORPHOLOGY AND COMPOSITION OF LATERALLY INHOMOGENEOUS METAL/Si INTERFACES: PHOTOEMISSION MICROSCOPY STUDY. Sebastian Gunther, Andrei Kolmakov, Janez Kovac, Marino Marsi, Luca Gregoratti, Loredana Casalis and Maya Kiskinova, Sincrotrone Trieste, Trieste, ITALY.

The formation of morphologically complex interfaces often involves chemical and physical processes localized at submicron-scale phases. Typical examples are metal/Si interfaces where the coexistence of two-dimensional (2D) ordered or disordered phases and metal-rich three-dimensional (3D) islands is a common phenomenon. Since the 3D islands are usually smaller than a micron and cover only a very small fraction of the surface, their chemical composition and electronic structure and their effect on the evolution of the interface have not been well understood yet. Using the recently developed probing technique, synchrotron radiation scanning photoemission microscopy, which combines submicron spatial resolution with surface chemical sensitivity, we studied the composition differences between the 2D and 3D phases formed at several metal (Ni, Au, Ag)/Si and bimetal (Au+Ag)/Si(111) interfaces [1,2]. Elemental and chemical mapping with spatial resolution of 0.15 µm, combined with core level and valence band photoelectron microspectroscopy were performed in order to investigate the effect of the formation conditions (temperature, metal coverage and deposition mode) on the local surface and near-surface composition and electronic properties of the coexisting 2D and 3D phases. It has been found that depending on the type of the metal and the formation temperature the 3D islands can be silicides of different stoichiometries or metal agglomerates covered with layers of amorphous silicon and/or silicon-metal alloy with varying thicknesses. We evidenced correlation between the shapes and the different stoichiometries of the Ni silicide islands. The processes controlling the evolution of the interfaces include formation of various ordered 2D structures compound formation, alloying, displacement and transport of the metal atoms, where the 3D islands can act as a source or a trap.

A3.6 
GROWTH MODE TRANSITION OF GOLD ON A Si(111)-Au SURFACE. Ayahiko Ichimiya, Kenji. Fuchigami, Department of Quantum Engineering, Nagoya University, Nagoya, JAPAN.

Gold growth on a Si(111)-Au surface is investigated at substrate temperatures from room temperature to 700C by scanning tunneling microscopy (STM), scanning electron microscopy (SEM), Auger electron spectroscopy (AES) and reflection high-energy electron diffraction (RHEED). A stable RHEED oscillation is observed during epitaxial growth of Au(111) at room temperature after 2 monolayer (ML) deposition (1 ML = 1.39 atoms/cm2). During RHEED oscillations, gold silicide segregates on the grown surface. At temperatures lower than 240C, layer by layer growth of gold takes place and the 2D silicide layer is formed on the grown surface. At temperatures higher than 270C, dispersed three dimensional (3D) islands are observed on the surface. Saturated mean distances between 3D islands are more than 200 nm at 270oC and more than 20m at 600C. Between the 3D islands, no small islands are observed by STM. Arrhenius plots of the mean distances result that activation energy at the high temperatures is 4 times larger than that at the low temperatures. At the low temperatures, the growing surface is covered by gold silicide layer. At high temperature 3D island growth takes place. We believe that the transition from layer by layer growth mode to island growth mode at about 250C is due to formation temperature of the gold silicide overlayer. The eutectic temperature of the gold silicide is 363 2C [1] for bulk crystal. It is reasonable that the eutectic temperature for the 2D silicide become lower than that of bulk. It is concluded that the layer by layer growth at the low tempertures is due to surface energy lowering by formation of the silicide overlayer. At the high temperature, the silicide layer is not formed, because the 2D silicide becomes unstable. Therefore the structure is not decomposed by gold deposition at the high temperature, and 3D island growth takes place.

A3.7 
MULTILAYER-TECHNIQUE TO ENHANCE ALLOYING ABILITY IN AN IMMISCIBLE SYSTEM. B.X. Liu, Y.G. Chen, Dept. of Materials Science Engineering, Tsinghua University, Beijing, CHINA.

Amorphous alloys were obtained in an immiscible Y-Nb system by ion mixing of Y-Nb multilayered films designed under an interfacial free energy concern. Meanwhile, some new metastable fcc phases were also formed. It was noted that alloy phase formation was obviously compositional dependent. Thermodynamically, the irradiation energy and interfacial free energy were considered, however, the irradiation effect should be the same for all the samples with various compositions. Consequently, the enhancement of alloying ability in this immiscible system was mainly attributed to the excess interfacial free energy. To discuss the singularity of the unusual alloying behavior, Miedema's model was employed to construct a free-energy diagram, including the free energy curves of all the involved phases and of the multilayered films, in which various fractions of interfaces were designed for elevating the initial energetic level of the films. It turned out that the calculated diagram could give a reasonable interpretation to the formation of the above metastable alloys. It was of great interest to verify the applicability of the interface concept for solid-state amorphization and steady-state thermal annealing of the properly designed multilayered films resulted indeed in the formation of Y-Nb amorphous alloys. A novel technique, i.e. multilayer-technique, was therefore developed and it is capable of preparing new metastable alloys in those systems, in which no any alloy has been obtained by conventional methods. It also rose some questions to be farther investigated, e.g. the effect of texture at the interface on alloying behavior, etc.

A3.8 
CRYSTALLINITY PROMOTION IN WS2 THIN FILMS. M. Regula, C. Ballif, and F. Levy, Institute of Applied Physics, Ecole Polytechnique Fédérale de Lausanne, Lausanne, SWITZERLAND.

Layered transition metal dichalcogenides (TMDC) like MoS2, WS 2 or WSe2 have attracted a continuous interest due to their applications as solid lubricant, catalyst and in the field of photovoltaic research. Nevertheless, some aspects of the growth mechanism of TMDC thin films are not yet well understood, like the intriguing promoting effect of nickel on their crystallization and texture [1,2]. We present a systematic study on the promoting effect of various alkali and transition metals on the crystallinity of WS2 thin films. The best crystallization at relatively low annealing temperatures T=650-750C is achieved by adding sodium sulfide or nickel to the film, while the strongest textured films (c axis perpendicular with the substrate plane) are obtained with cobalt. An improvement of the crystallization is equally achieved with iron, manganese, or cesium. An in-situ transmission electron microscopy study of the crystallization process of nickel promoted WS2 thin films is presented. It suggests that liquid NiS droplets act as flux for the lateral growth of crystallites in the final stage of crystallization. Small WS2 crystallites are dissolved in the NiS phase, while big (lateral dimension: 0.5-5 m) WS2 crystallites grow from this saturated solution with typical growth rates of about 5 nm/s perpendicular to their c axis. These results are in contradiction with the recently proposed Van der Waals rheotaxy model [1]. The lateral flux growth model, which is proposed here, is confirmed as well by simple solubility tests, where small WS2 crystallites are grown on the surface of liquid NiSX by dissolution of tungsten metal.

A3.9 
CRYSTALLIZATION OF ULTRATHIN AMORPHOUS Si LAYERS: EX-SITU TEM OBSERVATIONS. Max V. Sidorov, David J. Smith, Center for Solid State Science; Jörn Lützen, Science and Engineering of Materials Program, Michael N. Kozicki, David K. Ferry, Center for Solid State Electronics Research and Department of Electrical Engineering, Arizona State University, Tempe, AZ.

We report the fabrication and structural characterization of ultrathin nanocrystalline silicon films for possible use in optoelectronics and single electron memory devices. This study covers the thickness range (3 to 12 nm) not previously investigated but of much significance for prospective device applications. Amorphous Si layers were initially deposited by low-pressure chemical vapor deposition onto amorphous silicon dioxide substrates formed by dry thermal oxidation. The layers were then crystallized using rapid thermal annealing at temperatures from 650C to 750C for the time periods ranging from 30 to 300 seconds. High resolution transmission electron microscopy revealed that the films annealed at temperatures T 700C were composed almost exclusively of nanocrystalline grains plus a small fraction of residual amorphous material. The vertical grain size was approximately equal to the as-deposited (amorphous) film thickness, whereas the lateral extent of the nanocrystals depended on the anneal temperature and/or time, and, in general, was found to be 1-3 times the film thickness. The dependence of the grain size on the anneal time, anneal temperature and initial film thickness will be discussed.

A3.10 
EVOLUTION OF SURFACE MORPHOLOGY OF SOL-GEL FILMS DURING CRYSTALLIZATION. Vladimir Shur, Alexander Subbotin, Ekaterina Borisova, Dmitrii Pelegov, Ilya Sorkin and Roman Ivanov, Ural State University, Ekaterinburg,RUSSIA; Susan Trolier-McKinstry, IMRL, The Pennsylvania State University, University Park, PA.

Crystallization of amorphous sol-gel films during annealing was investigated by in-situ registration of the set of instantaneous angular dependencies of scattered light intensity. The evolution of surface morphology was described in terms of variation of its fractal dimensionality Ds. Experimental data was compared with the results of computer simulation. Elastic light scattering was measured in reflected mode in wide angular range during crystallization of sol-gel films of PbZr0.5Ti0.5O3 deposited on Si substrates with epitaxial Pt sublayer. The data demonstrate the angular dependencies typical for the fractal surfaces. The time dependence of fractal dimensionality was determined for conventional annealing and RTA. The evolution of fractal structure was investigated by computer simulation of phase transformation kinetics in system with size limitation in one direction. using variety of growth scenarios and algorithms of Ds determination. Factual analysis of the simulation data allows to carry out the relations between Ds and kinetic parameters. Good agreement of parameters extracted from light scattering and SEM data was obtained in partly crystallized films.

A3.11 
THE ROLE OF OXYGEN ON THE STABILITY OF CU/AL2O3 INTERFACES. A. Liedtke, G. Elssner, M. Rühle, Max-Planck-Institut für Metallforschung, Stuttgart, GERMANY.

Small amounts of oxygen dissolved in copper strongly influence the chemical stability of Cu/Al2O3 While pure (oxygen free) copper as a thin film or bulk component does not react with Al2O3 up to the melting point of copper the oxygen content in particular has a strong influence on copper-alumina interfaces. It is well established that small amounts of oxygen can affect the mechanical properties such as fracture energy. The Cu/Al/O system exhibits two stable aluminates, CuAlO2 (delafossite structure) and CuAl2O4 (spinel structure). Reaction between alumina and copper depends strongly on the oxygen content. To produce a CuAlO2 interlayer between alumina and copper, copper with an oxygen content of 60 at ppm was first diffusion bonded with alumina. The bonded specimens were then annealed for 96 h at 1000C in an atmosphere with an oxygen partial pressure of 1*10-3 mbar. TEM, SEM and HRTEM studies show that a strong crystallographic orientation relationship between the aluminate and the alumina exists. Mechanical 4-point bending tests indicate that the total fracture of energy of the interfaces with an CuAlO2 interlayer is about 5-10 times greater than the total fracture energy of interfaces between high oxygen free copper and alumina.

8:30 AM A4.1 
PREFERRED CRYSTAL ORIENTATION OF Co THIN FILMS DEPOSITED ON THIN NiFe FILMS. T. Yeh, D. Swanson, Solid State Electronics Center, Honeywell Inc., Plymouth, MN.

The manner in which the fcc [111] preferred crystal orientation distribution of sputter Co films was affected by thin NiFe underlayers was investigated. Co thin films with [111] preferred crystal orientation aligned perpendicular to the plane of the film were obtained by sputter deposition on highly [111] oriented NiFe underlayers. While no evidence of preferred crystal orientation was found on the Co films deposited on NiFe underlayers with randomly distributed crystal orientation. The NiFe (111) plane does appear to have an effect on nucleation and growth of Co fcc (111) plane, and promotes the alignment of the [111] crystal orientation perpendicular to the plane of the film. Very thin NiFe films having various distributions of [111] crystal orientation were obtained by sputter deposited on different underlayers. X-ray diffraction results of the NiFe films exhibited a predominantly (111) diffraction peak with 50 ranging from 3.3 to 21.5. When Co thin films were were sputter deposited on the NiFe underlayers, the X-ray diffraction patterns obtained from the sample films show that the NiFe underlayers have a great effect on the crystal orientation distribution of the sputter Co films. The 50 of Co (111) diffraction peak obtained from X-ray rocking curve (-mode) varied from 3.3 to 18.9. The significance of the X-ray results is that the crystal orientation distribution of the sputter Co films replicated the crystal orientation distribution of the NiFe underlayers.

8:45 AM A4.2 
EVOLUTION OF MICROSTRUCTURE DUE TO THE P AND Pt ADDITION IN THE COBALT ALLOY MAGNETIC THIN FILMS. Ju-Hwan Choi, Changmo Sung, Center for Advanced Materials, University of Massachusetts, Lowell, MA; Lawrence Allard, High Temperature Materials Laboratory, Oak Ridge National Laboratory, Oak Ridge, TN; Kyung-Ho Shin, Div. of Metals, Korea Institute of Science and Technology, Seoul, KOREA.

New alloy system of 18 nm thick Co-Cr-P-Pt magnetic thin films (Mrt 0.9 memu/cm2) for logitudinal recording was prepared by DC sputtering. The coercivity of 2600 Oe or higher was obtained in these films even when deposited without heating the substrate or applying bias voltage. Effect of the P and Pt addition were characterized by high-resolution transmission electron microscopy coupled with energy dispersive x-ray spectroscopy (EDS) and electron energy loss spectroscopy (EELS). Hitachi HF-2000 field emission TEM was used for imaging and to provide an 1 nm beam for EDS. Image filtering technique was also applied to find the chemical variation in the film. The change in the crystallography and compositional distribution was observed in these films. The increases of in-plane coercivity are also known to originate from the change of microstructural features. Depletion of the Co phase at the grain boundary of the thin film is effective in producing low noise media due to low exchange coupling. Correlation of compositional segregation and crystallographic orientation are main features that determine the magnetic properties of the thin films. With help of EDS and EELS, HR-TEM study reveals that the grains are compositionally separated due to the segregation of non-magnetic component at the grain boundary. The crystallographic change due to the P and Pt addition was clear that the orientation of grains tends to increase the <1120> orientation consuming the <0002> orientation. This change of crystallographic orientation also enhances the magnetic properties of the thin films while compositional segregation reduces the magnetic exchange couplings. Energy filtered images show that the compositional segregation is present and it is a major cause to produce higher coercivity and low noise media.

9:00 AM *A4.3 
MASS TRANSPORT AND IN-PLANE TEXTURING DURING LOW TEMPERATURE SPUTTER DEPOSITION OF METALLIC FILMS. Steven M. Yalisove, University of Michigan, Department of Materials Science and Engineering, Ann Arbor, MI.

Evolution of crystallographic ordering in sputter deposited polycrystalline refractory metal films has been observed in several laboratories. While the ordering in the growth direction, out-of-plane texture, is well known, the ordering in the plane of growth, in-plane texture, has only been reported by the ion enhanced growth community. The work presented here, will describe the conditions required for this behavior in the absence of energetic ions. Mo films were sputter deposited on amorphous substrates and grown to a large variety of thickness. These films were characterized by a large battery of synchrotron x-ray, electron microscopy, and surface techniques. The data have led to an atomistic model to explain and predict the in-plane texturing based on shadowing and anisotropic growth rates which force a competitive grain growth mechanism during the growth. This is not to be confused with the vast literature of competitive grain growth at elevated temperature. The homologous temperature does not exceed 0.2 in any of the experiments performed. Detailed comparisons to experiment will demonstrate the role that limited diffusion and mass transport play in the final microstructure of the film.

9:30 AM A4.4 
ON THE GRAIN SIZE DISTRIBUTIONS OF INDIVIDUAL TEXTURE COMPONENTS AND ABNORMAL GRAIN GROWTH IN METALLIC THIN FILMS. Jens Greiser, Peter Muellner, Eduard Arzt, Max-Planck-Institut fuer Metallforschung, Stuttgart, GERMANY.

The concept of microstructure of thin films has several aspects which are not present in bulk materials or at least they do not play such a significant We have analyzed the microstructure of copper thin films by means of electron backscatter diffraction (EBSD), focused ion beam (FIB), and X-ray diffraction. We found that depending on annealing temperature, annealing time, substrate, and interlayer, different microstructures with different texture and grain size distributions evolve. In most cases, two texture components are present, namely 111 and 100. The grain size distribution is pseudo-monomodal or bimodal. The pseudo-monomodal grain size distribution appears to be monomodal when the grain orientation is not taken into account. However, when the grain size distribution is resolved with respect to orientation, it is found for copper on silicon substrate that smaller grains are predominantly 111 oriented whereas large grains are predominantly 100 oriented. The distributions of grain size and grain orientation depends strongly on the annealing time. Thus, local events and grain growth dynamics play a key role with respect to grain structure evolution. We propose a very simple model taking into account local geometry and energetics.

10:15 AM A4.5 
DEVELOPMENT OF MICROSTRUCTURE IN SPUTTER DEPOSITED AL FILMS: GRAIN SIZE, CRYSTALLOGRAPHIC TEXTURE AND ROUGHNESS AS A FUNCTION OF FILM THICKNESS. A. Lita, C. Wauchope, S. Duprey, and J. Sanchez, Dept. of Materials Science and Engineering, University of Michigan, Ann Arbor, MI.

The properties of vapor deposited thin films used in many microelectronic applications are typically controlled by the film microstructure which develops during deposition and subsequent processing. Subtrate material, grain growth, surface diffusion, deposition conditions and other factors are known to affect film grain size, crystallographic texture and surface roughness, however few studies provide comprehensive results which describe the development of microstructure during film growth. We present quantitative grain size, crystallographic texture and surface roughness characterizations for high rate magnetron sputtered Al films 1000 Å to 10,000 Å thick deposited onto unheated oxidized Si substrates. 
Transmission electron microscopy (TEM) revealed generally columnar Al grain structure with inclined boundaries only in the thinnest films. The in-plane grain size (d) distribution was lognormal for all thicknesses, and the mean grain size increased with film thickness (h) at a rate d h0.9. All films showed a near-(111) fiber crystallographic texture as determined by x-ray pole figure analysis, with the (111) peak offset by approximately 4-6 degrees from the film normal. The (111) texture volume fraction increased significantly as the film reached approximately 2000 Å but remained generally constant at about 90% with film thickness thereafter. The RMS surface roughness (as measured by atomic force microscopy) decreased as the film increased from 1000 Å to 2000 Å in thickness, but gradually increased with thickness thereafter up to the 10,000 Å thick films. However when normalized by grain size, film ì roughnessî was found to generally decrease with thickness due to film evolution during deposition. The results indicate two stages of film development during deposition: an early stage marked by significant boundary mobility and surface smoothing soon after film continuity, and an evolutionary stage where only gradual improvements in film structure occur. These results will be discussed in terms of a simple model which describes the influence deposition conditions on film continuity and the magnitude of the factors which control the competitive growth between grains of different size, crystallographic orientation and roughness.

10:30 AM *A4.6 
LATTICE MONTE CARLO MODELING OF MICROSTRUCTURE EVOLUTION DURING SPUTTER DEPOSITION OF POLYCRYSTALLINE THIN FILMS. Hanchen Huang, Tomas Diaz de la Rubia, Materials Science and Technology Division, Lawrence Livermore National Laboratory, Livermore, CA; George Gilmer, Lucent Technologies - Bell laboratories, Murray Hill, NJ.

We present a lattice-based kinetic Monte Carlo model of sputter deposition of polycrystalline Al thin films. The model is fully three dimensional and describes island nucleation and coalescence, grain boundary formation, diffusion, grooving and coarsening, and surface faceting and roughness evolution. The input kinetics for the Monte Carlo model include energetics and diffusivities of adatoms on various surfaces and grain boundary diffsuvities and energetics. These quantities are calculated using molecular dynamics simulations or taken from the results of ab initio calculations. The Monte Carlo model is used to investigate sputter deposition of Al into vias and trenches and the grain microstructure that develops during Al thin film deposition. We discuss the conditions under which 3D vias and trenches can be filled and describe the relation between aspect ratio and deposition temperature and flux. We also present results on the grain size distribution of sputter deposited Al films.

11:00 AM A4.7 
EFFECT OF STRESS ON GRAIN GROWTH IN AL FILMS. Cynthia A. Volkert, Bell Labs, Lucent Technologies, Murray Hill, NJ, and Max-Planck-Institute, Stuttgart, GERMANY.

Studies of the effect of stress on grain growth in Al films will be presented. In one set of experiments, a microtensile apparatus is used to apply stress to Al films deposited on a Ni substrate with a SiN interlayer. In another set of experiments, stresses are imposed by applying compression perpendicular to the plane of an Al film deposited on an oxidized Si wafer. Results of grain size and orientation, measured by TEM and EBSD, before and after annealing under stress will be presented.

11:15 AM A4.8 
ALUMINIUM INTERCONNECTS FORMED BY GRAPHO-EPITAXY AND STUDIED USING FIB AND MULSAM TECHNIQUES. Jerome Gavillet, Martin Prutton, David J. Weaver, David K. Wilkinson, Dept of Physics, University of York, UNITED KINGDOM; Wong C. Shih, A. Lindsay Greer, Dept of Materials Science and Metallurgy, University of Cambridge, UNITED KINGDOM; Ejaz Huq, Phill D. Prewett, Central Microstructure Facility, Rutherford Appleton Laboratory, UNITED KINGDOM.

One cause of failure in ultra-large scale integrated circuits is damage created in interconnects due to electromigration (EM) and stress-induced migration (SM). In a polycrystalline interconnect track, the migration of atoms and voids mostly occurs at the grain boundaries. This may be prevented or substantially reduced with interconnects having bamboo-like or single-crystalline structures. This paper reports on the methods of fabrication of aluminium-based structures by grapho-epitaxy in grooves in an a-SiO2 film. Test structures were kept under UHV throughout the entire fabrication-testing-characterising sequence to reduce any possibility of effects due to surface contamination. The structure of the Al interconnects was investigated by focussed ion beam microscopy (FIB), TEM, SEM and multi-spectral Auger microscopy (MULSAM) and the conditions for single crystal growth of Al were established. A preliminary study of the diffusion of Cu atoms across the surfaces of Al and a-SiO2 was then carried out. A thin copper film was evaporated onto one end of the interconnects and caused to diffuse by isothermal annealing. MULSAM was used for mapping Cu/Al phases and studying the Cu diffusion in bulk Al, at Al/Al2O3 and Al/a-SiO2 interfaces and over Al and a-SiO2 surfaces. Spatially registered EDX, Auger, electron energy loss, SEM and sample absorption current images were acquired. The results indicate that Cu is very mobile over the exposed a-SiO2 but dissolves, as expected, into the Al tracks. The implications of these observations for future experiments involving stress and electromigration will be discussed.

11:30 AM A4.9 
INITIAL STRUCTURE EVOLUTION OF EPITAXIAL Pd ON SINGLE-CRYSTAL MgO: A COMPARISON BETWEEN SPUTTERING AND ELECTRON-BEAM DEPOSITION. Helena Fornander, Jens Birch, Lars Hultman, and Jan-Eric Sundgren, Thin Film Physics Division, Dept of Physics, Linkoping University, Linkoping, SWEDEN.

Thin films grown by sputtering and electron-beam evaporation typically show differences in structure and morphology, and thus in physical properties. In this paper we report on thin palladium films grown, on MgO(001), both by sputtering and by electron-beam evaporation. The depositions were made under high-vacuum conditions, and the films were studied as function of film thickness and deposition temperature. The nominal film thickness, tf, was varied from 0.5 nm to 100 nm, and the used deposition temperatures were 100, 300 and 600 C for the electron-beam evaporated films and 300 and 600 C for the sputtered films. The grown films were studied using grazing incidence x-ray diffraction (GIXRD), transmission electron microscopy, and atomic force microscopy. The Pd nucleated and grew as three-dimensional particles on MgO, and showed a strong epitaxial tendency with Pd(001)//MgO(001). In a few cases (tf = 2.5 nm and 10 nm for electron-beam evaporated films and tf < 100 nm for sputtered films) also four 111-oriented Pd domains rotated 90 degrees with respect to each other were detected using GIXRD. The average Pd(001) particle sizes were calculated from the 2 peak broadening and found to be comparable to the particle sizes observed by TEM. For the thinnest films, sputtering yielded larger particles than electron-beam deposition, due to the higher energy of the impinging Pd atoms in the sputtering case. For tf < 2.5 nm the lateral Pd d020 plane distance was expanded 0.8 when electron-beam deposited at 600 C. The same expansion was observed for sputtered films deposited at 300 C, whereas sputtered-deposited films at 600 C showed a smaller expansion. The electron-beam evaporated thicker films showed bulk Pd lattice spacing, whereas the thicker sputtered films showed a slight compressive strain, indicating a low degree of residual ion damage.

11:45 AM A4.10 
ELIMINATION OF HILLOCKS ON ALUMINUM METALLIZATION BY ION BEAM ASSISTED DEPOSITION. Zhenqiang Ma and Gary S. Was, University of Michigan, Ann Arbor, MI.

Hillocking is a critical issue in aluminum based metallization for VLSI and flat panel displays. Among the approaches for solving the hillocking problem that have been proposed, microstructure control by making textured thin films appears to be an effective way to eliminate thermal hillock formation. Ion beam assisted deposition has proven to be a powerful tool to control the thin film microstructure and was used in this study to achieve the preferred orientation. This paper reports the recent progress on the microstructure control by ion beam assisted deposition for aluminum metallization. The effect of deposition temperature, substrate type and ion species on the degree of preferred orientation under ion bombardment was studied. Hillock formation was determined after a single isothermal vacuum anneal. X-ray diffraction results showed that after thermal treatment the texture fostered by ion beam during deposition was weakened relative to the thermodynamically stable texture. The texture of films subjected to single step and multi-step annealing was compared in order to optimize the conditions for prevention of thermal hillock formation. Finally, the role of ion bombardment on thermal hillock elimination was determined.

Chairs: Christopher Roland and Christopher C. Umbach 
Tuesday Afternoon, December 2, 1997 
Essex Center (W)

1:30 PM A5.1 
AB INITIO SIMULATIONS OF THE INITIAL STAGES OF MELTING OF THE SI(100) SURFACE. M.G. Wensell, E.L. Briggs, C.M. Roland and J. Bernholc, Department of Physics, NC State University, Raleigh, NC.

Melting is a fundamental aspect of semiconductor processing which is important during growth, laser irradiation and rapid thermal annealing of surfaces. We have investigated the microscopics of the initial stages of melting of the Si (100) surface with quantum molecular dynamics, utilizing a finite-temperature implementation of a real-space multigrid code. As the temperature of the surface was raised above the melting point, the surface was observed to melt, forming a layer of metallic liquid Si. The melting lead to the formation of a well-defined liquid-solid interface, whose structural and electronic properties were characterized.

1:45 PM *A5.2 
MASS TRANSPORT AND MORPHOLOGY STUDIED VIA THE SMOOTHING OF MICROFABRICATED PERIODIC STRUCTURES. C.C. Umbach and J.M. Blakely, Cornell University, Ithaca, NY.

Imposing a gentle periodic height modulation on a surface produces a spatially varying chemical potential associated with the periodically varying curvature of the surface profile. Reducing the curvature variation through mass transport generally moves the surface closer to equilibrium. On a crystalline surface, the modulation produces a periodic array of atomic steps; the distribution and shape of the steps strongly affect the details of surface diffusion, which often dominates mass transport for short-period (< 10 micron) structures. For a modulation imposed on an amorphous material, the motion of the atomic network (viscous flow) may be as significant as the diffusion of individual atoms. We have employed both one-dimensional and two-dimensional periodic gratings with micron and sub-micron wavelengths to investigate smoothing phenomena on Si(001)*, Au(111)** and silicate glasses.*** Observations on these structures using STM, AFM, LEEM and optical diffraction will be described. On Si(001) near 1000 C, the observed velocities of steps on 1D gratings can be used to determine the relative influence on step motion of sublimation, diffusion along steps, and diffusion across terraces. On Au(111), the decay of the amplitude of the surface profile on both 1D and 2D arrays as a function of annealing time has been measured at 610 C. The scaling with wavelength is different from that measured earlier at longer wavelengths and higher temperature. On a commercial boroaluminosilicate glass (Corning Code 1737), the amplitude decay of 1D gratings at 830 C indicates that smoothing is dominated by viscous flow for wavelengths as small as 3 microns.

2:15 PM *A5.3 
THE DIFFERENT ROLES OF NEAR- AND FAR-FROM-EQUILIBRIUM THEORIES OF THE EVOLUTION OF SURFACE MORPHOLOGY. N.C. Bartelt, Sandia National Laboratories, Livermore, CA.

In this talk I will first review the basic theories of the near-equilibrium evolution of surface morphology, stressing the simplifying usefulness of such concepts as step mobility, step permeability, and step and adatom chemical potentials. The precision to which near-equilibrium theories can account for observed phenomena will be illustrated by comparison of theory with the results of recent experiments on step motion on Si(001) and the coarsening of grain structure in oxygen films adsorbed on Ru(0001). I will describe how measurements of the thermal fluctuations inherent in near equilibrium processes can be used to probe the mechanisms controlling the evolution of surface morphology. Finally I will discuss the necessary conditions for the validity of near-equilibrium theories, as well as the fundamental differences with far-from-equilibrium theories.

3:15 PM A5.4 
STRAIN-INDUCED CHANGES OF SI(100) SUBLIMATION. C.M. Roland, M.G. Wensell, Department of Physics, NC State University, Raleigh, NC; Y. Hong and I.S.T. Tsong, Department of Physics, Arizona State University, Tempe, AZ.

The sublimation of the Si(100) surface under tensile strain, induced by dopant segregation was investigated with low-energy electron microscopy. At a given temperature, samples containing relatively large concentrations of dopants exhibit a step-flow behavior during sublimation. By contrast, the formation and proliferation of terrace vacancies followed by the disintegration of the surface steps dominates the sublimation of the lightly doped samples. Both classical and ab initio simulations indicate that this striking difference in behavior may be due to a strain induced increase in the formation energy of the surface vacancies.

3:30 PM A5.5 
STRESS RELAXATION BY SPONTANEOUS (11) PHASE FORMATION ON (77)-SI(111). Pita Atala, Dept of Physics, Univ of Maryland, College Park, MD.

For small step densities on Si(111), the remaining (11) phase below the (11)(77) transition is localized to small, mobile domain structures which separate misregistered (77) domains. Real-time LEEM observations of late stage phase ordering dynamics show that the complete transformation to the fully reconstructed surface takes place by coarsening in a diffusion-limited coalescence [(11) + (11) (77) process.1 For sufficiently advanced stages of coarsening, interactions may be safely neglected. The early coarsening kinetics are dominated by elastic effects, however, which are the focus of this study. Early configurations exhibit local self-ordering by clustering, sometimes with the spontaneous formation of additional (11) domain structures to form a periodic array of alternating stress domains. This preferred periodicity is attributed to elastic relaxation at domain boundaries.2 A dynamic model consistent with the calculated stress anisotropy and measurable energetic parameters is proposed to explain the subsequent evolution of interacting (11) domains. Implications for the design of self-organized growth templates for quantum dot networks will be discussed.

3:45 PM A5.6 
Withdrawn.

4:00 PM A5.7 
STRUCTURAL EFFECTS OF H AND GE ADSORPTION ON SI(114). A. Laracuente, S. C. Erwin, and L. J. Whitman, Naval Research Laboratory, Washington, DC.

Si(114) has a planar (21) reconstruction composed of rebonded and non-rebonded double-layer B-type steps, with a row of dimers between each step. 1 The rebonded steps reduce the dangling bond (db) density but causes tensile surface stress. In contrast, the non-rebonded steps allow stress relief at the expense of additional dbs. The overall structure represents a balance between db reduction and surface stress relief. To investigate the potential of Si(114) as a substrate for electronic device applications, we have studied the structural effects of H and Ge adsorption using scanning tunneling microscopy (STM), Auger Electron Spectroscopy, and first-principles structure calculations. Exposure to atomic hydrogen at a substrate temperature of 450C results in a well-ordered, low defect density, monohydride Si(114):H-(2) surface composed of H-terminated dimers and H-terminated non-rebonded steps. By passivating the bonds at the step edge, H adsorption eliminates the driving force for step rebonding, stabilizing a bulk-terminated-like structure. Ge adsorption, expected to increase the surface stress, has a very different effect on the surface. Adsorption of 0.1 ML at temperatures below 575C has little effect on the reconstruction. However, if the temperature is increased further the Ge alloys with the surface and a multilayer faceting transition occurs. The resulting morphology consists of mesoscale sawtooth facets with (117) and (113)-oriented sides. Apparently, the additional stress associated with the incorporation of the larger Ge atoms is sufficient to upset the db/stress balance achieved on the clean Si(114) surface.

4:15 PM A5.8 
STRUCTURE AND ENERGETICS OF PLANARIZED Ga/Si(112):THEORY AND EXPERIMENT. S.C. Erwin, Naval Research Laboratory; A.A. Baski, Virginia Commonwealth Univ; L.J. Whitman, Naval Research Laboratory, Washington, DC.

The morphology of a surface--including its stability against faceting--can be strongly influenced by the presence or absence of adsorbates. An interesting example is Si(112). The clean surface has a quasi-periodic sawtooth morphology consisting of 100-Å nanofacets. This faceted morphology is completely eliminated by sub-monolayer adsorption of gallium, resulting in complete planarization of the Si(112) substrate. STM images of the adsorbed surface show an ordered array of lines with a 61 reconstruction. 
We report a joint theoretical and experimental study of Ga/Si(112) using first-principles total-energy methods and atomic-resolution STM. We describe a model for Ga adsorption on Si(112) which quantitatively predicts the equilibrium structure to be a defect-induced 61 reconstruction, and which further shows that this defect density is the outcome of a competition between two strain energy contributions from the adsorbate-substrate interaction. Finally, we show theoretically that by modest expansion of the substrate lattice it may be possible to dilute these defects to a negligible density.

4:30 PM A5.9 
SURFACE ROUGHENING BY ELECTRON BEAM HEATING. Daniel Grozea, Eric Landree, Laurence D. Marks, Dept of Materials Science and Engineering, Northwestern Univ, Evanston, IL.

Many growth processes for epitaxial thin films require deposition on atomically clean surfaces under ultrahigh vacuum (UHV) conditions. In this work we present the effect of electron beam heating during the preparation of clean (001) silicon surfaces suitable for epitaxial studies. The experiments were performed in a UHV surface preparation and analysis system attached to a UHV high resolution electron microscope. Samples were investigated using surface chemical characterization techniques and transmission electron microscopy while maintaining UHV conditions. Electron beam irradiation produced a disordered surface on the incident side of the sample and well-ordered monoatomic steps on the other surface, even at electron energies as low as 3 keV. These results have significant implications for epitaxial thin film growth. It is suggested that the use of a surface with non-uniformly distributed atomic steps may lead to degradation of film properties. The correlation of the electromigration effect with the random monoatomic step flow/motion due to surface induced currents on the incident side will be discussed.

4:45 PM A5.10 
EVOLUTION OF STEPPED SILICON (111) SURFACE DURING DC HEATING. A.V.Latyshev(*,**), H.Minoda(*), Y.Tanishiro(*) and K.Yagi(*), (*)Physics Department, Tokyo Institute of Technology, Meguro, Tokyo, JAPAN; (**) Institute of Semiconductor Physics, Russian Academy of Sciences, Novosibirsk, RUSSIA.

To characterize nature of elementary structural processes of surface mass transport, an ultra-high vacuum reflection electron microscopy (UHV-REM) has been applied to in situ study of dynamic evolution of silicon surfaces under various treatments. The main attention was paid to phenomenon of step bunching induced by direct electric current (DC) heating the sample. Previously we showed that DC, applied perpendicular to monatomic steps, introduces drastic instability of the regular step distribution in spite of a small value of an applied electric field. As result of this kinetic instability, the uniform steps transform reversibly into step bunches during simple switching the direction of heating current. Moreover, there are several temperature ranges for the same DC direction when uniform step distribution was broken into step bunches. Simple interpretation of this phenomenon was made in the frame of the effective charge of silicon adatoms. For our knowledge, the direct measurements of the effective charge at bunching temperatures (1000-1500K) were not carried out yet. That motivates us to verify the step stability on the silicon surface containing metal adsorbed atoms. The in situ observations of sub-monolayer gold deposition on the silicon (111) surface at high temperatures were carried out. It was found that the regular steps (step bunches) were rearranged into the step bunches (regular steps) during gold deposition on the Si(111) surface under heating DC in the step-up (step-down) direction. Another words, there is opposite step behavior comparing with that on the clean surface. Obtained experimental results were explained on the base of following assumption. The initial positive effective charge of silicon adatoms was partially compensated by a negative charge of gold atoms adsorbed on the surface. On increase of gold saturation in adsorbed layer, the effective charge of silicon adatoms was recharged in negative one. The latter initiates opposite behavior of steps on the clean and gold adsorbed surfaces. The value of the effective charge of adatoms was estimated.

SESSION A6: POSTER SESSION: 
POLYCRYSTALLINE FILMS 
Tuesday Evening, December 2, 1997 
8:00 P.M. 
America Ballroom (W)

A6.1 
A SECONDARY NUCLEATION MODEL TO ACCOUNT FOR THE MICROSTRUCTURE OF NANOCRYSTALLINE DIAMOND FILMS. Dieter M. Gruen and Lu-Chang Qin, Materials Science and Chemistry Divisions, Argonne National Laboratory, Argonne, IL.

Nanocrystalline (15 nm average grain size) diamond films grown from Ar/C60 or Ar/CH4 microwave plasmas have been shown to be phase pure by electron diffraction, carbon 1s photoabsorption, and UV-excited Raman spectroscopy. The majority carbon species in these discharges is carbon dimer, C2, at a level of 10l2 cm-3 (A. N. Goyette et al., GEC 1997 Meeting of the American Physical Society). Density functional calculations have shown (P.C. Redfern et al., J. Phys. Chem. 100, 11654 [1996]) that C2, an efficient growth species on the diamond (110) surface, does not require the intervention of atomic hydrogen. The nanocIystalline microstructure of the films has been extensively studied with HRTEM. Very high secondary nucleation rates (10l0 cm-2 sec-1) are required to account for the observed microstructure. Because of the cubic symmetry and four-fold coordination of the diamond lattice, the boundaries of the randomly oriented grains have predominantly (100)-like character. The (100) diamond surface is uniquely suited for insertion of C2 molecules into both dimer rows and troughs so as to form a new two-atom-thick layer of -bonded carbon. Carbon dimers add to this layer from the gas phase creating (110)-like atomic positions on which growth of the newly formed nuclei continues. The grain boundary approximates a layer of planar hexagonal rings in registry whose bonding is reminiscent of 1,4 cyclohexadiene. The spacing between the rings is 0.9A less than that between layers of graphite, where moreover the stacking is not in registry. The -bonded grain boundary formed by the addition of C-2 to the (100) surface is in consonance with recently performed nanocrystalline diamond grain boundary calculations using Monte-Carlo simulations (P. Keblinski et al., in press). Density functional calculations are in progress to investigate the nucleation model discussed above by quantum chemical techniques so as to understand the reasons for diamond rather than graphite nucleation.

A6.2 
DEFECTS AND METASTABLE BONDING CONFIGURATIONS MEASURED IN NANOCRYSTALLINE BORON NITRIDE FILMS. I. Jimenez, A. F. Jankowski, L. J. Terminello, D. G. J. Sutherland, J. A. Carlisle, S. Kakar, LLNL, Livermore, CA; W. M. Tong, D. K. Shuh, LBNL, Berkeley, CA; R. Treusch, F. J. Himpsel, University of Wisconsin, Madison, Madison, WI.

A comprehensive study of different bonding environments in boron nitride films and materials has been performed using core level photoabsorption. A variety of bulk samples and thin films grown by laser deposition, ion assisted laser deposition and sputtering, and modified by ion bombardment and thermal annealing have been analyzed. Several new features not present in crystalline reference samples are found in the absorption spectra of the thin films and are identified as nitrogen vacancies in the hexagonal bonding of BN, nitrogen interstitials, boron clustering, sp3 like metastable phases and sp3 phases. Quantitative information on the concentration and distribution of point defects can be determined and is directly related to the formation of new phases. The characterization of thin films using the chemically selective technique of synchrotron radiation based core level photoabsorption can overcome the limitations of some structural probes (XRD) and can compliment the information derived from others (Raman, etc.). We will present our recent results on BN films and relate these results to our work on other materials.

A6.3 
GRAIN GROWTH UNDER SHEAR STRESS: A LARGE-Q POTTS MODEL STUDY. Yi Jiang, Marius Asipauskas, James A. Glazier, Univ of Notre Dame, Dept of Physics, Notre Dame, IN.

Stress induced coarsening occurs in most industrial alloys but has not been studied in clean models free of anisotropy. We extend the large-Q Potts model to apply a shear stress to an ordered hexagonal initial condition in 2D and study the evolution of grain coarsening. At zero temperature, an unstressed hexagonal pattern remains in its initial configuration. Temperature induced grain growth is homogeneous in space, and at long times, average grain area scales as t. Stress induced coarsening, however, is spatially localized, and shows a much slower transition to the same scaling behavior. In combination, growth varies between these limits, depending on the relative strength of shear and temperature.

A6.4 
PHASE TRANSITION AND PATTERN OF SELF-ORGANIZED MICROSTRUCTURES IN (2+1)D GROWTH IN PRESENCE OF MOBILE IMPURITIES. K. Ivanova, Institute of Electronics, Bulgarian Academy of Sciences, Sofia, BULGARIA.

A model for thick film growth starting from a surface and the statistical physics of the microstructure and surface roughness has been studied through simulation. The work applies to many realistic cases since it considers a (chemically non reacting) front progressing in a 3D medium containing mobile impurities in interaction with the front. The microstructure is mainly described at the mesoscopic (``particle'') scale. The model is based on the 2D dynamic epidemic model proposed in [1]. Without impurities the model reduces to an Eden model. This leads to the description of the formation of front facets, competition between faces of different orientations and surface roughening, with an interesting microstructure complexity. During the growth, particles are rejected by the solidifying matrix. A dynamical repulsion effect on the front with the mobile "particles" has been investigated numerically. Local anisotropy is not yet included. The presence of mobile particles shifts the usual percolation transition for 3D systems from 0.65 corresponding to static hindrances, to the value of 0.8. The particle pushing leads to an aggregation phenomenon which ``self-organizes'' the particles near and after the interface because aggregates are trapped behind the front. Some mean field argument is given through the so-called dynamic epidemic mode. The polycrystalline microstructure of a compound like YBCO and other impure epitaxial alloys will be illustrated. The phase diagram, the variety of cluster morphologies and kinetic processes seem to be depending on the lattice structure.

A6.5 
CHARACTERIZATION OF POLY-SILICON FILM BY ELA METHOD AND SECONDARY GRAIN GROWTH MODEL. Naoto Matsuo, Dept of Electrical & Electronic Engineering, Yamaguchi Univ, Yamaguchi, JAPAN; Yoichiro Aya, Tomoyuki Nohda, Hiroki Hamada, SANYO Electric Co. Ltd, Microelectronics Research Center, Gifu, JAPAN; Tadaki Miyoshi, Dept of Electrical & Electronic Engineering, Yamaguchi Univ, Yamaguchi, JAPAN.

Low-temperatured processed poly-Si film is attractive for TFTs[1].The characteristics of the film prepared by Excimer Laser Annealing(ELA) or solid phase crystallization(SPC)[2 4] and that of the TFTs on the poly-Si prepared by SPC[5] have been reported. The purposes of this paper are to examine both the crystallinity and the surface morphology of the poly-Si film prepared by ELA considering the laser energy density and the dehydrogenation and to discuss the secondary grain growth. An a-Si film is deposited on the quartz substrate by LPCVD using Si2H6. Prior to laser irradiation, some wafers with a-Si were dehydrogenated by annealing in vacuum. The a-Si was recrystallized by KrF or ArF excimer laser at room temperature. The characteristics of the film was evaluated by Raman spectroscopy, ESR,SEM and AFM. Results are as follows; First, the crystallinity increases as the laser energy density becomes large. At the energy density larger than the critical value, the crystallinity increases as the number of shot becomes large. Secondly, the crystallinity of the poly-Si film with dehydrogenation is better than that with non-dehydrogenation. The surface roughness of the film with dehydrogenation becomes same as or better than that with non-dehydrogenation. Thirdly, the secondary grain growth model considering the transfer of Si atoms is discussed: Si atoms at the crystal plane of the grain with nonpreferred orientation move to the crystal plane of the grain with preferred orientation during the irradiation of laser. The Si atoms at and near the grain boundary compensate the difference of the number of atoms between two crystal planes. The diameter of the secondary-grown grain calculated by this model agrees to that measured by SEM.

A6.6 
METAL-INDUCED RE-FORMATION OF FULLERENE THIN FILMS: FROM DENDRITIC TO FRACTAL GROWTH. J.G. Hou, Yan Wang, Yongqing Li, Li Yang and Wentao Wu, Structure Research Laboratory and Center for Fundamental Physics, University of Science and Technology of China, Anhui, Hefei, CHINA.

We have observed the re-growth of fullerene thin films induced by adatoms of Ag deposited on their surface. C60 thin films with randomly oriented grains were grown on (001) NaCl substrate by thermal evaporation at the substrate temperature of 433 K. Then Ag atoms were deposited on top of the fullerene surface in the same vacuum and substrate temperature. During the deposition of Ag, drastic changes of the film morphology and orientation are observed. Small randomly oriented grains of C60 aggregated into larger single crystal grains with their (111) planes parallel to the substrate. By manipulating the pristine film thickness, typically ranging from 5 nm to 30 nm, different morphologies of re-grown C60 film with triangular-shaped, dendritic and fractal-like grains were obtained. A possible re-growth model was proposed based on the experimental results. When Ag adatoms adsorb on the C60 surface, they act with the surface layer of C60 molecules, and binds were formed between C60 and Ag. For further decreasing the total free energy, Ag adatoms will aggregate together. If the temperature is high enough, the kinetic energy of the C60/Ag cluster formed may be larger than that of the Van der Waals binding energy of C60 latticec and the diffusion barrier. Therefore, C60/Ag clusters will become free super-atoms and diffuse as a whole. In other words, the surface layer of the C60 lattice "is melted" after interacting with the Ag adatoms when the temperature is high enough. As the result, the system of pristine C60 film and Ag adatoms become an amorphous state where C60/Ag clusters have high mobility. From this intermediate phase, different patterns were formed via diffusion-limited growth processes and the shape of the pattern is the result of competition between the anisotrpic surface tension and the charge transfer induced stress.

A6.7 
EFFECT OF LOW-MELTING POINT IMPURITIES ON THE GROWTH OF WHISKER AND HILLOCKS IN AL ON GLASS. H. Saka, Y. Suzuki, K. Kuroda, Nagoya Univ., Dept of Quantum Engineering, Nagoya, JAPAN; K. Tsujimoto, H. Takatsuji, S. Tsuji, IBM Japan Ltd. Display Technology, Yasu, Shiga, JAPAN.

A new mechanism for the growth of hillocks and whiskers has been proposed. Firstly, growth of whiskers and hillocks in A1 films deposited onto as glass substrate was observed extensively using a variety of microscopy technique such as SEM, AFM and TEM. Especially in TEM, FIB was applied to observe with a pinpoint accuracy those areas from which whiskers and hillocks were grown preferentially. Secondly, Al films containing low-melting point impurities such as In were prepared intentionally and the growth of hillocks and whiskers was observed. When such an impurity is added, the density of whiskers and hillocks becomes very high. Since such low-melting point metals are often used to solder an A1 target to a backing metal, it has been proposed that such a low-melting point metal is sputtered together with Al and causes the growth of hillocks and whiskers in Al.

A6.8 
ROLE OF GROWTH PARAMETERS ON THE MICROSTRUCTURE OF CHEMICAL VAPOR DEPOSITED COPPER FILMS: EXPERIMENT AND SIMULATION. J. Goswami, Dept of Materials and Interfaces, The Weizmann Istitute of Science, Rehovot, ISRAEL; Anjana Devi, S.A. Shivashankar, and G. Ananthakrishna, Materials Research Centre, Indian Institute of Science, Bangalore, INDIA.

We report here a study of the microstructure of chemical vapor deposited copper films for various divalent copper metalorganic precursors, namely, bis (dipivaloyl methanato) Cu(II), bis(tetramethyl heptanedionato) Cu(II), bis (tert-butyl acetoacetato) Cu(II), and bis (ethyl acetoacetato) Cu(II). The motivation behind our work is to understand the relationship between CVD growth parameters and film morphology when the precursor is changed. In particular, we will show that surface reaction kinetics, which in turn is determined by the precursor molecular structure as well as by the growth temperature and the type of the carrier gas (H2/Ar), play an important role in controlling the microstructure of copper films. Attempt is made to understand these results using Monte Carlo simulations. Our simulation results confirm that chemical kinetics along with different atomic level diffusion processes, determine the shape and size of the copper grains.

A6.9 
TESTING A MODEL USED TO DESCRIBE TEXTURING IN SPUTTERED MO FILMS. J.F. Whitacre, University of Michigan, Department of Materials Science and Engineering Ann Arbor, MI; Z.U. Rek, Stanford Synchrotron Radiation Laboratory, Stanford University, Stanford, CA; J. C. Bilello, S.M. Yalisove, Department of Materials Science and Engineering Ann Arbor, MI.

Experiments were conducted to critically examine a recent model which predicts in-plane texturing in sputtered Mo films. The model was originally fit to grazing incidence x-ray (GIXS) data ( scans in the symmetric configuration) collected from films deposited onto flat surfaces in ranging in thickness from 80 to 1000 nm. To extend this model, the amount of in-plane texturing in films grown onto two different rough surfaces was simulated and probed experimentally. The model assumes two factors influence in-plane texturing: anisotropic grain growth due to surface kinetics, and atomistic shadowing effects which occur with oblique adatom incidence. The latter is affected by surface features found on rough substrates, since an uneven morphology locally limits the average angular range of incoming adatoms. Parameters in the original model were altered to account for surfaces consisting of either hemispherical mounds, or sharp facets. Theoretical diffraction patterns ( scans in symmetric GIXS) were generated which quantitatively indicate the degree of in-plane texturing in the topmost layer of films grown onto these substrates. A 40% +/- 10% decrease in in-plane texture was predicted for films deposited onto these substrates as compared to identical films grown on flat surfaces. Substrates which approximated those modeled were created via ion-bombardment and subsequent carbon coating of highly faceted Mo growths. Films were deposited onto these surfaces and examined using scanning electron microscopy, transmission electron microscopy, transmission electron diffraction, and high resolution x-ray diffraction (in the symmetric GIXS configuration). Analysis shows that the extended model is consistent with experimental results.

A6.10 
REAL-TIME X-RAY DIFFRACTION STUDIES OF SPUTTER-DEPOSITED THIN FILMS. J.F. Whitacre, A.K. Malhotra*, Z.B. Zhao, J.G. Hershberger, S.M. Yalisove, J.C. Bilello, University of Michigan, Department of Materials Science and Engineering, Ann Arbor, MI. *Currently at IBM Microelectronics, Hopewell Junction, NY.

A novel in-situ x-ray analysis system for thin sputtered films was developed, and was capable of providing diffraction data free of the distortions associated with deposition interruption, thermal fluctuation, and surface scale formation. The set-up was designed to perform reflectivity, grazing incidence x-ray scattering (in both the symmetric and asymmetric configuration), and texture analysis experiments, To enable beam alignment, a Rigaku 18kW rotating anode x-ray source (Mo target) was separated from its power generator and mounted on a goniometer which provided both vertical and tilt motions. Custom designed UHV-safe beryllium windows allowed x-rays to enter and exit a high vacuum sputter deposition chamber. An Inel curvilinear position sensitive x-ray detector capable of rapidly and simultaneously collecting diffracted intensities through a 90 range with a resolution 0.03 was used to acquire diffraction patterns. The development of Mo and Ta films was studied. The detector was aligned in the asymmetric scattering configuration, where the incident angle was 2 and 2 ranged from 0 to 42 (limited due to present growth chamber geometry). Diffraction patterns from Mo films were collected in as little as 2 seconds. The data provided information concerning out-of -plane texturing, strain development, and grain size scaling, These studies show the feasibility and utility of laboratory-based in-situ x-ray analysis of sputtered films.

A6.11 
THE EFFECT OF NITROGEN PARTIAL PRESSURE ON DEPOSITION CHARACTERISTICS AND MICROSTRUCTURES OF FeAg THIN FILMS. Tae-seok Oh, Woong-kil Choo, Dept of Materials Science and Engineering, Kaist, SOUTH KOREA.

We have investigated the deposition characteristics and the microstructures of FeAg thin films as a function of nitrogen partial pressure with scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffractometor (XRD) and -step. The deposition rate and the sheet resistivity of these films are decreased with increasing nitrogen partial pressure. According to the cross-sectional SEM photos of these thin films, growth mode is changed from columnar to equi-axed one. This implicates that the growth with preferred orientation is inhibited as the nitrogen partial pressure increase. The grain size of these films is nearly unchanged till the nitrogen partial pressure is 33.3% but that of these films become small largely above 33.3% of N2 / N2 + Ar.

A6.12 
THE CHARACTERIZATION OF IRON NITRIDE THIN FILMS DEPOSITED BY PLASMA SOURCE MOLECULAR BEAM EPITAXY. Thomas Daley, B.U.M. Rao, and Gregory Auner, Wayne State University, Dept of Electrical and Computer Engineering, Detroit, MI, Gang Yu Liu, Wayne State University, Dept of Chemistry, Detroit, MI, and Ratna Naik, Wayne State University, Dept of Physics, Detroit, MI.

Iron Nitride is of current interest due to its extraordinarily large magnetic moment, and its potential use in giant magnetoresistance tunneling devices. The thin film microstructure and orientation strongly correlate to the iron nitride magnetic properties. Thin films of iron nitride were grown on Si(111) and glass using plasma source molecular beam epitaxy (PSMBE). PSMBE allows for a controlled deposition of ionic species. The growth parameters were varied to acquire optimum conditions. These films were examined using X-ray diffracion (XRD), atomic resolution transmission electron microscopy (TEM), atomic force microscopy (AFM), ferromagnetic resonance (FMR), and SQUID magnetometry. Microstructure, surface morphology, and magnetic properties were correlated with growth parameters such as deposition rate, deposition energy, and substrate temperature.

A6.13 
SUBSTRATE DEPENDENCE DURING PROGRAMMED RATE MOCVD OF Al. Daewon Yang, Raj Jonnalagadda, Timothy S. Cale, Center for Solid State Electronics Research, Arizona State University, Tempe, AZ; Joseph T. Hillman, Robert F. Foster, Materials Research Corporation, Gilbert, AZ.

One of the problems with CVD-Al films is their rough surface morphology. Since the activation energy for nucleation in general is higher than that for growth, the surface roughness of films from a constant temperature Al-CVD process could be a result of the difference in deposition rates during nucleation and growth. Higher temperatures during the early stages of the deposition could increase the nucleation density. Higher initial deposition temperatures could also increase surface diffusion of Al adatoms, thereby enabling them to form a higher fraction of (111) clusters. The formation of (111) clusters during deposition could also be a function of the substrate texture. Al(111) textured films with narrow grain distributions are apparently the most resistant to electromigration. Our earlier work had shown that varying the substrate temperature during TIBA sourced Al-CVD on TiN coated silicon substrates significantly affected the nuclei size distributions, nucleation density, surface roughness, crystal orientation, reflectivity and resistivity of the resulting films. We now discuss our results from experiments designed to investigate the effects of programmed substrate temperature ramping during the chemical vapor deposition of aluminum from TIBA, on three different substrates. Pulsing the precursor flow on different substrates for 5 s at the start of temperature ramp down from 673 K followed by deposition for 25 s at 573 K resulted in films with higher nucleation density and fraction of Al(111) texturing, and lower surface roughness. The fraction of (111) texturing was the highest for the film deposited on TiN coated on Si(111) substrates. Pulsing the precursor flow for 10 s on different substrates followed by deposition for 10 min resulted in films with significantly different Al(111) texturing and reflectivities, and resistivities close to that of bulk aluminum.

A6.14 
PERCOLATION PHENOMENA IN SPUTTER DEPOSITED TWO COMPONENT SYSTEMS. C. Eisenmenger-Sittner, H. Bangert, A. Bergauer, T. Thenikl, Institut für Angewandte und Technische Physik, Technische Universität Wien, AUSTRIA.

The phase separation processes in thermodynamically immiscible metal/metal systems occuring during sputter co-deposition are investigated both experimentally and theoretically. The system aluminium tin was co-deposited from two separate planar magnetron sources. The tin concentration in the resulting films was chosen to lie between 6 to 12 vol tin. The main phase separation process is de-mixing of the materials in the growth zone of the film because of the high surface diffusivity of tin monomers. With increasing tin content the phase distribution in the film crosses over from well separated tin inclusions which are completely embedded in the Al- matrix to a system consisting of two Al-and Sn-aggregates completely connected throughout the film. To determine the percolation threshold of the Sn-phase the Al-matrix was selectively removed by etching the film with NaOH. The conductivity of the remaining Sn-aggregate was measured and it yielded information about the connectivity of the Sn-inclusions. The morphology of the remaining Sn-Aggregate was examined by SEM. The percolation threshold was determined to be about 10 vol which is lower than the value of about 16-20 vol for a completely random alloy. The experimental data are compared to a simple model of two components.

8:30 AM A7.1 
ALLOY DECOMPOSITION AND SURFACE INSTABILITIES IN THIN FILMS. François Léonard, Rashmi C. Desai, Univ of Toronto, Dept of Physics, Toronto, Ontario, CANADA.

We explore the effects of stress and strain on the composition modulations seen during the growth of alloy films. The nonequilibrium nature of the mass and concentration diffusions at the surface leads to a coupling between the composition and the surface height [1]. A stability analysis shows that when alloy decomposition occurs, the planar surface is always unstable. The instability can only be avoided at high growth rates and is accompanied by a suppression of the alloy decomposition. We also present results [2] of numerical simulations for a matched film, showing the appearance of lamellar and hexagonal composition patterns.

8:45 AM A7.2 
THE CORRELATION BETWEEN STRAIN AND MICROSTRUCTURE IN LATERALLY MODULATED INALAS. R.D. Twesten, J. Mirecki Millunchick, S.R. Lee, D.M. Follstaedt and E.D. Jones, Sandia National Laboratories, Albuquerque, NM; S.P. Ahrenkiel and A. Mascarenhas, National Renewable Energy Laboratory, Golden, CO.

We have studied spontaneous, lateral composition modulation (CM) in InAsn/AlAsm short-period superlattices grown using MBE on InP(001) as a function of strain by varying the average film composition (InxAl1-xAs x=n/(n+m) ). We have examined dislocation free films with global misfit strains up to 0.7% in both tension and compression using electron microscopy and microanalysis, and x-ray diffraction reciprocal space mapping. We find that the lateral CM period ( 18nm along [110]) is insensitive to the global strain state of the film. However, the diffracted intensity from the lateral CM is a maximum for zero net strain; lateral CM is not observed when the global strain exceeds 0.65% in either tension or compression. Cross-sectional TEM investigations show that the lateral CM is not well ordered over most of the investigated range of strain. However, at a global, tensile strain of 0.4%, the CM becomes well organized, with the In and Al-rich zones correlated to undulations in the growth surface. These undulations appear to stabilize the lateral CM profile. Compositional measurements (EDXS) of the films reveal a large and asymmetric lateral modulation with an In enhancement of x=0.23 and an In depletion of x=-0.15 from the average deposited composition of the film (x 0.53). This lateral lattice mismatch ( 2.6%) is accommodated without the introduction of lattice defects. Detailed investigations of the composition profile and the relation of microstructure to the diffracted intensity will be reported.

9:00 AM *A7.3 
LATERAL MODULATIONS OF MORPHOLOGY, COMPOSITION, AND STRAIN IN STRAIN-COMPENSATED InGaAsP/InGaP SUPERLATTICES. R. S. Goldman,* H. Chen, R. M. Feenstra, Dept. Physics, Carnegie Mellon University, Pittsburgh, PA; C. Silfvenius, B. Stålnacke, G. Landgren, Dept. Electronics, Royal Inst. Technol., Kista, SWEDEN.

InGaAsP-based multiple quantum well structures with alternating compressive- and tensile-strained layers are promising for optoelectronic device applications. Although the structures are intended to be strain-balanced, the optical properties are often degraded by residual-strain related effects which are not fully understood. Using cross-sectional scanning tunneling and atomic force microscopy, we have investigated the properties of a series of strain-compensated InGaAsP/InGaP superlattices grown with and without InP interlayers inserted in the InGaP barrier. STM and AFM images reveal structural degradation of the superlattice layers. In particular, large growth undulations and lateral modulations of image contrast are apparent. The (001) growth front undulations result from relaxation of strain during growth of the superlattices, giving rise to dislocation formation. The lateral modulations in image contrast on the ( 10) cleavage surface are due to a combination of electronic and topographic effects induced by the varying thickness and composition of the superlattice layers. The electronic effects are probed by spatially-resolved spectroscopy, revealing systematic 0.1-0.2 eV lateral variations in the effective band gaps of the ternary and quaternary alloys. Topographic effects, seen in both STM and AFM images, arise from relaxation of the cleavage surface due to variations in the strain of the underlying material. Finite element analyses are used to quantify these distortions, thereby allowing a determination of the composition variations which occur in the degraded material.

9:30 AM A7.4 
SPONTANEOUS LATERAL MODULATIONS IN STRAINED SUPERLATTICES GROWN ON VICINAL SURFACES. Jacques Schneck, Laurent Leprince, Abdallah Ougazzaden, Guy Le Roux, France -Telecom, CNET, Bagneux, FRANCE.

By means of triple axis X-Ray diffraction, we have investigated the lateral modulations occuring in strained InGaAsP/InP superlattices, grown by MOVPE on (001) InP vicinal surfaces with the slight misorientation towards the (001) plane. We have observed in reciprocal-space maps a well defined lateral periodicity along the miscut direction, with a wavelength in the range of several hundreds of nanometers, a length scale much larger than that of the average steps separation expected from the substrate miscut. We have found that the wavelength decreases monotonously when the miscut angle increases. Our data are consistent with the existence of a modulation of the distance between steps and of a lateral strain modulation. We will present the evolution of the characteristics of this lateral modulation with the amplitude and the sign of the strain. We will discuss our observations in order to specify the role of the stress in the occurence and in the properties of the modulation. Our discussion will also address the discrimination between bunching related to the kinetic of step flow [1,2] and bunching driven by attractive interaction between steps resulting from strain relaxation at the steps [3]. On the basis of diffused scattering features we will point out that the preceding phenomena can also coexist or interact with other types of growth instabilities of strained III-V alloys with smaller characteristic wavelengths.

9:45 AM A7.5 
SURFACE ROUGHNESS IN InGaAs CHANNELS OF HEMT DEVICES DEPENDING ON THE GROWTH TEMPERATURE: STRAIN INDUCED OR DUE TO ALLOY DECOMPOSITION. F. Peiró, A. Cornet, and J.R. Morante, EME, Dept Física Aplicada i Electrònica, Barcelona, SPAIN; and M. Beck and M.A. Py, IMO, Institute of Micro- and Optoelectronics, Dept of Physics, Swiss Federal Institute of Technology, Lausanne, SWITZERLAND.

A basic research into the growth of the layers in pseudomorphic HEMT's is of great interest in order to avoid well non uniformities that could lead to a deterioration of the device performance. Concerning the In composition in the InGaAs channel and hence system mismatch, a 3D growth mode can take place not only because of stress induced roughening in highly strained layers but also because of the alloy lateral self-decomposition. Besides, the growth temperature (Tg) is also critical for the determination of the onset of plastic relaxation and for the growth mode transition from two to three-dimensional modes. Low Tg may allow to extend the critical thickness range, because of thermodynamics criteria, but high Tg favours the kinetics leading to a smooth growth front. 
We have investigated by TEM InAlAs/lnxGa1-xAs/InP heterostructures grown by Molecular Beam Epitaxy. Our interest has been focused in the effects of the channel growth temperature, in the range Tg=470 C 530 C, for well compositions between xIn=53% 80% and well thickness (tw=3nm 14nm) on the development of lateral InGaAs decomposition, stacking fault and dislocation distributions and three dimensional growth modes, the latest being strain-induced or driven by the InGaAs decomposition. We correlated 2DEG Hall mobility with TEM results, to explain the degradation and anisotropy of the 2DEG mobilities.

10:30 AM *A7.6 
MECHANICS OF DEFECT FORMATION BY STRESS DRIVEN SURFACE DIFFUSION IN HETEROEPITAXIAL THIN FILMS. Huajian Geo, Division of Mechanics and Computaion, Stanford University, Stanford, CA.

Multidisciplinary studies combining analytical modeling, controlled annealing experiments, and continuum and atomistic simulations have been performed to investigate the mechanics of defect formation by surface diffusion in Si-Ge/Si thin films. Conventional fracture mechanics indicates there is an energy barrier for crack nucleation in an initially defect-free structure. However, a different view of crack initiation has emerged from these studies which the films are unstable with respect to a cycloid-like morphological instability caused by stress driven mass diffusion. The strain energy associated with lattice misfit can drive an initially flat and defect free film into a cusped surface morphology that bears identical characteristics as cleavage cracks without any energy barrier. The cusp formation then reduces the activation energy for dislocations. Controlled annealing experiments performed by C. Ozkan and W. D. Nix have not only confirmed much of our theoretical predictions but have also led to discovery of multiple defect processes such as the formation of two fold 9 wedge disclination in addition to 30 degree Shockly partials, 60 degree glide dislocations, and 90 degree Lomer dislocations. The atomistic sequence of diffusive trapping of these defects are proposed, and the required quantum mechanical calculation of dislocations nucleation at stressed surface ledges are discussed.

11:00 AM A7.7 
-SCALING AND SHAPE TRANSITIONS DURING SiGe/Si ISLAND EVOLUTION. J. A. Floro, E. Chason, G. A. Lucadamoa, R. Q. Hwangb, R. D. Twesten, L. B. Freundc, J. A. Hunter, and B. Mckenzie, Sandia National Laboratories, Albuquerque, NM; aLehigh University, Dept. of Materials Science and Engineering, Bethlehem, PA; bSandia National Laboratories, Livermore, CA; c Brown University, Division of Engineering, Providence, RI.

Coherent islanding during Si1-xGex/Si (001) heteroepitaxy is a strain-driven process whose kinetics depend sensitively on the growth conditions. We will show that the detailed morphological evolution in this system proceeds similarly at both low (0.8%) and high (4%) strain. Since the islanding length scales are strain-dependent, this requires that adatom diffusion lengths at the growth temperature must be comparable to the relevant length scales. By working at low strain, where the lateral length scales are greater than 10 Å, we can employ relatively low-resolution probes, including real-time spectroscopic light scattering, to quantitatively evaluate the dynamic surface evolution. 
In this talk we will focus on the transition from the (501)-faceted ì hut clusterî morphology to the fully-coherent ìdome-clusterî morphology during Si%%Ge_/Si (001) molecular beam epitaxy. These morphologies are also observed in Ge/Si (001) growth experiments, but the nature of the transition is so far poorly understood. We find that during deposition, hut-clusters undergo shape-invariant growth and coarsening, and eventually coalesce. After coalescence the (501)-facetting is lost, possibly due to anisotropic attachment probability along the island surface. The dome-cluster morphology appears when the surface locally approaches the (311) and (201) planes. The transition onset appears to be kinetically determined by the coalescence process rather than occuring at some critical hut-cluster volume. Self-limiting aspects of dome growth, local self-assembly, internal composition modulation, and stress-driven trenching about the dome bases will be discussed.

11:15 AM A7.8 
FACET GROWTH MECHANISMS IN STRAINED LAYER EPITAXY. D.E. Jesson, G. Chen, K.M. Chen, S.J. Pennycook, Solid State Division, Oak Ridge National Laboratory, Oak Ridge, TN.

Mechanisms of facet formation and growth are long-standing issues in surface physics and materials science. In particular, faceting governs many key processes in crystal growth and etching. More recently, it has been discovered that facets also play a central role in the growth of coherently strained semiconductor islands. Here the situation is particularly intriguing from the growth physics perspective because of the spatial variation in strain across the surface of the faceted island. We have combined atomic force microscopy with a simple but generic model of strained facet growth1 to identify two striking consequences for island growth kinetics. Surprisingly, the growth rate of large islands rapidly self limits so that faceting offers a novel means of manipulating island size distributions, even in low misfit systems. This is potentially useful for the fabrication of quantum dot devices. We also reveal that a shape instability of pyramidal islands occurs as a natural consequence of strained facet growth.1 This provides a convincing explanation for the curiously elongated island shapes often observed in strained layer epitaxy.

11:30 AM A7.9 
EFFECT OF SURFACE ANISOTROPY AND TEMPERATURE ON THE NUCLEATION OF COHERENTLY STRAINED SIGE ISLANDS ON SI(110) AND SI(100) SURFACES. X. Deng, J. D. Weil and M. Krishnamurthy, Michigan Technological University, Houghton, MI.

The formation of coherently strained sige islands (self-assembled dots) on Si(100) and Si(110) surfaces have been studied in order to evaluate the effects of surface anisotropy (one elastically soft direction on Si(110) surfaces versus two on Si(100) surfaces) and growth temperature. Sige alloys with nominally 25% ge were grown by molecular beam epitaxy at substrate temperatures ranging from 450 C-800 C. The films were characterized by atomic force microscopy and transmission electron microscopy. 
For sige alloys grown on Si(100), the surface morphology changes from random roughness (at 450 C), to elongated islands along <100> (at 550 C), to highly compact square-based islands (at t>700 C).The aspect ratio (island length to width) changes from 2 at 550 C to 1 for t>700 C. 
On Si(110) surfaces, gesi islands nucleate at a much later stage, possibly due to the presence of a high density of step-bunches. For growth at 700 C, a low density of islands with aspect ratios as high as 15 have been observed. 
Differences in the nucleation behavior of sige islands on the two surfaces will be compared to evaluate the effects of strain anisotropy and growth temperature.

11:45 AM A7.10 
MORPHOLOGY AND MICROSTRUCTURE OF TENSILE-STRAINED SiGe(001) EPITAXIAL FILMS. J. E. Van Nostrand, David G. Cahill, I. Petrov, and J. E. Greene, Department of Materials Science, University of Illinois, Urbana, IL.

Tensile-strained Si0.6Ge0.4 alloys are deposited on Ge(001) by molecular beam epitaxy. The morphology and microstructure of as-deposited (6.5-130 nm thick deposited at 325-500 C) and annealed (2 minutes at 625 or 700 C) epitaxial films are studied by in-situ scanning tunneling microscopy, ex-situ atomic force microscopy, and plan-view transmission electron microscopy. 6.5 nm thick films deposited at 325 and C are atomically flat with low densities of partial dislocations; stress relaxation of 6.5 nm thick films is limited by dislocation blocking. The surface morphology of thicker films grown at 410 C is strongly influenced by the interactions of stacking faults and surface steps. Annealing of 13 nm thick films at C produces a severe roughening with the formation of a regular pattern of 50 nm deep surface pits bounded by {113} facets. SESSION A8: STRAIN EFFECTS ON INTERFACE STABILITY 
Chairs: Albert-Laszlo Barabasi and Allan F. Bower 
Wednesday Afternoon, December 3, 1997 
Essex Center (W)

1:30 PM *A8.1 
NUMERICAL SIMULATIONS OF INTERFACE AND SURFACE MIGRATION IN DEFORMABLE SOLIDS. Allan Bower and Ashish Kumar, Division of Engineering, Brown University, Providence RI.

We have developed numerical techniques for modeling diffusive interface and surface migration in deformable solids, with a view to predicting stress and electric current induced microstructural evolution. Two approaches to this problem will be described. In one approach, interfaces and surfaces in a solid are idealized as sharp discontinuities, which move so as to reduce the free energy of the solid. Appropriate kinetic relations are formulated to predict the evolution of the geometry and microstructure of the solid with time. The governing equations are solved using finite element methods, using adaptive remeshing to account for changes in the reference configuration of the solid. Our second approach is based on a phase field idealization of the solid. An order parameter is introduced to characterize the local state of the material: the value of the order parameter specifies whether a particular point in space is occupied by solid material, and distinguishes between different grains or phases in the solid. In this description, interfaces are simply regions across which the order parameter undergoes rapid variations. To track the motion of interfaces, one needs only to compute the variation of the order parameter field with time. The equations governing the evolution of the order parameter are typically parabolic partial differential equations. We have devised efficient finite element methods to solve these, which avoid the need to remesh the solid and are well suited to parallel computations. Our methods of analysis will be illustrated and contrasted by presenting numerical solutions to several problems of practical interest, including: the migration and evolution of voids due to stress and electric current induced surface diffusion; the evolution of stress and electruc current induced roughness on the surface of a thin film; electromigration induced stresses in a polycrystalline thin film; and the evolution of intergranular stress in a solid deforming by Coble creep.

2:00 PM *A8.2 
MODELING EVOLVING SURFACES WITH MULTIPLE ENERGETICS AND KINETICS. Z. Suo and H. Yu, Department of Mechanical and Aerospace Engineering, Princeton University, Princeton, NJ.

The models of surface motion developed by Herring and Mullins can be formulated into a variational statement, including multiple energetic forces and rate processes. In this approach, an evolving surface is modeled with a finite number of generalized coordinates, and the variational statement leads to a set of ordinary differential equations that govern the surface motion. This talk will report our recent work on the effect of surface tension anisotropy on evolution, crack nucleation on a stressed polycrystalline surface, and the effect of the van der Waals interaction on the stability of an epitaxial thin film.

2:30 PM *A8.3 
STRESS EFFECTS ON INTERFACIAL MOBILITY AND MORPHOLOGICAL STABILITY. Michael J. Aziz and William Barvosa-Carter, Division of Engineering and Applied Sciences, Harvard University, Cambridge MA.

Little is known about the effects of stress on atomic or interfacial mobilities during thin film growth. We have investigated a prototypical growth situation, solid phase epitaxy of Si (001), for which the stress effect on the mobility dominates its effect on the driving force, permitting the first quantitative characterization of the former. A new kinetically-driven morphological instability is predicted to result from stress states that reduce the mobility. Measurements of interfacial roughening during growth are compared to the predicions.

3:30 PM A8.4 
THE TRANSITION FROM A TWO-DIMENSIONAL WAVY SURFACE TO A THREE-DIMENSIONAL ISLAND MORPHOLOGY IN A STRAINED EPITAXIAL FILM. C.-h. Chiu* and L.B. Freund, Division of Engineering, Brown University, Providence, RI. *Current address: Institute of Materials Research & Engineering, National University of Singapore, SINGAPORE.

During the growth or a subsequent annealing cycle of a strained epitaxial film, the film surface will commonly evolve from being initially flat to a two-dimensional wavy surface and then to a three-dimensional island morphology. The transition from flat to two-dimensional waviness is well understood. The transition to the three-dimensional island configuration) which is less well-understood, is the focus of this presentation. First the stability of the two-dimensional morphology against transition to island formation is considered, and the circumstances under which this transition should occur are established. Second, the kinetic process by which the transition is effected is simulated. Both questions are addressed within the framework of small amplitude perturbations, and the results are in an analytical form. Extensions of the method of analysis to other morphological evolution processes are also discussed.

3:45 PM A8.5 
INTERPLAY OF STRESS, STRUCTURE, AND STOICHIOMETRY IN Ge-COVERED Si(001). Feng Liu and M.G. Lagally, University of Wisconsin, Madison, WI.

Using first-principles and empirical potentials, we calculate the evolution of surface energies and surface stress tensors of Ge-covered Si(001) with incresing Ge coverage [1]. We obtain the optimal structure and stress for the thermodynamic limit and for several kinetic limits. By comparing the theory to experiment, we derive the most probable Ge stoichiometry in the subsurface regions beyond 1 monolayer coverage, and provide a quantitative understanding of the recently observed Ge-induced reversal of surface stress anisotry [2].

4:00 PM A8.6 
EQUILIBRIUM SHAPES OF ISLANDS IN EPITAXIALLY-STRAINED SOLID FILMS: MINIMUM ISLAND WIDTHS AND BALL FORMATION. B. J. Spencer, Dept of Mathematics, SUNY at Buffalo, Buffalo, NY; J. Tersoff, IBM Thomas J. Watson Research Center, Yorktown Heights, NY.

We calculate the equilibrium morphology of a strained layer which wets the substrate (Stranski-Krastonow growth), in a two-dimensional continuum model. The layer coalesces into a single discrete island, with zero contact angle to the substrate. Small islands have a minimum width (and hence an arbitrarily small aspect ratio), while the shapes of very large coherent islands approach a ball sitting atop the substrate. We discuss various features of these stress-induced morphologies, including the total energy of morphologies, the stress fields within the film and substrate, the energetics of dislocation formation, and stress-induced composition variations within the island.

4:15 PM A8.7 
THE STRESS DRIVEN REARRANGEMENT INSTABILITIES IN NANO-SCALE SOLID SYSTEMS. Michael Grinfeld, The Educational Testing Service, Princeton, NJ; P.M. Hazzledine, UES Inc., OH.

Many morphological changes in nano-scale systems originate from two circumstances: (i) much higher level of the stresses and (ii) much high magnitude of mobilities of molecules as compared with traditional macroscopic objects. Relevant results will be presented for nano-scale thin crystalline films attached to crystalline substrates with defferent lattice parameters. Two rearrangement mechanisms are taken into account: (i) migration of vacancies and (ii) diffusion at the top surface of the film and at the interface "film - substrate". We develop corresponding thermodynamic theories and discuss some new notions required for such systems, and, then, derive the simplest dispersion equations revealing the physics of the destabilization of the top surface and the interface "film - substrate". M.A.

4:30 PM A8.8 
GROWTH OF COHERENTLY STRAINED Sn/Si AND Si1-xSnx/Si (100) STRUCTURES. Kyu S. Min and Harry A. Atwater, Thomas J. Watson Laboratories of Applied Physics, California Institute of Technology, Pasadena, CA

Diamond cubic Si1-xSnx alloys and quantum-confined structures based on Si1-xSnx/Si may have direct band gaps that are tunable from 0 to 0.5 eV by controlling composition and/or well or dot dimensions. To date, growth has been hampered by the large lattice mismatch (19 ) between Sn and Si (100), and the strong tendency for Sn segregation during growth. We report growth of the first coherent Sn/Si and high Sn concentration Si1-xSnx/Si alloy quantum well structures by means of large substrate temperature modulations during molecular beam epitaxy in order to suppress Sn segregation. Defect-free Sn/Si quantum wells have been grown with Sn coverage up to 2.0 ML, and Si80Sn20/Si quantum wells of up to 1 nm in well thickness have been achieved. Reflection high energy electron diffraction of pure Sn films indicates a (5x1) reconstruction at 1.4 ML coverage, and a (3x1) reconstruction accompanied by formation of (311) facets for coverage exceeding 2 ML. Post-growth cross-sectional transmission electron microscopy for pure Sn/Si indicates formation of strained island structures for 1.4 ML coverage and Rutherford backscattering spectrometry indicates that Sn coverage exceeding 2 ML segregates during growth. For Si1-xSnx/Si quantum wells, plan-view transmission electron microscopy reveals what appear to be highly faceted square and rectangular pseudomorphic islands ranging in size from a few nm to approximately 200 nm.

4:45 PM A8.9 
STRUCTURAL PROPERTIES OF ZnSe CLUSTERS IN EPITAXIAL ZnSe/GaAs(001) SYSTEM GROWN BY MOLECULAR BEAM EPITAXY. H.-Y. Wei*, L. Salamanca-Riba*, J. Smathers , B.T. Jonker , *University of Maryland, College Park, MD; Naval Research Laboratory, Washington, DC.

We report the first structural characterization of ZnSe clusters on ZnSe epilayers grown by MBE using standard procedures. Unlike the ``self -assembled quantum dots'' which have been reported in the highly strained heteroepitaxial systems, ZnSe/GaAs (001) has a relatively small lattice mismatch of 0.25%. Moreover, the thickness of ZnSe epilayers studied in this work are well below the critical thickness for the generation of misfit dislocations. We have studied the structural quality and composition of the clusters by transmission electron microscopy. In order to prevent ion beam damage during ion milling for TEM samples preparation, we used H2O2 and NH4OH solutions to prepare plan-view TEM samples. Cross-sectional high-resolution lattice images showed that these clusters are epitaxial and form in regions where the underlying film shows planar defects. Plan-view images corroborate these results and showed that clusters are fairly spherical and homogeneously distributed on the ZnSe film surface. EDX spectra from areas containing the clusters seem to indicate that these clusters' composition is ZnSe. The correlation between cluster density and defect density will be presented.

SESSION A9: POSTER SESSION: 
STRAINED EPITAXIAL FILMS 
Wednesday Evening, December 3, 1997 
8:00 P.M. 
America Ballroom (W)

A9.1 
STRESS AND DOPANT GRADIENT INDUCED INTERFACIAL ROUGHENING DURING SOLID PHASE EPITAXIAL GROWTH IN SI. William Barvosa-Carter, Michael J.Aziz, Division of Engineering and Applied Sciences, Harvard University, Cambridge, MA.

We are studying the effect of stress on the roughness of the amorphous-crystalline (a-c) interface during solid-phase epitaxial growth of Si(001) that has been boron doped by ion-implantation. In all cases, we observe that the interface velocity is reduced by the application of compressive stress in the plane of the a-c interface, in agreement with previous results on undoped samples. However, the planarity of the a-c interface depends on the sign of the local impurity gradient. In a region of increasing impurity concentration, the a-c interface roughens at a rate that depends on the applied stress. In contrast, the interface roughness is minimized in a region of decreasing impurity concentration. Additionally, when an initially rough a-c interface passes through a region of decreasing boron concentration, the interface roughness decreases at a rate which depends not only on the magnitude of the initial roughness and the boron concentration gradient, but also on the applied stress. We compare our experimental results with computer simulations of the growth process that take into account impurity, stress, interface curvature, and interface orientation effects. Our experimental and simulation results can be used to separate the effects of stress and dopant concentration on the interfacial roughening process. The implications of these results for models of the interfacial roughening process during solid-phase epitaxy of IV-IV semiconductor alloys, such as Si1-xGex, are discussed.

A9.2 
STUDIES OF InAs ISLANDS GROWN BY MOCVD ON GaAs. J. Jasinski, R. Bozek, R. Stepniewski, Institute of Experimental Physics, Warsaw University, Warsaw, POLAND; J. Kozubowski, Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw, POLAND.

Metal Organic Chemical Vapour Deposition (MOCVD) was used to grown single layers of InAs quantum dots on (001) GaAs substrates. GaAs cap layers were grown on some of these samples. Photoluminescence spectra of such structures contained a peak related to quantum dots. Preliminary transmission electron microscopy (TEM) studies of such samples investigations revealed the presence of two types of quantum dots. Quantum dots of the first type were almost fully symmetrical in the layer plane. They had very similar sizes of about 7 - 10 nm. Uniform shapes and rather narrow size distribution of these dots suggested that most probably they were coherent. It was found that their density depended on technological conditions and the highest observed density was about few times 1010 cm-2. Quantum dots of the second type were larger and probably not coherent. They were not symmetrical but had elongated, nearly rectangular-like shapes. All such dots were elongated in one particular direction which was found to be of the [110] type. The size (in the elongation direction) varied in the range of about 20 - 100 nm. Additionally, the moire pattern originated from (220) - type reflections was observed within these incoherent dots. The pattern had nearly square grid form, however detailed analysis showed slight, systematic difference in fringe distance for the two perpendicular directions: parallel and normal to the dot elongation. It might suggest slight stress difference in those two directions.

A9.3 
MONTE-CARLO SIMULATION OF THE VICINAL SURFACE BEHAVIOR DURING QUANTUM DOTS GROWTH BY MBE. Andrei .M. Boiko , Vadim P. Evtikhiev, Robert .A. Suris,Ioffe Physical-Technical Institute, St.Petersburg, RUSSIA.

It was shown [2] that the size distribution function of InAs quantum dots (QDs) grown by MBE on vicinal surface of GaAs drastically differs the distribution function of QD growth on singular (001) surface: The first one is much narrower than the last one. This suggest that the distribution function is controlled rather misorientatied substrate morphology than Ostvald ripening (OR) Earlier using our molecular-dynamic (MD) simulator [2] we demonstrated that under some conditions of the vicinal surface growth the vicinal surface steps become wave shaped. The similar wave like shape of the terraces was observed in [2]. Here we present a size stabilization explanation based on the picture of suppression of adatom diffusion between neighboring cells of waved crystal terraces.

A9.4 
GROWTH MECHANISM AND MORPHOLOGY OF Ge ON Si(111) SURFACES. Ing-Shouh Hwang, Tien-Chih Chang, and Tien T. Tsong, Institute of Physics, Academia Sinica, Taipei, TAIWAN.

We use a scanning tunneling microscope to study the mechanism and the morphology of epitaxial growth of Ge on Si(111) mediated by a monolayer of Pb. Pb atoms terminate the surface dangling bonds and reduce the surface energy significantly. We find that the Pb overlayer enhances surface diffusion of Ge atoms greatly and Ge atoms tend to get incorporated into step edges. Below a critical temperature, three-dimensional islanding is suppressed and the growth is basically layer-by-layer. Effects of strain, due to the lattice mismatch between Ge and Si, on surface morphology, surface structures, and growth mechanism will be discussed.

A9.5 
X-RAY REFLECTIVITY, DIFFRACTION AND GRAZING INCIDENCE DIFFRACTION FROM DRY-ETCHED SiGe WIRES AND DOTS. Y. Zhuangx, J. Stanglx, A. A. Darhuberx, G. Bauerx, N. Darowski*, K. Paschke*, D. L. Hubbert*, U. Pietsch*, S. Zerlauthx, F. Schafflerx,xInstitute for Semiconductor Physics, University of Linz, AUSTRIA; *Institute for Solid State Physics, University of Potsdam, GERMANY.

We have investigated structural properties of a series of dry-etched Si/SiGe lateral nanostructures via reciprocal space mapping using conventional and grazing incidence x-ray diffraction. Periodic arrays of SiGe wires and dots were fabricated from ten period Si/Si0.8Ge0.2 multiple quantum wells (MQW) grown by MBE on [001]-oriented silicon and followed by a dry-etching process after holographic exposure. The lateral periods were about 450 nm, the etching depth around 250 nm. The preparation conditions have been optimized with respect to vertical sidewalls. The lateral and vertical periodicity on mesoscopic scale leads to a splitting of the the diffraction peaks into a series of equally spaced satellites in reciprocal space. The partial relaxation of the misfit strain within the SiGe wells manifests itself as a shift of the envelope of these satellites. This shift and hence the average strain relaxation is obtained from reciprocal space maps recorded around the (004) and (113) reciprocal lattice point. The respective lateral component is evaluated by grazing incidence diffraction (GID) around the [2, -2,0] Bragg reflection, which provides - in addition - the opportunity of depth resolution. It reveals a strain release down to the substrate interface. Both in the GID measurements and x-ray reflectivity maps close to (000) extra diffuse peaks appear due to the correlation properties of the interface profiles in the multilayer, which sustain after the etching.

A9.6 
FABRICATION OF THE MULTIPLE InGaAs/GaAs QUANTUM WIRES USING RESHARPENING EFFECT ON V-GROOVED SUBSTRATES BY CHEMICAL BEAM EPITAXY. Sung-Bock Kim, Jeong-Rae Ro, and El-Hang Lee, Electronics & Telecommunications Research Institute, Taejon, KOREA.

We have successfully grown InGaAs/GaAs multiple quantum wires (QWRs) on V-grooved GaAs substrate with using the resharpening effect of GaAs barrier layer by chemical beam epitaxy (CBE). In general, it is very difficult to fabricate vertically stacked InGaAs/GaAs quantum wires (QWRs) on V-grooves because GaAs layer grows faster on the bottom plane than on the sidewall plane, hence the shape of GaAs layers at the bottom changes from sharp to rounded. To investigate the resharpening effect of GaAs layer, the growth anisotropy of GaAs was studied, depending on growth temperature and on the type of group V source materials. For the formation of multiply stacked V-grooved structure, anisotropic factor (GRsidewall/GRbottom) of GaAs must be above unity. In case of using monoethylarsine (MEAs), anisotropic factor of GaAs is increased monotonically with decreasing growth temperature. When arsine is used, the value of anisotropic factor is less than unity even at the low growth temperature of 450 C. At the growth temperature of 450 C, we fabricated the crescent-shaped triple InGaAs QWRs with a size of 300Åx 500Åusing MEAs as arsenic source. The existence of a quantized states in the QWRs was evidenced by the photoluminescence (PL) measurement. From PL spectrum taken at 77K, three distinct peaks related with QWR, top- and side-QWLs were observed. These results suggest that the surface chemistry of group V source materials have a great influence on the formation of vertically stacked InGaAs/GaAs QWRs.

A9.7 
FABRICATION OF QUANTUM STRUCTURES BY USING PATTERNED GALLIUM OXIDE DEPOSITED GAAS SUBSTRATES. Cheol Koo Hahn, Young Ju park, Kwang Moo Kim, Young Jun Jang, Chi Sung Oh, Eun Kyu Kim, Suk-Ki Min, Jung Ho Park*, Semiconductor Materials Laboratory, Korea Institute of Science and Technology, Seoul, KOREA *Department of Electronics, Korea University, Seoul, KOREA.

We have investigated the fabrication of quantum structures such as quantum wires (QWRs) and quantum dots (QDs) by using pre-patterned gallium oxide deposited GaAs substrates. There have been several recipes to obtain the quantum structures with V-grooved substrates and lithographical methods etc. In the present method, we fabricated GaAs/AlGaAs QWRs and InAs QDs on a pre-patterned gallium oxide deposited substrates. The region of oxide layer which acts as a mask material for selective area growth of quantum structures provides more effective formation of quantum structures compared with those without oxide layer. The structures are grown by molecular beam epitaxy (MBE) technique. The grown structures were characterized by atomic force microscope (AFM), high resolution transmission electron microscope (HRTEM), and photoluminescence (PL) etc. The alignment of self-organized InAs QDs and vertically stacked GaAs/AlGaAs multiple QWRs on the patterned region of the substrates can be effectively achieved by using the predetermined patterned oxide mask layers. The gallium oxide deposited substrate enhances the desorption of III-group atoms to form the quantum structures such as InAs QDs, GaAs/AlGaAs QWRs selectively and could be applicable to the quantum device fabrications.

A9.8 
INITIAL GROWTH OF TRIMETHYLSILANE ON Ge(100) SURFACES INVESTIGATED BY XPS. P.W. Wang1,2, Y. Qi1, J.L. Sulak1, W.G. Durrer1 and J.H. Craig, Jr.1,2, Department of Physics1 and Materials Research Institute2, The University of Texas at El Paso, El Paso, TX.

Trimethylsilane (TMSiH) was adsorbed onto a Ge(100) surface at a temperature of -150 3 C and X-ray Photoelectron Spectroscopy (XPS) was used to study the resulting surface species as functions of the coverage of TMSiH in Langmuir (L). The core level C 1s, Si 2p, and Ge 3d photoelectrons were monitored after each dosing. It was observed that the C-C bonds are the dominant species formed at the low doses of TMSiH. The second abundant species at the low coverage is the C Ge bond. This indicated dissociative adsorption of TMSiH molecules onto a clean Ge(100) surface, which is similar to the adsorption of TMSiH molecules onto a Si (100) surface. As the dose increases the Si-C species gradually increases due to physisorbed TMSiH on top of the C-C and C-Ge covered surface. This study clearly reveals the growth processes of TMSiH onto a Ge(100) surface. The electronegativities of C, Si and Go and the bond strengths of C-C, C-Si and C-Ge were invoked to discuss the initial formation of C-C and C-Ge on the Ge (100) surface.

A9.9 
HRTEM STRUCTURAL CHARACTERIZATION OF STRAIN RELAXATION IN InP/InAs QUANTUM WELL/InP GROWN BY ALE AND MOVPE. H.H.Kanga, L.Salamanca-Ribaa, R.A.Masutb a Department of Materials and Nuclear Engineering, University of Maryland, College Park, MD; b Départment de Génie Physique, École Polytechnique de Montréal, Montréal, Québec, CANADA.

High resolution transmission electron microscopy was used to determine the strain relaxation process in InP/InAs quantum well with InP buffer layer on (001) InP substrate grown by atomic layer epitaxy and metal organic vapor phase epitaxy. The thickness of the quantum well was varied in the range 1 monolayer to 10 monolayers which is below the calculated critical thickness for the generation of misfit dislocations. The quantum wells with 10 monolayers showed evidence for strain relaxation by the formation of stacking fault pairs. We estimated the strain energy per unit area of the 10 monolayer quantum well using J.W.Matthews theory [1] and obtained 276 erg/cm2. To reduce the energy stacking faults form to relax the lattice mismatch. We believe that the formation of stacking faults is the nucleation mechanism for strain relaxation.

A9.10 
DIRECT OBSERVATION OF STACKING FAULT TETRAHEDRA AT THE Ge/Si(001) INTERFACE IN Ge EPILAYERS AND Si/Ge SUPERLATTICES BY TRANSMISSION ELECTRON MICROSCOPY. K.K. Fung and N. Wang, Hong Kong Univ of Sci & Tech, Dept of Physics, HONG KONG.

Surface roughening due to strain relaxation and defect formation in heteroepitaxial SiGe films on Si(001) have been intensively studied. The most common defects are misfit dislocations at the interface. A less common defect is the so called twofold sigma 9 twins at the interface. We report here the direct observation of stacking fault tetrahedra at the interface of Ge on Si(001) in Ge epilayers and Si/Ge superlattices by transmission electron microscopy. We have also observed stacking fault tetrahedra in ZnSe epilayers on GaAs(001). The stacking fault tetrahedra originate at the interface in the Ge layer. The stacking fault tetrahedron is a closed defect which does not extend very far from the interface where it originate. The size and density of these stacking fault tetrahedra vary considerably. Stacking fault tetrahedra in dislocated Ge epilayers range from 50 nm to 200 nm in size, with a density of about 10 to the power 6 per cm square. Stacking fault tetrahedra in misfit dislocation free Si/Ge superlattices are typically 10 nm in size with a density of about 10 to the power 10 per cm square. Dissociation of stacking fault tetrahedra in dislocated Ge epilayers have been observed. Twofold sigma 9 twins have also been observed in dislocation free Si/Ge superlattices. It is not clear what role these stacking fault tetrahedra play in the strain relaxation process. But enhanced second harmonic generation has been observed in the heavily faulted Si/Ge superlattices.

A9.11 
STUDY OF STRAIN AND OPTICAL PROPERTIES OF III-V SEMICONDUCTOR THIN FILMS USING ELLIPSOMETRY AND TRANSMISSION ELECTRON MICROSCOPY. W.L. Sarney, L. Salamanca-Riba, H.Y. Wei, Department of Materials and Nuclear Engineering, University of Maryland, College Park, MD; J. Bruno, Army Research Laboratory, Adelphi, MD.

Strain affects the optical properties of thin films. Room temperature spectroscopic ellipsometry was used to determine the optical constants of several strained lll-V semiconductor thin films. Strain is detected and measured by comparing ellipsometry data from strained films with data from relaxed films. We also confirmed whether the film is strained or relaxed by using transmission electron microscopy (TEM) with the two beam condition to detect dislocations and determine lattice matching. The layer interfaces and quantum wells were examined using high resolution lattice imaging in TEM. The combined use of TEM and ellipsometry allows a detailed characterization of a thin film's optical properties.

A9.12 
REFLECTION DIFFERENCE ANISOTROPY INVESTIGATION OF THE MISFIT DISLOCATIONS IN GaAlAs/InGaAs/GaAs MODULATION-DOPED SINGLE QUANTUM WELL STRUCTURES. T. Holden, P. Chevrot, L. Malikova, F.H. Pollak, Physics Dept. and NY State Center for Advanced Technology in Ultrafast Photonic Materials and Applications, Brooklyn College of CUNY, Brooklyn, NY; M. Goorsky, Dept. of Materials Science and Engineering, UCLA, Los Angeles, CA; and D.C. Streit and M. Wojtowicz, TRW Electronics and Technology Division, Redondo Beach, CA.

Using reflection difference anisotropy (RDA) we have investigated a series of four GaAlAs/InGaAs/GaAs (001) modulation-doped single quantum well (SQW) samples with different misfit dislocation densities (MDD). RDA measures the difference between near-normal incidence reflectances of light polarized along the [110] and [ 10] axes in the plane of the (001) surface. This technique can yield important information about symmetry-breaking effects due to the anisotropy produced by (a) [110]/[ 10] MDD as well as (b) surface/interface electric fields. The substrates for all the samples were undoped (001) GaAs. The relevant portions of the samples consisted of 3000Å of NID GaAs, followed by an In0.21Ga0.79As SQW (width Lw), 30Å of NID Ga0.78Al0.22As, a planar Si doping layer of 5x1012 cm-2, 500Å of NID Ga0.78Al0.22As and a 50Å NID GaAs cap. Different MDD, as evaluated by plan-view TEM, were generated in three of the samples by increasing Lw beyond the pseudomorphic limit. These two effects can be distinguished since only the former produces a signal at the fundamental band gap (E0) while the latter influences the spectra in the region of the spin-orbit split E1, E1+ , optical features. For the sample with no misfit dislocations (Lw = 140Å) only weak RDA signals were observed in the vicinity of E0 of either Ga0.78Al0.22As while a strong response was detected for the E1, E1+ resonances due to the large electric field ( 2x105 V/cm) in the outer GaAlAs/GaAs (cap) layers. With increasing MDD (a) first-derivative signals at E0 of both GaAs and Ga0.78Al0.22As and (b) larger E1, E1+ signals evolved. While both are related to the anisotropic strains produced by the MDD the former is connected directly with the modification of the band gaps while the latter is due to the piezoelectric field generated along (001).

A9.13 
ROLE OF THE SURFACE DEFECTS ON THE NUCLEATION OF GASB ISLANDS GROWN BY MBE ON (001) GAAS. Andre Rocher, CEMES/CNRS, Toulouse, FRANCE.

When the epitaxial growth conditions are optimized, the GaSb/(001)GaAs is a fully relaxed system with a misfit dislocation network constituted by a perfect grid of Lomer dislocations accommodating the 8% lattice mismatch. TEM, using plane view samples, shows that the GaSb films thinner than 100Å are not continuous but consists of islands. Stacking faults, most often observed inside each GaSb island, appear to be related to a monoatomic step edge at the surface of the GaAs substrate. The 90° partial dislocation, one of the extremities of the stacking fault, is introduced in the early stage of growth for a direct strain relaxation. The monoatomic step edge constitutes a part of the core structure of the partial dislocation. The elastic energy calculation shows that this 90° partial dislocation is the most favourable one in the relaxation process. Two types of nucleation sites are very active : the monoatomic step edges on GaAs surface and the merging point of dislocations which are at the origin of the surface steps.

A9.14 
INCORPORATION OF Sb IN THE BUFFER OF THE STRAINED Si/Si0.9Ge0.1/Si EPITAXIAL STRUCTURE. V. Avrutin, N.F. Izyumskaya, A.N. Pustovit, A.V. Vyatkin, Institute of Microelectronics Technology, Russian Academy of Sciences, Moscow, RUSSIA; A.Yu. Kuznetsov and B.G. Svensson, Royal Institute of Technology, Solid State Electronics, Kista-Stockholm, SWEDEN.

Precise control of the incorporation of dopants in epitaxially grown Si-based structures during molecular beam epitaxy (MBE) is a potential advantage compared to that during diffusion or ion implantation technologies. However, even for the case of Si MBE, the n-type doping suffers from various problems. Most commonly used Sb atoms exhibit a strong tendency to segregate at the advancing surface. The problem becomes even more difficult in the case of Si1-xGeX deposition. For a strained Si1-xGeX/Si structure the degree of freedom to decrease (in order to suppress Sb segregation) or increase (in order to evaporate excess Sb) the temperature of the deposition process appears to be very limited. Presently, we report results of RBSs, XRD and SIMS measurements on incorporation of Sb into the Si-buffer layer of strained Si/Si0.9Ge0.1/Si structures. The goal was to optimize growth conditions in order to obtain strained, thermally stable structures that would contain a flat Sb profile (1019cm-3) in the Si-buffer layer (2000 thick) with an abrupt step toward the strained Si0.9Ge0.1 layer (300-400 thick). Deposition temperatures in the range 400-600 were used. The best, but still far from ideal, Sb stop at the Si0.9Ge01/Si-buffer interface was measured for the case of the substrate temperature of 450 C. A short Sb evaporation step was applied at 750 C before Si0.9Ge01 deposition. Both higher and lower deposition temperatures result in either spreading of Sb profile through Si0.9Ge01 layer or/and further strain relaxation during thermal annealing beady below 800 C. The interplay between Sb segregation, crystalline quality and conditions of strain relaxation in Si0.9Ge01 layer will be discussed.

A9.15 
RAMAN STUDY of Ge1-xCx ISLANDS GROWN ON Si SUBSTRATES. H. Shen and J. Pamulapati, US Army Research Laboratory, Adelphi, MD; X. Shao, R. Jonczyk, B. A. Orner, A-S. Khan, K. Roe, J. Kolodzey, and P. R. Berger, Department of Electrical and Computer Engineering, University of Delaware, Newark, DE; M. Barteau, Department of Chemical Engineering, University of Delaware, Newark, DE; K. M. Unruh, Department of Physics and Astronomy, University of Delaware, Newark, DE.

We have performed a Raman study on Ge1-xCx islands grown on (100) Si substrates. The samples in this study were grown by solid source molecular beam epitaxy at substrate temperatures of 400 C and 600 C. The samples grown at 600 C exhibit island formation with a range of island sizes, as measured by atomic force microscopy and transmission electron microscopy, of 110 nm-130 nm, while the control samples grown at 400 C exhibit no islanding. The C concentration estimated from the growth conditions range from 0.008 % to 0.4 %. Raman measurements were performed in different polarization configurations; signals from both the GeC and Si were observed. We observed that the Ge-C LO-phonon from the 400 C samples exhibit a 2 cm-1 blue shift with respect to the bulk Ge LO-phonon, while the LO-phonon from the 600 C samples remain at the same position as the bulk Ge LO-phonon. We attribute the shift in the control GeC epi-layer to the lattice mismatch induced strain and the absence of the blue shift in the 600.

8:30 AM *A12.1 
ATOMIC SCALE STUDY OF INTERFACIAL ALLOYING IN THE GROWTH OF Cr ON Fe(001). D.T. Pierce, A. Davies, J.A. Stroscio, and R.J. Celotta, NIST, Gaithersburg, MD.

The atomic scale structure at the interface in heteroepitaxial systems can profoundly influence macroscopic properties, such as giant magnetoresistance and interlayer exchange coupling in magnetic multilayers. A chemically abrupt interface is often assumed, particularly in theoretical models. The growth of Cr on Fe(001) whiskers at 300 C is known from RHEED and STM surface topography measurements to be layer-by-layer and appeared to provide a nearly ideal system for comparing experiment and theory [1]. Further investigation of the origin of magnetic anomalies by scanning tunneling spectroscopy measurements of sub-monolayer Cr films on Fe(001) revealed, however, that layer-by-layer growth at 300 C leads to the formation of an Fe-Cr interfacial alloy [2]. Submonolayer deposition of Cr on Fe(001) results in the formation of an alloyed substrate and growth layer, both of which consist of low concentrations of isolated Cr impurities surrounded by Fe. We distinguish Cr atoms from Fe atoms in the surface by observing the spatial variation of the Fe(001) surface state. Up to about a quarter monolayer, only one in four deposited Cr atoms remains in the surface layers. The two dimensional pair distribution function shows a suppression of near-neighbor Cr pairs indicating that Cr impurities prefer to be surrounded by Fe atoms. The evolution of the Cr(001) surface state with increasing Cr coverage shows that the surface is predominantly Cr at coverages of 3 ML and above.

9:00 AM A12.2 
STRAIN-RELATED RECONSTRUCTION AT THE Cr/Pt(111) INTERFACE. Ulrike Diebold, J. van Ek, Lanping Zhang, Dept of Physics, Tulane University.

The growth and annealing behavior of ultrathin Cr films on Pt(111) have been investigated using STM, ISS, and XPS. The electronic structure of the Cr/Pt system has been explored also using a standard bandstructure scheme within the local density approximation of density functional theory. The chromium films are prepared by vapor phase deposition of Cr on a Pt(111) surface. There is a large lattice mismatch between a quasi- hexagonal Cr bcc(110) surface (2.49Åand 2.88Å) and a hexagonal Pt fcc(111) surface (2.77Å). Within the first two monolayers (ML), Cr grows pseudomorphic to the substrate Pt(111) surface at room temperature. The film is under a non- isotropic strain, compressed 4% and extended 10% along the two directions of the hexagonal unit cell, respectively. After annealing various Cr overlayer coverages to different temperatures, metastable surface alloys characteristic for a miscible metallic system are formed. Strain-induced line dislocations are observed with STM. For a limited coverage range from 1.5 to 3.0 ML and an annealing temperature of 800 K, a highly ordered hexagonal pattern appears along step edges of the Pt(111) substrate. We propose an atomic model for this reconstruction, consisting of hcp- and fcc-packed domains separated by one-atom wide dislocation lines. Relative to the second layer, the first layer of Pt(111) forms a R16.1o network, with a unit cell dimension of 17.3Å. The contrast in STM images is sensitive to the STM bias voltage, reflecting a strong local variation of the electronic structure. We assign this to the presence of a self-organized array of two-dimensional Cr clusters containing only ten atoms. The Pt reconstruction at the interface forms a template for these Cr clusters.

9:15 AM A12.3 
STABILITY OF SELF-ASSEMBLED Co-Ag AND Fe-Ag COMPOSITION-MODULATED STRIPE PHASES ON Mo(110). Eric D. Tober, David D. Chambliss, Robin F.C. Farrow and Ronald F. Marks, IBM Almaden Research Center, San Jose CA.

With scanning tunneling microscopy and low energy electron diffraction we have demonstrated that Co-Ag and Fe-Ag deposits form similar compositionally modulated structures on Mo(110) substrates. Ag and Co (or Fe and Ag) atoms deposited at or above room temperature on Mo(110) self-assemble into parallel, alternating stripes of Ag and Co (or Fe) along the Mo [001] direction, with a period of 1.7 nm to 2.1 nm. For submonolayer deposits, the Co-Ag stripe phase accommodates a range of stoichiometries; below about 30% Co the Co stripes are no longer continuous but are Co patches in the Ag matrix. The Fe-Ag system is different: Ag-rich deposits phase separate into regions of pure Ag and of Fe-Ag striping with 44% Fe. The striped phases can be produced by co-deposition or by deposition onto a pre-existing Ag film. We have also shown that both monolayer stripe phases behave as templates that induce compositional modulation in the second and third deposited layers.

9:30 AM *A12.4 
EPITAXIAL THIN FILM ENGINEERING. E. Bauer, T. Duden, ASU, Tempe, AZ; E. Hueger, M. Hoffmann, TU Clausthal, GERMANY; M. Jalochowski, University Maria Curie-Sklodowska, Lublin, POLAND, T. Schmidt, ELETTRA, Trieste, ITALY; H. Wormeester, Univeristy of Twenty, NETHERLANDS.

Three approaches to the engineering of thin films with desired structure and properties will be discussed and illustrated by examples: i) production of non-equilibrium phases using epitaxial strain ii) production of continuous films with the help of interfactants and iii) production of ultrathin epitaxial films exhibiting quantum size effects by suppression of mobility, The approaches will be illustrated by i) Co, Cu, Pd and Au films on W(100) and Nb(100) surfaces ii) and iii) by Pb films on Si(111) surfaces. The experimental techniques used are LEEM, LEED, RHEED, UPS, XPD and electrical measurements.

10:15 AM A12.5 
VIBRATIONAL DYNAMICS AND STABILITY OF ISLANDS ON FCC(111) SURFACES. Abdelkader Kara and Talat S. Rahman, Department of Physics, Kansas State University, Manhattan, KS.

We have performed extensive, systematic calculations of the energetics, vibrational dynamics and thermodynamics of (100)-faceted (A type), and (111)-faceted (B type), 2D islands of varying size on the (111) surfaces of several fcc metals, to extract the role that these quantities play in surface morphology and growth. Using interaction potentials from the embedded atom method, the local vibrational density of states are calculated via a real-space Green's function method, and the thermodynamic properties are evaluated in the harmonic approximation of lattice dynamics. We find that while the static lattice energetics of these two types of triangular islands are in general very similar, the vibrational free energy contributions can make a striking difference in their relative stability. We trace these differences to the characteristics of the local density of states of the step and corner atoms which in turn are shown to arise from the selective changes (stiffening and softening) in the force fields and relaxations of the island atoms. We find the relative stability of the A and B type islands to depend on the size, elemental metal and the substrate temperature. On Ag(111), for example, we find the B type to be stable for the 10 and 15 atom triangles, at all temperatures, while for the 6 and 21 atom triangles, A type is favored at low temperatures ( 200 K and 100 K, respectively) and the B type at higher temperatures. For larger triangles the B type exhibit noticeably larger entropy and mean square atomic vibrational amplitudes, while the A type display striking 'localized' modes. The trends in the relative excess free energies of the two types of islands will be discussed in connection with available experimental data.

10:45 AM A12.6 
GROWTH AND THE DIFFUSION OF PLATINUM ATOMS AND DIMERS ON PLATINUM(111). Kentaro Kyuno, Armin Golzhauser, Gert Ehrlich, Univ of Illinois - Urbana, Materials Research Lab, Urbana, IL.

The diffusion of atoms and clusters plays a dominant role in the kinetics of nucleation in epitaxial layers. Despite that, little direct information is available about these atomic events in systems for which the kinetics have also been examined. We have therefore carried out detailed studies on the behavior of single platinum atoms and clusters on Pt(111), one of the more intensively studied systems. Using a low-temperature field ion microscope, the diffusivity of single Pt atoms as well as Pt dimers has been measured at different temperatures, to yield an activation energy of 0.261 .003 eV for atoms, compared to 0.37 .02 eV for dimers. The former is in good agreement with the barrier previously derived from the island density observed on a macroscopic Pt(111) crystals in STM studies. Comparisons are now possible with diffusion parameters estimated from theoretical calculations, as well as from empirical correlations. In addition, the conditions under which dimer mobility contributes significantly to the kinetics of cluster formation can now be defined for the growth of platinum on Pt(111).

11:00 AM A12.7 
DEFECT INDUCED NUCLEATION ON (100) - SURFACES: A KINETIC MONTE-CARLO STUDY. C. Eisenmenger-Sittner, H. Bangert, A. Bergauer, H. Polsterer, Institut fur Angewandte und Technische Physik, Technische Universitit Wien, Vienna, AUSTRIA.

The growth mode of heteroepitaxial films is critically dependent on the defect structure of the substrate. Prominent examples are step flow growth and the preferred decoration of step edges by the ad-atoms arriving from the surface diffusion field. In this paper the influence of localized point defects in the substrate on the position of the critical nucleus is investigated by means of Kinetic Monte-Carlo Simulations (KMCS). On a (100)-substrate with a single point defect located in its center particles of a species different from the substrate material are deposited. The activation energies for monoatomic jumps of the adsorbed monomers were set constant to 0.5 eV. The respective activation energy above the defect was varied from 0.6 to 0.9 eV. Nucleation of islands is favored due to the formation of lateral nearest-neighbor bonds between the deposited particles. The lateral bond energy was varied from 0.1 - 0.9 eV. The critical nucleus was defined as a quadratic tetramer. We investigated the dependence of the distance between the defect and the point of formation of the critical nucleus on the substrate temperature. It was found that the position of the critical nucleus is strongly correlated to the defect position only at intermediate temperatures. Very low as well as very high substrate temperatures diminish the influence of the defect on the nucleation position. An increase of the strength of the lateral interaction between ad-particles also has the effect of randomizing the position of the critical nucleus.

11:15 AM A12.8 
AN ATOMIC STUDY OF THE INTERACTION OF OXYGEN AND STRAINED CU FILMS. J. de la Figuera, N.C. Bartelt, A.K. Schmid and R.Q. Hwang, Sandia National Laboratories, Livermore, CA.

The interaction of oxygen with metal films remains a key element in fields such as corrosion and catalysis . The presence of stress and dislocations is expected to greatly modify the chemical behavior of the films. In order to investigate these phenomena on an atomic scale, we have studied the effects of oxygen on the prototype system Cu on Ru(0001). The lattice mismatch between Cu and Ru(0001) produces a series of dislocation structures as a function of film thickness that has been well studied. By means of time-resolved STM we have focused on dynamics of oxygen interaction with these well characterized films. At room temperature, oxygen was found to etch the Cu films, forming clusters which diffuse on surface and form ordered overlayers. We have measured the rates of this etching and the subsequent formation of the ordered structure as a function of Cu layer. From these results an atomistic model of these complex interactions has been formed and will be discussed. One author (J.de la F.) acknowledges the support of the Fulbright Foundation.

11:30 AM A12.9 
ELASTIC INTERACTIONS OF DEFECTS ON CRYSTAL SURFACES. Alonso Peralta, Demitris Kouris, Jaroslaw Knap, Karl Sieradzki, Arizona State University, Dept of Mechanical and Aerospace Engineering, Tempe, AZ.

Surface defects corresponding to adatoms, vacancies, and steps interact with one another affecting and often dominating kinetic processes associated with determining thin-film growth morphologies. It is now well recognized that the primary interaction among these defects is through their elastic fields. A harmonic lattice model for an adatom at the (001) surface of a cubic crystal is developed, based on the concept of eigenstrains. The eigenstrains introduced by an adatom are obtained directly using EAM potentials. Our formulation includes both in-plane and out of plane distortions. The elastic field of the adatom is described and the limitations of the continuum theory are discussed. In this scheme, the model of a surface step is a natural consequence of an appropriate aggregate of adatoms. Calculations of the interaction energy between adatoms, steps, and adatoms and steps indicate agreement with existing, long-range results. Anisotropy plays a significant role in this process. For example, in certain crystallographic directions, identical adatoms attract each other. Independent simulations using EAM potentials clearly demonstrate the accuracy of the elastic field produced by the eigenstrain model. The restrictive assumptions regarding the adatom/force system found in existing models are removed in our distortion-based model.

11:45 AM A12.10 
LEVEL-SET EQUATIONS OF MOTION FOR EPITAXIAL KINETICS, M.F. Gyure, Hughes Research Laboratories, Malibu, CA; D.D. Vvedensky, the Blackett Laboratory, Imperial College, London, UNITED KINGDOM; R.E. Caffisch, B. Merriman, S. Osher, Department of Mathematics, UCLA.

We describe a new application of the level-set method [1]: the modeling of epitaxial growth. This method is based upon the integration of an equation of motion for the lateral propagation of the edges of islands to obtain a function (the level-set function) whose zeros are the growth front. Because this method does not require front tracking nor uses islands as dynamical variables, it can treat the coalescence of islands in a natural way. Moreover, the retention of spatial information means that this method is capable of describing the development of multilayer structures. To illustrate this method, we consider a model of irreversible epitaxial growth. By treating the adatom population to various levels of sophistication, including the neglect of all spatial information (as in the rate equation approach) and the integration of the diffusion equation with the correct treatment of the absorbing boundary conditions at the island edges, we show directly how spatial information affects the island size distribution function [2], which we also determine `exactly' from Monte Carlo simulations. We also discuss how this approach can be used to treat the effects of strain in a way that goes beyond what can be achieved with either Monte Carlo simulation, rate equations, or continuum equations of motion.

SESSION A13: ROUGHENING DURING CRYSTAL GROWTH AND ETCHING 
Chairs: Ramana M.V. Murty and Dimitri D. Vvedensky 
Thursday Afternoon, December 4, 1997 
Essex Center (W)

1:30 PM A13.1 
EVOLUTION OF SURFACE STRUCTURE DURING HALOGEN ETCHING OF CU SURFACES. C.Y. Nakakura, Department of Applied Physics, Yale University, New Haven, CT; G. Zheng and E.I. Altman, Department of Chemical Engineering, Yale University, New Haven, CT.

Structural changes that occur during the reaction of chlorine and bromine with Cu(100) were studied using low energy electron diffraction (LEED) and scanning tunneling microscopy. For both halogens the reaction proceeds through rapid formation of a chemisorbed layer of halogen atoms followed by the slow growth of Cu(I) halide; etching occurs when the halide sublimes. In the chemisorption regime, chlorine and brmoine behave identically. Although LEED shows the formation of a c(2x2) pattern immediately upon adsorption, thermal fluctuations that are fast compared to the STM scanning rate prevent this structure from being observed until the coverage reaches 80% of saturation. As the chemisorbed layer saturates, the surface steps facet to align parallel to the close-packed directions of the adlayer. As the reaction to the halide begins, kinks in the steps aggregate to allow more halogen atoms to be accommodated in the chemisorbed layer. For both halogens, the faceted steps supply Cu atoms for Cu(I) halide formation. This occurs, however, in very different ways for chlorine and bromine which affects the structure of the etched surface. For chlorine, the reaction occurs at kinks in the steps with rows of atoms stripped away starting at the corners of the step facets. This leads to nearly uniform step bunching and a staircase pattern in the steps as they are reacting. For bromine, STM images indicate that the step edges themselves are reactive and that the reactivity of the steps is anisotropic, even for steps oriented along the same direction. This anisotropy results in channels and peninsulas etched into the terraces. Atomic resolution images of the step edges suggest that variations in the relaxation of the atomic positions due to the perturbation of the step cause the anisotropy. STM movies following the dynamics of some of the processes described above will be shown.

1:45 PM A13.2 
SPUTTER EROSION OF Au AND Pd THIN FILMS STUDIED WITH STM. Karsten P. Reimann, Achim Rehmet, Ulrich Geyer, Universitaet Goettingen and SFB 345, Erstes Physikalisches Institut, Goettingen, GERMANY.

The evolution of surface morphology during the sputter erosion process of thin metallic films was investigated with scanning tunneling microscopy (STM). Pd and Au thin films showing large defect free (111) terraces separated by atomic steps were prepared under ultra high vacuum conditions. Terrace sizes were at least 300 300 2. After in situ STM characterization these metallic targets were in situ irradiated with Ar+, Kr+ and Xe+ ions. The target temperature was chosen between 300 and 700 K, the ion energy between 0.5 and 10 keV. The erosion depth varied from several monolayers up to 1 micron. The STM images show kinetic surface roughening upon sputter erosion. With increasing erosion depth step-flow, pit formation and ripple formation was observed. Part of the quantitative evolution of surface roughness is discussed in terms of scaling concepts. Continuum MBE growth equations were employed for modelling the erosion process with the following microscopic mechanisms: vacancy production (sputter yield), deposition (adatoms) and surface diffusion.

2:00 PM *A13.3 
SURFACE DYNAMICS DURING SPUTTERING. M.V. Ramana Murty, T. Curcic, A. Judy and B.H. Cooper, Laboratory of Atomic and Solid State Physics, Cornell University, Ithaca, NY; A. Woll and J.D. Brock, Dept. of Applied and Engineering Physics, Cornell University, Ithaca, NY; R.L Headrick and S. Kycia, Wlison Laboratory, Cornell University, Ithaca, NY.

Sputtering, the erosion of materials with energetic ions, frequently results in pattern formation on the surface. The patterns take the form of three-dimensional pits or ripples of a characteristic length scale. We will discuss the kinetics of formation of pits on Au(111) during Ar+ ion irradiation investigated using synchrotron X-ray scattering and kinetic Monte Carlo simulations. Sputter erosion oil Au(111) occurs by step retraction above 270 C, in a quasi layer-by-layer removal mode at 120 - 220 and leads to three-dimensional pit formation below 100 C. Sputtering at room temperature leads to the formation of pits with a characteristic length scale. The pit separation l increases with time according to a power law l t and the pits maintain a constant aspect ratio as they evolve. These observations are consistent with the predictions of a continuum theory1 involving a Ehrlich-Schwoebel barrier to the motion of adatoms or surface vacancies. A model for the off-specular reflectivity yields information about the size and position distribution of the pits. Surface morphology can evolve during sputtering either by (a) diffusion of surface vacancies and subsequent island nucleation or attachment to existing steps; or (b) detachment of atoms from steps and filling of the vacancies. To distinguish between these two mechanisms, we have performed kinetic Monte Carlo simulations of sputtering under the two extreme conditions of high vacancy mobility and low vacancy mobility. The differences in the resulting surface morphology and techniques to distinguish them will be discussed.

2:30 PM A13.4 
MOUND COARSENING MECHANISMS DURING UNSTABLE EPITAXIAL GROWTH, D.D. Vvedensky, The Blackett Laboratory, Imperial College, London, UNITED KINGDOM; P. Smilauer, Institute of Physics, Cukrovarnická, Czech Republic; L.-H. Tang, Department of Physics, Hong Kong Baptist University, Kowloon Tong, Hong Kong; M.F. Gyure, J.J. Zinck, Hughes Research Laboratories, Malibu, CA.

We examine the mechanisms by which mounds that are formed during unstable epitaxial growth coarsen as a function of the growth conditions. We use three approaches to examine this process: (i) Monte Carlo simulations of a solid-on-solid model used previously in an extensive study of mound formation in metal and semiconductor homoepitaxial systems [1], (ii) integration of stochastic continuum equations of motion that have been proposed for instabilities due to step-edge barriers [2] and those based on analyzing `up-hill' currents [3], and (iii) an analysis of the unstable growth morphology of InAs(001) obtained from atomic force microscopy images. The main conclusion of our study is that deposition noise is an important factor in mound coarsening. The picture we develop leads to an important geometrical relation between the typical mound size L, the typical mound height W, and the film thickness H, with a proportionality constant R of order unity when these parameters are measured in atomic units. The constancy of R in late-stage coarsening implies a scaling reaction between the mound coarsening exponent 1/z and the mound height exponent , which agrees with previous simulation results and, in the case of saturated mound slope, yields =1/z=1/4. Our analysis of a deterministic mechanism for coarsening from bonding considerations indicates that such transport becomes important only at sufficiently high substrate temperatures. The saturated value of R as a function of the substrate temperature exhibits a drop indicative of a crossover between a low temperature noisy regime, and a high temperature deterministic regime.

3:15 PM A13.5 
EVOLUTION OF THE SURFACE MORPHOLOGY OF HOMOEPITAXIAL GE(001) DEPOSITED AT REDUCED TEMPERATURES ON VICINAL SURFACES. J.E. Van Nostrand, Materials and Manufacturing Directorate, AFRL, Wright-Patterson AFB, OH; D.G. Cahill, Dept of Materials Science and Engineering, Univ of Illinois at Urbana/Champaign, Urbana, IL.

We use in-situ scanning tunneling microscopy and ex-situ atomic force microscopy to investigate the evolution of the surface morphology of homoepitaxial Ge(001) grown by molecular beam epitaxy (MBE) on vicinal surfaces. Experimental results on the roughening of the homoepitaxial Ge(001) surface are presented as a function of the MBE growth variables film thickness, deposition temperature, and substrate miscut. Homoepitaxial growth of Ge(001) at 365C on vicinal surfaces miscut towards the [011] results in the formation of Ge(117) and Ge(115) facets. Homoepitaxial growth on vicinal surfaces at 155C and 230C, growth temperatures at which asymmetric step edge kinetics produce pattern formation of growth mounds on singular surfaces, results in an enhancement in mound formation in the direction normal to the miscut direction. Stepped 105 facets are observed to form on the surface of films deposited at 230C on substrates miscut 9 degrees towards the [011].

3:30 PM A13.6 
TIME-RESOLVED RHEED STUDIES OF THE GROWTH OF GE FILMS ON GE(001) BY PULSED LASER DEPOSITION. James W. McCamy, Craig B. Arnold, Michael J. Aziz, Division of Engineering and Applied Sciences, Harvard University, Cambridge, MA.

Thin films of Ge were grown by Pulsed Laser Deposition on Ge (001) substrates. Static RHEED measurements were taken following growth, from which the surface morphology was inferred. Time-resolved measurements of the specular and (01) diffracted RHEED spots were made to investigate changes in the surface morphology and structure during and immediately following arrival of the deposition pulse. Following growth, the resulting morphology was observed using STM/AFM and correlated with the RHEED observations. Finally, the results of this work are compared with those previously found for the growth of ZnSe on GaAs.

3:45 PM A13.7 
PULSED LASER DEPOSITION: EFFECT OF ENERGETIC PULSED DEPOSITION ON SURFACE MORPHOLOGY. M.E. Taylor and Harry A. Atwater, California Institute of Technology, Pasadena, CA.

Pulsed laser deposition occurs in microsecond pulses separated by intervals of a few tenths of a second. The particle energy distribution typically has a width and a mean of tens of eV. A solid-on-solid, rate-equation, Monte Carlo simulation was created to explore the effects of pulsed and energetic deposition in epitaxial growth. Surface diffusion activation barriers were chosen to correspond approximately to isotropic Si (001). Simulation results were obtained using an initially flat 128 by 128 atom substrate, a time-averaged deposition rate of 0.25 monolayers per second, a coverage of 0.5 monolayers per pulse, and substrate temperatures ranging from 100 C to 600 C. Simulated film surface morphology was evaluated using surface images and height-height correlation functions. In the first set of simulations, the effect of pulsing was studied. The results indicate that pulsed growth is rougher than the analogous continuous growth for substrate temperatures below approximately 600 C. In the second set of simulations, the combined effects of pulsing and energetic deposition were studied. The particle energy distribution was derived from Si ablation experiments for an energy density of approximately 3 J/cm2. Modeled energetic deposition effects include transient enhanced surface diffusion and cluster breakup. Preliminary results indicate that pulsed energetic growth is smoother than the analogous continuous growth for substrate temperatures below approximately 400 C and rougher for higher substrate temperatures. These simulation results will be compared with results of Si (001) pulsed laser deposition experiments that are currently in progress.

4:00 PM A13.8 
THE SHADOW INSTABILITY IN COLLIMATED ENERGETIC MOLECULAR BEAM GROWTH. S. E. Roadman, S. W. Levine, Y.-J. Zheng and J. R. Engstrom, School of Chemical Engineering, Cornell University, Ithaca, NY.

The effect of beam angle of incidence ( ) and particle kinetic energy (Ei) on thin film morphology and microstructure has been recognized for some time. Work in this area experimentally has dealt almost exclusively with deposition from elemental (evaporative or collimated sputter) sources, and, in most cases polycrystalline and/or amorphous deposits. We present here a comprehensive study of the effects of both and Ei on the homoepitaxial growth of Si using molecular thin film precursors, Si2H6 and SiH4. The advantages of our approach include a detailed, a priori knowledge of the sticking coefficient behavior of these precursors with Ei, , and substrate temperature (Ts), and parallel studies involving computer simulations in 3-D of the deposition process. Thin film deposition has been characterized for Ei = 0.1-2.2 eV, = 0-80 , and Ts = 500-750 C. Thin film morphology has been analyzed ex situ employing atomic force microscopy (AFM). Images have been analyzed to develop scaling relationships between the interface width (W) and film thickness ( ), as well as to examine higher order moments (skewness, kurtosis) of the height-height correlation function. We find that the angle of incidence has a strong influence on thin film morphology. For example, under otherwise identical reaction conditions ( 1-2 eV, Ts = 500-550 C, 500 ), a glancing angle of incidence (80 ) leads to significantly rougher thin films, e.g., 300 , compared to W < 50 for near normal (5 ) angles of incidence. These results reflect increased sensitivity of the thin film morphology to in this deposition process, where the functionality of the sticking coefficient greatly enhances growth along the incident beam axis.

4:15 PM A13.9 
GROWTH AND DOPING OF VICINAL Si SURFACES. M. Ladevèze, FOM-Institute, Kruislaan, Amsterdam, NETHERLANDS; G. Tréglia, F. Arnaud d'Avitaya, Campus de Luminy, Marseille, FRANCE.

Our aim here is to illustrate the coupling between growth (surfactant effect) and doping (incorporation) of Molecular Beam Epitaxial (MBE) Si layers, in the particular case of Sb codeposition on misoriented (111) substrate. First we will present our results concerning Si/Si homoepitaxy, obtained from DEL, TEM and AFM analysis. Before the growth, both the vicinal and nominal surfaces present regular arrays of steps, which are triple-height in the former case and single- height in the latter. After the growth, the vicinal surface exhibits macroscopic undulations, at least as long as it undergoes the (7x7) reconstruction. If the latter disappears (at high temperature or under Sb codeposition), the surface flattens and recovers its array of triple- or single-heigh steps. This is interpreted as due to a destabilization of the surface induced by a larger flux of atoms descending than ascending the step, due to the reconstruction. Then, under Sb deposition, Auger Thermodesorption Spectroscopy reveals that there exists additional adsorption states on the vicinal surface, with respect to the nominal one, which appear at lower temperature. Molecular Dynamics simulations in a Tight-Binding many-body potential allow us to relate these new states to a change in the Sb adsorption character which is found dissociative on the terraces but molecular on the steps. This conclusion is essential to understand the influence of misorientation on doping. Indeed, SIMS measurements show that Sb incorporation (when codeposited with Si) is almost constant, for both surfaces, down to a critical temperature Tc below which it abruptly increases, Tc being significantly lower for the vicinal one. This can be understood in terms of local equilibrium resulting from the coupling between desorption and segregation during the incorporation process. The different behaviours of nominal and vicinal substrates at high temperature is then ascribed to the preferential desorption from steps evidenced above.

4:30 PM A13.10 
MODELS OF SURFACE MORPHOLOGY EVOLUTION DURING C-DOPED GaAs GROWTH. R. Venkataramani, K.F. Jensen, Massachusetts Institute of Technology, Department of Chemical Engineering, Cambridge, MA;J. Li, T.F. Kuech, University of Wisconsin, Department of Chemical Engineering, Madison, WI.

Surface morphology evolution and carbon incorporation during the organo-metallic vapor phase epitaxy (OMVPE) growth of GaAs is modeled using both Kinetic Monte Carlo (KMC) and Finite Element (FEM) simulations. The amount of carbon incorporation in GaAs films is dependent on the concentration of gas phase species that are present near the surface, as well as surface conditions. Modeling both the gas phase (on the macroscopic length scale of centimeters) and the surface (on the mesoscopic length scale of microns to nanometers) requires different modeling techniques. In this work, a methodology for linking FEM simulations of the gas phase to KMC simulations of the surface is developed. Incorporation of carbon into the growing film and its kinetic effect on surface morphology is elucidated. The models are validated through comparison to published X-ray scattering, Reflectance Anisotropy Spectroscopy, and Atomic Force Microscopy studies of the GaAs surface. The model predicts the concentration of carbon incorporated in the film, given the inlet concentrations in the reactor and temperature of the film. The MC model also gives a representation of how the morphology of the film changes due to the carbon incorporation. In particular, morphology differences between using methylated doping species and halocarbon sources are reproduced. The linking of two models shows how processes can be modeled on widely different length scales by a combination of modeling techniques.

4:45 PM A13.11 
OBSERVATION OF NANOMETER SCALE SURFACE CLUSTERING ON ZnSe EPILAYERS ON GaAs(001) GROWN BY MOLECULAR BEAM EPITAXY. J.B. Smathers, E.M. Kneedler, B.T. Jonker, Materials Physics Branch, Naval Research Laboratory, Washington, D.C.

We have used AFM to investigate the surface morphology of ZnSe films deposited on GaAs(001) by MBE. We believe this to be one of the few detailed studies of surface morphology for this otherwise well characterized system. We report the first observation of nanometer scale clusters which result from standard ZnSe growth procedures. Immediately following growth, typical cluster sizes are 400Åto 600Åin diameter, and 70Åto 110Åin height, at a density of roughly 20 clusters per square micron. Time series AFM images reveal the clusters undergo classic Ostwald ripening in atmosphere at room temperature; larger clusters grow at the expense of smaller ones at an exponentially decreasing rate. Preliminary XPS and field emission based SAM data indicate the cluster composition to be ZnSe. The clusters comprise less than 3% of the film surface area and in between the clusters the rms surface roughness is less than 4Å. This results in a striking surface morphology similar to that of the "quantum dot" structures recently reported in semiconductor systems characterized by large strain energies. There is only a 0.25% lattice mismatch in the ZnSe/GaAs system, and we observe clusters on films grown well beyond the critical thickness (tc=2000Å). Therefore, unlike the case of the "self assembled quantum dots", the clusters we report can not be explained by a large lattice mismatch, or by interfacial surface free energy considerations. This finding has significant impact for the optical device community where layered ZnSe device structures have consistently performed poorly due to structural defects. In addition, it raises the possibility for zero dimensional quantum confinement in ZnSe based devices, and suggests the extension of the theories for such surface cluster formation to include homoepitaxial growth. This research is supported by ONR.