Symposium Organizers
Mohan Sankaran, Case Western Reserve University
Tomohiro Nozaki, Tokyo Institute of Technology
Chi-Chin Wu, U.S. Army Research Laboratory
Davide Mariotti, Ulster University
PM07.01: Carbon and Organic Materials
Session Chairs
Sumit Agarwal
Bruno Alessi
Mariadriana Creatore
Monday PM, November 26, 2018
Hynes, Level 1, Room 107
8:30 AM - *PM07.01.01
Mass-Production of CNT Materials from Gas Phase Chemical Vapour Deposition and Microwave Plasma
Brian Graves1,Fiona Smail1,Adam Boies1
University of Cambridge1
Show AbstractThe exceptional mechanical, thermal, and electrical performance of carbon nanotubes (CNTs) makes them ideal for a wide range of applications, however they are costly to produce and difficult to integrate effectively with other materials. We have developed several continuous gas phase CVD processes that can synthesize novel CNT bulk-produced materials at high mass-throughput.
The presentation will demonstrate how CNTs can be grown and synthesized into macroscopic materials using continuous gas phase processes. In a tube furnace, CNTs are grown with gas phase CVD from catalyst particles comprised of a transition metal and sulphur. As the CNTs increase in length and number concentration, they agglomerate to form an aerogel which can be extracted continuously from the reactor. The self-assembled CNT structure can be wound to form fibres and mats which can be easily integrated into composites, wires, and heat pipes, among many other applications. New developments of this process will be discussed along with the properties and applications of the resulting CNT materials.
We are also currently working towards replicating this aerogelation process with a microwave plasma reactor, in which we have previously synthesized a metal oxide – CNT composite material for the anodes of lithium ion batteries. This plasma-based process exhibits several key advantages over other techniques. The extremely high temperature of the plasma permits vaporization of a wide range of precursor materials, including those in powdered, elemental form which are ideal for an industrial process since they are cheap and readily available. The plasma also has a high energy density, meaning that a large mass throughput can be achieved with a small system. Large-scale production of these materials with this method could contribute to significant advances in materials for an array of engineering fields.
9:00 AM - PM07.01.02
Plasma Activation of Carbon Fiber Reinforced Thermoplastics
Trey Oldham1,Daniel Ferriell2,Marcus A. (Tony) Belcher2,Alexander Rubin2,Elijah Thimsen1
Washington University in St. Louis1,The Boeing Company2
Show AbstractCarbon fiber reinforced thermoplastic (CFRTP) composites are a platform material for structural applications due to their high fracture toughness, lightweight, and chemical resistance. However, the chemical inertness of the thermoplastic hinders the material’s ability to robustly bond to form structural assemblies. Non-thermal plasma treatments of CFRTP composites have been shown to overcome this limitation by producing an activated surface. The highly reactive surface comprised of ions and radicals is intrinsically unstable, resulting in the deterioration of the activation state over time. The ability to characterize the activation state is of significant importance in terms of quality control of bonded structural assemblies. In this work, a novel, non-destructive method of determining the activation state of plasma-treated surfaces was discovered using Kelvin probe force microscopy (KPFM) surface potential measurements. Activated surfaces are characterized by a sharp, well-defined surface potential distribution. The sharp peak is hypothesized to be due to the presence of quasi-stable radical-ion complexes. Plasma-treated CFRTP surfaces being uniformly comprised of anionic and radicalic species is supported by KPFM, contact angle, magnetic force microscopy (MFM), and radical scavenger experiments. Radical-anion complexes are only stabilized when both species are present (i.e. radicals readily react in the absence of anions and vice versa). Evidence in support of radical-anion stabilized complexes was observed by a decrease in radical scavenging activity of a plasma-treated sample which had been charge-neutralized compared to non-neutralized plasma-treated sample. MFM measurements provide an independent verification of this result.
9:30 AM - PM07.01.04
Effect of Airborne Contaminants on Plasma-Induced N-Doping of Graphene Films
Germain Robert Bigras1,Xavier Glad1,Richard Martel1,Luc Stafford1
Université de Montréal1
Show AbstractGraphene has been extensively studied thanks to its promises for the next generation of electronic and optoelectronic devices [1]. The availability of versatile post-processing techniques of graphene is mandatory for applications in which properties of pristine graphene are insufficient. In recent years, various methods, including plasma-based approaches, have been explored to tune the doping with nitrogen atoms of graphene films as a means of either increasing the electron density or inducing a band gap [2]. An ideal and efficient nitrogen doping would precisely tune the N-doping and keep a minimal defect density. Studies often blindly aim at increasing the nitrogen content while minimizing defect generation. In addition, very few measures are taken into account to control the gas phase impurities. Even for freshly grown graphene, Li et al. [3] showed that the graphene receive a strong variation of its hydrophobicity within days due to the deposition of hydrocarbons on the surface. Analysis of the N-doping dynamics must therefore discriminate if N-incorporation occurs in the airborne contaminants or in the graphene lattice itself.
In this work, we explore the potential of the late afterglow of a microwave N2 plasma at reduced pressure (6 Torr) for post-growth tuning of CVD-grown graphene films on copper foils. The low ion density (< 107 cm-3) and the high density of reactive neutrals (> 1014 cm-3) and metastable species (> 1010 cm-3) of the nitrogen late-afterglow [4] are believed to enhance chemically driven surface reactions over purely physical ion bombardment. Therefore, enhanced functionalization at the surface is expected due to interactions between nitrogen and the hydrocarbons. In addition, the tunable nature of the nitrogen afterglow allows the exposition of the sample to a continuum of environments; from damaging conditions (Early afterglow - EA) to a milder region (Late Afterglow - LA).
A single graphene sample received five subsequent 30-second plasma treatments between which X-Ray photoelectron spectroscopy (XPS) and Raman spectroscopy (RS) were carried out. XPS measurements confirmed a strong N-incorporation increasing with the plasma treatment time (up to N/(N+C+O) = 19% for LA and 49% for EA) while RS assessed an uncommonly low damage generation (D:G < 0.4 for LA and D:G < 1.3 for EA) for such incorporation. Transfer towards SiO2 substrate reveals the loss of a strong proportion (80%) of weakly bonded nitrogen moieties for LA-treated graphene. This can be explained by a significant incorporation of N atoms within the hydrocarbon layer.
[1] Vashist, S. K., & Luong, J. H. (2015). Carbon, 84, 519-550.
[2] M. Fan, Z. Feng, C. Zhu and al. (2016) J. Mater. Sci. 51 10323-10349.
[3] Z. Li, Y. Wang, A. Kozbial, and al. (2013) Nat. Mater. 12 925-931.
[4] Afonso Ferreira, J., Stafford, L., Leonelli, R. and al. (2014). J. of Applied Physics, 115(16), 163303.
PM07.02: Nanoparticles and Nanocrystals I
Session Chairs
Bruno Alessi
Minoru Fujii
Vladimir Svrcek
Monday PM, November 26, 2018
Hynes, Level 1, Room 107
10:30 AM - *PM07.02.01
Equilibrium and Non-Equilibrium Atmospheric Plasma Routes for Nanoparticle Synthesis
Vittorio Colombo1,Matteo Gherardi1,Marco Boselli1,Anna Liguori1,Romolo Laurita1,Tommaso Gallingani1
Alma Mater Studiorum - University of Bologna1
Show AbstractThe ever-increasing demand for high-quality nanosized materials coming from many industrial and research fields has been spurring the research on viable methods for their synthesis.
In the first part of the talk, RF inductively coupled plasmas for nanoparticle synthesis will be introduced as an effective tool for the continuous production of nanoparticles at high throughput. This technology has encountered the interest of many industrial nanoparticle producers thanks to its distinctive features such as high energy density, high chemical reactivity, high process purity, large plasma volume and long residence time; also, RF synthesis of nanoparticles benefits from the large number of available process variables (e.g. power, frequency, process gases, phase of the precursor and system geometry) that ensure the versatility of the process and from the high cooling rate (104–105 K/s) in the tail of the plasma, that allows to produce tailored nanoparticles with a narrow particle size distribution (PSD). During the talk, advantages and disadvantages of the technology will be introduced, focusing, in particular, on the challenges posed by up-scaling. The use of modeling instruments as supporting tools for the design and engineering of industrial-scale high-throughput thermal plasma systems for nanoparticle synthesis will be discussed [1, 2].
The second part of the talk will deal with Cold Atmospheric Plasmas (CAPs) as the centerpiece of a family of simple and environmentally friendly methods for the synthesis and modification of nanostructures in liquid environments [3]. Results highlighting the role of the chemical composition of the liquid environment (either NaCl + NaOH or NaCl only, dissolved in distilled water) on the characteristics of the produced nanoparticles will be presented. Furthermore, the possibility of synthesizing nanoparticles from a nebulized solution containing metallic salts will be discussed; an applicative example, where this process is employed to produce an antibacterial coating composed of a layer of antibacterial silver nanoparticles embedded within two polymeric thin films, will also be provided. A similar approach, simultaneously depositing silver nanoparticles and a polymeric matrix, will be presented as well [4].
[1] S. Bianconi, M. Boselli, M. Gherardi and V. Colombo: Plasma Chem. Plasma Process. 37, 3, p717-738 (2017).
[2] S. Bianconi, M. Boselli, M. Gherardi and V. Colombo: J. Phys. D: Appl. Phys. 50, 16, 165204 (2017).
[3] D. Mariotti and R. M. Sankaran: J. Phys. D: Appl. Phys. 44, 17, 174023 (2011).
[4] A. Liguori, E. Traldi, E. Toccaceli, R. Laurita, A. Pollicino, M. L. Focarete, V. Colombo and M. Gherardi: Plasma Proc. Polym. 13, 6, p. 623-632 (2017).
Work partially supported by European Union’s Horizon 2020 research and innovation program under grant agreement No 646155 (INSPIRED project)
11:00 AM - PM07.02.02
Ultra-Small Metallic Nanocrystals Synthesis from Solid Wire Precursors via an Atmospheric Pressure Microplasma
Bruno Alessi1,Atta ul Haq1,Dilli Babu Padmanaban1,Paul Maguire1,Davide Mariotti1
University of Ulster1
Show AbstractMetal nanoparticles are interesting for a wide range of scientific fields. Particles with diameters ranging from 10 nm up to hundreds of nanometres exhibit attractive properties for various applications (e.g. catalysis, chemical sensors etc.). Some of the most studied characteristics are for instance related to the possibility of tuning localized surface plasmon resonance, which depends on the nanoparticle size, shape and surrounding environment. Meanwhile, particles with diameters below 10 nm down to and below 1 nm, approach a new regime and can in some cases associated to large molecular clusters, with properties of interest to electronics and semiconductor science. Model calculations for such metallic quantum dots predict, depending on the composition, the opening of the energy bandgap 1 and the consequent appearance of photoluminescence effects 2. However, most of the synthesis methods that yield narrow size distributions employ high vacuum methods or use surfactants to sterically control particle size during their synthesis.
Atmospheric pressure microplasmas recently revealed their value for nanomaterial synthesis 3. Microplasmas simultaneously offer a cheap alternative to low pressure plasmas and some unique peculiarities. For example, the high ratio of energetic electrons allows activating chemical reactions which are otherwise hard to achieve. These plasmas at atmospheric pressure typically show also high electron densities and enhanced rate of ion-neutral interactions, which are mainly responsible for charging and selective heating of particles inside a plasma. The former preventing agglomeration of particles and the latter allowing to achieve higher particle temperatures compared to the gas background. A clear advantage of microplasmas for nanomaterial synthesis is the small residence time of nucleating particles inside the active plasma region, which can be reached with a flow-through type reactor. Moreover, samples can be easily prepared either as evenly dispersed colloids or as solid films of stacked particles.
In the current work, ultra-small metallic nanocrystals, or quantum dots (below 5 nm) of different elements such as tin, copper, bismuth and others have been produced with a gas phase atmospheric pressure microplasma using the corresponding solid bulk form as precursor; we discuss material characterization as well as the potential underlying mechanism of formation supported by a few different experimental plasma diagnostics.
References
1. Küfner, S., Furthmüller, J., Matthes, L., Fitzner, M. & Bechstedt, F. Structural and electronic properties of α-tin nanocrystals from first principles. Phys. Rev. B 87, 235307 (2013)
2. Zheng, J., Nicovich, P. R. & Dickson, R. M. Highly Fluorescent Noble-Metal Quantum Dots. Annu. Rev. Phys. Chem. 58, 409–431 (2007)
3. McKenna, J. et al. Synthesis and surface engineering of nanomaterials by atmospheric-pressure microplasmas. Eur. Phys. J. Appl. Phys. 56, 24020 (2011)
11:15 AM - PM07.02.03
Low Temperature Plasma Synthesis of III-V Stibnide and Nitride Nanocrystals from Bulk Metals
Necip Uner1,Elijah Thimsen1
Washington University in St. Louis1
Show AbstractLow temperature plasma (LTP) is a unique gas-phase synthesis tool for various forms of nanomaterials. The nonequilibrium environment in the LTPs has been utilized to synthesize many semiconductor nanocrystals in tubular flow-through reactors by using suitable vapor precursors. These materials mostly comprise Si, Ge, various sulfides and oxides. III-V semiconductors, which constitute an important family of materials for optoelectronic applications, haven’t been explored as well. Although reports on the synthesis of InP and GaN have been reported, stibnides and nitrides currently don’t have an established method of synthesis that yields high quality nanocrystals. In this work, we present a general plasma synthesis route for bare nanocrystals of GaSb and GaN. The method diverges from the previously reported syntheses of semiconductor nanocrystals, since use of vapor precursors is avoided. Instead, aerosols of constituent metals are generated in evaporation and condensation sources. These aerosols of Ga, Sb and gaseous nitrogen bearing species were used as precursors. Produced aerosols are mixed and then sent into a flow-through Ar LTP reactor. Aerosols vaporize inside the LTP and the generated vapor re-forms the compound semiconductor by way of nucleation and condensation [1]. Since particles obtain unipolar negative charge inside the LTP, Coulombic repulsion prevented the formation of agglomerates. Synthesized particles were verified to be free-standing and crystalline via transmission electron microscopy. Furthermore, particles were mixed on atomic scale. Stoichiometry was found to be adjustable by manipulating the input aerosol concentrations and input plasma power. In addition to results on reactor operation and on extensive ex-situ characterization of materials by means of electron microscopy and x-ray diffraction, functionalization of the produced nanocrystals by surfactants and the resulting photoluminescent properties of the materials will be presented.
[1] N. B. Uner and E. Thimsen, “In-Flight Size Focusing of Aerosols by a Low Temperature Plasma,” J. Phys. Chem. C, vol. 121, no. 23, pp. 12936–12944, Jun. 2017.
11:30 AM - *PM07.02.04
Plasma and Laser-Assisted Synthesis and Modification of Nanoparticles in Liquids
Natalie Tarasenka1
B.I. Stepanov Institute of Physics National Academy of Sciences of Belarus1
Show AbstractAt the present stage of nanotechnology development nanoparticles are considered as important building blocks for the construction of nanodevices with working parameters exceeding those of the bulk analogs. Therefore, to reach the distinctive properties of nanoparticles the development of the controlled synthesis procedures that enable tuning the particles parameters during the preparation is now considered to be crucial. Among the nanoparticles fabrication methods plasma-assisted techniques that imply the combination of top-down and bottom-up approaches, are considered to be promising for controlled fabrication and targeted modification of nanoparticles parameters such as size distribution, phase composition, inner structure and surface chemistry. The present paper summarizes the developed plasma and laser-assisted approaches focusing on the controlled fabrication and modification of nanoparticles of different composition and morphology.
Several plasma-assisted techniques were used in the experiments: electrical discharge in liquids, plasma generated in contact with liquid, laser ablation in liquid and laser irradiation of colloidal solutions. Studying the influence of liquid composition on the particles properties it has been found that electrical discharge and laser ablation in non-reactive liquids can be used for effective fabrication of metallic and semiconductor (Si, Ge) nanoparticles. In contrast, plasma generation in reactive liquids by electrical discharge or laser ablation can be simple but effective route for binary nanoparticles synthesis.
For the effective fabrication of composite nanoparticles the combined laser-plasma approaches have been developed. One of them is based on the sequential laser ablation of targets in liquids that can be used as a tool to prepare binary or ternary nanocomposites as well as for the doping of nanocrystals. Another route of the compound nanoparticles preparation is based on the laser treatment of the nanoparticles mixtures. Special attention has been paid to the production of metastable alloys as their formation is favorable under the conditions of dense plasma with high temperature and pressure. For this, the combined plasma-laser approach based on electrical discharge treatment of the micropowders suspension in liquids with subsequent laser irradiation of the formed colloids has been developed that has been shown to be suitable for fabrication of Cu-Zn, Ag-Cu, Ge-Sn and Si-Sn alloys as well as binary and ternary compounds, such as Gd5Si4, Gd5Si2Ge2 and CuInSe2.
The surface chemistry of the prepared nanoparticles can be additionally adjusted by treatment of the prepared colloid by plasma in contact with liquid. This method was demonstrated to be effective for the improvement of the luminescent efficiency of the carbon and silicon quantum dots promising for photovoltaic and biomedical applications.
PM07.03: Silicon-Based Nanocrystals
Session Chairs
Bruno Alessi
Matteo Gherardi
Monday PM, November 26, 2018
Hynes, Level 1, Room 107
1:30 PM - *PM07.03.01
Plasma Processing and Synthesis of Silicon/Carbon Based Nanocrystals for Energy Applications
Vladimir Svrcek1,Calum McDonald1,Marius Bürkle1,Davide Mariotti2,Koji Matsubara1
AIST Japan1,Ulster University2
Show AbstractNew opportunities of environmental and abundant Si- and C-materials arrising thanks to the unique physical and chemical properties exhibited at quantum confinement sizes. Due to the quantum confined sizes and thus the high surface to volume ratio, the surface termination influences significantly the overall optoelectric properties of the material.
Our research showed that atmospheric plasma treatment of quantum confinement silicon and \carbon achieves desired chemistry for both synthesis and surface functionalization. In particular, two different plasma-liquid systems for synthesis and/or surface engineering are discussed in details in this talk. Firstly, a femtosecond (fs) laser beam (100 fs) is used to generate a plasma in the liquid. The laser-based surface engineering method uses a pulsed laser to generate a plasma plume in the colloidal solution.
Secondly an atmospheric pressure microplasma generated under ambient air condition whereby microplasma interacts with the surface of the colloid. We show that the experimental observations are rationalized by systematic study of the size and functionalization of nanocrystals dependence of the bandgap using density functional theory calculations. In adition the characteristics of Si nanocrystals functionalized by plasma controlled surface allows a successful carbon nucleation and can be used as a non-metallic catalyst. Further more, atmospheric plasma generated by both fs laser and dc microplasma allow favorable chemistry for the synthesis of carbon anocrystals.
The carbon nanocrystals possess surface molecular arrangements that allowed dispersed in water without any additional reagents or ligands. We demonstrate that carbon nanocrystals be efficiently use as the absorbing layer in solar cells. Due to the quantum confinement, a significant enhancement in term of open circuit voltage is recorded in solar cells. The result clearly show that plasma based synthesis of carbon nanocrystals offer great opportunities for high efficiency photovoltaic devices
2:00 PM - PM07.03.02
Structural Characterization of Hyperdoped Silicon Nanocrystals from Nonthermal Plasmas
Katharine Hunter1,Nicholas Bedford2,Katelyn Schramke1,Uwe Kortshagen1
University of Minnesota1,University of New South Wales2
Show AbstractDoped nanocrystals, while difficult or impossible to produce through conventional colloidal methods due to self-purification processes, are readily achieved in nonthermal plasmas. In this work we have investigated silicon nanocrystals doped with either boron or phosphorus synthesized in a low-pressure nonthermal discharge. Surprisingly, the mid-infrared plasmonic response of these nanocrystals the reveals carrier concentrations on par with or exceeding the thermodynamic solubility limits for boron and phosphorus in bulk silicon. These elevated carrier concentrations indicate the importance of unique growth processes within the plasma environment not accessible by equilibrium methods. To begin to understand the mechanisms that enable this effective hyperdoping of silicon nanocrystals in nonthermal plasmas we have employed pair distribution function analysis of high-energy x-ray diffraction patterns, which simultaneously captures the short-range periodicity and long-range disorder inherent in these nanocrystalline systems. By running reversed Monte Carlo (RMC) simulations, which minimize the discrepancy between measured and modeled pair distribution functions, we have obtained ensemble-average nanocrystal configurations for a range of doping levels. Analysis of the structure of these model configurations provides an estimate dopant location and coordination within the nanocrystal. We find that while the majority of dopant atoms reside at or near the nanoparticle surface, a sufficient number of 4-fold coordinated dopant atoms are located within the core of the nanoparticle to explain their plasmonic resonance. Furthermore, we find that low-temperature annealing of these nanocrystals produces an apparent increase in the concentrations of dopant atoms within the nanocrystal core.
K. Hunter acknowledges support by the National Science Foundation Graduate Research Fellowship Program under Grant No. 00039202. This work was supported primarily by the U.S. National Science Foundation through the University of Minnesota MRSEC under Award Number DMR-1420013. Parts of this work were carried out in the Characterization Facility, University of Minnesota, which receives partial support from NSF through the MRSEC program. The use of beamline 11-ID-B of the Advanced Photon Source, a U.S. Department of Energy (DOE), Office of Science User Facility, operated for the DOE Office of Science by Argonne National Laboratory was facilitated under Contract No. DE-AC02-06CH11357.
2:15 PM - PM07.03.03
Synthesis of Silicon Nanoparticles in a Low Pressure Microwave Plasma Reactor on a Pilot Plant Scale—Characterization of Particle Growth and Influence of Different Process Conditions on Particle Characteristics
Frederik Kunze1,Stefan Kuns2,1,Mathias Spree1,Tim Huelser1,Hartmut Wiggers2,3,Sophie Schnurre1
IUTA, Institut für Energie- und Umwelttechnik e.V.1,IVG, Institute for Combustion and Gas Dynamics – Reactive Fluids, University of Duisburg-Essen2,CENIDE, Center for Nanointegration Duisburg-Essen, University of Duisburg-Essen3
Show AbstractWithin the last years, numerous research results have indicated that nano-sized silicon can be used for a multitude of different applications. Especially its size-dependent properties such as limited phonon transport (in thermoelectric devices), structural flexibility (for battery applications) or quantum-confined optical properties (for optical applications) are of high interest.
Gas-phase synthesis is a suitable method for the continuous production of high amounts of specific nanoparticles, which enables applications based on highly-pure nanostructured materials. Up to now, microwave plasma reactor processes have mainly been investigated on the laboratory scale and only a very few approaches were dealing with scaling to higher production rates. Therefore, microwave supported plasma reactors are not yet regarded as a competitive manufacturing method to produce high amounts of nanoparticles with consistent high quality.
In this work, a microwave plasma reactor is used for the generation of silicon nanoparticles from gaseous monosilane (SiH4) at the pilot scale. The reactor uses a microwave frequency of 915 MHz and a microwave power up to 50 kW in contrast to 2.45 GHz and 6 kW on the laboratory scale.
It will be shown that this reactor enables the formation of highly crystalline and either soft-agglomerated or hard-agglomerated silicon nanoparticles depending on the process conditions. In order to characterize the growth process of the particles within the plasma reactor, samples of particles were extracted directly from the gas phase at different distances from the plasma utilizing high-speed thermophoretic sampling.
Furthermore, the systematic investigation of process conditions reveals that the particle size and aggregation can be independently controlled by a selective adjustment of the process conditions. It was identified that a higher gas outlet velocity of the nozzle results in a reduced residence time, thus leading to shorter growth time and smaller particles. In contrast, an increase in SiH4 concentration up to 48 vol.% does not lead to further growth of isolated primary particles but promotes aggregate formation from smaller primary particles.
In addition, it is shown that we are able to run a production process with consistently good quality of the silicon nanoparticles at high production rates of up to 200 g/h. Therefore, a full-day synthesis of silicon nanoparticles was performed. Nanoparticles were collected at fixed time intervals during the synthesis period and characterized via BET measurements and TEM images. This continuous sampling allows to detect unwanted changes of the particle characteristics during the synthesis and gives an indication of the long-term stability of the plasma process. The results indicate a stable process for many hours.
The authors gratefully acknowledge the support by the German research foundation (DFG) in scope of the research group 2284 “Model-based scalable gas-phase synthesis of complex nanoparticles”.
2:30 PM - *PM07.03.04
Boron and Phosphorus Co-Doped Colloidal Silicon Quantum Dots
Minoru Fujii1,Hiroshi Sugimoto1,Shinya Kano1
Kobe University1
Show AbstractA colloidal solution of Si quantum dots (QDs) is a versatile material for electronics, optoelectronics and biophotonics. Recently, we have developed a new type of all-inorganic Si QDs that can be dispersed in alcohol and water almost perfectly without organic ligands, and exhibit size-dependent luminescence in the near-infrared range in water. The unique property of the QDs arises from the unique structure. The QD has a core-shell structure; the core is heavily B and P codoped crystalline Si and the shell is an amorphous layer composed of B, Si and P. The shell induces negative potential on the surface and prevents agglomeration of Si QDs in polar solvents. Furthermore, isolation of an exciton in a crystalline core from environment by the shell makes the luminescence insensitive to the change of the environment and robust for chemical treatments. To the ligand-free surface of codoped Si QDs, molecules in a solution can access easily, and thus a variety of charge-transfer-induced processes such as a photocatalytic effect and chemical doping are expected.
In this presentation, we first discuss the structure of codoped Si QDs based on the data obtained by atom probe tomography and transmission electron microscopy. We then discuss the size dependence of the energy state structure, especially that of the donor and acceptor levels, based on the data obtained by scanning tunneling spectroscopy, photoemission yield spectroscopy and photoluminescence spectroscopy. We then discuss charge transfer interaction between Si QDs and adsorbed molecules, including the photocatalytic activity and chemical doping. We show the formation of a variety of nanocomposites composed of codoped Si QDs and noble metal nanostructures, and discuss the enhanced optical responses due to the coupling with the localized surface plasmon resonances of metal nanostructures. Finally, we discuss the electrical transport property of a Si QDs film produced from the colloidal solution and demonstrate the possible application as electronic devices.
PM07.04: Thin Films, Coatings and ALD I
Session Chairs
Bruno Alessi
David Graves
Chi-Chin Wu
Monday PM, November 26, 2018
Hynes, Level 1, Room 107
3:30 PM - *PM07.04.01
Low Resistivity HfNx Layers by Plasma-Assisted ALD with External rf Substrate Biasing
Mariadriana Creatore1
Eindhoven University of Technology1
Show AbstractIn this contribution I will discuss the deposition of HfNx by means of plasma-assisted ALD. The process adopts tris(dimethylamino)cyclopentadienylhafnium [CpHf(NMe2)3] as Hf precursor and H2 or Ar/H2 plasmas as reducing co-reactant. Films are found to exhibit an electrical resistivity of 9.0 × 10-1 Ωcm, in the absence of external substrate biasing [1]. The latter is attributed to the presence of oxygen in the film up to 20 at%, which is responsible for a limited Hf3+ fraction of 0.65. First-principles DFT calculations are adopted to explore the underlying mechanisms of O incorporation: chemisorption of H2O from the reactor background on the bare HfN surface is kinetically and thermodynamically highly feasible, and leads to Hf-OH surface groups.
A steady decrease in electrical resistivity down to 3.3 × 10-3 Ω cm is observed upon increasing the substrate potential up to -130 V by externally biasing the substrate [2]. The variations in electrical resistivity with the potential correlate with the extent of grain boundary scattering in the films, which significantly decreased upon increasing the potential up to -130 V. The latter is primarily due to major changes in the film chemical composition, namely the decrease in O content to less than 2 at% for a potential of -130 V and an associated increase in the Hf3+ fraction up to 0.82. In parallel, DFT calculations reveal that cationic species present in H2 plasma are highly reactive towards the surface –OH groups.
An increase in the energy of bombarding cations promotes the dissociation of Hf-OH surface bonds, followed by H2O formation and desorption. When heavier and more energetic ion bombardment (as generated in an Ar/H2 plasma) is applied, the electrical resistivity goes down to 4.1x10-4 Ωcm. It is worth noting that such low resistivity is achieved for films as thin as ~35 nm. Next to a high Hf(III) oxidation state fraction of 0.86±0.02, the key towards the very low resistivity is the suppression of in-grain defect density and nano-porosity in HfNx films.
Overall, the application of a substrate potential is shown to highly affect the chemical composition, microstructure and the associated electrical properties of the HfNx layers.
[1] Karwal et al., J. Vac. Sci. Technol. A, 01B129 (2017)
[2] Karwal et al., J. Mat. Chem.C 6, 3917 (2018)
4:00 PM - PM07.04.02
Synthesis of Layered Sulfide Heterostructures via RF Sputtering
Dennice Roberts1,2,Sage Bauers2,Andriy Zakutayev2
University of Colorado-Boulder1,National Renewable Energy Laboratory2
Show AbstractDeposition of layered chalcogenide materials allows for generation of novel material systems with tunable optical and electronic properties sensitive to changes in both dimensionality and the stacking sequence of constituent compounds. Synthesis of kinetically-controlled products can be achieved by the deposition of an amorphous “initial state” in which thickness and composition are precisely controlled, thus enabling rational study of structure-property relationships as a given material progresses from a monolayer to a more bulk-like state. To date, only selenide and telluride thin films have been demonstrated in this vein. We show the first synthesis of sulfide materials assembled under this framework and generate heterostructures comprised of alternating layers of crystalline MoS2 and SnS. RF sputtering is utilized to generate thin films of amorphous material in which layer-by-layer control of thickness and composition enables kinetic control over stacking sequence and phase of constituent layers. We discuss the design, synthesis, and optimization techniques necessary to form precursor and crystalline end states. Film microstructure is visualized using transmission electron microscopy and structure determinations are made using x-ray reflectivity and diffractometry techniques to determine information about overall heterostructure orientation and constituent material phases.
4:15 PM - PM07.04.03
AlN Etching Under ICP Cl2/BCl3/Ar Plasma Mixture—Experimental Characterization and Plasma Kinetic Modeling
Ahmed Rhallabi1,2,Mohammad Rammal1,2,Delphine Néel3,Dalila Make3,Alexandre Shen3,Abdou Djouadi1,2
Institut des Materiaux Jean Rouxel1,University of Nantes2,III-V Lab3
Show AbstractAlN is one of the III-V materials used in a new generation of electronic and optoelectronic devices especially in high power devices. Indeed, thanks to its good conductivity, it is well qualified to evacuate heat from the devices and thus improves their performance and reliability.
One of the challenges is to succeed in the pattern transferring from the mask to AlN material using plasma etching process. Chlorinated plasmas are well tested to etch AlN material. Some studies show that chlorinated plasmas containing only molecular chlorine or with argon is not efficient to properly success the etching step in terms of selectivity, anisotropy and surface roughness. The addition of BCl3 seems to improve the performance of the AlN etching. However, it remains difficult to find the optimal operating conditions that improve the morphological properties of etched AlN structures.
In this context, we present experimental results of AlN etching using ICP BCl3/Cl2/Ar plasma mixture. The AlN is deposited on Si and InP substrate using DC magnetron sputtering. Then, a SiO2 patterned hard mask is used to have a selective etching. The etch rate reached is 200 nm/min; with a small problem of microtrenching and a sidewall angle of 75°. This problem can be overcome using simulation in which the optimum etching conditions can be found.
In parallel, plasma kinetic model of BCl3/Cl2/Ar is developed to study the effects of the reactor parameters such as RF power, pressure and gas flow rates on the neutral and charged species evolution as well as the electron temperature and density. The model is based on the 0D global kinetic model. Mass balance equations of 34 neutrals, negative and positives ions coupled to the charge neutrality equation and power balance equation are established to quantify the species densities and their fluxes onto the substrate surface.
Particular attention is given to the analysis of the percentage of BCl3 gas effect on the reactive species densities involved in the etching processes such as the positive ion and atomic chlorine densities.
4:30 PM - *PM07.04.04
Surface Reactions During Plasma-Assisted Atomic Layer Deposition of SiNx
Sumit Agarwal1
Colorado School of Mines1
Show AbstractThe shrinking device dimensions in integrated circuits combined with the introduction of 3-D device architectures has created a need for novel atomic layer deposition (ALD) processes for a variety of materials including Si-based dielectrics such as SiNx. Development of new ALD techniques that can meet the demands for semiconductor manufacturing requires an atomistic level understanding of the surface reaction processes. In our lab, we use in situ optical diagnostic techniques including highly surface sensitive attenuated total reflection Fourier transform infrared spectroscopy and multi-wavelength ellipsometry to study the surface processes that occur during ALD.
In this presentation, I will discuss the low-temperature plasma-assisted ALD of SiNx films where one of the key challenges has been to grow conformal films in high-aspect-ratio nanostructures such that the sidewall structure and composition is the same as the top surface. I will discuss the surface reactions that occur during ALD of SiNx using chlorosilanes and aminosilanes as the Si precursors with an NH3 and N2 plasma, respectively, as the nitrogen source. The NH3-plasma based processes show >95% conformality, but the wet-etch rate in dilute HF is much higher for the sidewalls compared to the planar surface. While N2 plasma based processes show a low wet-etch rate for both the sidewalls and the planar surface, the conformality is ~50%. Based on these observations, we have developed a new three-step ALD process for SiNx growth using Si2Cl6 followed by CH3NH2, and then an N2 plasma. I will show that in this three-step ALD process because nitrogen is supplied to the surface partially in a thermal step followed by an N2 plasma, the films are more conformal with a sidewall wet etch rate that is intermediate between NH3 and N2 plasma based ALD processes.
Symposium Organizers
Mohan Sankaran, Case Western Reserve University
Tomohiro Nozaki, Tokyo Institute of Technology
Chi-Chin Wu, U.S. Army Research Laboratory
Davide Mariotti, Ulster University
PM07.05: Optical, Electrical and Other Properties
Session Chairs
Bruno Alessi
Michael Gordon
Y Raitses
Tuesday AM, November 27, 2018
Hynes, Level 1, Room 107
8:30 AM - *PM07.05.01
Bottom up Synthesis and Optoelectrical Application of Suspended Graphene Nanoribbon Arrays in Wafer Scale
Toshiaki Kato1,Toshiro Kaneko1
Tohoku University1
Show AbstractGraphene nanoribbons (GNRs) combine the unique electronic and spin properties of graphene with a transport gap that arises from quantum confinement and edge effects. This makes them an attractive candidate material for the channels of next-generation transistors. However, the reliable site and alignment control of nanoribbons with high on/off current ratios remains a challenge. We have developed a new, simple, scalable method based on novel plasma catalytic reaction [1-4] for directly fabricating narrow GNRs devices with a clear transport gap [5]. Since the establishment of our novel GNR fabrication method, direct conversion of a Ni nanobar to a suspended GNR is now possible. Indeed, GNRs can be grown at any desired position on an insulating substrate without any post-growth treatment, and the wafer-scale synthesis of suspended GNR arrays with a very high yield (over 98%) is realized [6]. The growth dynamics of suspended GNR is also investigated through the systematic experimental study combined with molecular dynamics simulation and theoretical calculations for phase diagram analysis. The improvement of thermal stability of Ni nanobar can be a key to realize the GNR nucleation in our method, which can be given by supplying higher density of carbon from plasma to liquid-phase Ni nanobar. The wettability of liquid-phase Ni nanobar against to the SiO2 substrate is also found to be an important factor forming the suspended structure of GNR. It is also revealed that the minimum length of GNR can be decided by the wavelength of Plateau-Rayleigh instability known as a traditional instability of fluid flow. Furthermore, unique optoelectrical property, known as persistent photoconductivity (PPC), is also observed in our suspended GNR devices. By using the PPC, GNR-based non-volatile memory operation is demonstrated. We believe that our results can contribute to pushing the study of atomically thin layered materials from basic science into a new stage related to the optoelectrical applications [7-9] in industrial scale.
References
[1] T. Kato and R. Hatakeyama, J. Am. Chem. Soc. 130 (2008) 8101.
[2] T. Kato and R. Hatakeyama, ACS Nano 4 (2010) 7395.
[3] T. Kato and R. Hatakeyama, ACS Nano 6 (2012) 8508.
[4] B. Xu, T. Kaneko, Y. Shibuta, T. Kato, Scientific Reports 7 (2017) 11149.
[5] T. Kato and R. Hatakeyama, Nature Nanotechnology 7 (2012) 651.
[6] H. Suzuki, T. Kaneko, Y. Shibuta, M. Ohno, Y. Maekawa, and T. Kato, Nature Communications 7 (2016) 11797.
[7] T. Kato and T. Kaneko, ACS Nano 8 (2014) 12777.
[8] T. Akama, W. Okita, R. Nagai, C. Li, T. Kaneko, T. Kato, Scientific Reports 7 (2017) 11967.
[9] T. Kato and T. Kaneko, ACS Nano 10 (2016) 9687.
9:00 AM - PM07.05.02
Optical-Erasable TiO2 Non-Volatile Memory Using Deep Trap Charges
Taeyoon Kim1,2,Jung Wook Lim1,2,Seong Hyun Lee1,Jeho Na1,Jiwoon Jeong1,Kwang Hoon Jung1,2,Gayoung Kim1,2,Sun Jin Yun1,2
Electronics and Telecommunications Research Institute1,University of Science and Technology2
Show AbstractRecently, oxide-based metal-oxide-semiconductor field-effect transistors (MOSFETs) have been actively studied for the applications of non-volatile memory devices in transparent and flexible electronic products. Oxide-MOSFETs have much lower off-state leakage current than Si-MOSFETs, allowing low-power memory operation, and further advantages such as good uniformity, low process temperature, and high transmittance in the visible wavelength range. Conventional oxide-based non-volatile memory generally uses positive and negative gate voltage (VG) pulses to achieve the program and erase states, respectively. However, the n-type nature of most oxide semiconductors makes it difficult to conduct sufficient amounts of holes in the channel oxides, leading to a high power consumption and long erase times; thus input power sources (e.g. light) in addition to voltage are required. In a memory device, the difference between the programming and erasing voltages is known as the “memory window”. In a non-volatile memory, the memory window should be set to secure a sufficient margin of read voltage (Vread) for stable device operation; wider memory windows can be achieved with more defect sites trapping more charges. However, shallow traps among the defect sites adversely affect device stability. Therefore, it is necessary to selectively exclude shallow trap sites to satisfy both a wide memory window and high device stability.
In this work, we propose TiO2 MOSFET-based non-volatile memory device, employing the deep trap interface (DI) sites as a simple floating gate without tunneling oxide. For the fabrication of TiO2 MOSFET, 80 nm-thick Al2O3 gate dielectric layerand 30 nm-thick TiO2 films were deposited by plasma enhanced atomic layer deposition on a n+ Si wafer which plays a role of back gate. In order to demonstrate performance of TiO2 MOSFET, we measured electrical characteristics of the fabricated devices in the dark and violet light (VL)-irradiated conditions at 25 °C. For VL irradiation, we used standard LEDs (center wavelength of 400 nm) as a light source with an incident power of 0.13 W/cm2. This device demonstrated a high on/off ratio of 107 and a sizable memory window due to deep traps at the interface between Al2O3 and TiO2. Interestingly, irradiation with 400 nm VL could completely restore the program state to the initial state (performing an erasing process) owing to the visible light-sensitive TiO2 channel. Device reproducibility was greatly enhanced by selectively passivating shallow traps using an in-situ H2-plasma treatment. The passivated memory device showed a highly reproducible memory window and on-state current even during a retention bake test at 85 °C. We expect that our simple-structured TiO2-based non-volatile memory device, which is completely erasable only by light irradiation and shows reproducible and stable operation, will usefully employed in a wide range of future electronic devices.
9:15 AM - PM07.05.03
Impact of CMOS TiN Metal Gate Process on Microstructure and Its Correlation with Electrical Properties
Pushpendra Kumar1,2,3,Florian Domengie1,Charles Leroux2,Patrice Gergaud2,Gerard Ghibaudo3
STMicroelectronics1,CEA-LETI2,Université Grenoble Alpes3
Show AbstractTiN metal gate has been introduced in advanced CMOS devices for its advantages such as eliminating Fermi level pinning and phonon scattering, but it also introduces the metal gate granularity due to multiple crystal orientations. This may impact device electrical and physical properties, such as effective workfunction WFeff, threshold voltage local variability σVTH, mechanical stress and sheet resistance. σVTH might be reduced by reducing the TiN grain size or by obtaining a unique crystal orientation and so we have focused on identifying TiN metal gate process conditions that can achieve this goal. Hence, the impact of RF Physical Vapor Deposition (RF-PVD) parameters, Ar pressure and RF power, on the preferred orientations and size of the TiN crystallites is investigated.
28nm CMOS FDSOI HKMG stack was deposited, consisting of 1 nm SiO2 interfacial layer, 1.8 nm HfSiON High-k layer and 5 nm TiN gate deposited by RF-PVD with combined DC and RF generators. TiN is formed by reactive sputtering of a pure Ti target in a nitrogen-containing ambient of Ar&N2. Ar pressure and RF power were varied over a wide range. The preferred orientations and grain size are determined by X-Ray Diffraction (XRD), in out of plane (θ-2θ) and in-plane configurations respectively. Sheet resistance is measured by 4 probe method and thickness by X-ray-reflectometry (XRR) to calculate TiN deposition rates. Mechanical stress was calculated by applying Stoney’s equation and Ti/N ratio is measured by X-ray fluorescence (XRF).
It is observed that as Ar pressure decreases and RF power increases, average grain size, % TiN <200>/TiN <111>, deposition rate and film mechanical stress increases. For 3 conditions a unique TiN grain orientation is obtained. As the RF power increase and pressure decrease, the number of Ar+ ions and number and energy of sputtered Ti atoms increase. Increased Ti atoms energy enhances crystal growth and favors the preferred orientation <200>, having the lowest energy. Increased Ar+ ions, due to channeling effect, enhances crystallization and will re-sputter TiN <111> crystals more than <200>. Increase in Ar+ and Ti number and energy will result in denser films due to peening effect ,thus increasing the stress. TiN sheet resistance follows an inverse power law as the grain size is decreased due to an increase in grain boundaries.
EOT and WFeff were extracted by fitting CV measurements with Poisson Schrödinger simulations for devices with varying EOT. Extrapolation of WFeff to EOT=0 gives intrinsic TiN workfunction (WFm) + dipoles at SiO2/high-k interface (δ). WFm change is expected to depend on the % of <200> (WFm=4.6eV) or <111> (WFm=4.4eV). The electrical extraction of WFm+ δ evidences an opposite trend. Our results strongly suggest that WFm expected shift is hidden by an opposite effect at the SiO2/high-k interface due to the sensitivity of its dipole to TiN process. The Ti/N ratio is playing a major role with a suppression of dipole δ for Ti rich gates.
9:30 AM - PM07.05.04
Physical Vapor Deposition, Wet Etch, Plasma Treatment and Material Exploration for Advanced Packaging Application
Prayudi Lianto1
Applied Materials1
Show AbstractAdvanced packaging requires ever-increasing I/O counts which calls for shrinkage in the Cu interconnect dimension while meeting device performance and reliability. In Applied Materials, we are enabling fine line/spacing (L/S) Cu redistribution line (RDL) by scaling the barrier thickness, controlling amount of wet etch critical dimension (CD) loss and undercut, developing plasma surface treatment to meet electrical performance specs, and exploring new material with better barrier property. We demonstrated end-pointed Ti etch process with 10nm Ti barrier thickness to reduce undercut from >300nm to <20nm and extend RDL scaling to sub-2um regime. Step coverage is not compromised with such thin barrier as demonstrated by successful plating on topography features. With end-pointed Cu etch process, we were also able to reduce Cu CD loss from >0.3um to <0.1um and reduce line resistance non-uniformity from >1mOhm to <0.5mOhm. We established the importance of plasma treatment to lower RDL electrical leakage from >1mA to <1nA. Low leakage is critical to minimize device power dissipation.
9:45 AM - PM07.05.05
Synthesis of Hybridised Silicon Nanospheres with Functionalisation by Modified ML-PECVD
Jonathon Mitchell
Show AbstractThe fabrication of hybridised silicon nanospheres by simplified plasma-enhanced chemical vapour deposition (PECVD) without the need for seed particle injection or microwave plasma has been improved upon by applying a modified multi-layer deposition technique (ML-PECVD). In this, we have incorporated our method for fabricating the hybridised nanospheres with the topical advantage presented by the ML-PECVD method for active functionlisation of the particles within the plasma itself. Additionally, we have improved the active control of nanospheres during plasma formation utilising our proprietary spectroscopic imaging system.
As the physiochemical properties of nanoparticles depend on their size and shape, isolation within a suitable matrix permits single-particle analysis and experimentation, overcoming averaging effects. Following suspension in ethyl acetate, analysis of the multisublattice ordering was observed by SEM, µRAMAN and plasmonic nanospectroscopy on both crystalline silicon (c-Si) and semiconductor polymer substrates. While c-Si acts as a suitable measurement surface, our interest is aimed at the inclusion of the hybridised nanospheres to flexible opto-electronic devices. To this extent, suitable pairing between the nanosphere and polymer functionlisation states are desired. Typically, this must be done ex-situ of the deposition process, however, our method utilised exchange bias effects with suitable semiconductor polymer substrates within the deposition chamber during deposition. This presents a promising methodology for the rapid fabrication of active opto-electronic materials while removing extensive chemical processing between fabrication layers.
PM07.06: Energy, Catalysis and Interfaces I
Session Chairs
Bruno Alessi
Bruce Koel
Mohan Sankaran
Tuesday PM, November 27, 2018
Hynes, Level 1, Room 107
10:30 AM - *PM07.06.01
Gas-Surface Reactivity of Vibrationally Excited Molecules—Energetics, Dynamics, and Their Significance in Interfacial Reactivity
Arthur Utz1
Tufts University1
Show AbstractVibrationally excited molecules exhibit enhanced reactivity in the gas phase and on surfaces. In high temperature or plasma processing environments where they are abundant, they are potentially important, or even dominant contributors to chemical reactivity. This talk will highlight state-resolved gas-surface scattering experiments that use infrared laser excitation of molecules in a supersonic molecular beam to quantify a molecule's reaction probability as a function of its incident kinetic, vibrational and rotational energy and quantum state. The experiments quantify how effectively vibrational energy promotes a molecule's dissociative chemisorption probability on the surface, and how that efficacy varies with the energy and the character (e.g. bend vs. stretch) of the molecule's vibrational motion. We find that the reactivity of individual molecules is strongly influenced by the dynamics of the molecule-surface collision. Vibrational mode-selective chemistry, in which reaction probability, S0, depends on the molecule's vibrational motion, and not just its energy, and bond selective reactivity, in which a localized vibrational excitation dictates which bond breaks, are the rule, rather than the exception in our studies of methane dissociation on Ni and Ir surfaces. These markedly non-statistical reactivity patterns result from the limited duration of the methane molecule-surface collision, and the resulting constraint on the time available for significant energy redistribution within the reaction complex. A consideration of the rates and pathways for vibrational energy flow within the reaction complex provides a qualitative picture for predicting the extent of non-statistical behavior that might be expected in more complex reactive systems.
The ability to control precisely and independently how energy is partitioned among translational, rotational, and vibrational motion of the gas-phase molecule and surface atom excitation allows us to explore chemical reactivity over a wide range of strongly non-thermal conditions. These measurements have proven to be invaluable benchmarks for testing and validating the absolute accuracy of DFT-based electronic structure calculations. Varying surface temperature for a fixed energetic configuration of the gas-phase reagent reveals a dramatic surface temperture enhancement that is most pronounced near the energy threshold for reaction. Coverage-dependent measurements of reactivity show how the surface-bound carbon products of methane's dissociation can initially enhance, and then inhibit further methane activation on Ni(111).
11:00 AM - PM07.06.02
Nonthermal Plasma Enabled Catalysis of Greenhouse Gas
Tomohiro Nozaki1,Zunrong Shen1,Seigo Kameshima1,Kenta Sakata1
Tokyo Institute of Technology1
Show AbstractNonthermal plasma-assisted reaction enhancement mechanism in porous Ni/Al2O3 catalysts (3-mm spherical pellets) in CH4/CO2 conversion was investigated. Dielectric Barrier Discharge (DBD) was employed for this purpose. In the plasma catalysis, carbon filaments were deposited only on the external surface of catalyst pellets, providing the clear evidence that the DBD and catalyst interaction is possible only in the external surface of the pellets: neither generation of DBD nor the diffusion of excited species in the internal micropores is possible. Meanwhile, coke distribution over the pellet cross-section revealed the coke formation in the internal micropores was diminished dramatically by DBD, although plasma and catalyst interaction is limited only in the external surface. We also found that the external surface of catalyst pellets was oxidized exclusively by DBD, forming NiO shell with thickness of ca. 20 micrometers: Ni catalyst was not oxidized in the thermal catalysis regime. Such unique reaction behavior is explained by the catalyst effectiveness factor. The reactivity of plasma generated species is so high that the surface reaction of those species completed at the external surface of pellets: Carbon deposition and oxidation cycle is accelerated by the plasma generated reactive species at the external surface of pellets, while those species do not diffuse towards internal micropores.
According to the molecular beam experiments, vibrationally excited CH4 is known to promote dissociative chemisorption over the metal surface. Although vibrationally excited species have yet to be identified experimentally in the high-pressure nonthermal plasma system, the numerical simulation of one-dimensional streamer type discharge plasma (typical in DBD) predicted that the vibrationally excited CH4 is the most abundant and long-lived species produced by low energy electron impact. Likewise, vibrationally excited CO2 and H2O are expected to promote catalytic surface reactions; nonthermal plasma is the viable and economical approach to generate copious amount of vibrational species at low temperature. Based on these ideas, nonthermal plasma enabled greenhouse gas conversion was diagnosed by temperature programmed reaction spectrometry as well as materials characterization by XRD and Raman spectroscopy. Moreover, carbon deposition and Ni catalyst oxidation behavior was correlated in the plasma- and thermal-catalysis regime, enabling clear insight into the reaction enhancement mechanism induced by nonthermal plasma. The reaction enhancement mechanism were further discussed in relation to vibrationally excited species.
11:15 AM - PM07.06.03
Microplasmas Synthesis of Nanoscale Zinc Oxide Tetrapods – Crystal Growth and Optical Properties
Dilli Babu Padmanaban1,Darragh Carolan1,Bruno Alessi1,Conor Rocks1,Paul Maguire1,Davide Mariotti1
Ulster University1
Show AbstractDue to their higher specific surface area, nanoscale structured materials possess excellent optoelectronic properties [De Mello Donegá C, 2014]. Here in our work, we demonstrate the synthesis of nanoscale zinc oxide tetrapods (ZnO-TPs) from zinc metal wire in ambient air using an atmospheric pressure microplasma technique. The ZnO-TPs were characterised for crystal structure (scanning electron microscopy, transmission electron microscopy and x-ray diffraction), chemical composition (x-ray photoelectron spectroscopy) and optical properties (ultraviolet-visible spectroscopy, photoluminescence). The ZnO-TPs consist of tetragonally placed arms each of about 65 nm length and 11 nm diameter; these particles are further classified as wurtzite phase with each arm particularly grown along the (0002) plane containing polar surfaces. The lattice parameters of the crystals were evaluated using Rietveld refinement procedure [Luca Lutterotti, 1999]. The analysis of optical properties shows that the ZnO-TPs exhibit sharp absorption near the ultraviolet region of the electromagnetic spectrum due to direct band electronic transition. Photoluminescence shows a strong ultraviolet emission due to band to band transition and with a weak visible-violet emission due to interface traps or interstitial Zn metals. Phase purity is confirmed by XPS and x-ray crystal diffraction measurements. Overall, the characterization shows that ZnO-TPs crystals are free from its oxygen vacancies. The formation mechanism of ZnO-TPs in the plasma is initially characterized by sputtering of metal atoms from the Zn solid wire precursor. The interaction of the plasma afterglow with the surrounding air contributes to reacting of the plasma product with air oxygen and fast quenching. While nitrogen does not contribute to the chemistry of the ZnO-TPs, there is evidence that it plays a crucial role in determining the quality of nanocrystals produced. We finally demonstrate the applicability of the plasma process in the fabrication of solar cells, where ZnO-TPs were used as the electron transport layer for devices with methyl ammonium lead iodide perovskite as the absorber.
Reference
(1) Donegá, C. de M. Nanoparticles: Workhorses of nanoscience; de Mello Donegá, C., Ed.; Springer Berlin Heidelberg: Berlin, Heidelberg, 2014.
(2) Luca Lutterott. The MAUD program http://www.ing.unitn.it/~luttero/maud/Installers/maudInstallers.html (accessed Feb 21, 2018).
11:30 AM - *PM07.06.04
Understanding of Plasma Catalysis form Surface Streamer Propagation
Hyun-Ha Kim1
AIST1
Show AbstractPlasma chemistry has been the subject of considerable studies due to the intrinsic characteristics brought by highly non-equilibrium state and high reactivity of chemical species. These characteristic properties include ultra-fast reaction time (~10-4 s), mild reaction condition (ambient pressure and temperature), and rapid start-up/ shutoff. Plasma-catalysis, which combines nonthermal plasma with various catalysts, is based on the bilateral interaction. The potential applications of plasma-catalysis include hazardous VOCs removal, NOx removal, ammonia synthesis, CO2 conversion, and fuel reforming. Many of synergistic effects have been reported by many researcher groups in the past 10 years. However, the degree of enhancements seems to be dependent on the type of combinations (i.e. reactor configuration, power supply, type of catalysts, and operating conditions). In this regard, fundamental studies are necessary to accelerate the broad use of plasma-catalysis in many industrial fields. Our recent study on the time-resolved ICCD imaging of surface streamer provided different nature of streamer depending on the polarity of applied voltage. Especially, a counter-propagating cathode-directed streamer initiated from ground electrode (anode) was appeared. This presentation will cover from the current understanding the interaction of plasma and catalyst to the possible applications in various chemical reactions.
Acknowledgements
The authors would like to acknowledge financial support from the JSPS KAKENHI Grant Number 18H01208.
[1] H.H. Kim, Y. Teramoto, T. Nanba, H. Takagi, A. Ogata, 2016 Plasma Chem. Plasma Processing, 36, 45-72
[2] H.H. Kim, Y. Teramoto, N. Negishi, A. Ogata, 2015Catalysis Today, 256. 13-22
[3] H.H. Kim, Y. Teramoto, T. Nanba, H. Takagi, A. Ogata, 2017 Plasma Processes and Polymers, Vol.14, pp.1600157
[4] W.S. Kang, H.H. Kim, Y. Teramoto, Y. Ogata, J. Y. Lee, D. W. Kim, M. Hur, Y.H. Song, Plasma Sources Science and Technology., Vol.27, 015018 (10 pp)
[5] HH Kim, Y Teramoto, A Ogata, WS Kang, M Hur, YH Song, J. Phys. D: Appl. Phys. 51 (24), 244006 (14 pp)
PM07.07: Energy, Catalysis and Interfaces II
Session Chairs
Bruno Alessi
Hyun-Ha Kim
Arthur Utz
Tuesday PM, November 27, 2018
Hynes, Level 1, Room 107
1:30 PM - *PM07.07.01
Plasma-Enhanced Chemical Conversions of CH4, CO2 and N2 in Hybrid Plasma-Catalysis DBD Reactors—The Role of Catalysts
Bruce Koel1,Xiaofang Yang1,Yiguang Ju1
Princeton University1
Show AbstractNon-equilibrium plasmas have been explored for chemical conversion of stable gases, e.g., CO2, CH4, and N2, and synthesis of carbon materials because of the high activity of electronically and vibrationally excited species produced during plasma discharge. However, these active species are highly energetic, favoring bond-breaking rather than bond forming reactions. For example, commonly in plasma alone conditions CO2 reforming of methane produces CO and H2 and ammonia (NH3) synthesis has low conversion. Introducing catalysts into the plasma may change the activity and selectivity, but also disturb the plasma discharge. Thus, a significant challenge in plasma-catalysis hybrid systems is to achieve the strongest synergistic interactions between the plasma and catalyst to increase the selectivity for desired reaction pathways, e.g., methane coupling. We report on two types of dielectric barrier discharge (DBD) reactors (with a coaxial tube and parallel plates) that have been used to screen catalytic effects of different metal surfaces and supported catalysts in plasma-catalysis hybrid systems. We observed strong synergistic effects between non-equilibrium plasma and catalysts for both methane coupling and NH3 synthesis reactions. First, in CO2 reforming of methane in the co-axial reactor, we found that the reaction activity and selectivity strongly depend on the catalyst and reaction conditions. Under thermal only conditions, PtFe/Al2O3 catalyzed mainly the formation of CO and H2, but with the plasma on, the selectivity shifted to methane coupling reactions. Interestingly, an Ag/Al2O3 catalyst with an AC discharge demonstrated 100% selectivity to CH4 coupling reactions at 350 oC. This strongly suggests that the reaction selectivity can be controlled by using appropriate catalysts and plasma discharge energy. Methane coupling using the plasma-catalysis reactor at low temperatures and pressures mainly produced higher hydrocarbons, suggesting a potential route for converting cheap and abundant methane gas into high value hydrocarbons and fuels. Secondly, we also compared the performance for ammonia synthesis of catalysts using active metals (Pd, Pt, and Fe) or less active metals (Au, Ag, and Cu) or their alloys. In the parallel plate hybrid reactor, we found that the metal-nitrogen (M-N) bond energy was not the only parameter governing the catalytic activity for NH3 synthesis in plasma. Better catalytic activity could be achieved by bimetallic catalysts that contained catalytic sites for both N2* dissociation and hydrogenation of M-N bonds, leading to our observations of a highly active PdFe catalyst for NH3 synthesis in plasma.
2:00 PM - PM07.07.02
Atmospheric Plasma Surface Functionalization of Aluminum Nanoparticles as Novel Energetic Materials
Chi-Chin Wu1,Kelsea Miller2,Shancita Islam2,Michelle Pantoya2
U.S. Army Research Laboratory1,Texas Tech University2
Show AbstractAluminum-based nanoparticle (nano-Al) additives have the potential to enhance the performance of explosives/propellants due to their high energy content and potentially rapid burning enabled by the exceptionally large specific surface area. When incorporated into explosive formulations, nano-Al can theoretically release two to three times more energy as conventional CHNO-based explosives. However, the parasitic alumina (Al2O3) shell typically coating Al particles both consumes a significant portion of the nano-Al, lowering its energy content, and acts as a diffusion barrier for the unreacted Al core, leading to reduced energy release. The higher surface energy of alumina also causes the metal nanoclusters to aggregate and develop into oxide-covered large agglomerates that further exacerbate their inactivity. This work describes our explorations of passivating and functionalizing the outermost shell of aluminum nanoparticles with different coatings, including carbon-based structures and energetic salts such as aluminum iodate hexahydrate (AIH). Our approach exploits custom-designed atmospheric non-thermal plasma dielectric barrier discharge (DBD) reactors to functionalize the fuel particle surface. Using aluminum nanoparticles of 80 nm average size as an example, the particle surface is successfully engineered and becomes carbon-rich when using carbon monoxide plasma gas. On the other hand, the particles are covered with a layer of AIH crystals after treatment with an inert gas plasma followed by immersion in the iodate acidic solution. Transmission electron microscopy images exhibit high crystallinity in the outer shell for plasma-functionalized particles for both carbon- and AIH-wrapped aluminum nanoparticles with low agglomeration. This work demonstrates the potential to alter the surface of metallic nanoparticles with tunable surface properties via tuning the conditions of atmospheric plasmas.
2:15 PM - PM07.07.03
Plasma-Enhanced Chemical Film Conversion (PECFC)—A Metal-Free, Low-Temperature Approach to Synthesizing Continuous, Large-Area Layered Material Films
Tianqi Liu1,Yongkun Sui1,Haithem Mustafa1,Ozan Akkus1,Christian Zorman1,Mohan Sankaran1
Case Western Reserve University1
Show AbstractThin films of crystalline materials are typically synthesized by thermally decomposing vapor precursors at the surface of a catalytic substrate.[1] A plasma enables the process temperature to be lowered by assisting in decomposition of the precursor molecule through gas-phase excitation as in the case of plasma-enhanced chemical vapor deposition (PECVD) [2] and plasma-enhanced atomic layer deposition (PEALD).[3] Here, we report another plasma-assisted approach to synthesizing thin films which we term plasma-enhanced chemical film conversion (PECFC).[4] Molecular precursors are first prepared as a thin film on a substrate by solution methods, and subsequently converted by a combination of heating and plasma treatment. In comparison to other thin film techniques, our approach circumvents the adsorption step to promote nucleation and reduce substrate interactions, allowing direct growth on metal-free substrates which eliminates the need for transfer. Additionally, the approach is additive, reducing materials wastage and producing materials at the point-of-need including patterned structures.
Two examples of thin film materials will be presented that demonstrate the capabilities of this synthesis approach: hexagonal boron nitride (h-BN) and molybdenum disulfide (MoS2), both of which are layered materials and can be produced atomically thin. To synthesize h-BN, a single-molecular precursor, ammonia borane, is initially prepared as a thin film by spray deposition, spin coating, or ink-jet printing, and subsequently converted in a cold-wall reactor with a planar, atmospheric-pressure dielectric barrier discharge. We performed a systematic study of h-BN growth with plasma-assisted heating and heating alone. Micro Raman spectroscopy shows that the minimum nucleation temperature is lowered from 800 to 500 oC by the addition of a plasma. From the full-width-half-maximum (FWHM) of the E2g Raman scattering peak characteristic of h-BN, we also find that the crystal domain size is larger with a plasma. From capacitor devices, the dielectric properties of the synthesized h-BN are found to be improved with a plasma from a relative permittivity of ~2.8 to the near-bulk value of ~3.7. To synthesize MoS2, a similar approach of converting its corresponding single-molecule precursor, ammonium tetrathiomolybdate with a plasma has been studied. In this case, a single-step conversion leads to a rough, nanostructured film. Adding a second thermal annealing produces a very smooth (RMS < 0.5 nm) and continuous film. We will discuss potential superior properties of these films over exfoliated and CVD-grown films, as well as preliminary studies of their applications as electrocatalysts and transistor elements.
[1] Shi, Y. et al., Nano Lett. 2010, 10, 4134-4139.
[2] Haider, A. et al., J. Am. Ceram. Soc. 2014, 97, 4052-4059.
[3] Park, H. et al., Sci. Rep. 2016, 7, 40091.
[4] Liu, T. et al., In review.
PM07.08: Nanostructured Materials
Session Chairs
Bruno Alessi
Toshiaki Kato
Davide Mariotti
Tuesday PM, November 27, 2018
Hynes, Level 1, Room 107
3:00 PM - *PM07.08.01
Microplasma Jet Spray Deposition of Nanostructured Materials for Energy Applications
Michael Gordon1
University of California, Santa Barbara1
Show AbstractThe ability to synthesize a wide range of nanostructured materials, as well as integrate them into larger systems, is fundamental to the development of next-generation micro- and optoelectronic devices, sensors, and energy harvesting and storage technologies. Toward this goal, we have developed a versatile, plasma spray-like deposition technique, based on flow-through hollow cathode discharges at 10-100 Torr (microplasma jets), that can deposit nanoparticles, dense layers, and structured thin films of crystalline materials on virtually any surface (e.g., conductors, insulators, polymers, fibers, and lithographic patterns). A supersonic microplasma jet is seeded with organometallic precursors under reducing or oxidizing conditions to create a directed flux of growth species (e.g., atoms, ions, clusters, and/or nanoparticles) that are subsequently 'spray-deposited' onto the surface of interest at room temperature. A diverse range of nanostructured materials, e.g., metals (Cu, Ni, Pd), oxides (CuO, ZnO, MnO2, RuO2, NiO, Fe2O3, CoxOy), doped oxides (FexNi1-xO), and spinels (NiFe2O4), with different morphologies (nanoparticles, dense columnar films, or hierarchical nanostructures, etc.) can be realized with the technique. Applications to be discussed include Fe-doped NiO and NiFe2O4 for electrocatalysis (OER); NiO and CoxOy for supercapacitors; and combining microplasma spray deposition with photolithography and lift-off to create MnO2 and RuO2-based microsupercapacitors for on-chip energy storage.
3:30 PM - PM07.08.02
One-Pot Synthesis of Boron Nitride Nanotube/Metal-Ceramic Hybrids by Thermal Plasma
Keun Su Kim1,2,Homin Shin1,Martin Couillard1,Mark Plunkett1,Dean Ruth1,Christopher Kingston1,Benoit Simard1
National Research Council Canada1,University of Toronto2
Show AbstractBoron nitride nanotubes (BNNTs) are nano-sized rolled-up cylinders of single- or few-layered hexagonal BN sheets. Thanks to their ultra-strong impact resistance and lightweight, BNNT-metal or ceramic nanocomposites are highly promising in the development of advanced hard armours such as anti-ballistic body armours or armoured vehicles1; however, developments of such composites have been significantly hampered by the low reactivity of pristine BNNTs towards metal or ceramic matrices, as well as by the complexity and inefficiency of BNNT functionalization via multistep post-processing. To address this issue, we have developed a new plasma process in which growth of BNNTs and their subsequent surface modification (e.g., doping or decoration) with metal or ceramic nanoparticles occur in a same reactor. The density functional theory (DFT) study was performed first to gain insights into stable structures of BNNT/metal-ceramic hybrids and their reaction stoichiometry. Based on the theoretical predictions, synthesis experiments were conducted with different additive particles such as AlN, TiN, and Cu in order to provide different reactivity to BNNTs. The morphological properties and chemical compositions of the products were assessed by various characterization techniques including SEM, TEM, TGA, FT-IR, UV-Vis, and XRD. The results of optical emission spectroscopy (OES) and 2D thermo-fluid modelling studies will be also presented to discuss the growth mechanism of those hybrid materials in this plasma process.
1. K. S. Kim, M. J. Kim, C. Park, C. C. Fay, S.-H. Chu, C. T. Kingston, B. Simard, Semicond. Sci. Technol., 32, 013003 (2017).
4:00 PM - *PM07.08.04
Towards Selective Synthesis of Boron Nitride Nanotubes by Arc Discharge—Experiments and Simulations
Y Raitses1,Shurik Yatom1,Rachel Selinksy2,Yao-Wen Yeh2,Predrag Krstic3,Biswajit Santra2,Longtao Han3,Alexander Khrabry1,Igor Kaganovich1,Bruce Koel2,Roberto Car2
Princeton Plasma Physics Laboratory1,Princeton University2,Stony Brook University, The State University of New York3
Show AbstractThis work reviews the results of recent studies on the synthesis of boron nitride nanotubes (BNNTs) in an anodic arc discharge at near atmospheric pressure of nitrogen and nitrogen-rich gas mixtures. These results shed light on a number of fundamental aspects of BNNT synthesis by thermal and near-thermal plasmas generated by arcs [1] and plasma torches [2], including the role of metal catalysis [1] and the importance of high temperature arc environments (> 2000 K) [3], synthesis selectivity [2,5], and growth mechanisms [5,6]. In our experiments, the boron feedstock was provided through arc ablation of either a boron-rich anode electrode or a pure boron target immersed into the arc plasma [5,7]. The arc was characterized using optical emission spectroscopy, high speed imaging, and electrical measurements [7,8]. The synthesized products collected from the arc chamber were evaluated ex-situ using standard material characterization techniques. The results of experiments demonstrate that the arc plasma composition includes boron and nitrogen species. Transition metals such as W, Ni, Co were also observed in the emission spectrum whenever they were included in the electrode material compositions. Ex-situ evaluation showed that BNNTs synthesized with and without Ni and Co have generally similar quality and are primarily single and double-walled independent of the presence or absence of these metals in the arc and nanotubes. Moreover, we did not observe W in the synthesized BNNTs collected from the arc reactor post-run even when it was present in the arc emission spectrum during the arc run. These results suggest that in contrast to what has previously been hypothesized, namely that metal catalysts are needed for the growth of BNNTs in the arc [1] we obtained no evidence of this need. Instead, we propose that similar to plasma torch synthesis [2], arc synthesis can sustain metal-free growth of BNNTs. Experiments have also confirmed recent predictions of molecular dynamics simulations that the addition of hydrogen to the arc in a pure nitrogen atmosphere can be an effective way to control the synthesis selectivity of boron nitride nanomaterials [4]. We also observed BNNTs attached to boron nanoparticles supporting a root-growth mechanism for their formation consistent with recent ab initio molecular dynamics simulations [5]. However, we have not observed boron particles for the majority of collected nanotubes leaving open the possibility of alternative growth mechanisms [3,6].
References
[1] Cumings, J.; Zettl, A. 2000 Chem. Phys. Lett. 316, 211–216.
[2] Kim, K. S. et al. 2018 ACS Nano 12, 884
[3 Han, L.; Krstic, P. 2017Nanotechnology 28, 07LT01.
[4] Krstic, P.; Han, L. 2018 J. Phys. Chem. C 122, 936–944.
[5] Santra, B., et al. submitted to Nanoscale; also 2018 arXiv:1803.11374
[6] P. S. Krstic, et al. 2018 Chem. Sci. 9, 3803.
[7] Yeh, Y- W., et al. 2017 Sci. Rep.-UK 7: 3075.
[8] Gershman, S., Raitses Y. 2016 J. Phys. D. Appl. Phys. 49, 345201
4:30 PM - PM07.08.05
The Combination of Atmospheric-Pressure Plasma and Digital Finishing for the Creation of Novel Hydrophilic and Hydrophobic Patterning
Carrie Cornelius1
APJet, Inc.1
Show AbstractCold plasma consists of electrons, ions, excited atoms and molecules, neutral gas species, and UV radiation that occur at low temperatures and can be used to initiate chemical reactions. Often plasma treatment is carried out in high vacuum, low-pressure devices. Vacuum plasma treatment allows plasma particles to achieve large mean free paths and results in very energetic ions and electrons capable of rapid and extreme surface modification and highly successful chemical grafting. However, low-pressure devices are most suitable for batch processing of substrates. They require long pump down times and have a limited volume per batch. Therefore, the integration of these devices into a continuous industrial manufacturing process is not readily achievable. Atmospheric-pressure plasma, as used in this research, allows for the continuous treatment and curing of substrates and transforms an otherwise costly and small-batch technology into a commercially viable approach for high-volume processing.
Despite the lower mean free paths of atmospheric plasma systems, proper selection of processing parameters and working gas can be used to successfully induce chemical reactions. These chemical reactions include the polymerization of monomers and their attachment to material substrates. The use of cold atmospheric-pressure plasma to induce chemical reactions eliminates the need for heat, allowing for heat-sensitive materials to be processed and coated with desired functional finishes. These high-performance coatings can add attributes to the finished goods such as high levels of water and oil repellency.
In this work, advances in the curing capabilities of cold atmospheric-pressure plasma technology are combined with inkjet printing of plasma-polymerizable chemicals to pattern hydrophobic chemistry and hydrophilic chemistry onto substrates. These multi-functional patterns impart unique materials properties and aesthetics, including the ability to readily create channels that can direct and move fluids. The polymerization of the chemical coating is examined as well as the ability of the printed repellent coating to transport various types of fluids. The influence of the structure and surface energy of the starting substrate is also identified as a key variable in determining effectiveness of the transport properties.
PM07.09: Poster Session: Plasma-Based Synthesis, Processing and Characterization of Novel Materials for Advanced Applications
Session Chairs
Tomohiro Nozaki
Mohan Sankaran
Wednesday AM, November 28, 2018
Hynes, Level 1, Hall B
8:00 PM - PM07.09.01
Surface Treatment Against Process Oxidation of Ultra-Thin Silver Films
Soroush Hafezian1,Rodrigue Béaini1,Ludvik Martinu1,Stéphane Kéna-Cohen1
École Polytechnique de Montréal1
Show AbstractMetallic transparent conductors play an important role in modern optoelectronic devices and as heat-reflectors in energy saving applications. Their high conductivity and high transparency for thicknesses below a few nanometers are crucial for device functionality. In particular, silver thin films demonstrate very high sheet conductivity shortly after percolating as well as a neutral transmitted color. Moreover, silver can easily be scaled to coat large surfaces with the help of physical vapour deposition techniques such as magnetron sputtering, providing nanoscale uniformity over a few square meters. Silver, however, is extremely sensitive to reactive oxygen and requires special precautions in reactive plasma-based processes. One strategy for protecting silver thin films is to have a thinner (~3 nm) metallic film (here Ti) as protective layer. As the silver/barrier double-layer is exposed to the O2 plasma, reactive species diffuse through the Ti barrier layer which gradually transforms into a film of TiOx. This metal-oxide layer eventually self-passivates and blocks oxygen diffusion. However, in the presence of oxygen and ultraviolet radiation, if the barrier thickness is not adequate or if the oxidation process is too severe, reactive species can diffuse through the barrier and lead to film failure.
In this work, we characterize the degradation mechanism of silver at the interface with the barrier and present a solution to reduce and prevent the process oxidation of silver in a reactive environment. We first compare the growth of silver films deposited by magnetron sputtering on glass, ZnO and (3-Mercaptopropyl)trimethoxysilane (MPTMS) treated glass. The bare glass and ZnO film represent a case of physisorption with low and high surface energy, respectively. Higher surface energies tend to reduce the mobility of sputtered silver atoms and lead to early coalescence. The MPTMS-treated glass, thanks to the thiol bond formation, represents a case of chemisorption process where the incoming silver atoms are attached to the sulfur available on the surface. Samples are covered with a 2-nm barrier titanium film and exposed to reactive O2 plasma. XPS and TOF-SIMS analysis on the samples reveal that the degradation process starts with the migration of silver through the top titanium barrier. We quantify the degradation by comparing the atomic content of silver present at the very top of the degraded samples. We find that in the case of the ZnO and glass substrates there is a much higher amount of silver migrating through the top barrier to the surface compared to the MPTMS-treated glass. We attribute this difference of migration to the sulfur-silver bonds provided by the MPTMS monolayer.
8:00 PM - PM07.09.02
Universal High-Resolution Surface-Structuring Technique for Fabricating Complex 3D Nanopatterns by Plasma Process and Its Application in Display Devices
Hwan-Jin Jeon1
Korea Polytechnic University1
Show AbstractHigh-resolution, high-aspect-ratio nanostructure patterning technology has the potential to be of significant value in various fields such as nanoelectronics, optics, biosensors, energy devices, and display devices owing to the optical and electric peculiarities of nanostructured materials. Lots of approaches, which include nanoimprint,photo-, interference- soft-,edge-,colloidal lithography and electron-beam assisted deposition, have been studied to achieve 3D 10 nm-scale features.
Recently, we introduced a new surface nanostructuring technique termed “secondary sputtering lithography (SSL)”, which enables the fabrication of 10 nm-scale ultrahigh-resolution 3D patterned structures of substrate surface with high aspect ratios over large areas by utilizing secondary sputtering phenomena during plasma ion etching process. This new technique utilizes the secondary sputtering phenomenon, wherein target material particles are emitted by accelerated Ar+ ions over a large angular distribution, leading to the fabrication of 10nm scale high-resolution patterns without complicated equipment. We have successfully created various nanostructures on a 10 nm-scale, including ultrathin lines, hole-cylinders, and honeycomb structure. We have also applied ∼10nm-scale line patterns with a high aspect ratio to align liquid crystal molecules. High-resolution patterning (∼20 nm) of indium-tin-oxide (ITO) surface layers with a high aspect ratio (∼10) was successfully carried out without damage to the electrical/optical properties of the ITO, and the patterned ITO could function as a bifunctional conductive alignment layer for liquid crystal devices without a conventional polymer alignment layer fabrication process.
8:00 PM - PM07.09.03
VHF Plasma CVD Synthesis of Photochromic ZnO Nanocrystal
Hiroshi Kajiyama1,Shin Kuboyama2,Hiroki Uyama1,Atsushi Otomo3,Shuhei Inoue3,Yukihiko Matsumura3,Keiji Takata4,Kiichiro Uchino2
Tokushima Bunri University1,Kyushu University2,Hiroshima University3,Kansai University4
Show AbstractZinc oxide (ZnO) is a promising material for ultraviolet optical devices, especially in light emitting diodes and lasers. In this study, it is shown that ZnO nanocrystal synthesized by a VHF plasma CVD process is a photochromic material. The structural and electronic properties of PC states are investigated.
We developed a VHF (80MHz) plasma CVD apparatus, which could hold stable plasma with a high electron density and low electron energy at the pressures up to 100 Pa. Because of this, the growth of nanocrystals in a vapor phase is greatly promoted. For the ZnO synthesis, a vapor of Zn(C2H5)2 was introduced to a VHF plasma of a gas mixture of argon (Ar) and oxygen (O2). The ZnO film was formed on an unheated substrate. The film was exposed to UV lights and annealed at various temperatures. An x-ray absorption fine structure (XAFS) measurement was done to analyze the changes of local structures.
The as grown films were transparent. An AFM observation showed that the films consisted of ball-like nanocrystal with a diameter of 60-120 nm. The gas pressure during the plasma synthesis was a few hundred Pa. A substrates was not heated. Therefore, it is plausible to consider that crystal growth completed in a vapor phase.
The transparent film (as grown) changed to dark color by UV (254 nm) irradiation in an ambient air at 300 K. This is the PC transition of ZnO nanocrystal. The PC states were quite stable at the temperatures up to 500 K. At 550 K, however, the color gradually changed back to transparent like as grown films. The transparent film once experienced PC transition again changed to PC states by UV irradiation. However, the PC films annealed at 600 K never changed to PC states. It is noteworthy that the temperature range existed for the PC stability. This indicates that ZnO nanocrystal were in a non-equilibrium phase in term of crystallinity.
The XAFS analysis revealed that a Zn-Zn bond length of PC sample was longer by 0.015 nm compared to as grown sample. At the same time, the absorption edge energy shifted to higher by 5 eV, which indicate that a Zn valence changed to 2+δ from 2. This is an evidence that electron were accumulated by UV irradiation.
In summary, ZnO nanocrystal was synthesized by using a VHF plasma CVD apparatus. It was confirmed that the PC transition of ZnO nanocrystal accompanied the changes in terms of local structures and electronic states. Electron storage is the most interesting property. We are aiming to control those electrons towards a potential application such as secondary battery.
This work was supported by JSPS KAKENHI Grant Numbers JP15K04603 and JP26390098.
A part of this work was performed under the Cooperative Research Program of "Network Joint Research Center for Materials and Devices. X-ray measurements were done at Kyushu Synchrotron Light Research Center.
8:00 PM - PM07.09.04
A Novel Process to Fabricate Functional Oxide Thin Film—Hybrid Method of Atmospheric-Pressure Plasma and Chemical Solution Deposition Processes
Yoshiki Shimizu1,Yukiya Hakuta1
National Institute of Advanced Industrial Science and Technology1
Show AbstractOxide thin films have created considerable interest because of the potential applications to such as electronic and optical devices. Up to now, various deposition methods have been developed. In the past decade and so, simple and safe method is demanded from the viewpoint of environmental harmony, cost-effectiveness and so on. In plasma processing, atmospheric-pressure plasma (APP) is expected to meet the demands, and the application to chemical vapor deposition (CVD) performed in ambient air have been intensively studied.
This paper presents a novel method for oxide thin film fabrication involving APP process. We are investigating the hybrid method of APP and chemical solution deposition (CSD) processes. In conventional CSD process, chemical solution (CS), which is a raw material of the film, is coated on a substrate, and then the resultant wet film is dried and converted to well-crystallized oxide thin film by heat treatment using an electric furnace or UV light sources. In our investigation, it is novel to employ APP irradiation in the dry and crystallization of the wet film. The APP irradiation is expected to lead speeding up and lowering temperature of the processing in comparison with the conventional heat treatment, owing to high reactivity of plasma.
In this presentation, we report the fabrication of zinc-oxide (ZnO) thin film, and discuss the effect of the APP irradiation based on results about the following items: a) crystallinity, b) Zn/O stoichiometric ratio, c) surface morphology, d) optical property., e) electric resistnace. Then we clarify the advantage of the APP irradiation in comparison with conventional heat treatment.
8:00 PM - PM07.09.05
Plasma Enhanced Processing of Ge Films on Silicon as a Virtual Substrate for Lattice Matched GaAs Growth
Ammar Nayfeh2,Ghada Dushaq1,Mahmoud Rasras1
New York University, Abu Dhabi1,Khalifa University of Science, Technology and Research2
Show AbstractDirect growth of germanium (Ge) on silicon (Si) substrate is a key approach for the development of future high-speed photonics and electronic devices. Germanium is an ideal template for growing GaAs due to the lattice match. This will enable the fabrication of tandem solar cell and GaAs based photodetectors on low cost Si platform. While the lattice mismatch between GaAs material and Ge is significantly low compared to GaAs directly on Si, the considerable lattice mismatch of ~4.2% between Si and Ge must be considered to grow high quality Ge layers on Si and enable its use for high quality GaAs growth. Several research groups have reported high quality Ge layers on Si, however, temperatures as high as 650 C were used in performing the epitaxial deposition, also post annealing process is required. Radio Frequency Plasma Enhanced Chemical Vapor Deposition (RF-PECVD) has an advantage of depositing Ge at 400 C .The plasma power in RF-PECVD system acts as another energy source that stimulates energetic ions and free radicals to be deposited on the surface of the wafer at lower temperature.
In this work, we present a direct growth mechanism of thin Ge-on-Si films at low temperature using RF-PECVD. These films will be used as a template to initiate GaAs growth for heterojunction solar cells. A two-step temperature technique and different GeH4 flow rates have been employed during the deposition process as the following: step one (low temperature (LT), high rate (HR)) the deposition was performed at 350 C with 3 sccm flow of GeH4. In step two (high temperature (HT), low rate (LR)) of the Ge deposition the growth is carried out at 500 C with 1 sccm of GeH4. The results show that this optimized growth limits the defect nucleation or dislocation misfit to 50 nm at the Si/Ge interface. Moreover, the measured threading dislocation density (TDD) of 700 nm thick Ge films is ~1x106 cm-2 which paves the way to achieve high quality growth of GaAs for solar cells. After this, the RF-PECVD grown Ge film on Si is used as a virtual substrate to initiate GaAs growth.
The epitaxial growth of GaAs is performed in an Aixtron close-coupled showerhead metal-organic chemical vapor deposition (MOCVD) reactor. In order to mitigate the problem of polar/nonpolar low-mismatch GaAs–Ge interface, Ge surface baking at 400 C and 700 C for 5min and 10min, respectively has been carried out. The growth of GaAs is based on two-step method. The structural properties of the GaAs layer are studied using HRSEM with EDX and cross sectional TEM. High- Resolution TEM images show high-quality Ge/ GaAs interface where no anti domain interfaces are seen. This might be attributed to the embedded Ge nanocrystals that results from RF-PECVD growth. In the context of these findings the produced “virtual” Ge substrates for GaAs growth can provide a robust method for scaleable integration of high performance III-V photovoltaics devices with large area Si wafers.
8:00 PM - PM07.09.06
Microplasma-Assisted Synthesis of Core-Shell Au@Ag Bimetallic Nanoparticles for SERS Applications
Guan-Jun Chen1,Wei-Hung Chiang1
National Taiwan University of Science and Technology1
Show AbstractSurface enhanced Raman scattering (SERS) is a promising technology for various applications including plasmonic devices, photo energy generation and conversion, biomedical detection and chemical sensing. Gold-Silver core-shell bimetallic nanoparticles are extensively used in SERS, due to the unique localized surface plasmon resonance (LSPR) property [1]. Au@Ag allow tuning the physical and chemical properties through the composition and radius ratio of the core and the shell can produce strong excitation and scattering spectra than pure Ag and Au nanoparticles. Therefore, Au@Ag have attracted great attention in material science, surface science, and biological analysis by SERS. To synthesize this attracted material, seed-mediated growth is the most widely used method. However, this conventional approach is usually time-consuming and laborious.
Here we present a facile synthesis of Au@Ag bimetallic nanoparticles using a novel atmospheric-pressure microplasma-assisted electrochemistry. Microplasmas are defined as gaseous discharges formed in electrode geometries where at least one dimension is less than 1mm. Due to surface volume change, microplasmas can be operated stably with an aqueous solution as an electrode at atmospheric pressure. Energetic species formed in the microplasma is capable of initiating electrochemical reactions and nucleating particles in solution without chemical reducing agents [2]. In our experiments result, we found Au@Ag bimetallic NPs can be a synthesis in a minute time scale. The as-produced samples were extensively characterized by TEM, XRD, Raman, XPS and UV-Vis spectroscopy. We further systematic studied the Raman response of variety the molar ratio of the Au-Ag bimetallic nanoparticle using Rhodamine 6G (R6G) as the Raman probe molecules. The result indicates that the special ratio of Au@Ag bimetallic nanostructure gives significant enhancement of SERS signal than pure Au, Ag nanoparticle in similar size and verify ratio of Au/Ag will induce different SERS performance.
References
[1] Li, Jian-Feng, et al. "Core-Shell Nanoparticle-Enhanced Raman Spectroscopy." Chemical Reviews 117.7 (2017): 5002-5069.
[2] Mariotti, Davide, and R. Mohan Sankaran. "Microplasmas for nanomaterials synthesis." Journal of Physics D: Applied Physics 43.32 (2010): 323001.
8:00 PM - PM07.09.07
Effects of Nitrogen Impurity on Sputtering Growth of ZnO Films on Si(111) Substrates
Soichiro Muraoka1,Jiahao Lyu1,Daisuke Yamashita1,Kunihiro Kamataki1,Kazunori Koga1,Masaharu Shiratani1,Naho Itagaki1
Kyushu University1
Show AbstractZnO is a multi-functional material with a distinctive property set and a wide range of existing and emerging applications. We have recently developed a fabrication method of high-quality ZnO films employing magnetron sputtering, “nitrogen mediated crystallization (NMC)”, where crystal nucleation and the growth are controlled via absorption-desorption behavior of nitrogen “impurities” [1-4]. By utilizing the films grown via NMC as buffer layers, single crystalline ZnO films with atomically flat surface have been successfully fabricated on 18%-lattice mismatched sapphire substrates. Here, we employ 15%-lattice mismatched Si(111) substrates, which offer significant advantages in terms of cost, wafer size, and electrical and thermal conductivity, for NMC growth of ZnO and see what happens if nitrogen impurity is added into the growth atmosphere.
First, 10-nm-thick NMC buffer layers were deposited on Si(111) substrates by RF magnetron sputtering in Ar/N2 atmosphere. The substrate temperature was 750°C, and the total gas pressure was 0.35 Pa. Next, 1-μm-thick ZnO films were fabricated on NMC buffer layers by RF magnetron sputtering in Ar/O2 atmosphere. The substrate temperature was 700°C, and the total gas pressure was 0.70 Pa.
We observed NMC method leads to significant change in the grain size of buffer layers. The average grain size derived from AFM images jumps from 9.2 to 24 nm by adding N2 gas into sputtering atmosphere. The analysis on height-height correlation function (HHCF) indicates that N2 addition causes an increase in the lateral correlation length ξ, a measure of migration length of adatoms, from 15 to 29 nm. Such enhanced migration allows adatoms to reach the thermodynamically favored lattice positions, and thus leads to the large grain size as well as to high crystal quality of the films deposited on them. In fact, we observed high quality of ZnO film on NMC-ZnO buffer layer, where the full width at half maximum (FWHM) of (002) rocking curve is 0.67°, one-fourth of that for the films fabricated without buffer layers. The crystal quality has been further improved by introducing 1-nm-thick AlN layers prior to NMC-buffer layer deposition. The FWHM of ZnO film on AlN/NMC-ZnO double-layer is significantly small of 0.50°, attributed to AlN layer protecting Si surface against oxidation and thus promoting epitaxial growth of ZnO on the surface. We believe that the high-quality ZnO films obtained in this study will open up a new avenue for ZnO-based devices on Si substrates that would have a great impact on Si integrated circuit technology.
This work was supported by JSPS KAKENHI Grant Number 18H01206JP, Samco Science and Technology Foundation, and NTT collaborative research.
[1] N. Itagaki, et al., Opt. Engineerings 53 (2014) 087109.
[2] T. Ide, et al., Mat. Res. Soc. Symp. Proc. 1714 (2015) aa09.
[3] N. Itagaki, et al., Appl. Phys. Express 4 (2011) 011101.
[4] K. Iwasaki, et al., MRS Adv. 2 (2016) 265.
8:00 PM - PM07.09.08
Electrical Property Change of Transparent Doped ZnO by Zn Addition and Desorption
Yasuji Yamada1,Shuhei Funaki1,Orkut Sancakoglu1,2,Rei Sugiura1
Shimane University1,Dokuz Eylul University2
Show AbstractDoped ZnO films show low electrical resistivity comparable to indium-tin oxide films and is suitable for transparent electrodes. To obtain a high performance in opto-electrical properties, many deposition studies have been conducted. However, optimum conditions have not been revealed because electrical properties vary with deposition method, equipment and even position in a deposited film. This is because crystalline defects that deteriorate electrical properties are not revealed and controlled completely. In a planner magnetron sputtering method, suppression of electrical conductivity happens at the deposition position facing the erosion area on the target. This suppression is caused by the positional distribution of crystalline defects induced by the incidence of high-energy particles coming from the erosion area.
To reveal the critical defect types to affect electrical properties of doped ZnO film, we have studied the property change of the films with different structures that provide different state and amount of Zn vacancy in the crystal after high temperature annealing. Three types of film structures of Ga-doped ZnO (GZO) were prepared by RF magnetron sputtering method at room temperature. One of the types is a simple monolayer of GZO on a glass substrate. Second one is SiO2/GZO layered structure. Third one is a zinc inserted GZO film, SiO2/GZO/Zn/GZO. For the GZO layer deposition, the substrates were placed on a substrate holder parallel to the target. Typical GZO thickness was 200 nm. SiO2 capping layers were deposited also by RF magnetron sputtering with the thickness of 70 nm. Zn metal layers ware deposited 6 nm in thickness between two 100 nm-thick GZO layers.
GZO monolayer films showed resistivity about 1X10-3 Ωcm after deposition. As the films annealed at 500°C for 30 min in vacuum, the resistivity decreased due to the improvement of crystalline perfection. However, annealing for longer times and at higher temperatures caused apparent increase of resistivity and decrease of carrier density. On the other hand, SiO2 capped GZO films showed no significant change in carrier density after long and high temperature annealing. These behaviors indicate that Zn desorption from GZO lattice creates defects that reduce carrier density.
Zn inserted GZO films showed slightly decreased resistivity after the deposition and as they were annealed resistivity was further reduced to 3X10-4 Ωcm. In addition, Zn inserted films exhibited no difference in resistivity between films deposited at center and erosion positions. Increase in carrier density and homogenization in resistivity by the enrichment of Zn atoms in GZO lattice can be explained by Zn vacancy. Inhomogeneous distribution of Zn vacancies existed in the as-deposited films trap electron carriers and decrease of Zn vacancies improves electrical properties. The results obtained in this study contain revealing information on crystalline defects that control properties of doped ZnO films.
8:00 PM - PM07.09.09
Catalyst-Free Growth of C-Axis Aligned (ZnO)0.79(InN)0.21 Nanorods by RF Magnetron Sputtering
Nanoka Miyahara1,Daisuke Yamashita1,Daisuke Nakamura1,Kazunori Koga1,Masaharu Shiratani1,Naho Itagaki1
Kyushu University1
Show AbstractWe present catalyst-free growth of (ZnO)0.79(InN)0.21 nanorods on c-plane sapphire substrate by RF magnetron sputtering. (ZnO)x(InN)1-x (called ZION hereafter) is a new semiconducting material, a pseudo-binary alloy of wurtzite ZnO and wurtzite InN [1-3]. This ZION has a tunable band gap over the entire visible spectrum and a high optical absorption coefficient of 105 cm-1, making ZION a promising material for solar cells and light emitting devices. Here, we report for the first time c-axis aligned ZION nanorods where the one-dimensional structures should bring about superior electronic/photonic properties.
ZION films were deposited at 450°C by RF magnetron sputtering on c-plane sapphire substrates. O2, N2 and Ar gases were used and the total pressure was 0.5 Pa. The gas flow rate of O2, N2 and Ar were 1.8, 24.0, and 30.2 sccm, respectively. ZnO and In targets with a purity of 99.99% were used. Here, no intentional catalyst was employed. ZION films grow epitaxially on the sapphire substrates, confirmed by X-ray diffraction (XRD). The film thickness was 316 nm. The chemical composition ratio of ZION films was (ZnO)0.79(InN)0.21. The crystal structure of ZION films was examined by XRD. The surface morphology was evaluated by atomic force microscopy (AFM). Photoluminescence (PL) measurements were performed with a cw He-Cd laser (325 nm, 6 mW).
AFM analysis revealed that after growth of 100 nm-thick ZION film, many nanorods initiate from the surface without any intentional addition of catalyst, resulting in 100–200-nm-diameter nanorods. The symmetric XRD pattern shows a diffraction peak at 33.2°, assigned to the ZION (002) plane, and no other peaks were observed in the angular range between 20.0° and 60.0°. Thus, the ZION nanorods fabricated on the c-plane sapphire are oriented along the c-axis. The ZION nanorods show blue and red photoluminescence at room temperature. The blue emission is attributed to the band-edge emission through the recombination of free excitons and donor-bond excitons. Since crystal defects such as grain boundaries, dislocations, and zinc-vacancy-related complex are the origins of non-radiative recombination centers, we consider that the high crystal quality of ZION nanorods brings about the blue emission. On the other hand, the red emission, the intensity of which depends on the excited position of the film, is related to deep level emission through oxygen interstitial, suggesting inhomogeneous distribution of oxygen-related defects. Further discussion on the origin of PL as well as the growth mechanism of ZION nanorods will be held at the meeting.
This work was supported by JSPS KAKENHI Grant Number 18H01206, Samco Science and Technology Foundation, and NTT collaborative research.
[1] N. Itagaki, et al., “Metal oxynitride semiconductor containing zinc”, U.S. Patent No. 8274078 (2008).
[2] N. Itagaki, et. al., Mater. Res. Express 1, 036405 (2014).
[3] K. Matsushima, et. al., Thin Solid Films 587, 106 (2015).
8:00 PM - PM07.09.10
Investigation on Photovoltaic Properties of Nb-Doped MoS2 Thin Films Grown by Magnetron Sputtering
Mohammad Hossain3,Seyed Shahahmadi1,2,Siti Othman1,Puvaneswaran Chelvanathan2,Halina Misran1,Md. Akhtaruzzaman2,Nowshad Amin1,2
Universiti Tenaga Nasional1,Universiti Kebangsaan Malaysia2,The Hong Kong Polytechnic University3
Show AbstractIn this study, the effect of niobium (Nb) on properties of molybdenum disulfide (MoS2) thin film absorber layer is investigated. So far, MoS2 (0.6-20 nm) mono-layers have got the most interest for nanoscale electronic devices. However, properties of MoS2 thin films (> 50 nm) have not been explored in details for photovoltaic (PV) applications. Hence, various films (up to 150 nm) such as un-doped MoS2, MoS2:Nb (0.05%), and MoS2:Nb (0.5%) are deposited by radio frequency magnetron sputtering to investigate the usage as PV materials. These films are also subjected to dynamic sulfurization process at 550°C for 90 min to improve the crystallinity and optoelectronic properties. Results from field emission scanning electron microscope (FESEM) show similar surface morphology in all samples and the tendency for vertical lamellae growth that represents type-1 layered structure. Moreover, it is found that the thickness of the as-deposited samples increased about 50 nm after sulfurization probably due to the volumetric expansion. Structural properties are characterized by grazing incidence X-ray diffraction and it is found that the films exhibit dominant diffraction peak corresponding to (101) plane at 2θ = 33.7 degree, which also points to the lamellae structure as observed earlier in FESEM images. Transmittance and absorbance are obtained by UV-VIS-IR spectrometer in the range of 300–2500 nm. It is found that sulfurization leads to the emergence of a peak at 1062 nm in the transmittance spectra, besides the increase of average transmittance (300-1300 nm) from 30% to 44% for as-deposited MoS2. The peak position shifts to higher energies (up to 794 nm) as the Nb increases, which is correlated to the changes in band structure. Carrier concentration, mobility, and resistivity are obtained from Hall measurement and n-type semiconducting properties are detected in all samples. Hole concentration drops from 1020 cm-3 to 1015 cm-3 after sulfurization while mobility increases from 0.035 to 22.6 cm2/Vs for as-deposited MoS2. The increase of Nb reduces the resistivity of the as-grown films from 6.78 to 4.9 Ω.cm and similar trend is also attained for sulfurized MoS2 films.
8:00 PM - PM07.09.12
Influence of Flash Lamp Annealing on Ga-Doped ZnO Films
Rei Sugiura1,Yasuji Yamada1,Arisa Sakaguchi1,Shuhei Funaki1
Shimane University1
Show AbstractZinc oxide (ZnO) attracts many attentions to be studied as an oxide semiconductor. Resistivity of ZnO semiconductor added with Ga or Al is almost equal to Sn doped In2O3 (ITO). Recently, we have been studying electrical properties of Ga doped ZnO (GZO) films deposited by radio frequency (RF) magnetron sputtering at room temperature and revealed that annealing at high temperatures for 30 min improves electrical properties. As annealing temperature increases, mobility of GZO films were increases gradually. Carrier concentration increases as annealing temperature increases up to 350°C, however, it decreases at higher temperatures than 400°C. While longer annealing time reduces carrier density, shortening the annealing time in the order of a minute at a high temperature increases carrier density, resulting in electrical resistivity lower than the 350°C annealing for 30 min. From these behaviors of carrier density, we have concluded that the reduction of carrier density is caused due to zinc atom desorption from the GZO lattice. Therefore, it is very curious to know the effect of extremely short time annealing on carrier density and resistivity.Flash lamp annealing technique is used for very short time of annealing, as short as millisecond, often used in Si semiconductor industry. We applied this technique to the annealing of transparent GZO films as a post-annealing. GZO films with thickness of 200 nm were deposited on quartz glass substrates at room temperature by RF magnetron sputtering. These films were annealed by using a xenon lamp with the exposure pulse width from 1 to 5 milliseconds in the ambient atmosphere. Electrical properties and crystallinity were measured by van-der-Pauw method and XRD measurement.By the flash lamp annealing in appropriate pulse width and power, carrier density of the films increased and mobility was unchanged, resulting in decrease of resistivity. The change of properties of the GZO film by flash lamp annealing is different from conventional furnace annealing. The carrier density of GZO film decreases at higher temperatures than 400°C by a conventional furnace. On the other hand, it does not decrease by the flash lamp annealing as the power increases until the films are caused fatal damage. In conclusion, flash lamp annealing is effective to increase carrier density. However, due to less enhancement of mobility, resistivity of the films annealed for extremely short time is slightly higher than those annealed for typical annealing time using conventional heating equipment.
8:00 PM - PM07.09.13
Structural and Mechanical Characterization of Amorphous Carbon-Silica Thin Films Deposited on Stainless Steel Substrates by PECVD
Neileth Stand Figueroa1,Erika Abigail Ochoa Becerra1,Leonardo Mathias Leidens2,Carlos Alejandro Figueroa2,Fernando Lazaro Freire Jr1,Marcelo Eduardo Huguenin Maia da Costa1
Pontifícia Universidade Católica do Rio de Janeiro1,Universidade de Caxias do Sul2
Show AbstractNowadays, various materials are being studied, for instance, diamond like carbon (DLC) which has properties such as low friction coefficient, high hardness, mechanical wear resistance, optical transparency and high electrical resistivity, these properties can be modified used other elements such as Si, N, O, etc. The DLC thin film is very useful as a protective coating on metallic pieces such as stainless steel, but there is an issue: the low adhesion of the DLC on this type of substrate, caused by its high internal stress and low thermal stability.
Our work is about the study an amorphous carbon-silica thin films used an organosilicon, this precursor was deposited using a rf-PECVD (radio frequency plasma enhanced chemical vapor deposition) on steel surface (ISO316L). The precursor was hexamethyldisiloxane (HMDSO) in its liquid state at room temperature and atmospheric pressure.
Those films were deposited in several self-bias and two different substrate temperatures (200oC, 300oC, at room temperature there was no deposition). The deposition pressure was 2.6 Pa and they were characterized by Raman spectroscopy, X-ray photoelectron spectroscopy, Fourier transform infrared spectrometry, profilometer measurements and nanoindentation.
Our results indicate that the temperature plays an important role in the adhesion of the amorphous carbon- silica thin film with the steel surface, although at temperatures lower than 150oC the adhesion is poor. The films deposited at 200oC and 300oC with different self-bias had an excellent adhesion, the spectra Raman showed that this films are amorphous and with X-ray photoelectron spectroscopy we noticed, that the percentage of Si-C and C-C binding increase as the self- bias. The hardness of these films were of the order of 20GPa.
8:00 PM - PM07.09.14
Role of Organosilicon Films Deposited by PECVD Protecting Polypropylene Against Photodegradation
Walter Waldman1,Amanda de Freitas1,Felipe Fernandes2,Elidiane Rangel2,Nilson Cruz2,Adriana Silva1,Joyce Araujo3
Federal University of São Carlos1,University of São Paulo State–UNESP2,National Institute of Metrology, Quality and Technology3
Show AbstractThe sensitivity of polymers to photodegradation when exposed to the weather limits their options for outdoor use and reduces their shelf life. The deposition of thin films of organosilicon by plasma on polymers can influence its resistance to photodegradation without interfering in aspects such as color or brightness in bulk properties due to the transparency and nanometric dimension of these films.
Polypropylene samples were coated with organosilicon films by plasma-enhanced chemical vapor deposition (PECVD). First, a five-minute pre-treatment with oxygen gas, pressure 9 Pa, radiofrequency 13.56 MHz and power of 50 W. Subsequently the organosilicon films were deposited for 30 or 60 minutes at a pressure of 6 Pa, an atmosphere of 50 % of O2 and 50% of HMDSO, radio frequency 13.56 MHz and power 80W. We exposed the samples to a UV-C light of fluorescent, germicidal, 15W power, maximum emission at 254 nm wavelengths, at 48, 96, 144 and 192 hours. We characterized the samples by MEV, FTIR, UV-Vis, XPS, profilometry and contact angle goniometry.
Films were homogeneous and continuous with a thickness between 300 and 550 nm and roughness between 20 and 30 nm. FTIR and XPS measurements after degradation showed that there was no difference between pure polypropylene and polypropylene coated with organosilicon films in the formation of indicators for polypropylene photodegradation, such as hydroxyls and carbonyls. It is clear that the organosilicon film did not offer photoprotection against the auto-oxidation cycle, so we expected the decrease of molar mass by the chain scission, consequent increase of the surface crystalline domains and formation of cracks, which compromise the mechanical properties making the material fragile. However, Scanning Electron Microscopy (SEM) results show that while fissures of virgin polypropylene have a width of 3.5 to 5 micrometers and a length of several millimeters, the polypropylene coated with organosilicon films had cracks with a width of 0.5 to 1 micrometer and length of 2 to 5 micrometers. Along to the fact that the organosilicon film keeps adhered to polypropylene during the photodegradation period, we conclude that the organosilicon film mechanically held the surface cohesion of the polymer material, limiting the crack propagation, despite the oxidation reactions of the polymer. This is, to our knowledge, the first observation of mechanical stabilization of polymers by thin films deposited in plasma. This role of the organosilicon thin film deposited on polypropylene can allow the development of protections for polymers exposed to the weather with benefits as the increase of the useful life and a smaller detachment of photodegraded layers, diminishing the environmental impact by the minor production of secondary microplastics.
8:00 PM - PM07.09.17
Ammonia (NH3) Gas Detection Based on Tin-Nitride Chemi-Resistive Sensor
Teena Jangid1,G. Rao1
Indian Institute of Science1
Show AbstractTin-nitride has not received the experimental attention given to similar IV-Nitrides such as Si3N4 and Ge3N4. Although Sn nitride has semiconducting properties, suitable for micro-electronic and optoelectrical devices, large disparity in the atomic radii of N and Sn poses a challenge in obtaining good quality tin-nitride films. In this study tin-nitride thin films were prepared on glass and Si substrates, by reactive radio frequency magnetron sputtering of metallic tin target (3’’ diameter and 3 mm thick) in nitrogen gas ambient. Process parameters such as substrate temperature, target-substrate distance, N2 gas pressure and RF power were varied in a systematic way, to find-out best suitable combination of these parameters for growth of pure poly-crystalline tin-nitride films. Deposited films were characterized for their crystalline structure and surface morphology by X-ray Diffraction (XRD) and Scanning Electron Microscopy (SEM) and results revealed that pure tin-nitride films can be grown at 600o C substrate temperature, 15 W RF power in pure nitrogen gas ambient, if target -substrate distance is fixed at 10 Cm.
To date, no work has been carried out on gas sensors, using tin-nitride as the sensing material for NH3 gas. Chemi-resistive gas sensing characteristics of tin-nitride film (~60 nm thickness) deposited at optimized process parameters, were investigated for NH3 in air at room temperature, as a function of gas concentration (10-70 ppm). Upon exposure to NH3, the electrical resistance of the tin-nitride film was found to decrease. The sensor exhibited low base line drift and high sensitivity to NH3 gas at concentrations as low as 10 ppm with fast response and recovery time at lower concentrations. The sensor exhibited a sensitivity of 0.065 (at 10 ppm) to 0.3 (at 70 ppm), tresponse of 4 sec (at 10 ppm) to 23 sec (at 70 ppm), and trecovery of 6 sec (at 10 ppm) to 23 sec (at 70 ppm). The NH3 sensing mechanism can be explained on the basis of the depletion of chemisorbed oxygen at the film surface.
8:00 PM - PM07.09.21
Micro-Plasma Based Enhancement in Dielectric and Piezoelectric Properties of ZnO and BaTiO3 Based Multifunctional Composite Thin Films by Surface Modification—Comparison of Plasma Regimes and Materials Characterization Using Corona Discharge
Walker Tuff1,Harlavpreet Brar1,Saquib Ahmed2,Edbertho Leal-Quiros3,Sankha Banerjee1
California State University, Fresno1,Buffalo State College2,University of California, Merced3
Show AbstractAtmospheric pressure and ambient temperature based micro-plasmas have been used in polarization and alignment of dipoles in ferroelectrics. The same phenomenon can be used to enhance the surface energy and surface characteristics of composite multifunctional thin films by means of surface modification. The current work involves the use of dielectric barrier and corona discharge based atmospheric micro-plasmas to treat ZnO-Epoxy-BaTiO3 nano-composite flexible thin films towards enhancement in electrical, dielectric and piezoelectric properties of these composites. The ZnO volume fraction is varied from 1-10%, while the BaTiO3 volume fraction is held constant at 40%. Both voltage driven (stable) and current driven (pulsed) corona discharge regimes are identified and used to process the thin films. The voltages in the stable regimes are varied from 8 – 11 kV, while the current is held constant around 0.01 mA. The current in the pulsed regime is varied from 0.05 – 0.1 mA and the voltage is held constant around 9 kV. The surface properties are characterized by multi-point probe measurements to map and analyze the effect of the different plasma regimes. The composite thin films are also tested for their bulk dielectric, impedance, electrical and piezoelectric properties. The effects of the different plasma regimes on the surface micro-structure are analyzed using a scanning electron micrographs and energy-dispersive x-ray spectroscopy.
8:00 PM - PM07.09.22
Synthesis of Nanoscale Optical Multilayer Coatings via Reactive Magnetron Sputtering
Chelsea Appleget1,Andrea Hodge1
University of Southern California1
Show AbstractOptical multilayers are comprised of nanoscale layers, where the layers are arranged to alter the way the material reflects and transmits light. The interplay of these nanolayers increases the potential for novel combinations of properties, including transparency and strength. These characteristics are important for applications that require light penetration for function but a robust barrier for protection. For these reasons, optically transparent multilayers are promising materials because they offer extraordinary strength, hardness, heat resistance, and most importantly, transparency in both the UV/Vis/NIR wavelengths.
A series of optical nanomultilayers were synthesized using sequential DC and RF reactive magnetron sputtering. The nanomultilayers were designed using predictive methods for maximized optical transmittance, and the experimental results were compared with calculated transmittance values. The effects of deposition parameters were examined in order to understand their influence on the interface, microstructure, mechanical deformation and light propagation of the films. As-sputtered layer roughness, interfaces, and texture were characterized using SEM, TEM, and XRD. Overall, this work focuses on reactive magnetron sputtering of multifunctional nanoscale multilayers, and the effect of the as-deposited microstructure on resulting mechanical and optical properties is discussed.
8:00 PM - PM07.09.23
Iron Oxide-Hydroxide Photovoltaic Nanomaterials Synthesized by Atmospheric Micro-Plasma
Yucheng Lan1,Aysha Shamaki1,Marieme Ndaw1,Sz-Chian Liou2,Sabin Pokharel1,Mobolaji Zondode1,Saroj Pramanik1,Jiang Li1,Abdellah Lisfi1,Yongle Pan3
Morgan State University1,University of Maryland2,U. S. Army Research Laboratory3
Show AbstractWith the increasing global energy demand and diminishing fossil fuel reservation, renewable and environmental-friendly sustainable energy is interested in the past years. Solar photovoltaic technology is a promising way to collect solar energy into electricity directly. Iron hydroxides are one kind of candidate materials for photovoltaic materials because of their abundance on earth and chemical stability in aqueous media. Here, iron oxide-hydroxide was synthesized in aqueous solutions by the atmospheric micro-plasma. The hydroxide powders were characterized by X-ray powder diffraction, transmission electron microscopy, Raman scattering, and UV-vis spectroscopy. The effects of pH values, electric fields, and synthesis temperatures etc, were systematically studied on morphology, crystallinity, magnetic property, band-gap, and UV-visible light absorptions. The plasma reaction mechanism was discussed. The as-synthesized nanomaterials were further fabricated into dye-sensitized solar cells and their photovoltaic properties were investigated.
8:00 PM - PM07.09.24
Controllable Plasma Based Synthesis of MoS2 for Optoelectronic Applications
Jiaxin Fan1,Dipanjan Nandi1,Dhanvini Gudi1,Xinsong Lin2,Andrea Sedgwick2,Manisha Gupta1
University of Alberta1,Northern Alberta Institute of Technology2
Show AbstractRecent advancement of two dimensional (2D) materials paves new avenues for potential optoelectronic and nanotechnology applications. Advancement in molecular biology, atomic and molecular physics demands new techniques to explore the atomic level features of different 2D materials as these materials have exciting optoelectronic properties [1, 2]. Mechanical exfoliation and chemical vapor deposition (CVD) are two well-known techniques for MoS2 synthesis. Monolayers of MoS2 are attached with van der Walls forces and can hence be exfoliated easily. However, it is difficult to control the structural parameters such as size, shape, and thickness of the exfoliated MoS2 flakes. CVD technique used for synthesis of good quality MoS2 crystals lacks in in-situ thickness control. Pulsed laser deposition (PLD) is a well-established laser plasma based material growth technique to maintain good stoichiometry for complex compound materials with good control in thickness and repeatability.
In this work, PLD technique is used for growing large area homogenous MoS2 thin films for nanoscale optoelectronic applications. This study focuses on the optimization of the PLD growth parameters for obtaining high-quality uniform large area MoS2 thin films on quartz, sapphire and SiO2/Si substrates and characterizing the physical properties. In this work, a pulsed KrF (248 nm, 15 ns, 10 Hz) laser is used for ablation of the 99.9% pure MoS2 target. Major parameters influencing the quality of MoS2 thin film like the laser fluence, target-substrate distance, substrate material and temperature along with the ambient gas pressure will be optimized to obtain thin films with high crystallinity and mobility.
Initial growths at different temperatures (room temperature to 8500C) indicate higher crystallinity at higher temperatures like 7000C to 8500C. Also, we have been able to control the growth time to obtain 2-3 monolayer MoS2 thin films, which have been confirmed by the Raman peaks at 382.1 cm-1 and 404.9 cm-1. Initial measurement at room temperature shows electron mobility of 0.19 cm2/V-s for ~30 monolayers. Metal-oxide-semiconductor capacitor will be fabricated to calculate the doping concentrations and Hall measurements will be performed to calculate the carrier mobility. Material, optical and electrical characterization of the optimized MoS2 thin films grown using PLD will be presented. Future prospects of this work is to fabricate nanoscale field effect transistors on flexible substrates for flexible electronic applications.
Reference:
1. Yan Chen et.al., ACS Appl. Mater. Interfaces, 8, 47, 32083-32088 (2016).
2. Woong Choi et.al., Advanced Materials, 24, 43, (2012).
8:00 PM - PM07.09.25
Plasma Synthesis of AlNiCo Core/Shell Nanopowders
Ayse Genc1,Tayfur Ozturk1,Yunus Kalay1
Middle East Technical University1
Show AbstractAluminum-nickel-cobalt (AlNiCo) permanent magnets revolutionized the permanent magnet industry in 1920’s but has not been thoroughly studied after the development of powerful rare-earth permanent magnets. As compared to rare-earth magnets, AlNiCo magnets have high remanent force (Br), better corrosion resistance and higher Curie temperature but lack high coercivity. If the coercivity is improved, BHmax value may increase up to values that would potentially fill the gap in between rare-earth and rare-earth free permanent magnets. The alloy stands as a good candidate to be produced with novel methods and investigated with the latest analysis techniques and it is now well established that magnetic behavior is strongly dependent on the size of the nanoparticles. In this work, magnetic properties of micron-size ball-milled powders and radio frequency (RF) plasma synthesized core-shell nanopowders are compared. Scanning transmission electron microscopy (S/TEM) analysis of the plasma synthesized nanoparticles showed a core/shell structure in which AlNiCo powders were encapsulated with magnetic Fe3O4. The magnetic measurements indicated that coercivity increased significantly with decreasing particle size, particularly for the nanopowders encapsulated with hematite (Fe3O4) layer. It is likely that this oxide coating contributes to the increase in coercivity due to effective exchange coupling between the core and the shell structure while serving as a protective layer against room temperature oxidation. The magnetic behavior of AlNiCo powders with respect to size and core-shell structure formation will be discused in details in conjunction with TEM, S/TEM, VSM and XRD results.
Symposium Organizers
Mohan Sankaran, Case Western Reserve University
Tomohiro Nozaki, Tokyo Institute of Technology
Chi-Chin Wu, U.S. Army Research Laboratory
Davide Mariotti, Ulster University
PM07.10: Nanoparticles and Nanocrystals II
Session Chairs
Danil Dobrynin
Dilli Babu Padmanaban
Vasiliki Poenitzsch
Wednesday AM, November 28, 2018
Hynes, Level 1, Room 107
8:30 AM - *PM07.10.01
Metal Nanoparticle/Nanocarbon Hybrid Nanostructures Synthesized via Plasma-Liquid Interaction and Their Potential Applications in Biomedical Field
Dan Sun1,Daye Sun1,Brian Falzon1,James McLaughlan2,Paul Maguire3
Queen's University Belfast1,University of Leeds2,Ulster University3
Show AbstractNon-equilibrium atmospheric pressure plasma has emerged as a new platform technology for the synthesis/surface engineering of various nanomaterials. When interacting with water, a high density of gas phase plasma electrons become solvated in the liquid, creating a rapid cascade of transient non-linear chemical reactions and highly reactive radicals. As plasma induced species and reactions propagate through the liquid, they are interrupted at solid surfaces, leading to energetic final reactions. In this work, we deployed a direct current (DC) room temperature atmospheric pressure plasma (APP) for the in situ synthesis of gold nanoparticle /nanocarbon (AuNP/NC) hybrid structures in aqueous solutions. More specifically, carboxyl functionalized multi-wall carbon nanotubes and graphene oxide nanosheets have been decorated with different sized AuNPs through manipulating the gold salt (HAuCl4) precursor concentration in the aqueous mixture. UV-vis spectroscopy and zeta potential analysis suggested that the bonding between the [AuCl4]- complex and nanocarbons carboxyl functional group took place prior to the APP processing. These binding sites facilitated the preferential nucleation and growth of AuNPs through the APP induced liquid chemistry. The AuNP/nanocarbon hybrids also demonstrate enhanced Raman scattering and greater photothermal conversion efficiency under the irradiation of NIR laser, hence may hold great potential in future multi-modal cancer treatment involving bio-imaging and hyperthermia therapy.
9:00 AM - PM07.10.02
Antimony-Doped Tin Oxide Nanocrystals Synthesized by Low Temperature Plasma
Qinyi Chen1,Elijah Thimsen1
Washington University in St. Louis1
Show AbstractFor electrochemical applications, metal-oxide materials have attracted research interest as alternative electrode materials for reactions occurring at positive potentials in the presence of water.1 Often, these metal-oxide materials function as an electrically-conductive support for a noble metal catalyst, and therefore must have very high specific surface area and uniform distribution of the particles. Among thermodynamically stable metal-oxide materials, antimony-doped tin oxide (ATO) stands out with its combination of: 1) high electrical conductivity by incorporation of suitable Sb doping, 2) high chemical stability over a wide range of pH values and reduction potentials, and 3) abundant constituent elements. In liquid phase, sol-gel and colloidal methods are widely used to synthesize ATO nanocrystals. However, to meet the electronic conductivity requirements, post-synthesis anneal treatments are required to activate the electron donors and increase the conductivity of ATO nanocrystal assemblies prepared using liquid-phase techniques, which may adversely affect the surface area and size distribution of the material. In this work, we report on our efforts to synthesize monodispersed, conductive ATO nanocrystals through a single-step low temperature plasma growth method without any post treatments. Precursor vapors of tin and antimony were fed into a radiofrequency, flow-through low temperature plasma reactor. ATO nanocrystals were formed in plasma by reacted precursor vapors. Thin films comprised of ATO nanocrystals were then immediately deposited by inertial impact deposition of the aerosol resulting from plasma synthesis. A variety of methods were utilized to characterize the resulting materials, and determine properties such as film thickness, porosity, nanocrystal size, and elemental composition. Local electron concentration and mobility within the nanocrystals were investigated by Fourier-transform infrared (FTIR) absorption spectroscopy. Hall effect was used to characterize longitudinal electrical transport in the thin film. The nanocrystal growth mechanism in the plasma will be discussed with a focus on antimony dopant incorporation, and the effects of nanocrystal size on the transport properties of ATO thin films will be presented in this work.
1 Dubau, L. et al. A review of PEM fuel cell durability: materials degradation, local heterogeneities of aging and possible mitigation strategies. Wiley Interdisciplinary Reviews: Energy and Environment 3, 540-560 (2014).
9:15 AM - PM07.10.03
Directed Plasma Synthesis of TiO2 Nanopatterning for Templated Growth and Alignment of Au and Alloyed Nanoparticles
Ming Kit Cheng1,Brandon Holybee1,Akshath Shetty1,Jean Paul Allain1
University of Illinois at Urbana-Champaign1
Show AbstractTiO2 has been an important semiconductor for optical applications including: photovoltaics and photocatalysis, due to favorable properties such as wide band gap, chemical stability and low cost. Recently the incorporation of photonic crystal (PC) structures and noble metal nanoparticles (NPs) on the free surface have been carried out to exploit the photonic and plasmonic resonance in enhancing absorption of a broader sunlight spectrum. The optical performance can be further improved if they can be coupled. A versatile processing synthesis approach that can control the shape, size and alignment of NPs to scale is needed to maximize the coupling. Directed low-energy plasma and ion-beam synthesis is a promising technique to induce complex nanopatterns such as ripples on various material surfaces. These nanopatterns can then act as a template for the growth and alignment of NPs with high areal density at nanometer scales. Ions extracted from plasma can also modify the surface chemistry and wettability, which mediates NP growth kinetics and adhesion onto the surface. However, few research focuses on plasma-based nanosynthesis on TiO2 despite its wide usage, unlike other widely-used materials such as Si. Therefore, it is necessary to first examine the parameters necessary to nanopattern TiO2 via plasma nanosynthesis. Moreover, when dealing with a non-flat surface with PC structures, additional factors such as non-uniform sputtering rate across the surface and shadowing effects by the structures have to be examined.
We were able to induce periodic ripples with wavelength and amplitude varying between 14-57 nm and 0.9-3.0 nm respectively on a 100 nm thick TiO2 film coated on a polymer substrate with 1D diffraction gratings via Ar+ and O2+ irradiation at an oblique angle and varying fluence. The photonic resonance peak of the substrate was blue-shifted from 860 nm to as low as 838 nm. In a particular case in which the beam orientation is oblique relative to the gratings, an additional peak about 40 nm higher than the primary one appeared. Moreover, while both Ar+ and O2+ can nanopattern TiO2, Ar+ created oxygen vacancies and reduced the oxide while O2+ suppressed its formation. Ordered ripples also appeared at a lower fluence with less defects when using O2+. Our goal is to utilize these ripples for a templated NP growth by glancing angle PVD, by exploiting the shadowing effect by the ripples to facilitate nucleation and NP alignment. We will investigate the ability to tune the NP size, shape and spacing and its plasmonic properties by varying the ripple dimensions and deposition parameters, in order to achieve broadband absorption and coupling with photonic resonance. We will also examine whether creating or suppressing oxygen vacancies is more beneficial to NP growth. For the composition of the NPs, we will not only examine conventional Au NPs, but also novel metallic alloy NPs that is cheaper, more sustainable and absorbs light more efficiently.
9:30 AM - PM07.10.04
Nanocomposite Thin Films Obtained with Cold Atmospheric Pressure Plasma Processes
Jacopo Profili1,Luc Stafford1
Université de Montréal1
Show AbstractIn the last decade, many efforts have been made for the understanding and the analysis of nanocomposite thin films. The new properties created by these materials open a wide range of possibilities for new industrial applications. Different physical and chemical advanced processes are used to develop these products. Among them, low plasma pressure processes have been studied since Philips’s work in 1982. Today, the desire to reduce production costs and environmental impacts of the manufacturing process allowed the study of new plasma approaches at atmospheric pressure. These systems offer the possibility to reduce the amount of precursor and favor the process scale-up in a production line. Recently, some researchers have demonstrated the possibility to use colloidal suspensions as precursors for the synthesis of nanocomposite thin films in atmospheric pressure dielectric barrier discharge (DBD). This approach broadens the chemical range of obtainable nanocomposites because of the large variety of commercially available suspensions. Through this work, we aim to highlight the current understanding of the process and the remaining scientific challenges for the synthesis of a homogeneous distribution of chemical phases with plasma treatment. The DBD is obtained between two parallel alumina plates separated with 1 mm gas gap. An AC high voltage in the kHz frequency range is used to sustain a homogeneous Townsend discharge in N2-N2O gas mixtures. Titanium dioxide nanoparticles (TiO2 NPs, anatase phase, 20 nm in diameter) are functionalized and mixed with Hexamethyldisiloxane (HMDSO) to get a stable colloidal solution. This suspension is injected in the gas mixture using a pneumatic injector coupled to a nebulizer. The obtained colloidal aerosol is then evaporated before reaching the discharge chamber. Plasma-deposited coatings were then characterized by SEM, FTIR and XPS. The hydrodynamic radius of particles (and agglomerates) in the colloidal solution was determined using Dynamic Light Scattering analysis (DLS). The electrical analysis of the process shows that the discharge remains stable and homogeneous during the injection of the suspension, indicating that nanoparticles do not affect the physical regime of the discharge. The SEM analysis indicates that spherical agglomerates remain included in a dense matrix or stuck on the surface. The size distribution of these structures can be larger than 1 micrometer, i.e. bigger than the coating thickness. The Raman peak at 143 cm-1, associated with the anatase structure, shows no structural change of NPs during their interaction with the discharge. Our results show that the observed agglomeration can take place at different steps of the process, including in the initial suspension, during the atomization process and as a result of plasma interactions. Finally, our results reveal that the transport dynamics of NPs can judiciously be controlled using custom-designed voltage waveform.
9:45 AM - PM07.10.05
Additive Manufacturing of Nanomaterials Using Plasmas
Alexander Ho1,Kyle O'Shea1,Rebecca Anthony1
Michigan State University1
Show AbstractPlasma reactors have been used for synthesis of many types of nanomaterials with great success, from a range of materials including semiconductors and metals. These reactors feature versatile operation across pressure, power, and size regimes. While low-pressure plasmas typically lead to nanoparticle ensembles with the narrowest size distributions, atmospheric pressure plasmas can produce high-quality and tunable nanocrystals particularly when the reactor size is miniaturized. Both the small reactor size and atmospheric pressure operation contribute to the potential to use these reactors in roll-to-roll manufacturing and other large-scale deposition schemes, removing batch post-processing steps and enhancing the ability to incorporate the nanoparticles into the manufacturing stream.
Here we present our work on additive manufacturing of silicon nanoparticles using an atmospheric pressure glow discharge. The reactor consists of a quartz tube encircled by a powered coil electrode (one end floating) supplied with RF power at 13.56 MHz, together with a coaxial central ground electrode. We supply silane and argon gas (total gas flowrate ~ 200 sccm) for silicon nanoparticle production. Silicon nanoparticles are produced in the plasma and spray out of the tube onto the substrate beneath. The nanoparticles are crystalline with a relatively wide size distribution (5-40nm) as verified using transmission electron microscopy and the ensembles exhibit photoluminescence near 600 nm. The reaction is performed in air, and the nanoparticle surfaces are coated in an oxynitride layer as determined using Fourier-transform infrared spectroscopy. The reactor is mounted to a computer-controlled 3D manipulator which allows the nanoparticles to be deposited in pre-programmed patterns in all three coordinates directly out of the reactor. Our current work focuses on characterizing the deposition properties including porosity, mechanical properties, and yield while simultaneously engineering the reactor to enable narrower linewidth and a smaller size distribution of nanoparticles, along with controlled shell composition and thickness using additional gas flows. This work could lead to directly printable nanocrystal layers and coatings for in-situ fabrication of devices and other technologies.
PM07.11: Thin Films, Coatings and ALD II
Session Chairs
Tomohiro Nozaki
Dilli Babu Padmanaban
Dan Sun
Wednesday PM, November 28, 2018
Hynes, Level 1, Room 107
10:30 AM - *PM07.11.01
Nanosecond-Pulsed Cold Plasma in Liquid Nitrogen for the Synthesis of Nitrogen-Based Materials
Danil Dobrynin1,Roman Rakhmanov1,Alexander Fridman1
Drexel University1
Show AbstractThe application of strong electric fields in water and organic liquids has been studied for many years, because of its importance in electrical transmission processes and its practical applications in biology, chemistry, and electrochemistry. More recently, liquid-phase electrical discharges have been investigated, and are being developed. Recent advances in pulsed power technology permitted application of much faster voltage rise times (including the subnanosecond range) and revealed that plasma-like phenomena can, in fact, occur in fluid phase quasi-homogeneously without any bubbles and voids. Very sharp rise times leads to overvoltage and development of a non-thermal discharge (direct ionization of liquid phase) before fluid moves forming bubbles or gas voids. Here we present the first results on characterization and diagnostics of nanosecond-pulsed discharge in liquid nitrogen and characterization of produced materials.
* This work is supported by the Army Research Office (grant # W911NF-17-1-0597, PI: Dobrynin).
11:00 AM - PM07.11.02
Synthesis, Processing, and Characterization of Materials Processed by Spark Plasma Sintering
Kaya Wei1,2,George Nolas2
National High Magnetic Field Laboratory1,University of South Florida2
Show AbstractSpark Plasma Sintering (SPS) has been employed as a very effective method for consolidation of powders into dense polycrystalline materials. We have employed SPS as a unique approach for material synthesis, including crystal growth. Moreover, due to the internal localized heating during SPS processing, the rapid, low temperature densification of fine-grain powders can be realized. Since only the surface temperature of the sample powders rises rapidly by self-heating, grain growth is minimized, thus high density, bulk polycrystalline semiconductors with nano-scale grains can be achieved. This technique is important in obtaining thermoelectric materials whereby grain-boundary scattering is realized thus allowing for materials with enhanced thermoelectric performance, as compared to the same polycrystalline material with micro-scale grains. A variety of examples, including synthesis and, in particular, the crystal growth of materials with complex crystal structures that have not been previously synthesized even in microcrystalline form, will be presented. In addition, our consolidation technique for nanostructured materials will be discussed, with specific emphasis on an understanding of specific processing conditions and their effect on the morphology of different semiconductors and the resulting grain size and product density.
11:15 AM - PM07.11.03
Effect of Zr Target Power on Structure Property Relations of Ni-Zr Alloy Thin Films Processed by DC Magnetron Co-Sputtering
Bibhu Sahu1,2
Indian Institute of Technology1,Indian Institute of Technology Kharagpur2
Show AbstractA comparative study has been carried out on microstructural evolution, surface roughness, nanoindentation and scratch behavior, electrical resistivity, and corrosion resistance of Ni1-xZrx (0.13≤x≤0.40) thin films deposited on Si-(100) substrate by DC magnetron co-sputtering of high purity elemental targets in argon atmosphere. The Zr target power has been changed from 75 watt to 175 watt by keeping the Ni power constant at 200 watt. The film compositions have been examined by energy dispersive X-ray. Grazing incidence X-ray diffraction (GIXRD) studies have shown presence of Ni-rich solid solution, Ni3Zr and amorphous phase in all the films, with Ni5Zr being additionally observed in the Ni87Zr13 film. Analysis of GIXRD data along with transmission electron microscopic studies have shown that volume fractions of both amorphous phase and Ni3Zr are increased, whereas the average crystallite size is decreased with increase in Zr concentration. Nanoindentation hardness and Young’s modulus as well as electrical resistivity measured by Van-der Pauw four-probe method are influenced by volume fractions of both Ni3Zr and amorphous phase. Surface roughness and coefficient of friction obtained from atomic force microscopy and nano-scratch experiments, respectively decrease, whereas corrosion resistance in 3.5 wt% NaCl solution increase with Zr concentration primarily due to increase in amorphous phase content. Resistance to pitting corrosion is facilitated by formation of ZrO2-rich passive film, as confirmed by X-ray photoelectron spectroscopy.
11:30 AM - *PM07.11.04
Atmospheric Pressure High Power Impulse Plasma Source (HiPIPS) for Deposition of Metallic Coatings
Vasiliki Poenitzsch1,Ronghua Wei1
Southwest Research Institute1
Show AbstractSouthwest Research Institute (SwRI) is developing a novel atmospheric pressure, non-thermal High Power Impulse Plasma Source (HiPIPS) technique for field applicable deposition of metallic protective coatings. The HiPIPS technology is an advanced variation of atmospheric pressure plasma process that uses high power pulsed DC generators. Power is applied in microsecond pulses at low duty factor (< 10%) and low frequency (≤ 1 kHz) leading to high peak power densities (tens of kWatts) and high peak currents (hundreds of Amps) of while keeping low overall power (< 50 W) and low processing temperatures (< 50 °C). These conditions result in the generation of a highly dense plasma discharge, with increased ionization for surface modification and deposition of coatings. SwRI has conducted a systematic experimental study on the HiPIPS process parameters and resultant coating properties towards proof-of-concept development of HiPIPS deposition of CoCr, Ti-6Al-4V and TiN coatings. Plasma properties were characterized using current-voltage probes and optical emission spectroscopy (OES). The microstructure and elemental composition of resulting deposited were examined using scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) spectroscopy and X-ray diffraction (XRD).