Symposium Organizers
Philippe Caroff, The Australian National University
Monica A. Cotta, State University of Campinas
Shadi A. Dayeh, University of California, San Diego
Anna Fontcuberta i Morral, Ecole Polytechnique Federale de Lausanne
Sebastien R. Plissard, Laboratory for Analysis and Architecture of Systems, CNRS
Symposium Support
Attolight
Keysight Technologies
P3: Nanowires and Biology
Session Chairs
Monica A. Cotta
Shadi Dayeh
Monday PM, November 30, 2015
Sheraton, 2nd Floor, Constitution A
2:30 AM - *P3.01
When Nanowires Meet Biology: From Applications to Nanotoxicology
Christelle Prinz 1
1Lund University Lund Sweden
Show AbstractSemiconductor nanowires are promising tools for biological applications. Their small dimensions are on the same length scale as many cell components, making them an ideal tool to probe and stimulate cells with minimal perturbation. In this talk I will review our work towards applications using nanowires for neural implants and cellular force sensors, as well as our studies towards understanding and controlling the cell-nanowire interface.
3:00 AM - P3.02
1D Nanomaterial-Assisted Electroporation for Novel Bacteria and Viruses Disinfection
Chong Liu 1 Yi Cui 1
1Stanford Univ Stanford United States
Show AbstractNanomaterials such as nanowires and nanopillars have been shown to interact strongly with biological cells. Such 1D nanomaterials can enable the penetration of cell membrane by electroporation which is useful in the area of molecular biology to deliver polar substances into cells. Here we introduce a new application, water disinfection, enabled by 1D nanomaterial-assisted electroporation (1D-NE). The high electric field induced by the sharp 1D nanomaterials can be used to damage the membrane of the microorganisms and inactivate the microorganisms by disrupting the inner cellular environment. The 1D nanowires can enhance the local electric field 2-3 orders of magnitudes higher than that of planer structure. Using flow devices with filter electrodes made from Ag or CuO nanowires, we demonstrate a highly efficient inactivation of both model bacteria and virus, of > 6log (>99.9999%) removal, with a fast treatment speed of 3000-15000 L/h-m , which is equivalent to only 1s of contact time of microorganism with filter electrodes. During operation, the flow device can be powered by a small voltage < 20V and the energy consumption was very low of only <100J/L. The material release to effluent water was shown to be minimal and within drinking water standard. This 1D-NE showed great potential as an energy efficient and low-cost alternative to chlorine and UV disinfection in water treatment applications
3:15 AM - P3.03
Novel 3D Minimal Tissue-Penetrating Probes on Conformal Flexible Substrates for In-Vivo Brain Mapping
Sang Heon Lee 1 Farid Azzazy 1 Massoud L. Khraiche 1 John Hermiz 1 Vikash Gilja 1 Shadi A. Dayeh 1
1University of California, San Diego La Jolla United States
Show AbstractUnlike a computing device which has different components such as processor, memory, and storage blocks, etc., the human brain is a single, extremely sophisticated organ in which all processing and data storage are hosted. In order to better understand the local brain activity and isolate neuronal circuits with specific functionality in the brain, we need new probes that can (i) enable single unit recordings, (ii) cause minimal tissue scarring and biofouling, and (iii) remain in intimate contacts with the sulci and gyri of the brain. We introduce here Si-based neuronal probes on ultra-thin, biocompatible flexible substrates for penetrative, high-resolution, 3D mapping of brain activity. Additionally, the polyimide substrate carrier allows conformal adhesion to the cortex surface and embeds electrical leads for data streaming. This technology has the potential for higher fidelity recordings and localized stimulation to better understand neuronal activities and to assist in the local and efficient treatment of neurological diseases. We utilize a polyimide layer and thin Si substrates with conventional Si micromachining processes and double-side photolithography alignment in order to fabricate 3D Si neuronal micropillar arrays (L=100mu;m and W=7 mu;m) on a flexible substrate. The device can be loaded on ZIF-Clip® which allows convenient connection of our device to the measurement equipment. A spatial map of the measured electrode impedances in phosphate buffered solution (PBS) for each pillar of the prototype device ranged between 162 kOmega; - 247 kOmega; at 1 kHz for the functional pillars. The device impedance was reduced from several 10-100 MOmega;s to the 100KOmega; range through an engineered Pt or Ti metal coat at the Si micropillar tips. The Nyquist plot of the characterized probes on flex illustrated a charge-transport dominant impedance and mostly capacitive coupling to the neuronal probes. The measured impedance values are well within those values for electrodes typically employed for single unit recordings. We will present physiological and behavioral measurements in rodent animals. These preliminary results indicate that our technology is capable of high resolution, penetrating, in-vivo recordings with individual electrical addressability on conformal flexible substrate.
3:30 AM - *P3.04
Suspended Nano-Electrodes for On-Chip Electrophysiology in Intact C. elegans
Daniel L Gonzales 1 Krishna Badhiwala 1 Benjamin W Avants 1 Jacob T Robinson 1
1Rice University Houston United States
Show AbstractCaenorhabditis elegans is an important model organism for investigating human diseases: these tiny worms share homologues to 60-80% of known human genes and can be studied in high-throughput using microfluidic devices. Despite these advantages, electrophysiology in C. elegans remains a low-throughput experimental procedure limiting the utility of C. elegans as a model for neurological disorders. The bottleneck for C. elegans electrophysiology is a laborious and invasive dissection that is currently required to access neurons and muscle cells. To overcome this bottleneck, we invented a microfabricated device based on suspended nano-electrodes (SNEs) integrated into a microfluidic platform. This scalable technique allows us to record action potentials from body-wall muscles with no need for dissections. Moreover these animals remain viable following a measurement making this the first method to record single cell electrical activity in intact C. elegans. To demonstrate the importance of this technique we measured several electrophysiological metrics including waveform shape, spike statistics, and power spectral densities to produce the first high-dimensional electrophysiological phenotypes of C. elegans models for Alzheimer&’s Disease, Parkinson&’s Disease, and Amyotrophic Lateral Sclerosis. Because our device is fabricated using semiconductor-manufacturing processes we envision a new paradigm for drug discovery and electrophysiology in small organisms. Specifically, we can array more than a dozen recording chambers on the same chip and rapidly screen for drugs that help recover normal electrophysiological phenotypes in C. elegans models for neurological disorders.
P4: Crystal Structures, Strain and Dopants
Session Chairs
Vladimir Dubrovskii
Heike Riel
Monday PM, November 30, 2015
Sheraton, 2nd Floor, Constitution A
4:30 AM - *P4.01
Advanced Silicon-Germanium Crystal Phase Structures
Erik Bakkers 1 2 Ang Li 1 Hakon Ikaros T. Hauge 1 Simone Assali 1 R. Tucker 1 3 S. Conesa-Boj 2 Marcel Verheijen 1 4
1Eindhoven University of Technology Eindhoven Netherlands2Delft University of Technology Delft Netherlands3University of Alberta Alberta Canada4Philips Innovation Services Eindhoven Netherlands
Show AbstractNanowires (NWs) have opened the unique ability to control the crystal structure with a precision at the monolayer level. Here, we discuss silicon-germanium based hybrid heterostructures in which we can rationally fabricate new 3-dimensional crystal phase structures. The structural and optical properties of these new crystal phases will be discussed.
5:00 AM - P4.02
Strain Relaxation at Atomic Scale of III-V Heterostructured Nanowires
Maria de la Mata 1 Cesar Magen 2 7 Hadas Shtrikman 3 Philippe Caroff 4 Jordi Arbiol 5 6
1ICMAB-CSIC Bellaterra Spain2Universidad de Zaragoza, INA-LMA Zaragoza Spain3Braun Center for Submicron Research, Weizmann Institute of Science Rehovot Israel4The Australian National University, Research School of Physics and Engineering Canberra Australia5Instituto Catalan de Nanociencia y Nanotecnologia Bellaterra Spain6Institucioacute; Catalana de Recerca i Estudis Avanccedil;ats Barcelona Spain7Aragon Agency for Research and Development, ARAID Zaragoza Spain
Show AbstractNanostructures are known to accommodate much more strain than bulk crystals or planar structures, mainly due to their large surface-to-volume ratio. Indeed, 1D nanostructures or nanowires are used for the combination of highly mismatched materials that are not possible otherwise. Nevertheless, the coherent growth of these hybrid nanowires involves presence of strain in the systems, that ultimately will affect their performance. The lattice distortions induced by the mismatch can be classified either as elastic or as plastic, depending on whether they involve atomic plane rotations, or faulted interfaces where the coherence is partially broken, respectively. Therefore, the relaxation mechanisms may involve them separately or combined.
Dealing with axial III-V NWs it is generally agreed that the relaxation is purely elastic, according to most of the reported works. However, theoretical calculations predict a coherency limit related to the mismatch and diameter of the wires that would enforce reaching the plastic deformation in such systems [1].
Trying to clarify such discrepancy, we perform atomic strain analyses of highly mismatch heterointerfaces within nanowires. The followed procedure consists on the study of the samples under aberration-corrected Z-contrast images and the analyses of obtained data by means of geometric phase analyses (GPA). In order to achieve the desired understanding on the systems, we create 3D atomic models [2] to depict the morphology of the phase transition, used to calculate simulated images and strain maps [3]. Moreover, we address the polarity of the combined materials [4] and account for possible interdiffusion. Our findings highlight the role of the interface morphology and unexpected phase transitions, and confirm the presence of interfacial misfit dislocations [5] in agreement with the theoretical predictions.
References
[1] F Glass, Physical Review B 74 (2006), p. 121302(R).
[2] S Bernal et al., Ultramicroscopy 72 (1998), p.135.
[3] V Grillo and E Rotunno, Ultramicroscopy 123 (2013), p. 97.
[4] M de la Mata et al., Nano Letters 12(2012), p. 2579.
[5] M de la Mata et al., Nano Letters 14 (2014), p. 6614.
5:15 AM - P4.03
Plateau-Rayleigh Crystal Growth on Bottom-Up Grown Nanowires and Top-Down Fabricated 1D Substrates
Robert Watson Day 1 Max Mankin 1 Shota Kita 1 Marko Loncar 1 Charles M. Lieber 1
1Harvard University Cambridge United States
Show AbstractNanoscience offers the promise of driving revolutionary advances in many areas of science and technology, yet the realization of this promise depends critically on the rational development of nanoscale structures whose properties and/or function are controlled during materials synthesis. Recently, we reported a growth phenomenon unique to one-dimensional (1D) materials that exploits the underlying physics of the Plateau-Rayleigh instability. We term this phenomenon Plateau-Rayleigh crystal growth (PRCG)1 and demonstrate that it can be used to grow periodic shells on one-dimensional substrates. For well-defined conditions, the chemical vapor deposition of Si onto uniform-diameter Si cores, Ge onto Ge cores, and Ge onto Si cores can generate periodic shell nanowires with tunable diameter-modulation periodicities and amplitudes. Here, we first review key synthetic details of PRCG, our underlying physical model and its potential for various optical applications. Then, we will focus on some unique opportunities that have not been realized previously with PRCG. Specifically, we will show that our PRCG concept can be applied to growth of periodic shells on top-down fabricated 1D substrates in addition to bottom-up, VLS-grown nanowire cores. The potential benefits of combining top-down methodology with bottom-up growth for expanding synthetic capabilities as well as for enabling unique applications will be discussed.
References
1 R. W. Day, et al., Nature Nanotechnology10, 345-352 (2015).
5:30 AM - P4.04
Strain Fields in GaAs/GaAsP Core-Shell Nanowires Mapped with Nanometer Resolution
Eric James Jones 1 2 Sema Ermez 1 Silvija Gradecak 1
1MIT Cambridge United States2Northrop Grumman Baltimore United States
Show AbstractThe efficient relaxation of stress in semiconducting nanowires allows for the fabrication of heterostructures unachievable in thin film geometries. This stress relaxation combined with the unique geometry of core-shell nanowires, however, lead s to the formation of non-uniform strain fields that vary as a function of radial position and affect both the fabrication and electronic properties of core-shell nanowires. Therefore, an understanding of strain fields on the nanoscale is vital for the design and optimization of devices based on core-shell nanowire heterostructures. Common techniques for strain characterization in bulk systems, such as X-ray diffraction and Raman spectroscopy, do not possess the spatial resolution required to measure strain variations within individual structures. It is therefore essential to identify both experimental and modeling techniques that can accurately provide strain information with nanoscale resolution.
In this work, we report the nanoscale strain quantification in GaAs/GaAsP core-shell nanowires. By tracking the shifting of higher order Laue zone (HOLZ) lines in convergent beam electron diffraction patterns, we observe unique variations in HOLZ line separation along different facets of the core-shell structure demonstrating the existence of non-uniform strain fields created by the wrap-around heterointerface. Not only was distinct HOLZ line shifting behavior observed in the core and shell regions, but the variation of HOLZ line position also differed greatly within the core and shell regions along different directions. Furthermore, through a use of continuum mechanical modeling and Bloch wave analysis we replicate HOLZ line shift behavior. Finite element analysis was used to calculate strain fields in representative core-shell nanowire models. Using these strain fields, average sets of lattice parameters were obtained and used to calculate series of CBED patterns. A direct comparison of these patterns and experimental results show agreement in both magnitude and overall shape of HOLZ line position variation. This comparison demonstrates both the power of electron microscopy as a platform for nanoscale strain characterization and the reliability of continuum models to accurately calculate complex strain fields providing a foundation to better understand the impacts of composition, geometry and surface relaxation on the resulting strain fields and ultimately allowing for the rational design of novel core-shell heterostructures for advanced opto-electronic applications.
5:45 AM - P4.05
Effective Doping of GaAs-Based Nanowires Observed with Terahertz Spectroscopy
Jessica L Boland 1 Sonia Conesa-Boj 1 2 Laura Herz 1 Anna Fontcuberta i Morral 2 Michael B. Johnston 1
1University of Oxford Oxford United Kingdom2Eacute;cole Polytechnique Feacute;deacute;rale de Lausanne (EPFL) Lausanne Switzerland
Show AbstractIII-V semiconductor nanowires have already shown great promise for use in a variety of applications, from solar cells1 to light-emitting diodes2, with some prototype optoelectronic devices having already been developed3. Thus, the need for a greater understanding of the electronic properties and the effects of surface states on these nanowires has become ever more apparent. In particular, controllable and effective doping of nanowires is a key requirement of most devices. Previously, GaAs nanowires have been shown to exhibit extremely short lifetimes of a few picoseconds due to high recombination surface velocities at the GaAs surface4. The effects of adding optimised AlGaAs shells has already been investigated and shown to improve both the carrier lifetime and mobility of these nanowires5. However, achieving reliable doping of III-V nanowires without loss of other electrical properties, such as mobility, has proven difficult.
MBE-grown GaAs/AlGaAs core-shell nanowires with modulation n-type silicon doping within the shell have therefore been investigated. By using optical pump-probe terahertz spectroscopy to allow non-contact measurements to be taken, the carrier lifetimes of these nanowires could then be examined. These modulation-doped nanowires show extremely long lifetimes of over 3.92ns. The photoconductivity spectra exhibit a Lorentzian response, suggesting the presence of localised surface plasmon modes. Through fitting of a Lorentzian function to these spectra, an electron mobility of 2200cm2V-1s-1 was extracted and the doping density measured to be 1.1 x 1016 cm-3. Notably, the doping did not affect the high mobility seen for undoped core-shell GaAs/AlGaAs nanowires. The long lifetime and maintained high electron mobility exhibited by these nanowires and indicate their suitability for use in optoelectronic devices6.
References
1 Y. Dong et al., Nano Letts. 9, 2183 (2009); P. Krogstrup et al., Nat. Photonics7, 306-310 (2013)
2 X. Duan et al., Nature, 409, 66 (2001).
3 D. Saxena et al., Nat. Photon. 7, 963-968 (2013); B Mayer et al., Nat. Commun.4, 2931 (2013)
4 H. J. Joyce et al., Nanotechnology, 24, 214006 (2013); P. Parkinson et al., Nano Lett.12, 4600-4604 (2012)
5 H. J. Joyce et al., Nano Letts., 14, 5989-5994 (2014);
6 J.L.Boland et al., Nano Letts.15, 1336-1342 (2015).
P5: Poster Session I: Semiconductor Nanowiresmdash;Synthesis, Characterization and Applications I
Session Chairs
Monday PM, November 30, 2015
Hynes, Level 1, Hall B
9:00 AM - P5.01
The Effect of Deformation on the Transport Properties of Quantum Nanowires
Ken-ichi Matsuda 3 Yoshiyuki Suda 1 Shigemasa Matsuo 2 Noriyuki Hatakenaka 2 Kurumi Satoshi 3 Kaoru Suzuki 3
1Toyohashi University of Technology Toyohashi Japan2Hiroshima University Higashi-Hiroshima Japan3Nihon University Kanda-Surugadai Japan
Show AbstractRecent remarkable developments of nanotechnology have empowered us to fabricate structures and systems at the molecular scale. New approaches based on quantum mechanical effects have been discovered from developing nanoscale devices that have brought new techniques to our understanding of nanophysics.
A quantum nanowire is a one-dimensional nanoscale structure that acts as a confinement potential for electrons in the wire. Over the past decades, this structure has been considered as a highly-simplified one-dimensional electron system. However, in real quantum nanowires embedded in three-dimensional space, the wires may have curvature and torsion. In fact, da Costa pointed out that the curvature of the wire has induced the scalar potential for electrons [1]. Similarly, Matsutani et al. have found the existence of a reflectionless condition for curved quantum nanowires [2], Recent intensive studies of quantum nanowires have further revealed the transport properties of quantum nanowires for more complex geometrical cases [3, 4].
In this paper, we have investigated the effect of curvature on the transport properties of quantum nanowires. Particularly, the reflection rates for potentials induced by curvature and their electron energy dependence have been analyzed in which the curvature k has the form,
k = b / cosh (aq),
where q is the coordinate along the quantum nanowires. If the ratio of the parameter a and b satisfy the relation, a / b = 2 (n (n+1))1/2 , then the reflection rate vanishes. Otherwise, there exists a finite reflection rate due to the curvature. The reflection rate strongly depends on the energy of the incident electrons. It decreases by increasing the energy of incident electrons. This phenomenon purely arises from quantum mechanical origin whose corresponding effect may be observed in the system of carbon nanocoils[5, 6].
[1] R.C.T. da Costa, Phys. Rev. A 23, 1982 (1981).
[2] S. Matsutani, and H. Tsuru, J. Phys. Soc. Jpn. 60, 3640 (1991).
[3] C. Ortix, and J. van den Brink, Phys. Rev. B 81, 165419 (2010).
[4] A. V. Chaplik and R. H. Blick, New J. Phys. 6, 33 (2004).
[5] T. Yonemura, et al., Carbon 83, 183 (2015).
[6] J. Shen, et al. Appl. Phys. Lett. 89, 153132 (2006).
9:00 AM - P5.02
Mixed Red and Blue Electroluminescence from Individual GaN/InGaN Nanowires Grown by Molecular Beam Epitaxy
Paul Blanchard 1 Kris A Bertness 1 Matt Brubaker 1 Alexana Roshko 1 Norman A. Sanford 1
1NIST Boulder United States
Show AbstractDue to their excellent optical, electrical, and mechanical properties, GaN nanowires (NWs) offer exciting new opportunities for basic material metrology and nanoscale devices. One particularly compelling application is the single-NW light emitting diode (LED), which can be used to create novel devices such as on-chip opto-isolators and optically active scanning probe tips. In this work, we characterize LED devices based on individual GaN/InGaN NWs with mixed red and blue electroluminescence (EL).
The NWs were grown by catalyst-free MBE on a Si <111> substrate. The nominal NW growth structure was as follows: (i) ~7 mu;m Si-doped n-GaN; (ii) 5x InGaN/GaN multi-quantum-well (MQW) stack with AlGaN electron blocking layer (EBL); (iii) ~3 mu;m Mg-doped p-GaN. Devices were fabricated from individual NWs by dispersing the NWs onto a Si/SiO2 substrate and depositing Ti/Al contact pads. Typical turn-on voltages were 5-10 V under forward bias. The EL emission varied significantly from NW to NW. Approximately one third of the devices showed a dominant blue emission peak around 430 nm, one third showed a dominant red peak between 650 and 750 nm, and one third showed a mix of both red and blue emission. Regardless of the emission color, the external quantum efficiency (EQE) was roughly measured to be on the order of 0.001% for the brightest devices.
In order to try to correlate the emission behavior with the internal structure of the NW, axial cross sections were prepared of a blue-emitting device and a red-emitting device. Under TEM, both devices showed evidence of stacking faults in the junction region. Interestingly, the blue-emitting NW cross section showed neither measurable In nor Al in the MQW/EBL region. The red-emitting NW cross section also lacked well-defined InGaN and AlGaN layers, but showed a localized inclusion of significant In and Al content near one of the NW sidewalls. It is possible that the blue-emitting NW contained a similar near-surface InGaN/AlGaN inclusion that happened to be cut out of the axial cross section for TEM.
Atom probe tomography (APT) performed on a separate NW from the same growth run also showed an InGaN/AlGaN inclusion. The nominal In concentration by APT in the inclusion was ~12 atomic % (In mole fraction of 0.24), although APT on InGaN thin films has shown that this likely overestimates the true In composition by several atomic % with the particular APT run conditions used. Indeed, a true In mole fraction of 0.13 in the APT-measured inclusion, which would theoretically correspond to emission on the order of 430 nm, is plausible. It is not yet clear whether a differing composition of indium in InGaN inclusions accounts for the blue and the red emission, or whether defect-related luminescence plays the primary role in determining the emission wavelength.
9:00 AM - P5.03
Ultrafast Dynamics of Semiconductor Nanowire Lasers
Robert Roeder 1 Themistoklis Sidiropoulos 2 Rupert F Oulton 2 Carsten Ronning 1
1University of Jena Jena Germany2Imperial College London London United Kingdom
Show AbstractThe progress in understanding the fundamental processes in semiconductor nanowire (NW) lasers has led to visionary concepts that might exploit coherent radiation available at dimensions below the wavelength barrier. Furthermore, the recent development of nanophotonics and photonic circuitry requires nanolasers as light sources to circumvent the forthcoming limitations of conventional electronic circuits. Nanowire based lasers consisting of zinc oxide (ZnO) or cadmium sulfide (CdS) show beneficial Fabry-Pérot resonator and efficient waveguide properties as well as high optical gain values allowing lasing at room temperature [Geburt, Nanotechnology, 23, 365204 (2012); Zimmler, Semicond. Sci. Technol., 25, 024001 (2010)]. The ultrafast laser dynamics of these small laser systems are accessible by a novel double-pump technique [Sidiropoulos, Nat Phys, 10, 870-876 (2014)], which takes advantage of the non-linearity of the NW laser process itself. Ultrafast carrier thermalization within the optically at 3.5 eV excited semiconductors leads to laser onset times of ~ 1 ps and ~ 2.5 ps in ZnO and CdS NW lasers, respectively. The laser onset time, which is independent on the optical system, can be tuned by varying the pump energy relative to the semiconductor band gap. Conversely, the also determined laser pulse width depends strongly on the optical system. Surface plasmon polariton lasers exploiting semiconductor NWs as gain material benefit from strong optical mode confinement compared to the vacuum wavelength accelerating spontaneous and amplified spontaneous recombination through the Purcell effect.
9:00 AM - P5.04
One-Dimensional Photonic Crystal in Epitaxially Grown Vertical Silicon Nanowires with Periodic Structure
Seokhyoung Kim 1 David John Hill 1 Christopher Pinion 1 Joseph Dale Christesen 1 James F. Cahoon 1
1University of North Carolina Chapel Hill United States
Show AbstractOne-dimensional semiconductor nanowires have emerged as a promising platform for the next-generation of nanoelectronics, and precise control of their morphology has attracted interest as a new way to exploit unusual and interesting properties. Here, vertical silicon nanowires were synthesized by epitaxial growth on <111> silicon wafers using the vapor-liquid-solid (VLS) mechanism. The doping profile along the nanowire was modulated by changing the supply of dopant precursor during the growth, and precisely controlled morphology was encoded on the nanowire by using a doping level-dependent selective wet-chemical etch process. Various doping profiles and periodic gratings were encoded. By varying the pitch of the periodic grating in the sub-visible wavelength scale, the nanowires show different optical resonance modes and absorption/scattering properties. Diameters of 100-150 nm and grating periods of 400-1000 nm were studied by scanning electron microscopy and spectrometer-coupled dark-field optical microscopy, respectively. The results indicate that the VLS process can be a facile route for producing tunable one-dimensional photonic crystals.
9:00 AM - P5.05
Instantaneous and Reversible Switching between Solid and Liquid Catalysts during Nanowire Growth above the Eutectic Temperature
Christopher Pinion 1 David John Hill 1 Joseph Christesen 1 James Cahoon 1
1Univ of North Carolina-Chapel Hill Chapel Hill United States
Show AbstractMany promising semiconductor nanowire technologies, such as tunnel-FETs or thermoelectrics, require compositionally abrupt material interfaces for optimal performance. Nanowire growth by a vapor-solid-solid (VSS) mechanism has emerged as a reliable method to achieve such junctions due to the low solubility of the semiconductor material in a solid catalyst. The transition from conventional vapor-liquid-solid (VLS) growth to VSS growth is typically achieved by performing growth significantly below the catalyst-semiconductor eutectic temperature, which often yields prohibitively slow growth kinetics. We have developed a method to reliably and deterministically transition between liquid and solid catalysts during nanowire growth under isobaric and isothermal conditions significantly above the catalyst-semiconductor eutectic temperature. The transition from a liquid to a solid catalyst is achieved by a brief removal of the semiconductor precursor gas. During this “incubation” period, the concentration of semiconductor species in the catalyst rapidly decreases through evaporation until the catalyst solidifies. Upon reintroduction of the semiconductor precursor, the catalyst does not liquefy, and instead remains in a kinetically-trapped solid state with growth proceeding by a VSS mechanism. The transition from a solid catalyst to a liquid catalyst is achieved by introducing an additional flow of HCl gas, which chlorinates the nanowire/catalyst surface. The chlorinated surface alters the microscopic reaction kinetics such that the semiconductor species accumulates on the solid catalyst surface, which liquefies the catalyst. These phenomena are explained through a combination of (1) the well-known hysteric behavior of first-order transitions in nanoscale systems and (2) a detailed understanding of microscopic reaction kinetics during VLS and VSS nanowire growth. The results highlight the unique kinetic and thermodynamic properties of this nanoscale system, which permits the near-instantaneous and reversible switching between equilibrium and non-equilibrium liquid and solid states.
9:00 AM - P5.06
Semimetal- Semiconductor Transition in Semimetal Bi and Bi1-xSbx Alloys Nanowires and Their Thermoelectric Properties
Albina Nikolaeva 1 2 Leonid Konopko 1 2 Tito Huber 3 Anna Tsurkan 1 Ivan Popov 1
1D.Ghitu IEEN Chisinau Moldova (the Republic of)2International Laboratory of High Magnetic Fields and Low Temperatures Wroclaw Poland3Howard University Washington United States
Show AbstractWe study the size effects on the transport properties and Seebeck coefficient in single- crystal Bi and semimetal Bi1-xSbx nanowires in glass capillary oriented along the (1011) crystalline direction with diameters from 45 nm to 1000 nm in field temperatures 1.5-300 K [1, 2].
We observe a complex behavior in resistance, thermopower, longitudinal and transverse magnetic field as a function diameter d, temperature T, magnetic field B which provides a signature of the semimetal-semiconductor transition (SST) in Bi and semimetal Bi1-xSbx nanowires.
Semimetal Bi-2at%Sb nanowires exhibit SST and effects accompanying it increase of resistance and the energy gap with reduction of diameter, change the sign of thermopower, effect negative magnetoresistance in transverse magnetic field at diameters in 4-5 times more, than in of pure bismuth-wires.
That is connected about reduction of overlapping L and #1058; bands in semimetal alloys Bi-2at% Sb. That will allow to separate the effects connected with quantum size effect and surface states. The overlapping L and T bands and carrier mobility was calculated from Shubnikov- de Haas oscillations in Bi-2at%Sb, measured in main directions of magnetic field. The big mobility of carriers of a charge reaching maximum the values 100000 cm2/V*s are found out in trigonal direction.
The Arrhenius plot R(T) in Bi-2at%Sb nanowires indicates a thermal activation behavior with an activation gap ΔE nanowires, and shows grows of energy gap ΔE on Bi-2at%Sb nanowires diameter.
Nanowire Bi-2at%Sb demonstrate an enhanced thermopower positive polarity as the diameter d decrease and enhanced thermoelectric properties in 1D nanowires system, will be in good agreement in theory [3, 4] and which may open up a new possibility in low temperature thermoelectric application.
This work was supported by STCU project # 5986.
[1] N.B. Brandt, D.V. Gitsu, A.A. Nikolaeva and Ya.G. Ponomarev. Sov. Phys. JETP. 45(6), 1226 (1977).
[2] A.A. Nikolaeva, L.A. Konopko, Gh. I. Para, A.K. Tsurkan. Metallofiz. Noveishie Teknol. 33(1), 65-75 (2011).
[3] S.B. Cronin, Y-M. Lin, O. Rabin, M.R. Black, G. Dresselhaus, and M.S. Dresselhaus. Mater. Res. Soc. Symp. Proc. 691, G10.4 (2002).
[4] O. Rabin, Y-M. Lin, and M.S. Dresselhaus. Appl. Phys. Lett. 79(1), 81-83 (2001).
9:00 AM - P5.07
Solution-Grown Nanowire Devices for Sensitive and Fast Photo Detection
Hauke Lehmann 1 Alexander Littig 1 Tobias Kipp 1 Alf Mews 1 Christian Klinke 1
1Universitauml;t Hamburg Hamburg Germany
Show AbstractHighly sensitive and fast photo-detector devices with CdSe quantum nanowires as active elements have been developed exploiting the advantages of electro and wet-chemical routes. Bismuth nanoparticles that are electrochemically synthesized directly onto interdigitating platinum electrodes serve as catalysts in the following solution-liquid-solid synthesis of quantum nanowires directly on immersed substrates under mild conditions at low temperature. This fast and simple preparation process leads to a photo-detector device with a film of nanowires of limited thickness bridging the electrode gaps, in which a high fraction of individual nanowires are electrically contacted and can be exposed to light at the same time.
The high sensitivity of our photo-detector device can be expressed by its on/off-ratio or its photo-sensitivity of more than 107 over a broad wavelength range up to about 700 nm. The specific detectivity and responsivity are determined to D* = 4 × 1013 Jones and R = 0.32 A/W, respectively. The speed of the device reflects itself in a 3 dB frequency above 1 MHz corresponding to rise and fall times below 350 ns. The remarkable combination of a high sensitivity and a fast response is attributed to depletion regions inside the nanowires, tunnel-junction barriers between nanowires, as well as Schottky contacts at the electrodes. All these features are strongly influenced by the number of photo-generated charge carriers.
9:00 AM - P5.08
Structural and Optical Properties of Wurtzite AlInP Grown on Wurtzite InP Nanowires
Fumiya Ishizaka 1 Yoshihiro Hiraya 1 Katsuhiro Tomioka 1 2 Takashi Fukui 1
1Hokkaido University Sapporo Japan2Japan Science and Technology Agency (JST) Kawaguchi Japan
Show AbstractNanowires can be grown with a wurtzite (WZ) crystal structure by properly adjusting the growth conditions [1], unlike the conventional zinc blende (ZB) structure of the bulk crystal. Recently, GaP and AlGaP in the WZ phase have been theoretically and experimentally shown to have direct band gaps, indicating the possibility of increasing the efficiency of light-emitting diodes (LEDs) [2,3]. We have also reported on WZ InP/AlGaP core-shell nanowires toward green LEDs [4]. In this study, we focus on WZ InP/AlInP core-shell nanowires because the WZ AlInP ternary alloys are expected to have direct band gaps in the entire compositional range [2], and are therefore promising for red, amber, and yellow LEDs.
Selective-area growth of WZ InP nanowires were first performed at 660°C for 15 min with a V/III ratio of 18, which is the typical condition for the WZ structure [1]. AlInP shell was then grown at 600°C for 30 min. A total of five samples were grown with changing aluminum compositions in a range from 0 to 0.66. The diameter of InP core and the thickness of AlInP shell was estimated to be about 140 and 110 nm, respectively. The structural properties of the core-shell nanowires were investigated by reciprocal space mappings (RSMs) using X-ray diffraction measurements. From these measurements, we consider that the AlInP shell on the side has WZ structures and that the AlInP shell on the top has ZB structures. It is also found that the strain between the core and shell remained in the samples with low aluminum compositions.
In order to investigate the optical properties of WZ AlInP, we next fabricated InP/AlInP core-multishell nanowires with quantum well structures in the AlInP shell. The aluminum composition of the barrier layer and quantum well layer were estimated to be 0.57 and 0.33. Cathode luminescence (CL) measurements were performed at 34K on an individual nanowire. The emission peak of WZ AlInP appeared around 1.8-2.0 eV, showing a red emission. The intensity of WZ AlInP was much higher than that of previously reported WZ AlGaP. This is because the AlInP shell has a smaller lattice mismatch to the InP core and therefore the crystal quality of the AlInP shell is higher, as compared to the AlGaP shell. Further optical studies will be discussed to investigate the band gap of WZ AlInP.
[1] Y. Kitauchi et al., Nano Lett., 10, 1699 (2010).
[2] A. De et al., Phys. Rev. B, 81, 155210 (2010).
[3] S. Assali et al., Nano Lett., 13, 1559 (2013).
[4] F. Ishizaka et al., MRS Spring Meeting, UU3.05 (2014).
9:00 AM - P5.09
Hybrid Organic-Inorganic Heterojuntion Solar Cells with Efficiency above 11% by Utilizing Pyramidal Black Silicon
Abdul Sami 1 Sun-Mi Shin 2 Sambhaji Shinde 1 Yoon-Ho Nam 1 Hassan Hafeez 1 Myung-Hyun Kim 1 Jung-Ho Lee 1
1Hanyang University Ansan Korea (the Republic of)2University of California San Diego United States
Show AbstractThe organic-inorganic materials with semiconductor junction provide promising route to fabricate low cost hybrid solar cells. Here, we present a high conversion efficiency of 11.52% resulted from the hybrid solar cell of n-type black silicon interfaced with poly (3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS). We have categorized the surface structures of n-type silicon into planar, pyramidal, and pyramidal black surfaces to compare their effectiveness. Metal-assisted chemical etching on pyramidal textured surface was effective to fabricate near-zero reflected pyramidal black silicon showing light absorption of ~97%. Conformal coverage of PEDOT:PSS atop pyramidal black silicon was realized by the ultraviolet ozone (UVO) treatment for only 120 seconds; as a result, a very high short-circuit current density of 35.85 mA/cm2 was recorded with an external quantum efficiency of sup3;70% over broad wavelength range. This pyramidal black nanostructured device can be utilized for developing low cost and highly efficient solar applications in the future.
9:00 AM - P5.10
Study of Low Temperature CVD Grown ZnO Nanowires with Gradational Diameters for Optoelectronic Devices
Kichang Jung 2 1 Taehoon Lim 3 1 Alfredo A. Martinez-Morales 3 1
1University of California, Riverside Riverside United States2University of California, Riverside Riverside United States3University of California, Riverside Riverside United States
Show AbstractIn the last decade, quasi one-dimensional (1D) nanomaterials have been explored for their use in semiconductor devices, such as single nanowire field-effect transistors (FETs) and optoelectronic devices including light emitting diodes, lasers and photo sensors. 1D-zinc oxide (ZnO) nanowires (NWs), in particular, are of high interest because ZnO is amenable to producing a variety of nanostructures that allow for the high control of optoelectronic properties through the adjustment of dimensional characteristic (i.e. diameter size), by controlling growth conditions.
In this work, using chemical vapor deposition (CVD), we have synthesized a variety of 1D-ZnO NWs with various diameters and lengths, on a single fluorine-doped tin oxide (FTO) substrate. By protecting the Zn precursor from oxygen gas, we prevented the premature oxidation of precursor before evaporation, which allowed synthesis to be carried out at low temperatures. The growth of ZnO NWs with gradational diameter was confirmed by SEM characterization. UV radiation and UV-vis spectroscopy were used to measure the fluorescence characteristics and band gap energy from the synthesized ZnO NWs, respectively. Results were correlated to their structural characteristics.
9:00 AM - P5.11
Cellular Uptake Mechanism of Label Free Silicon Nanowires
John Franklin Zimmerman 1 Graeme Murray 1 John Jumper 1 Bozhi Tian 1
1Univ of Chicago Chicago United States
Show AbstractSilicon Nanowires (SiNWs) have attracted much attention in the last several years as next generation biomaterials with uses as biosensors, electronic stimulators and photovoltaic devices. This is in part due to silicon's low cytotoxicity, especially when compared to other group III-V or II-VI semiconductors, with recent reports suggesting that substrate free SiNWs can be internalized by cellular systems. However, while this internalization process has been well characterized for smaller nanoparticles (<150nm), a careful examination is still needed for larger nanoconstructs. In particular, a clathrin mediated endocytosis mechanism has been cited as the main pathway of nanoparticle internalization, however in naturally occurring systems this process typically handles smaller materials, and therefore is inappropriate for micron length constructs. This suggests that another route of endocytosis may play a crucial role. To address this issue, here we show that label-free SiNWs can be internalized in mammalian cell lines. To help characterize this behavior we have developed a 2D random walk model which can accurately describe the rate of SiNW uptake. When used in conjunction with drug studies, this model indicates the important role that dynamin plays in SiNW endocytosis, suggesting a phagocytosis pathway as the primary mechanism of endocytosis. Additionally, we show that once internalized, SiNWs undergo active transport; to our knowledge, the first reported case for an inorganic object this large. Overall, this approach has helped expand our understanding of how these materials interact with cellular system, helping improve specific cellular targeting and recognition of larger nanoconstructs. We believe that this research can lead to a host of new potential devices with applications in biomedical diagnostic and treatment techniques.
9:00 AM - P5.12
Functionalization Effect on SnO Nanobelts Sensor Response
Marcelo O Orlandi 1 Martin S Barbosa 1 Pedro H Suman 1 Harry L. Tuller 2 Jose A Varela 1
1UNESP Araraquara Brazil2MIT Cambridge United States
Show AbstractNanomaterials are a class of materials seen as important for the future of human kind and, in the future, they should be incorporated in devices working on all areas of experimental sciences. For this wide range of application, not only the matrix compound but also the surface functionalization are important to control the materials properties. Based on it, in this work we studied the surface functionalization of SnO nanobelts using noble metal nanoparticles. The SnO belts were synthesized by a carbothermal reduction process using SnO2 and carbon black as starting materials. In addition, the Pd and Ag nanoparticles (NPs) were synthesized by a polyol method. Then, the surface functionalization (decoration) of SnO belts with Pd or Ag NPs was performed by a self-assembly method using 1 wt% of particles. The sensor response (electrical response changes) of pure and decorated materials was measured for reducing (H2 and CO) and oxidizing (NO2) gases in different temperatures (150-350°C) and with different amount of analyte gas concentration (1 to 1000 ppm) using synthetic air as the flow gas. The morphological characterization of materials shows that SnO belts are single-crystalline and have homogeneous width along the length. The presence of metallic Sn spheres on the belts extremities indicates the self-catalytic vapor-liquid-solid (VLS) growth mechanism. For the nanoparticles, both have spherical morphology, and the average diameter is 13 nm for the Pd particles and 11 nm for the Ag ones. After the functionalization it was possible to observe a good distribution of the NPs on the belts surface. Regarding the sensor response of materials, for the NO2 analyte gas we observed a better sensor response and a good selectivity for the pure belts. This effect was attributed to the presence of lone pairs on some planes of pure material [1]. For the CO gas, we did not observe any significant difference of materials response as function of temperature and gas concentration, except for the Pd decorated belts at 150 °C, which was attributed to the CO oxidation on the belt surface at low temperatures [2]. For the hydrogen analyte gas, it was observed that Pd decorated sensor has a better sensor response and also a good selectivity due to the spill over and split over mechanisms [3]. So, in this work we demonstrate a good way to functionalize the SnO nanobelts surface with Pd and Ag NPs, studied the sensor response of materials, and proposed models to explain the obtained results.
This work was supported by CNPq and FAPESP funding agencies.
[1] P.H. Suman, A.A. Felix, H.L. Tuller, J.A. Varela and M.O. Orlandi, Sensors & Actuators B, 186, 103-108, (2013).
[2] D.D.Trung, N.D. Hoa, P.V. Tong, N. V. Duy, T.D. Dao, H.V. Chung, T. Nagao and N.V. Hieu, Journal of Hazardous Materials, 265, 124-132 (2014).
[3] U. Roland, F. Roessner, Spillover and Migration of Surface Species on Catalysts, 112, 191-200 (1997).
9:00 AM - P5.13
Multiple Roles of HMTA in the Formation of ZnO Nanowires by Chemical Bath
Romain Parize 1 Jerome Garnier 1 Odette Chaix-Pluchery 1 Estelle Appert 1 Vincent Consonni 1
1LMGP Grenoble France
Show AbstractWide band gap semiconductors have attracted much attention over the last decade due to their high technological potential in electronic, optoelectronic and photovoltaic devices. The growth of ZnO nanowires (NWs) by chemical bath deposition (CBD) is of great potential as a low-cost, low-temperature and easily implemented process. However, for real-world device integration, the technological and fundamental challenge is still the precise control of their morphology (i.e. diameter, length, density), space arrangement, uniformity and vertical alignment. These structural properties depend on (i) the morphological properties of the ZnO seed layer playing the role of nucleation surface [1,2], and on (ii) the growth conditions used in the CBD technique such as the temperature, time, pH, nature of chemical precursors and related concentration [3].
In this work, the effects of the chemical precursors on the structural properties of ZnO NWs are thoroughly disentangled from the effects of the seed layer. They are further investigated by performing a large number of equimolar and non-equimolar zinc nitrate and hexamethylenetetramine (HMTA) CBD growth experiments. A special emphasis is made on the multiple roles of HMTA leading to a better understanding of the ZnO NW growth mechanisms. In addition to act as a source of OH- ions and as a pH buffer, HMTA is found to strongly inhibit the radial growth of ZnO NWs, by presumably adsorbing on their non-polar m-plane sidewalls as a capping agent as supported by Raman scattering measurements. Importantly, it is also revealed that HMTA strongly affects the density of ZnO NWs and hence their nucleation process, which is attributed to its significant interaction with the ZnO seed layer.
The authors acknowledge funding by the Carnot Institute Energies du Futur through the project CLAPE, by the Research Cluster Micro-Nano from the Re#769;gion Rhocirc;ne-Alpes and by the LabEx Cemam under the contract ANR-10-LABX-44-01.
[1] S. Guillemin et al., J. Phys. Chem. C116, 25106 (2012).
[2] S. Guillemin et al., J. Phys. Chem. C 117, 20738 (2013).
[3] V. Strano et al., J. Phys. Chem. C 118, 28189-28195 (2014).
[4] R. Parize et al., laquo; Investigation of the multiple roles of HMTA in the growth of ZnO nanowires by chemical bath deposition raquo;, submitted (2015).
9:00 AM - P5.14
ZnO Nanowire / CuSCN Heterojunctions for Self-Powered Ultra-Violet Photodetectors
Jerome Garnier 1 Romain Parize 1 Estelle Appert 1 Odette Chaix-Pluchery 1 Anne Kaminski-Cachopo 2 Vincent Consonni 1
1LMGP Grenoble France2IMEP-LAHC Grenoble France
Show AbstractThe self-powered ultra-violet photodetectors involving ZnO nanowires (NWs) in wide band gap semiconductor p-n heterojunctions have recently attracted much attention [1]. They are self-sufficient by benefiting from their photovoltaic behavior in the UV spectral range as a p-n photodiode and thus do not need constant external bias voltage. This is in contrast to standard ZnO NW-based UV photodetectors integrating Schottky metal-semiconductor diodes, for which oxygen adsorption / desorption mechanisms are involved, generally working at relative high bias voltage in order to prevent electron-hole pair recombinations and to favour the detectability of the UV signal. Higher sensitivity, faster response times and no oxygen dependency are expected. Furthermore, the use of CuSCN as an inorganic p-type wide band gap semiconductor has become highly promising [2], by exhibiting high transparency in the visible range but also relatively good hole conductivity.
In this work, we demonstrate the fabrication of ZnO NW / CuSCN heterojunctions by combining chemical bath deposition with impregnation techniques as low-cost, low-temperature and easily implemented processes [3]. It is shown that the ZnO NW arrays are completely filled by the CuSCN layer, which is formed of columnar grains that are strongly oriented along the [003] direction owing to the polymeric form of the β-rhombohedral crystalline phase. Importantly, an annealing step is found essential in a fairly narrow range of low temperature, not only for outgassing the solvent from the CuSCN layer, but also for reducing the density of interfacial defects. A maximum rectification ratio of 2644 at ±2V is thus achieved, which is related to a strong decrease in the reverse current density. The corresponding self-powered UV photodetectors exhibit a high responsivity of 0.02 A/W at 370 nm and an UV-to-visible (370 to 500 nm) rejection ratio of 100 under an irradiance of 100 mW/cm2. Eventually, a significant photovoltaic effect is revealed for this type of heterojunctions, which may ensure the self-powering of the complete device.
The authors acknowledge funding by the Carnot Institute Energies du Futur through the project CLAPE, by the Research Cluster Micro-Nano from the Re#769;gion Rhocirc;ne-Alpes and by the LabEx Cemam under the contract ANR-10-LABX-44-01.
[1] L. Peng et al., Adv. Funct. Mater. 24, 2591 (2014).
[2] S.M. Hatch et al., Adv. Mater. 25, 867 (2013).
[3] J. Garnier et al., ACS Appl. Mater. Interfaces 7, 5820 (2015).
9:00 AM - P5.15
GaN Nanowire Fabricated by Selective Wet-Etching of GaN Micro Truncated-Pyramid
Weijie Chen 1 Xiaobiao Han 1 Jie Chen 1 Zhisheng Wu 1 Yang Liu 2 Baijun Zhang 1
1State Key Laboratory of Optoelectronic Materials and Technologies, Sun Yat-Sen University Guangzhou China2School of Physics and Engineering, Institute of Power Electronics and Control Technology, Sun Yat-Sen University Guangzhou China
Show AbstractSingle-crystalline nanowire (NW) is an attractive building block for nano-optoelectronics since its morphology, size and optoelectronic properties make it suitable for fabricating nano-scale device [1]. And GaN is one of the most promising materials for this purpose as is has excellent optoelectronic properties with a direct band gap, high mobility and great thermal stability. It is widely accepted that GaN NW is a highly promising carrier material to construct nano-optoelectronic device, such lasers, LEDs, solar cells and sensors [2, 3]. In order to realize the full potential of GaN NW devices in applications, an economical and efficient method to fabricate large-scale GaN NWs with well-controlled morphology and crystallite is pressingly needed. In this work, we reported the fabrication of GaN NW with well-controlled dimension via selective wet-etching of the GaN micro truncated-pyramid (mu;-TP) in hydroxide solution.
GaN mu;-TPs were selectively grown on Al/Si template with SiO2 patterned mask in metal organic chemical vapor deposition (MOCVD) system. It has been proved that the GaN mu;-TP consists of a (0001) top surface and six {1-101} inclined sidewalls. The (0001) top surface is Ga-polar and chemically stable in hydroxide solution, while the {1-101} sidewalls are N-polar and can be selectively etched away by hydroxide solution [4]. Therefore, the as-grown GaN mu;-TPs were immerged into 30 wt.% KOH solution at 50 °C for chemical etching to achieve GaN NWs. The wet-etching process of GaN truncated-pyramid structure toward nanowire structure has been observed. KOH solution selectively etched the N-polar {1-101} sidewalls while left the (0001) Ga-polar top surface intact. With adequate chemical etching, hexagonal-prism-structured GaN NWs with a (0001) top surface and six {1-100} vertical sidewalls were successfully obtained. And the diameter and height of the obtained GaN NWs are closed to the diameter of the (0001) top surface and the height of the GaN mu;-TPs before wet-etching. The surface polarity and the surface energy of the GaN crystal planes are utilized to explain the wet-etching behavior of the GaN mu;-TP toward NW in hydroxide solution. It is demonstrated that the three-dimensional geometry of the GaN NW is determined by the diameter of the (0001) top surface and the height of the GaN mu;-TP. Our work presented a practical route toward fabricating large-scale wafer GaN NWs with well-controlled morphology and crystallite through precise control of the wet-etching conditions.
[1] L. Manna, E. C. Scher, and A. P. Alivisatos, J. Am. Chem. Soc. 122 (2000) 12700.
[2] J. C. Johnson, H. J. Choi, K. P. Knutsen, R. D. Schaller, P. Yang, and R. J. Saykally, Nat. Mater. 1 (2002) 106.
[3] Z. Zhong, F. Qian, D. Wang, and C. M. Lieber, Nano Lett. 3 (2003) 343.
[4] S. Li, S. Fuendling, X. Wang, S. Merzsch, M. Al-Suleiman, J. Wei, H. H. Wehmann, A. Waag, W. Bergbauer and M. Strassburg, Cryst. Growth & Des. 11 (2011) 1573.
9:00 AM - P5.16
Probing the Cellular Force Components during Ex-Vivo Bacterial Growth on InP Nanowire Arrays
Prasana Kumar Sahoo 1 Alessandro Cavalli 2 V.B. Pelegati 1 Duber M Murillo 1 Alessandra A. de Souza 3 Carlos L. Cesar 1 Erik Bakkers 2 Monica A. Cotta 1
1Universidade Estadual de Campinas Campinas Brazil2Technische Universiteit Eindhoven Eindhoven Netherlands3Agronomic Institute of Campinas Cordeiroacute;polis Brazil
Show AbstractLiving cells such as bacteria interacts with nanostructures in a myriad of ways. As a matter of fact, any perturbation over the external or internal forces can alter cellular signaling and function. Understanding these dynamics at the nano-bio interface can pave the way towards developing next-generation smart diagnostic tools, and hybrid systems that compile the catalytic and synthetic power of microorganisms, such as bacteria, with the optoelectronic characteristics of abiotic material, such as inorganic nanowires. From this perspective, limited reports detail so far the cellular and subcellular forces exerted by bacterial cells during the interaction with abiotic materials. Nanowire arrays with high aspect ratio have the great potential for detecting such small forces with high spatial resolution.
Here, we performed ex-vivo, live force measurements using InP nanowire arrays and Xylella fastidiosa bacterial cells. We studied in detail the influence of nanowire array topography, along with their specific physicochemical properties, on the response and motion of bacterial cells, both isolated and in biofilms. The nanowire arrays were also functionalized with different cell adhesive promoters, such as amines and XadA1, an afimbrial protein of X.fastidiosa. This functionalization, when carried out on InP substrates, leads to 3.5 (amine) and 6-fold (XadA1) increase in the density of bacterial cells as compared to pristine InP surfaces. By employing the well-defined InP nanowire arrays platform, and single cell confocal imaging system, we were able to trace the bacterial growth pattern, and show that their initial attachment locations are strongly influenced by the surface chemistry and nanoscale surface topography. In addition, we measure the cellular forces from 16 nN up to tens of nN using these nanowire arrays in ex-vivo conditions. In case of nanowire functionalized with XadA1, the force exerted by vertically and horizontally attached single bacteria on the nanowire is in average 14% and 26% higher than for the pristine array, respectively. We were also able to resolve the difference in forces exerted by bacteria attached vertically, in two different configurations - isolated single cells or in a biofilm (in average 21% larger in the latter case). These results provide an excellent basis for live-cell force measurements as well as unravel fundamental forces at the nano-bio interface during the early stages of bacterial adhesion and biofilm evolution.
9:00 AM - P5.17
Catalytic Effect on Gas Sensor Response of Metal-Decorated SnO2, SnO and Sn3O4 Nanobelts
Pedro Henrique Suman 1 2 Martin S Barbosa 1 Jose A. Varela 1 Harry L. Tuller 2 Marcelo O Orlandi 1
1Satilde;o Paulo State University (UNESP) Araraquara Brazil2Massachusetts Institute of Technology (MIT) Cambridge United States
Show AbstractThe development of new chemical sensors made from semiconductor nanostructures has attracted great interest in recent years. It is expected that these devices may be able to detect pollutant gases at ppm, and even ppb levels, with high sensitivity, selectivity and response speed in order to satisfy a wide range of requirements in several areas. SnO2 is the most studied and best known gas sensing material, however the gas sensor properties of tin oxides with unusual stoichiometries have been reported only recently. In this work, the catalytic effect on the gas sensor performance of metal-decorated tin oxide nanobelts with different stoichiometries (SnO2, SnO and Sn3O4), synthesized by a carbothermal reduction method, is studied. All materials were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and transmission electron microscopy (TEM). In order to analyze the gas sensor response of the nanostructures, gas sensing tests were carried out by monitoring changes in the electrical resistance during cyclic exposure to different concentrations of oxidizing (NO2) and reducing (H2, CO and CH4) gases in different operating temperatures (100-350°C) using synthetic dry air as baseline gas. Results showed that pristine samples have higher sensitivity for oxidizing gases than for reducing ones, which is expected for n-type semiconductors. However, the metal-decorated samples presented higher sensitivity for reducing gases due to the interaction between the analyte gas and the catalyst nanoparticles on the surface of nanobelts in a process known as spillover. Furthermore, the sensitivity of all samples was found to be highly dependent on the operating temperature of sensors, which is related to the thermal adsorption/desorption of the gas species on the surface of nanostructures. Overall, we discuss the unusual surface electronic structure of these novel pristine and metal-decorated tin oxides materials that may contribute to the development of high performance gas sensor devices.
9:00 AM - P5.18
InAs Nanowire Transistors with Multiple, Independent Metal/Oxide Wrap-Gate Segments
Adam Burke 1 2 Damon Carrad 1 Jan Goeran Gluschke 1 Kristian Storm 2 Sofia Fahlvik Svensson 2 Heiner Linke 2 Lars Samuelson 2 Adam Paul Micolich 1
1UNSW Australia Sydney Australia2Lund University Lund Sweden
Show AbstractA driving force in electronics is miniaturization of the field-effect transistor, a device where the current in a semiconductor channel is controlled electro-statically by the voltage on a metal gate electrode. In nanoscale transistors the electrostatics of gate-channel coupling becomes a key performance limiter, fuelling a push towards advanced designs, e.g., Fin-FETs and trigate FETs, where the gate is ‘folded&’ around the channel to enhance coupling, mitigate short channel effects, and improve performance and scalability.
From an electrostatic perspective, the ultimate configuration involves a gate wrapped around the entire channel and self-assembled nanowires provide an interesting and potentially easier route to achieving this. Both vertically-oriented [1] and horizontally-oriented [2] nanowire wrap-gate transistors have now been developed, with the former attracting significant industrial interest.
We report a method for making a horizontal wrap-gate nanowire transistor with up to four independently controllable wrap-gate segments and a single horizontal nanowire featuring two independent wrap-gated transistors extending from a common source/drain contact [3]. A notable aspect of the horizontal orientation, compared to the vertical, is scalability - making n gates in the vertical orientation requires n cycles of the gate fabrication sequence, but for the horizontal orientation, an arbitrary number of gates can be made in a single gate fabrication sequence.
References:
[1] C. Thelander et al., Materials Today9(10), 28 (2006).
[2] K. Storm et al., Nano Letters12, 1 (2012).
[3] A.M. Burke et al., Nano Letters15, 2836 (2015).
9:00 AM - P5.19
Silver Nanowire Electrodes Based on Flexible Thermoplastic Substrates
Amit Kumar Tevtia 1 Jillian M. Buriak 2 Tate C. Hauger 2 S.M. Ibrahim Al-Rafia 2 Dhaval Shah 1
1SABIC Corporate Research and Innovation (CRI) Center at KAUST Thuwal Saudi Arabia2University of Alberta Edmonton Canada
Show AbstractUniversities, research institutes and companies have devoted extensive efforts to develop suitable Transparent Conductive Electrodes (TCE) for the rapidly growing flexible electronics market ($9.5B in 2012 and $63.3B expected in 2022)1. Today, only one material - Indium Tin Oxide (ITO) - is used for almost all TCE&’s. However, there is a great interest in finding alternatives to ITO due to its fluctuating cost, difficult processability and inflexibility. At SABIC&’s corporate research and innovative center, we are pursuing silver nanowires (AgNW&’s) as an alternative and mass-manufacturable route towards transparent and flexible electrodes for plastic-based electronics such as organic photovoltaics (OPVs), organic light emitting diodes (OLEDs), and others.
Herein, we describe a process that entails spray coating of silver nanowire meshes on a polymeric substrate, such as PC, PET, PVC, Ultem, and LDPE sheets. These electrodes have low sheet resistance, good optical transmission, are topologically flat, and have excellent adhesion to the polymer substrate. Bending of these rolled nanowire meshes on PC and PET with different radii of curvature demonstrate not only no delamination behavior, but also maintained conductivity. The diffuse and direct transmittance of the silver nanowires (both rolled and as-sprayed) was measured for wavelengths from 350 to 1200 nm, and the diffuse transmission was similar to that of the PC and PET substrate, while the direct transmission decreases by about 7minus;8%.The prepared polymeric sheets that are treated with a combination of heat and pressure generate electrodes that have low sheet resistance (#706; 20 Omega;/#9633;) with optical transmission higher than 90 %2.
1IDTechEx report: Transparent Conductive Films 2012-2022: Markets, Technologies and Assessment of ITO Alternatives.
2 Process for making materials with Micro-or nanostructured conductive layers, US patent App No. US2015/0037517 A1
9:00 AM - P5.20
Magnetoresistance Oscillations in Topological Insulator Microwires Contacted with Superconducting Electrode
Leonid Konopko 1 2 Albina Nikolaeva 1 2 Tito Huber 3 Krzysztof Rogacki 2
1Ghitu Institute of Electronic Engineering and Nanotechnologies, ASM Chisinau Moldova (the Republic of)2International Laboratory of High Magnetic Fields and Low Temperatures Wroclaw Poland3Howard University Washington United States
Show AbstractRecent efforts to detect and manipulate Majorana fermions in solid state devices have employed topological insulator (TI) nanowires proximity coupled to superconducting leads (SC). This combination holds some promises for the fundamental physics and applications. We studied the transverse magnetoresistance of Bi2Te3 and Bi0.83Sb0.17 TI microwires contacted with superconducting In2Bi leads. Bi2Te3 has a simple band structure with a single Dirac cone on the surface and a large non-trivial bulk gap of 300 meV. The semiconducting alloy Bi0.83Sb0.17 is a strong topological insulator due to the inversion symmetry of bulk crystalline Bi and Sb. The polycrystal microwire samples of n-type Bi2Te3 with a glass coating were manufactured by liquid phase casting in a glass capillary using an improved Taylor technique. The single-crystal Bi0.83Sb0.17 microwire samples were prepared by the high frequency liquid phase casting in a glass capillary using an improved Ulitovsky technique; they were cylindrical single-crystals with (1011) orientation along the wire axis. For investigation TI/SC interface we have prepared Bi2Te3 and Bi0.83Sb0.17 glass coated microwire samples using superconducting alloy In2Bi (Tc=5.6 K) for making contact of one side of microwires with copper leads and gallium for making contact of another side of microwires with copper leads. The equidistant in transverse magnetic field (up to 1 T) magnetoresistance oscillations at the TI/SC interface have been observed at various temperatures (4.2 k - 1.5 K) both in Bi2Te3 and in Bi0.83Sb0.17 samples. In Bi2Te3 sample with diameter d=16 mu;m this oscillations exist with period ΔB=18 mT and the sharpness of the FFT peak increases with decreasing temperature. In Bi0.83Sb0.17 sample with d=1.7 mu;m magnetoresistance oscillations are characterized by a period of ΔB=46 mT. The observed oscillations cannot be referred to the Shubnikov de Haas oscillations because of they are not periodic in the inverse magnetic field and their amplitude with increasing magnetic field decreases. Observation of Aharonov-Bohm effect also hardly possible in a transverse magnetic field. Different assumptions about the nature of the observed effect will be discussed.
This work was supported by STCU Grant no. 5986.
9:00 AM - P5.21
Towards Large Scale Nanowire Device Assembly with Surface Controlled Contact Printing
Daniel Rosskopf 1 Steffen Strehle 1
1University of Ulm Ulm Germany
Show AbstractBottom-up grown nanowires resemble undoubtedly potential building blocks for advanced nanoscale devices. However, efficient device fabrication is still hampered by the limited control over single nanowire positioning on the targeted substrate and by incompatibilities with modern top-down microfabrication technologies.
At present, mechanical nanowire transfer, like nanoscale combing [1], belongs to the most promising concepts. Here, the nanowire growth and target substrate are sheared. However, the requirements of oil-based lubricants and patterned resist windows to localize nanowire deposition are critical. While mineral oil appears incompatible with microfabrication technologies, the resist might suffer from wear and its liquid-based removal can derogate the transferred nanowire assembly. Based on these considerations, we have developed a reliable and lubricant-free contact printing technique, which can position single nanowires on a target surface without patterned resists. Tailored surface features affect directly the local frictional force between an individual nanowire and the target substrate.
The concept of our surface controlled contact printing (SCCP) will be demonstrated with VLS grown silicon nanowires using a target surface composed of Si3N4, SiO2 and Au. Already coplanar arrangements of those materials are able to localize nanowire deposition by material depended frictional forces. Besides pure material effects, the surface morphology can be tailored by patterning or nanoparticle decoration to assist or suppress the influence of the material. We show that already plain gold structures of 50 nm in height and 300 nm in width enable single nanowire positioning. As the so-called catcher defines the nanowire position, the well-known individual nanowire registering becomes obsolete. Another property of SCCP is that nanowires can be directly spanned over a trench with a width of up to half of the nanowire length. This is beneficial for instance for microfluidic nanowire sensors. Furthermore, electrical measurements were conducted to exclude any influence from mechanical wear altering the nanowire device.
To finally demonstrate the capability of SCCP to enhance nanowire device fabrication towards industrial scale, 36 nanowires were simultaneously assembled in liquid-gate field effect transistor configuration by SCCP within an area of over 2 cmsup2; only by mask-assisted photo-lithography. Here, device yields of up to 80% were typically obtained. In this context and due to its simplicity, SCCP appears currently unrivaled.
[1] Yao, J., Yan, H. & Lieber, C. M., Nature Nanotechnol. 8, 329-335 (2013)
9:00 AM - P5.22
Using Selective-area Epitaxy of III-V Nanowires as a Starting Point to Explore New Geometries
Philippe Caroff 1 Xiaoming Yuan 1 Shagufta Naureen 1 Naeem Shahid 1 Qian Gao 1 Fouad Karouta 1 Hark Hoe Tan 1 Chennupati Jagadish 1
1Australian National University Canberra Australia
Show AbstractAfter more than twenty years of research, bottom-up III-V nanowires have proven their usefulness, but remain surprisingly difficult to grow into well controlled complex (hetero)structures. Selective-area (droplet-free) epitaxy of nanowires has been shown to provide an excellent selectivity with respect to a dielectric mask, atomically smooth sidewalls, and seems promisingly scalable. Until now the free-standing III-V nanostructures grown by this technique have mostly comprised of standard hexagonal nanowires, while very few alternative geometries (e.g. nanosheets) have been demonstrated. This is in clear contrast to their boundless potential for new applications, as already well explored in other classes of semiconductors such as oxides, nitrides and chalcogenides.
In this work focused on growth, morphological and structural properties, we will present our contribution to shape engineering by introducing our most recent results on geometries departing from the standard III-V nanowire shape. In particular arrays of nanosheets and rings will be demonstrated.
9:00 AM - P5.23
Compositional Control of Self-Seeded GaAsP Ternary Nanowires Grown by MOCVD
Sema Ermez 1 Filippo Fabbri 2 Eric James Jones 1 Giancarlo Salviati 2 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge United States2IMEM-CNR Institute Parma Italy
Show AbstractTernary nanowires are of great interest for optoelectronic devices due to their band gap tunability through compositional modulation. For example, GaAsP band gap can be tuned between 1.42 eV and 2.26 eV by adjusting the phosphorus content thus enabling dual junction tandem solar cells with theoretical efficiencies up to 34%. While composition control of GaAsP grown by metal-organic chemical vapor deposition (MOCVD) has been investigated for thin-films, the effects of processes associated with seed-mediated nanowire growth on group-V incorporation remains an open question.
In this study, we investigate the compositional control of Ga-seeded GaAsP ternary nanowires grown by MOCVD. The effects of the group V precursors ratio (arsine and phosphine) and the growth temperature (450-500°C) on P content in GaAsP nanowires are studied. We observe that P in nanowires increases nonlinearly with increasing phosphine percentage, similar to thin film experiments. However, in contrast to thin-film growth, no temperature dependence of P incorporation was observed for nanowires grown between 450-500°C, suggesting that nanowire growth kinetics plays a role in the incorporation of group-V materials. The nanowire base exhibits high cathodoluminescence (CL) emission intensity, suggesting high crystalline quality and therefore elastic accommodation of strain between GaAs substrate and GaAsP nanowire. Application of bandgap-tunable, high quality self-seeded GaAsP nanowires for sensor applications will be discussed.
9:00 AM - P5.24
Cocrystallization Method of Porous Nanostructures Synthesis
Uladzimir Novikau 1 Sviatlana Filipovich 1 Anna Daletskaya 1 Irina Sharapova 1
1SSPA ldquo;Scientific and Practical Material Research Centre of NAS Belarus" Minsk Belarus
Show AbstractNanostructured materials are characterized by a high surface-to-volume ratio leading to a significant chemical activity increase. Hence, nanostructure synthesis methods are closely related to their stabilization methods. To exclude interaction and stabilize nanosize objects, they are isolated from each other in bulk and porous matrices. The template synthesis of nanowires allows for reproducibility of geometrical and physical properties of the objects. However, each type of matrix allows forming only a certain size and morphology of nanostructures as the size of the nanoparticle cannot excel the size of the respective nanoreactor.
In this report, we demonstrate a novel principle of nanostructured material synthesis. Our approach is based on the phenomenon of cathodic cocrystallization of a salt and a metal during electrolysis. Patterns of the growth process and the major factors affecting the hierarchically organized structure formation are revealed.
To synthesize a nanowire-inorganic salt nanocomposite structure, the following electrolytes were used: electrolyte consisting of Sn+2, Pb+2, Fe+3 metal salt and anhydrous calcium chloride dissolved in dimethylformaimde (DMF), electrolyte containing water solution of CuSO4#8729;5H2O and Na2P2O7#8729;10H2O salts. The electrolysis was carried on under the constant cathodic current of 50-100 mA/cm2 density. Graphite rode was used as the cathode whereas an iron or copper rode was used as the anode.
Scanning electron microscopy and microprobe analysis have shown that the cathodic deposit is an array of ordered 50-200-nm-thick tin nanowires in a matrix of ferrous chloride. In this composite, the tin nanowires are parallel and oriented under certain angles to the salt crystal edges thus forming an ordered porous nanostructure. The length of the nanowires is determined by the size of the crystal growing. The salt crystals are acting as a pattern for nanowire growth determining their length, orientation and space ordering. Analogous results were obtained in electrolytes on the base of ferric chloride and calcium chloride in DMF that contained additional components of lead and copper salts.
Under a sufficiently high electrolyte concentration, salt is deposited onto the electrode surface simultaneously with metal owing to the saturation of the near-electrode areas with one of the electrolyte components. Under such conditions, joint course of two processes is possible: metal and salt deposition. The salt deposition proceeds owing to the fact that metal can turn univalent in the electrode redox process. The salts of the univalent metal have a significantly lower solubility in water. Both these processes proceed simultaneously due to the negative feedback. Formation of a volume order in this case can be explained by the minimization of the interphase and surface energy in the growing composition as well as by the influence of the growing salt crystals anisotropy.
9:00 AM - P5.25
Synthesis of Silver Nanowires by Soft Methods
Luiz Fernando Gorup 1 Andressa Mayumi Kubo 1 Edson R. Leite 1 Elson Longo 2 Emerson R. Camargo 1
1Federal Univ-Sao Carlos Sao Carlos Brazil2UNESP Araraquara Brazil
Show AbstractSilver nanostructures have unique electrical, optical, and thermal properties, with potential applicability in microelectronics, optoelectronic devices, and sensors, since silver is the metal with the highest electrical (6.3 × 107 widely used as conductive interfaces in electronics). Silver nanowires have, therefore, being studied for the next generation of nano electronics, solar cells, and sensors. Many methods have been developed to prepare silver nanowires within hard templates, such as anodic aluminum oxide, carbon nanotubes, and co-polymers. However, the subsequent removal of the templates is still hard. Nanowires sizes are also limited to the hard templates pore size. Therefore, wet chemical methods capable of the large-scale production of silver nanowires without hard templates are required. Soft template-assisted methods have been used for the preparation of silver nanoparticles which can be modified to synthesize silver nanowires. This work reports a simple method using 1,4 m mol L-1 of ammonium hydroxide as a soft template, and 3,0 mmol L-1 of sodium citrate used as a reducing agent, and 1,0 mmol L-1 of silver nitrate in 100 mL of water solvent at 80oC by 12 hours for fabricating straight and ultra-long silver nanowires with lengths of up to 50 mu;m and diameters of about 20-50 nm, under atmospheric conditions without impurities. The addition of numerous substances in a reaction, would inevitably introduce impurities into the final products. From the viewpoint of practical application, the proposed method in this paper has the advantage, of having only three reagents. Powder X-ray diffraction (XRD) patterns of the silver products prepared using the AgNO3/sodium citrate/ammonium hydroxide/water system showed peaks corresponding to those reported for bulk silver (JCPDS 87-0720) with a face centered cubic (fcc) structure without any impurities. The intensity ratio of (111) to (200) is much larger than the theoretical value, indicating that the intensity of the (200) peak was much smaller than expected. The XRD data suggest that the silver nanowires grew in a preferential direction. Silver nanowires synthetized by Soft template-assisted methods with a higher purity can be produced on a mass scale under atmospheric conditions that would play an important role in many fields, such as nanoelectronics, nanophotonics, the formation of superstrong and tough composites, and the fabrication of novel scanning probes.
9:00 AM - P5.26
Wavelength Selectivity of InSb Nanowire Array Absorptance in the Mid-Wavelength Infrared (MWIR) Region
K. M. Azizur-Rahman 1 Ray R. LaPierre 1
1McMaster University Hamilton Canada
Show AbstractNanowire research has recently intensified due to the emergence of diverse fabrication techniques that have made their production easily attainable. They possess unique structural, optical, and electrical properties making them highly desirable for a variety of optoelectronic devices such as infrared photodetectors, single photon sources, and solar cells. The focus of our study is on the optical properties of vertical nanowire arrays and their prospective application in infrared photodetectors.
Absorptance of normal incidence light in vertical nanowire arrays occurs as a result of the simultaneous contribution from leaky resonant modes, near field evanescent wave coupling, and Fabry-Perot modes. Each of these optical phenomena depend on nanowire array geometrical parameters (diameter, array period, and length): the leaky mode resonances depend on diameter and array period, near field coupling depends on array period, and the Fabry-Perot modes depend on nanowire length. These relationships can be utilized to fabricate wavelength-selective infrared photodetectors that have narrow spectral band sensitivity. Utilizing the small bandgap of InSb, such photodetectors can be engineered to operate in the mid-wavelength infrared (MWIR) region. We demonstrate this capability via optical simulation studies.
The recent simulation results showed that the HE11 and HE12 leaky mode resonance wavelengths linearly red-shifted with increasing nanowire diameter. The absorptance and spectral width of the resonance peaks increased as the nanowire length increased, with an absorptance plateau for very long nanowires that depended on diameter and period. Near-field coupling between neighbouring nanowires was observed to decrease with increasing period. The effect of Fabry-Perot modes was more pronounced for shorter nanowires, with a significant enhancement of HE12 over HE11 absorptance. These optical phenomena can be engineered to fabricate multi-spectral InSb nanowire array photodetectors.
P1: Characterizations and Applications
Session Chairs
Peter Klar
Maria Tchernycheva
Monday AM, November 30, 2015
Sheraton, 2nd Floor, Constitution A
9:30 AM - *P1.01
Probing Intrinsic and Interfacial Thermal and Thermoelectric Transport in Nanowires and Two-Dimensional Materials
Li Shi 1
1Univ of Texas-Austin Austin United States
Show AbstractInterface scattering or quantum confinement of electrons and phonons in nanowires and two-dimensional (2D) nanostructures can give rise to unique electronic, thermal, and thermoelectric transport properties, which impact their device applications. For example, the largely suppressed thermal conductivity found in some of these nanostructures can potentially lead to undesirable local hot spots in electronic and optoelectronic devices, but can help to increase the energy conversion efficiency of thermoelectric devices. Over the past two decades, a number of experimental efforts have been devoted to better understanding the size-dependent thermal and thermoelectric properties of these nanostructures. While considerable progresses have been made in nanoscale thermal and thermoelectric transport measurements, it is often difficult to separate the contact thermal resistance from the intrinsic thermal and thermoelectric properties of the nanostructure sample. Recently, a new four-probe thermal and thermoelectric transport measurement method has been demonstrated for measuring both the contact thermal resistance and intrinsic thermal and thermoelectric properties of nanostructures. Here, this measurement method will be reviewed together with new thermal and thermoelectric measurement results obtained with this method on Si and BAs nanowires and several 2D systems.
10:00 AM - P1.02
Laser-Induced Thermal Stress in Axial Silicon-Germanium Nanowire Heterojunctions
Xiaolu Wang 1 Leonid Tsybeskov 1 David Lockwood 2 Xiaohua Wu 2 T. I. Kamins 3
1New Jersey Inst of Technology Newark United States2National Research Council Ottawa Canada3Stanford University Palo Alto United States
Show AbstractDetailed studies of structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4% lattice mismatch they can be grown without structural defects. Ttransmission electron microscop and energy-dispersive x-ray spectroscopy confirm that the lattice mismatch induced strain is reduced due to spontaneous SiGe intermixing at the heterointerface and lateral expansion of the Ge segment of the nanowire. However, the mismatch in Ge and Si coefficients of thermal expansion is responsible for significant thermally induced stress, which is observed during photoluminescence and Raman scattering measurements under intense laser excitation.
10:15 AM - P1.03
Nanowire/Nanotube Heterojunction Tandem Cells for Overall Solar Water Splitting in Neutral pH Water
Alireza Kargar 1 Jeanne Khamwannah 1 Justin S. Cheung 1 Chinhung Liu 1 Namseok Park 1 Deli Wang 1 Shadi Dayeh 1 Sungho Jin 1
1Univ of California-San Diego La Jolla United States
Show AbstractSunlight and seawater are the ultimate energy resources on earth for solar hydrogen production, and together establish a potential solution to the energy crisis, which at the same time can reduce the carbon emission coming from the use of fossil fuels. Photoelectrochemical (PEC) hydrogen generation through solar water splitting is considered as a promising approach for clean hydrogen fuel generation, which has potential for high solar-to-hydrogen (STH) efficiencies. Obtaining a PEC tandem cell (full PEC system) to handle efficient and durable overall spontaneous solar water splitting is a challenge, in which its key difficulties remain in design and engineering efficient and stable photoelectrodes (photocathode and photoanode) using earth-abundant materials with cheap and scalable fabrication techniques. There has been tremendous progress on individual photoelectrodes with high cathodic or anodic performances, however, coupling of most of such photoelectrodes to build a PEC tandem cell has been difficult due to some limitations such as operation of photoelectrodes in different electrolytes, high onset potentials, etc. Building full PEC systems based on nanowire (NW) heterojunction photoelectrodes is a promising approach for solar fuel production due to unique characteristics of NWs, facile NW fabrication methods, ability to form different NW heterostructures, etc. On the other hand, having a PEC tandem cell working in neutral pH water is highly desirable as the natural water resources such as seawater are usually in a neutral condition. In this talk, we present a novel PEC tandem cell, consisting of p-Si/TiO2/Fe2O3 core/shell/hierarchical nanowire (csh-NW) array photocathode and TiO2/TiO2 core/shell nanotube (cs-NT) array photoanode, for overall solar water splitting in a neutral pH water. The photoelectrodes, made mainly by solution-processed methods, offer significantly enhanced performances in the neutral pH water with a very low onset potential. The PEC tandem cell is then able to handle overall solar water splitting in the neutral pH water. To the best of our knowledge, this is the first demonstration of a nanostructured-based full PEC system, consisting of catalyst-free array heterojunctions, for overall solar neutral water splitting. A bifunctional catalyst, NiO, is also presented to significantly boost the STH efficiency of the PEC tandem cell in the neutral pH water.
10:30 AM - P1.04
Three-Dimensional Lithography for Mesostructured Silicon Nanowires
Zhiqiang Luo 1 Yuanwen Jiang 1 Bozhi Tian 1
1The University of Chicago Chicago United States
Show AbstractSemiconductors with three-dimensional mesoscale features are an emerging class of materials, with promising applications from stretchable bioelectronics to alternative plasmonics and metamaterials. However, to date, few methods exist to synthesize or fabricate such complex materials. Metal can diffuse along semiconductor surfaces, and even trace amount can change the surface behavior. We exploit the phenomena for three-dimensional mesoscale lithography, by showing one example where iterated deposition-diffusion-incorporation of gold over silicon nanowires forms etchant-resistant patterns. Using a combined anisotropic alkaline etching and facet selective etchant-resistant patterning process, silicon nanowires with three-dimensional mesostructures were successfully synthesized in a controlled manner. Their novel three-dimensional mesoscale features, such as skeleton-like morphology, three-dimensional tectonic motifs, and reduced symmetries, were revealed by electron microscopy and tomography. Quantitative analysis of the gold-diffused pattern on silicon nanowires implies a gold/silicon reaction limited patterning process. Comprehensive characterization using atom-probe tomography, x-ray photoelectron spectroscopy, aberration-corrected scanning transmission electron microscopy,and electrochemical measurement, indicates the existence and the role of individual gold atoms in forming three-dimensional lithographic resists.
10:45 AM - P1.05
Fabrication of Large Area Nano-pillar Arrays Using a Novel Fast Electron Beam Lithography Technique
Wing H Ng 1 Anthony J Kenyon 1
1University College London London United Kingdom
Show AbstractElectron beam lithography (EBL) is a well-known and widely used technique for patterning nanostructures, which can precisely control their sizes and shapes. However, the major drawback of using this technique is its slow patterning speed. Here we demonstrates a new EBL exposure technique that we used to fabricate extremely uniform nano-pillar arrays over a large area with exposure time up to ten times shorter than conventional methods.
We used this technique to fabricate silica nano-pillars from hydrogen silsesquioxane (HSQ). We demonstrate that we can vary the period of the pillar array in x and y directions independently. The diameter of the pillars, and the shape of the pattern could also be controlled.
Our silica nano-pillar arrays were characterised using scanning electron microscopy and atomic force microscopy. The diameter of each pillar was typically 30nm, and the height was typically 100nm. The inter-pillar spacing was variable, with the smallest spacing achieved being 80nm. The size of the pillar array was 5mm by 5mm and the sizes and shapes of the pillars were uniform over this area. We have also demonstrated that this patterning technique works on a wide range of substrates, including silicon, III-V materials, and ITO.
From the application point of view, we have demonstrated that these silica nano-pillars have resistive switching properties. Hence, we could potentially fabricate large area and high density resistive switching devices using this method. We are also working on the demonstration of using HSQ material and this exposure technique to fabricate large area nanowire arrays.
P2: Sensors and Applications
Session Chairs
Monday AM, November 30, 2015
Sheraton, 2nd Floor, Constitution A
11:30 AM - *P2.01
Updated Progress in Piezotronics and Piezo-Phototronics
Zhong Lin Wang 1
1Georgia Inst of Technology Atlanta United States
Show AbstractPiezoelectricity, a phenomenon known for centuries, is an effect that is about the production of electrical potential in a substance as the pressure on it changes. For wurtzite structures such as ZnO, GaN, InN and ZnS, due to the polarization of ions in a crystal that has non-central symmetry, a piezoelectric potential (piezopotential) is created in the crystal by applying a stress. The effect of piezopotential to the transport behavior of charge carriers is significant due to their multiple functionalities of piezoelectricity, semiconductor and photon excitation. By utilizing the advantages offered by these properties, a few new fields have been created. Electronics fabricated by using inner-crystal piezopotential as a “gate” voltage to tune/control the charge transport behavior is named piezotronics, with applications in strain/force/pressure triggered/controlled electronic devices, sensors and logic units. This effect was also extended to 2D materials such as MoS2. Piezo-phototronic effect is a result of three-way coupling among piezoelectricity, photonic excitation and semiconductor transport, which allows tuning and controlling of electro-optical processes by strain induced piezopotential. The objective of this talk is to introduce the fundamentals of piezotronics and piezo-phototronics and to give an updated progress about their applications in energy science (LED, solar) and sensors (photon detector and human-CMOS interfacing).
[1] W.Z. Wu, X.N. Wen, Z.L. Wang “Pixel-addressable matrix of vertical-nanowire piezotronic transistors for active/adaptive tactile imaging”, Science, 340 (2013) 952-957.
[2] C.F. Pan, L. Dong, G. Zhu, S. Niu, R.M. Yu, Q. Yang, Y. Liu, Z.L. Wang* “Micrometer-resolution electroluminescence parallel-imaging of pressure distribution using piezoelectric nanowire-LED array”, Nature Photonics, 7 (2013) 752-758.
[3] Z.L. Wang “Piezopotential Gated Nanowire Devices: Piezotronics and Piezo-phototronics”, Nano Today, 5 (2010) 540-552.
[4] Q. Yang, W.H. Wang, S. Xu and Z.L. Wang* “Enhancing light emission of ZnO microwire-based diodes by piezo-phototronic effect”, Nano Letters, 11 (2011) 4012-4017.
[5] W.Z. Wu+, L. Wang+, Y.L. Li, F. Zhang, L. Lin, S. Niu, D. Chenet, X. Zhang, Y. Hao, T.F. Heinz, J. Hone, and Z.L. Wang “Piezoelectricity of single-atomic-layer MoS2 for energy conversion and piezotronics", Nature, 2014, DOI: 10.1038/nature13792.
12:00 PM - P2.02
Metal-Oxide Nanowire Sensor Array Integrated on CMOS Integrated Circuits Using Deterministic Assembly
Oren Gall 1 Daniel Schulman 1 Theresa Mayer 1
1Penn State University University Park United States
Show AbstractThe development of metal-oxide gas sensors that consume microwatts of power and detect target gases down to ppm-ppb levels in a wearable form factor is of great interest for individuals sensitive to environmental pollutants. Metal oxide nanosensors are strong candidates for such a platform because they provide a chemiresistive response when the reaction of a reducing gas with adsorbed oxide ions changes the surface charge on the metal oxide surface, leading to carrier accumulation and increased conduction. Discriminating a target gas can be accomplished by simultaneous collection and processing of response data from cross reactive array elements. Monolithic integration of metal-oxide nanowire sensor arrays on CMOS integrated circuits provide a route to the low-power, high-performance, and low-cost needed for portable applications. Practical implementation requires synthesis of robust and reproducible nanowires, positioning individual wires in multi-element arrays, and coupling the wires to CMOS electronics.
In this talk, we will present a scalable hybrid top-down/bottom-up fabrication method that produces highly uniform, mechanically robust nanowires composed of a high-resistivity Si core and an ultrathin polycrystalline metal-oxide shell. The process begins by producing an array of 100 to 200-nm diameter standing Si nanowires by deep reactive ion etching of a Si substrate. The vertically oriented array was used as a template for atomic layer deposition (ALD) of a conformal amorphous metal oxide shell, such as TiO2, on the surface of the Si wires. The structure was annealed under optimized conditions to crystallize the metal oxide shell prior to releasing the individual wires into solution for subsequent assembly. Electric-field assisted directed assembly was used to position individual wires within lithographic features on a substrate; this enables monolithic integration of nanosensor arrays with CMOS electronics. The sensors were completed by integrating metal contacts onto the assembled nanowires using conventional top-down fabrication methods.
The electrical properties of TiO2 and SnO2 wires were characterized to evaluate their uniformity and sensing performance. Resistance measurements of 30 TiO2 nanowires and 30 SnO2 nanowires showed variations of 18% and 15%, respectively, confirming high uniformity. The TiO2 wires yielded a comparable to state-of-the-art H2 sensitivity of 25 at 175#8304;C operating temperature. The SnO2 nanowires demonstrated low power operation of 120 µW at a detection limit of 10 ppm carbon monoxide via localized joule heating. Validation of CMOS compatibility was accomplished with electrical measurements of the wires connected to CMOS circuits following electric-field assisted deterministic assembly.
12:15 PM - P2.03
3D Silicon Nanowires Sensors for NO2 Detection down to ppb Levels
Brieux Durand 1 2 Aurelie Lecestre 1 2 Laurent Mazenq 1 2 Philippe Menini 1 2 Guilhem Larrieu 1 2
1LAAS-CNRS Toulouse France2Univ de Toulouse, LAAS Toulouse France
Show AbstractNitrogen dioxide, one of the most prominent gaseous air pollutants, is a reddish-brown toxic gas, mainly coming from internal combustion engines and thermal power stations. The NO2 reacts with the intern mucus membrane of lungs, and is at the origin of large increase of respiratory diseases. Various international agencies recommend level of long period exposures well below the olfactory detection level (300ppb).
Most commercial sensors, using metal oxide as sensitive layer, exhibit sensitivity in the ppm range. Moreover to be efficient, these layers operate at elevated temperatures, which drastically increase the global power consumption of the device. One-dimensional nanostructures, such as nanowires [1] or nanotubes [2], hold a great potential for the new generation of high sensitive sensors due to the high surface-to-volume ratio operating at a room temperature (low consumption). However, very few demonstrations showed sub-50 ppb sensitivity. Two nanowire based-sensors exhibit 20ppb sensitivity in air with In2O3 [3] and Si [4] nanowires but with some remaining critical drawbacks to address in term of recovery of the sensing mechanism or device repeatability.
Here, we report new miniaturized chemical gas sensors, working at room temperature, based on 3D silicon nanowires (SiNW) device, which is developed through a large scale approach. The vertical SiNW networks are patterned by top-down approach, which combines photolithography and sacrificial oxidation to precisely control the dimension, number, spacing and position of each nanowire in order to achieve high reproducibility. The device is composed by two symmetrical Al contacts (low access resistance) at each extremity of the NWs, including a top contact done by air bridge approach. Under controlled atmosphere, the sensor demonstrates gas selectivity, by discriminating NO2 and NH3, without being interfered with CO and C3H8. Due to the enhanced adsorption surface conferring by the 3D configuration, extremely low sensibility level has been reached. A very high response (30%) is obtained at 50ppb of NO2, the dilution limit of our test bench, in comparison of the 25% reached for 200ppb in [4], indicating that lowest detectable NO2 concentration by our device is greatly below 20ppb. Furthermore, the recovery of the sensor is achieved naturally at room temperature, without flushing [3] or specific illumination for the molecules desorption [4] with a reliability over 6 months.
In summary, we demonstrated detection of NO2 down to ppb level, using resistor gas sensors based on 3D SiNW networks. This device combines for the first time high sensibility, selectivity, reversibility, low-power consumption, reliability and low-cost large scale and CMOS compatible fabrication.
[1] N.S. Ramgir et al, Small, vol. 6, 1705-1722, 2010
[2] P. Bondavalli et al, Sens. Actuators B Chem., vol. 140, 304-318, 2009
[3] D. Zhang et al, Nano Lett., vol. 4, 1919-1924, 2004
[4] M.C. McAlpine et al, Nat. Mater., vol. 6, 379-384, 2007
12:30 PM - P2.05
Towards Functional Gas Sensing Devices through Localized-Grown SnO2 Nanowires on CMOS-Compatible Microhotplates
Jordi Sama 1 Sven Barth 2 Juan Daniel Prades 1 Olga Casals 2 Isabel Gracia 3 Carles Cane 3 Francisco Hernandez-Ramirez 1 Albert Romano-Rodriguez 1
1Universitat de Barcelona Barcelona Spain2Vienna Technical University Vienna Austria3Consejo Superior de Investigaciones Cientiacute;ficas Bellaterra Spain
Show AbstractOne-dimensional (1D) semiconductors, such as nanotubes (NT) or nanowires (NW), are highly interesting materials for gas sensing applications due to their high aspect ratio, that allows strong interactions between their surface and the surrounding atmosphere. This interaction is, afterwards, converted into an electrical signal, which is the response of the device. A typical gas sensor device configuration is a chemoresistor based on nanowires in which, due to the interaction of the gas species with the nanomaterial&’s surface and to the charge transfer occurring during this process, a change in the effective channel through which the charge travels occurs, evidenced by a variation of its resistance. This process typically occurs at temperatures in excess of 1500C to allow the reversible adsorption of the gas species and to speed up the reactions.
However an important bottleneck for fabricating electronic devices using bottom-up fabricated nanowires or nanotubes as building blocks exists and is their integration into the semiconducting substratea, which is difficult, time consuming and expensive.
This work presents a fabrication procedure that allows the direct integration of the nanomaterials on top of CMOS compatible microhotplates that contain the heating element required for the high temperature operation and which has been applied to the fabrication of SnO2 nanowires on them and which are tested as gas sensors towards different gases.
Typically temperatures above 925 K are required to activate the nucleation of tin oxide NWs on gold seeds via the vapor-liquid-solid method. In this work we will report the fabrication of such NWs on closed CMOS-compatible microhotplates in a CVD approach. In this experiment the localized growth of tin oxide nanowires only occurs on the heated surface of the membrane between the interdigitated electrode contacts of the front side of the membrane. The heating power for this process is just 30 mW, an important reduction compared to standard CVD furnaces.
The response of these SnO2 nanowires has been tested towards NH3, NO2, CO and other toxic gases at different concentrations, temperatures and humidity conditions. To give some values, a power of 46mW was required to achieve the operation temperature of 3000C, at which the response to 50 ppm of NH3 was about 3% and with a response time of 63 s, values which are close to the time-weighted average exposure limit for 8 h recommended by NIOSH.
The potentialities of the process for fabricating different types of gas sensors will be presented and the extension to other materials systems will be critically discussed.
Symposium Organizers
Philippe Caroff, The Australian National University
Monica A. Cotta, State University of Campinas
Shadi A. Dayeh, University of California, San Diego
Anna Fontcuberta i Morral, Ecole Polytechnique Federale de Lausanne
Sebastien R. Plissard, Laboratory for Analysis and Architecture of Systems, CNRS
Symposium Support
Attolight
Keysight Technologies
P8: High Resolution Characterization Techniques
Session Chairs
Tuesday PM, December 01, 2015
Sheraton, 2nd Floor, Constitution A
2:30 AM - *P8.01
Insight on the Fine Structure of Nanowires down to Single Atom Detection: Correlation to Their Physical Properties
Maria de la Mata 1 Jordi Arbiol 1
1ICREA and Institut Catalagrave; de Nanociegrave;ncia i Nanotecnologia, ICN2 Bellaterra Spain
Show AbstractNanotechnology allows modifying the structure of nanoobjects down to the atomic scale. Low dimensional quantum structures can be embedded in a nanowire system in order to modify its properties at will. Electronic and optoelectronic devices benefit from the new advances in growth methodologies, with a fine control of the elemental species locally deposited.
In the present work, we will present how an accurate knowledge on the atomic positions, down to single atom detection, may help to deeply understand the improved properties of our complex nanowire heterostructures. We will show how from scanning transmission electron microscopy (STEM), it is possible to obtain precise 3D atomic models that can be used as input for the simulation of its physical properties. Finally, these theoretical properties will be cross-correlated to the experimental measurements obtained locally on our nanowire systems.
Some of the presented works will include: the effect of the isotope distribution on the phononic behavior of nanowires, the measurement of the internal electric fields in quantum structures and the influence of doping on the compensation of the polarization field, or the influence of polarity and the atomic arrangement on the photonic and electronic properties of single heterostructured nanowires.
3:00 AM - P8.02
Cleaning Up in Semiconductor Nanowires
Sebastian Koelling 1 Ang Li 1 2 Simone Assali 1 Luca Gagliano 1 Alessandro Cavalli 1 Hakon Ikaros T. Hauge 1 Johannes Greil 1 Marcel Verheijen 1 Rianne Plantenga 1 Erik Bakkers 1 2 Paul Koenraad 1
1TU Eindhoven Eindhoven Netherlands2TU Delft Delft Netherlands
Show AbstractSemiconductor nanowires are large aspect ratio rods typically grown by decomposing a precursor gas on a metallic catalyst particle[1]. Their large surface to volume ratio allows to grow heterostructures of crystalline semiconductor materials in both axial and radial geometries that cannot be grown as thin-films in bulk[2]. Combining semiconductor materials in this way allows for creating devices with new and unique properties[3,4]. The performance of these devices is strongly dependent on the purity of the nanowire[5].
Nanowire growth is however usually carried out at elevated temperatures[6] and hence the presence of the catalyst particle and the various precursor gases may introduce contaminants into the semiconductor crystal and facilitate diffusion between the different materials in heterostructures. Unfortunately, the small dimensions and the geometry of the nanowires make it challenging to map the contamination in the nanowires as material characterization techniques with sufficiently high sensitivity, like Secondary Ion Mass Spectroscopy and Rutherford Backscattering, cannot easily be applied to nanowires.
Here we will show that we can use Atom Probe Tomography[7] to detect both the presence and the position of contaminants in nanowires down to the parts per million level. We will demonstrate that Atom Probe analyses of nanowires allows us compare different synthesis routes for a given nanowire structure and predict the performance of devices fabricated from these nanowires based on the level of contaminants detected in the Atom Probe analysis.
[1] R. S. Wagner, Appl Phys Lett, 4, 89 (1964).
[2] R. E. Algra, Nano Lett. 11, 1690 (2011).
[3] S. Assali, Nano Lett. 13, 1559 (2013).
[4] W. Chang, Nat Nanotechnol. 10, 232 (2015).
[5] V. Schmidt, Adv Mater. 21, 2681 (2009).
[6] H.J. Joyce, Nano Lett. 10, 908 (2010).
[7] D. Perea, Nat. Nanotechnol. 4, 315 (2009).
3:15 AM - P8.03
Tensile Strain and Phosphorus Doping of Germanium Nanowires
Kevin Guilloy 1 Nicolas Pauc 1 Pascal Gentile 1 Alban Gassenq 1 Eric Robin 1 Samuel Tardif 1 Francois Rieutord 1 Vincent Calvo 1
1CEA/INAC Grenoble France
Show AbstractGermanium is highly investigated as a promising candidate material for light emission on silicon-based photonics. As its direct bandgap is only 0.14 eV above its fundamental gap, laser operation have been demonstrated in a Ge waveguide with convenient high doping and low strain state. [1] However, adding high strain in the Ge is an interesting way to improve the optical properties. While the influence of [100] strain on the band structure of Ge seems now well known, its properties under strong [111] uniaxial stress still does not make consensus. [2-3]
We present here an experimental study of the influence of [111] strain on the direct bandgap of single Vapour-Liquid-Solid (VLS) grown Ge nanowires (NW) [4], which exhibit a [111] longitudinal axis. NWs are stressed thanks to the strain transfer from a silicon nitride thin film by a microfabrication process. We measure the deviatoric component of the strain transfer by X-Ray Laue microdiffraction on beamline BM32 at the European Synchrotron Radiation Facility and the Γ-LH direct bandgap transition by micro-photocurrent spectroscopy. NWs exhibit up to 1.5% strain and an absorption threshold shifted down to 0.73 eV. These results show good agreement with theoretical computation from the literature. [5-6]
In addition, we study the morphology and conductivity of in-situ phosphorus doped GeNWs. We introduced HCl in the CVD chamber in addition to precursor gases for Ge and P, leading to fully untapered NWs up to 410°C growth temperature. We performed 4-probes electrical measurements to measure their conductivity in combination with Electron Dispersive X-Ray (EDX) diffraction to measure the phosphorus concentration inside the Ge crystal. We scanned along the axis of the NWs, with multiple acceleration voltage, and observed a uniform distribution of dopants inside the NW. Quantitative EDX compared with electrical measurements lead to a lower bound of the electron mobility of 470 +/- 120 cm2/V/s for a donor concentration of 7 +/- 1 1018 cm-3, showing that the VLS mechanism did not alter the electrical properties as compared to bulk material.
In conclusion, we show that the nanowire geometry is an efficient way to probe the influence of [111] uniaxial strain on Ge and can be doped up to 7 1018 cm-3 while still keeping an electron mobility similar to bulk Ge. This lead to new insights toward to fabrication of devices based on highly strained and doped GeNW for light emission.
[1] Liu et al, O.L. 35, 679-681 (2010)
[2] Sanchez-Pérez et al, ACS Nano, 8 (2014)
[3] Tahini et al, Journal of Physics: Condensed Matter, 24 (2012)
[4] K. Guilloy et al, Nano Lett., 15 (4) (2015)
[5] Van de Walle, Phys. Rev. B 39, 1871-1883 (1989)
[6] Guan-Yu et al, Chinese Physics B, 21 (2012)
3:30 AM - P8.04
Super-Resolution Imaging of Optical Absorption Profiles in Silicon Nanowires
Eric Johlin 1 Jacopo Solari 1 Sander Mann 1 Erik C. Garnett 1
1FOM Institute AMOLF Amsterdam Netherlands
Show AbstractThe development of semiconducting nanowire devices is becoming of increased interest in optoelectronics fields, particularly photovoltaics and photodetection, due in large part to the extended "optical cross-section" of such materials. Despite the appeal of this enhanced absorption, experimental measurements of the spatially-resolved absorption profiles of nanowire materials are still lacking. In this work we employ super-resolution photoactivated localization microscopic (PALM) imaging to detect interactions in the near-field environment of an isolated silicon nanowire, allowing a two-dimensional visualization of the processes contributing to these observed large optical cross-sections. This is achieved through a statistical analysis of the intensity probability distributions of fluorescence events from fluorophore molecules embedded in a polymer matrix surrounding the nanowire, showing a combination of phenomena: far from the wire, fluorophores do not interact with the wire and are directly imaged as a baseline intensity distribution for the dye; near the wire, coupling to modes in the silicon reduces emission from the dye, due to either absorption in the silicon, observed as attenuated fluorescent intensity of dye in the vicinity of the wire, or re-emission by the wire, observed as increased fluorescence out of the wire; and lastly enhanced emission of the dye within the near-field of the nanowire, due to the local field enhancement. The dipole-like emission from the dye molecules allows both highly-accurate localization of the emission location, providing the high resolution of the imaging, while also precluding the beam-profile convolution present in focused-beam absorption measurements. Additionally, through both variations in the nanowire dimensions, and polarization-dependent measurements, we are able to separate the contributions of these processes and thereby obtain information on the relative influences of the participating modes. Finally, the measurements are compared to numerical FDTD dipole simulations, allowing for validation of the experimental results, and further deconvolution of the various processes outlined above.
3:45 AM - P8.05
Scanning Tunneling Microscopy of Core-Shell GaAs/LT-GaAs Nanowires
Adrian Diaz Alvarez 1 Tao Xu 1 2 Goezde Tuetuencueoglu 3 Thomas Demonchaux 1 Jean-Philippe Nys 1 Maxime Berthe 1 Federico Matteini 3 Heidi Potts 3 Anna Fontcuberta i Morral 3 Bruno Grandidier 1
1Institut d'Electronique, de Microelectronique et de Nanotechnologie (IEMN) Lille France2Shanghai University Shanghai China3Ecole Polytechnique Feacute;deacute;rale de Lausanne Lausanne Switzerland
Show AbstractMolecular beam epitaxy (MBE) of GaAs at low temperature leads to a very high non-stochiometric crystallization. Excess of arsenic is incorporated through the creation of As antisites, leading to a band of donor defects in the band gap. As a result, low temperature (LT)-GaAs presents a very fast carrier recombination time along with a high dark resistivity, making it a very suitable material for THz emitters/detectors. In addition, the geometrical and strucutral properties and the different growth mechanism of III-V semiconductors nanowires can lead to the appearence of novel optical/electronic properties and even stable polytypes that are not present in the planar growth. Furthermore, the surface structure and morphology, and its influence on the pinning of the Fermi level takes a major role on determining the final properties of the nanowire.
In this work, core-shell GaAs/LT-GaAs nanowires have been grown in MBE using Ga-droplet assited self-catalysis. The structural and electronic properties of the nanowires have been studied using scanning tunneling microscopy and spectroscopy techniques, thus, giving access to the nanofaceting morphology of a single semiconductor nanowire with a detailed picture of the sidewall structural and compositional properties at the atomic scale. Our study reveals the existence of subsurface arsenic-related point defects consistent with the growth of non-stochiometric GaAs with an excess of arsenic. Based on the structural and spectral signature of the defects, they are identified as isolated arsenic antisites. In addition, the observation of nanoscale clusters surrounded by a small space charge layer suggest the formation of As precipitaes, giving to these shells the characteristic defects found in LT-GaAs composite.
P9: Alternative Growth Methods
Session Chairs
Jordi Arbiol
Vincent Consonni
Tuesday PM, December 01, 2015
Sheraton, 2nd Floor, Constitution A
4:30 AM - *P9.01
III-V Nanowire Growth Using Alternative Metal Seed Particles
Kimberly Dick Thelander 1
1Lund University Lund Sweden
Show AbstractThe use of gold nanoparticles to seed the growth of semiconductor nanowires creates fundamental limitations on the variety of structures that can be formed and on potential applications. Gold is a forbidden element in the electronics industry, as it forms mid-gap electronic states in Si and contaminates fabrication equipment. It may also degrade the performance of III-V nanowire optical devices that depend on purity of the active channel, although this effect is still debated. Moreover, it is among the most expensive of stable solid elements, which may pose limitations on upscaling of certain devices. The investigation into alternatives to gold has to date focused primarily on self-seeded and selective area techniques, both of which are well-developed and offer higher purity of the final material. A less-explored option is to use an alternative foreign metal as a seed nanoparticle [1]. The aim in this case is not simply to mimic gold-seeded nanowire growth, but to explore the possibility of controlling the nanowire properties via the seed particle material. It may for example be possible to change the crystal structure or predominant growth direction compared to what is preferential with gold. More directly, intentional incorporation of trace atoms from the seed particle may be used to change nanowire properties (for example introducing dopants [2], or inducing magnetic properties).
In this talk I will give an overview and highlights of our recent studies exploring the use of alternative foreign metal seed nanoparticles for III-V nanowire growth. The aim of this study is primarily to understand how the elements of the nanowire growth process, including nucleation, growth rate, preferred orientation, and crystal structure, are influenced by the seed nanoparticle material. Studied elements so far include Sn, Pd, Ag, Cu, Bi, Pd, Co and Al. Most of the investigations so far are focused on GaAs nanowires, but preliminary results from GaSb, InSb and InAs nanowires will also be shown.
[1] Dick, K.A. and Caroff, P. “Metal-seeded growth of III-V semiconductor nanowires: towards gold-free synthesis” Nanoscale2014, 6, 3006
[2] Sun, R., Jacobsson, D., Chen, I.J., Nilsson, M., Thelander, C., Lehmann, S. and Dick, K.A. “Sn-seeded GaAs nanowires as self-assembled radial p-n junctions” Nano Lett. 2015, 15, 3757
5:15 AM - P9.03
Growth of GaAsP Nanowires by Aerotaxy
Wondwosen Metaferia 1 Kilian Mergenthaler 1 Fangfang Yang 1 David Lindgren 1 Anders Gustafsson 1 Reine Wallenberg 3 Mats-Erik Pistol 1 Jonas Ohlsson 2 1 Knut Deppert 1 Lars Samuelson 1 Martin H Magnusson 1 2
1Lund University Lund Sweden2Sol Voltaics AB Lund Sweden3Lund University Lund Sweden
Show AbstractNanowires are becoming versatile building blocks for future device technologies. Excellent opto-electronic properties and 3D structuring make the wires promising candidates for different (opto)electronic applications such as high efficiency solar cells [1], ultralow threshold lasers [2], high frequency, low power electronics [3], and memory devices [4]. However, in order to produce nanowire-based devices on an industrial scale, cost effective and efficient processes are needed. A continuous gas phase nanowire synthesis method called Aerotaxy has been shown to be capable of mass producing GaAs wires with controlled size and doping [5,6]. Here, we demonstrate Aerotaxy growth of GaAsP nanowires. The wide band gap tunability of GaAsP, from near infrared to visible regions, makes it an important ternary alloy for optoelectronic applications. In Aerotaxy, aerosol-synthesized and size-selected Au catalyst nanoparticles (80 nm in diameter) in N2 are mixed with III-V precursors (trimethylgallium (TMGa), Arsine (AsH3) and Phosphine (PH3)) in a flow-through reactor at atmospheric pressure, whereby nanowires are produced continuously in high concentrations and at a high growth rate (~1 m/s). Un-tapered 3 m long GaAsP NWs with band gap ranging from 1.42 eV (0% P) to 1.96 eV (43% P) were grown by partly replacing the AsH3 flow with PH3 while keeping the partial pressure of TMGa constant. The material composition is measured with room- and low-temperature photoluminescence (PL) and cathodoluminescence. The nanowires were also studied for morphology using SEM, and for crystal structure and atomic composition by TEM and EDX, respectively. Room temperature PL has shown high quality GaAsP nanowires with direct bandgap in the ideal range for creating dual and mulitjunction solar cells. These results confirm that Aerotaxy is a generic growth method, which may be used to grow nanowires of ternary III-V alloys in mass production.
[1] J. Wallentin, et al., Science, 339, 1057 (2013).
[2] H. Zhu et al., Nat Mater 14, 636 (2015).
[3] K. Jansson et al., IEEE Trans Electr Dev, 59, 2375 (2012)
[4] D. Ielmini et al., J Phys D Appl Phys 46, 074006 (2013).
[5] M. Heurlin et al., Nature. 492, 90 (2012)
[6] F. Yang et al., J Cryst Growth 414, 181 (2015).
5:30 AM - P9.04
Synthesis of Solution-Dispersible Core-Shell Semiconductor Nanowires and Metal Nanorings with Deliberately Controllable Compositions and Architectural Parameters
Tuncay Ozel 1 Michael Ashley 2 Gilles Bourret 3 Chad A. Mirkin 3
1Northwestern University Evanston United States2Northwestern University Evanston United States3Northwestern University Evanston United States
Show AbstractPrecise control over composition and architectural parameters provided by advanced lithographic and synthetic techniques have enabled the realization of a variety of complex nanostructures with unique physical and chemical properties. This control has been particularly useful in studying important optical and electrical properties of nanostructures where the composition, size, and shape can dramatically affect the functionality of the nanostructure in the context of optics, electronics, and catalysis. In particular, coaxial architectures such as core-shell nanowires and core-ring nanostructures are both interesting from a light-matter interactions standpoint and difficult to realize via solution-based synthetic methods. To thoroughly study coaxial structures, one needs a solution-based method with precise control over their composition and the three key architectural parameters that define them (outer diameter, inner diameter, and height), all of which affect their plasmonic characteristics. Herein, we describe a novel method (coaxial lithography) for preparing solution-dispersible coaxial nanostructures such as hybrid core-shell nanowires (composed of metals, organic semiconductors, metal oxides, and metal chalcogenides); single- and multi-segmented nanorings (composed of plasmonically, catalytically, and magnetically active metals); and plasmonic metal nanoring embedded hybrid core-shell semiconductor nanowires with unprecedented control over their dimensions (diameters ranging from 25 to 400 nm and heights ranging from 40 nm to several µm). Systematic and independent control of the architectural parameters in these coaxial structures enables fine-tuning of three characteristic localized surface plasmon resonance modes of metal nanorings and the resulting solution-based extinction spectra from the visible to the near-infrared. Our synthetic approach opens a new pathway for solution-based light-matter interaction investigations of complex coaxial hybrid nanostructures with tailorable cavities.
5:45 AM - P9.05
Synthetically Encoding High-Resolution Morphology through Abrupt and Uniform Dopant Profiles in VLS-Grown Nanowires
Joseph Dale Christesen 1 Christopher Pinion 1 Xing Zhang 1 James R. McBride 2 James Cahoon 1
1Univ of North Carolina-Chapel Hill Chapel Hill United States2Vanderbilt University Nashville United States
Show Abstract
Si nanowires (NWs) have been widely explored as a platform for photonic, electronic, and plasmonic technologies. However, these NWs are typically limited to cylindrical “wire” geometries. Through rapid modulation of phosphorus doping during growth followed by a dopant selective wet chemical etch in a process termed ENGRAVE (Encoded Nanowire GRowth and Appearance through VLS and Etching), the “wire” geometry is broken. This process allows arrays of arbitrary shapes, including nanorods, sinusoids, bowties, tapers, nanogaps, and gratings, to be encoded along the length of the NW. In order to produce high resolution features, it is necessary for the NW to have abrupt dopant profiles. For NWs grown through the vapor-liquid-solid (VLS) mechanism, the abruptness of the heterojunction is mediated by the liquid catalyst, which can act as a reservoir of material and impose a lower limit on the junction width. By varying synthetic conditions, we determined, through a combination of elemental imaging with energy-dispersive X-ray spectroscopy and dopant-dependent wet-chemical etching, that at sufficiently slow NW growth rates (le;250 nm/min) and low reactor pressures (le;40 Torr), the dopant profiles are diameter independent and radially uniform with abrupt, sub 10 nm axial transitions. A kinetic model of NW doping shows that the suppression of the reservoir effect can be achieved when P evaporation is much faster than P crystallization. We expect similar synthetic conditions will be realized for other NW systems in order to produce abrupt and uniform heterostructures facilitating new routes to encode functionality in semiconductor NWs.
P10: Poster Session II: Semiconductor Nanowiresmdash;Synthesis, Characterization and Applications II
Session Chairs
Tuesday PM, December 01, 2015
Hynes, Level 1, Hall B
9:00 AM - *P10.19
Gas Sensor Devices Based on CuO- and ZnO-Nanowires Directly Synthesized on CMOS-Chips
Anton Koeck 1 Eva Lackner 1 Johanna Krainer 1 Robert Wimmer-Teubenbacher 1 Joerg Siegert 2 Karl Rohracher 2 Franz Schrank 2 Martin Schrems 2
1Materials Center Leoben Forschung GmbH Leoben Austria2ams Unterpremstaetten Austria
Show AbstractGas sensors are of high importance for many applications ranging from indoor air quality monitoring and personal safety systems to outdoor environmental monitoring. Many variants of metal oxide based gas sensors, which rely on changes of electrical conductance due to the surrounding gas, have been developed. However, today&’s commercially available gas sensors cannot fulfil requirements for smart gas sensor applications in consumer electronics.
The employment of metal oxide nanowires is a very powerful strategy to push the performance of gas sensing devices. Nanowires have a high surface to volume ratio, thus provide very sensitive gas detection and have the advantage of improved stability due to high crystallinity. Nanowire integration with standard CMOS technology, however, remains a major challenge.
We present electronic gas sensor devices based on CuO- and ZnO-nanowires, which are directly synthesized on CMOS fabricated microhotplate (µhp) chips. The µhp chips which are fabricated in standard 0.35µm CMOS technology, have been developed as platform for nanotechnology based gas sensing components and consist of fully released 70 x 70 µm2 plates with 4 suspension arms connected to the rest of the chip. A polysilicon resistor embedded in the CMOS dielectric stack realizes heating; poly-metal thermocouples form the temperature sensors.
Holes for contacting Cu- and Zn layers are fabricated in the mu;hp by standard CMOS etching of the passivation layer. Next the Cu- and Zn films are evaporated on the µhp chips followed by a lift-off process and the application of a resist protection layer to enable the post-CMOS release of the µhp from the Si-substrate by an isotropic XeF-etching process. Finally the CuO- and ZnO-nanowires are directly synthesized on the µhp chip by a thermal oxidation process of Cu- and Zn-structures not exceeding the maximum allowable temperature for the CMOS-chip of 400°C. The fabrication procedure results in a multi-nanowire device, where several hundreds of nanowires in parallel are employed as gas sensing components.
The presented technologies can be used in a CMOS backend process and enable the fabrication of fully silicon integrated CuO- and ZnO-nanowire gas sensing devices. While CuO is known as a narrow band-gap p-type semiconductor, ZnO is a wide band-gap n-type semiconductor. Therefore the two types of nanowires show a different response to target gases, which is important for selective detection of specific gas components. Such CMOS integrated nanowire arrays are highly promising candidates for realizing smart multi-parameter sensing devices for the consumer market.
9:00 AM - P10.01
Surface Processing as a Control Tool for Planar III-V Nanowire Direction
Prasana Kumar Sahoo 1 Douglas Soares Oliveira 1 Mariana Zavarize 1 Jefferson Bettini 2 Monica A. Cotta 1
1University of Campinas Campinas Brazil2Brazilian National Nanotechnology Laboratory/CNPEM Campinas Brazil
Show AbstractFree-standing, out-of-plane semiconductor nanowires have been extensively investigated in the last decade due to their promising application in electronics and photonics. However, their integration into current device processing technologies still poses a challenge. Planar nanowires, on the other hand, show similar advantages with yet a more straightforward integration capability. For this reason, the efforts to control and characterize planar nanowires have grown in the last few years. In this work we study planar InP nanowires grown by the vapor-liquid-solid method, using Au particles deposited on electron-beam defined arrays as catalysts. Substrate surface treatments such as chemical or low energy plasma etchings and thermal annealing prior to growth were explored as tools in order to control the nanowire growth direction.
Scanning electron microscopy was used for statistical analysis of our samples - nanowire length and direction as well as the number of kinks. Our results show that preferential growth directions can indeed be created, depending on the surface treatment. Moreover, the occurrence of kinks can be suppressed. Electron Energy Loss Spectroscopy and cross-section transmission electron microscopy images show that the thickness of the substrate oxide layer in combination with surface roughness, evidenced from atomic force microscopy analysis, play a major role to determine the nanowire initial growth direction and its meandering along the substrate. Meanwhile, pinning of the catalyst triple phase line at the surface irregularities may be associated with nanowire kinking.
9:00 AM - P10.02
Glucose Electrochemical Sensor Based on Zinc Oxide Nanorods
Mohammed Sheeaa Marie 1
1University of Arkansas Fayetteville United States
Show AbstractGlucose electrochemical sensor based on zinc oxide (ZnO) nanorods was investigated. Hydrothermal sol - gel growth method was utilized to grow ZnO nanorods on indium tin oxide coated glass substrate. The total active area of the working electrode was 0.3 x 0.3 cm2 where titanium metal was deposited to enhance the contact. Well aligned hexagonal structured ZnO nanorods with a diameter from 68 - 116 nm were obtained. The excitonic peak obtained from the absorbance spectroscopy was observed at ~370 nm. The dominant peak of Raman spectroscopy measurement was at 440 cm-1 matching with the lattice vibration of ZnO. The performance was enhanced by spin coat glucose oxidase GOx and nafion membrane with a speed 4000 rotation per minute on top of the nanorods. The amperometric response of the fabricated biosensor was 3 sec and the oxidation peak, which is due to the oxidation reduction process of glucose by GOx, appeared around 0.5 V. The obtained sensitivity was 10.911 mA/mM cm2 and the lower limit of detection was 0.22 µM of the fabricated ZnO electrochemical sensor.
9:00 AM - P10.03
Crystal Facet Control for the Stability of p-Cu2O Nanoneedles as Photocathode for Photoelectrochemical Activity
Meenal Deo 1 2 Satishchandra B Ogale 1
1CSIR - National Chemical Laboratory Pune India2Indian Institute of Technology (IIT) Madras Chennai India
Show AbstractSolar Photoelectrochemical (PEC) water-splitting is a process of semiconductor-assisted decomposition of water into hydrogen and oxygen in the presence of sunlight. Several materials systems have been and are being examined in this context but the current drive is towards the use of non-silicon, earth-abundant nanostructured materials in the interest of cost effectiveness. Among various nanostructures, quasi 1D nanostructures (nanorods, nanowires, nanotubes) have been attracting quite an attention recently because they provide a direct pathway for efficient and confined charge transport along with more surface accessibility for the electrolyte, which is useful for efficient PEC cell.
Cuprous oxide (Cu2O), a p-type semiconductor, is currently attracting attention of researchers as a photocathode material in PEC cell. Cu2O has many advantages such as its proper band gap (~2 eV) for the absorption of visible light, favourable conduction band position (~0.7 V negative of hydrogen evolution potential) etc. But, Cu2O is known to undergo photo-corrosion, when used as a photocathode in the PEC cell. Therefore, many researchers have developed methods to stabilize Cu2O by coating other stable materials on its surface. In this context it becomes very important to stabilize the Cu2O itself. It is a well known fact that, the catalytic or electrochemical properties of semiconducting materials vary depending on which crystal facet has been exposed at the interface, because of the different atomic arrangement of each crystal facet(s) and the corresponding surface electronic structure. In the photocatalytic context of Cu2O, the (111) facet is considered as most stable. We have thus grown single crystalline Cu2O nanoneedles with (111) exposed facets, directly on copper foil and the same film is used as photocathode for photo-electrochemical water splitting. It shows excellent performance as reflected by the current density of ~1.7 mA/cm2 photocurrent at 0V vs. RHE in 50 mM Na2SO4 having pH ~6.5. This performance shows ~40% stability upto 1 hr of exposure to light. These interesting set of data will be presented and discussed.
9:00 AM - P10.04
Interface Engineering: UV-Visible Light Photodetector and Room Temperature Gas Sensor by Using Polycrystalline Structure TiO2 Nanowire
Pin-Chun Pan 1 Ping-Hung Yeh 1 Sheng-Wei Lee 2 Yu-Ting Lin 1 Ke Teng 1 Chien-Min Chang 1 Chun-Yen Lai 3
1Tamkang University New Taipei City Taiwan2National Central University Taoyuan City Taiwan3National Chiao Tung University Hsinchu City Taiwan
Show AbstractUV-visible light can be detected by using Poly-TiO2 NWs comparing with single-TiO2 NWs, which is due to the interface defects of the polycrystalline structure. The photocurrent was varied under different gases environment and wavelengths light illumination. Furthermore, under UV (365 nm) illumination, the photocurrent of poly-TiO2 nanosensor was raising with the increasing humidity or oxygen, compared with single-TiO2 nanosensor. Based on this property, broadband light detector and room temperature gas detector can be achieved. The detection mechanism and multiple application already be studied and invested in this research work.
9:00 AM - P10.05
Time Resolved Photocurrent Spectroscopy of Single GaAs and GaAs/Al0.4Ga0.6As Core-Multishell Nanowire Devices
Bekele H. Badada 1 Howard E Jackson 1 Leigh M. Smith 1 Changlin Zheng 2 Joanne Etheridge 2 3 Tim Burgess 4 Qiang Gao 4 Hark Hoe Tan 4 Chennupati Jagadish 4
1University of Cincinnati Cincinnati United States2Monash University Clayton Australia3Monash University Clayton Australia4Australian National University Canberra Australia
Show AbstractUsing time-integrated and time-resolved photocurrent (PC) spectroscopy, we study the energy structure and transport dynamics single GaAs and GaAs/ Al0.4Ga0.6As core-multishell nanowire devices. The nanowires were grown by MOCVD technique where the GaAs (core) growth was initiated by a 50 nm gold catalyst which determines the diameter of the core. The shells were grown subsequently around the core so that single 4 and 8 nm GaAs quantum wells embedded inside a thick AlGaAs shell defines the quantum well tube (QWT). Ti/Al contacts are deposited on either end of the NWs.
For the time-integrated PC spectra, a pulsed white light super continuum source is filtered using a prism-based pulse shaper which provides a narrow bandwidth (~3 nm) tunable excitation from 600nm to 2400nm. The tunable excitation beam was chopped mechanically and the photocurrent measured at constant bias using lock-in detection. The PC spectra from both the 4 and 8 nm QWT devices at 10 K show spectral signatures for both the core and direct excitations between the confined electrons and holes quantum confined states which are identified using calculations of the eigenvalues using the eigenfunction expansion method. These resonances are confirmed by comparison of photoluminescence and photoluminescence excitation experiments on the same device.
For time-resolved PC spectra, a 540 nm pump pulse was used to excite the NW devices at t = 0. Using a mechanical delay line, a delayed tunable probe pulse also excited the NW device. The photocurrent created by the probe pulse is measured using a lock-in tuned to the chopper frequency which modulates the delayed probe beam. The pump pulses on the device, the probe photocurrent response is measured as a function of the relative delay of the probe pulse keeping the device bias constant. For fixed probe energy we observed strong quenching the photocurrent when td=0 and a semi exponential rise until it reaches a plateau. We attribute the quenching of the photocurrent to the band filling which inhibits further excitation by the probe. By tuning the probe energy one can map out the dynamic response of the device at different energies. Spectral signatures are observed both at early and late times after the pump pulse which can be assigned to particular energies associated with the particular NW being measured. The response clearly involves both carrier filling of the bands as well as transport of the electrons and holes, and development of a model is in progress.
We acknowledge the support of the NSF through DMR-1105362, ECCS-1100489 and ECCS-1509706. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
9:00 AM - P10.06
Photocurrent Spectroscopy of Single GaAsSb Nanowire Devices at Low Temperatures
Bekele H. Badada 1 Howard E Jackson 1 Leigh M. Smith 1 Xiaoming Yuan 2 Philippe Caroff 2 Lan Fu 2 Ziyuan Li 2 Hark Hoe Tan 2 Chennupati Jagadish 2
1University of Cincinnati Cincinnati United States2Australian National University Canberra Australia
Show AbstractWe use photocurrent spectroscopy of GaAsSb nanowires grown by MOCVD techniques to measure their bandstructure. Single nanowires are contacted at either end by Ti/Au contacts using e-beam lithography. Dark I-V characteristics show the devices to be Ohmic and highly photosensitive. The devices were mounted on cold figure of optical cryostat where low temperature (10K) was maintained by continuous flow of liquid helium. A narrow band of pulsed laser light tunable from 400 nm to 2400 nm was focused on the nanowire device by a 50X reflective objective. The photocurrent was recorded at fixed applied bias using lock-in amplification techniques where the intensity of the excitation laser was modulated by a mechanical chopper. Photocurrent spectra show the onset of absorption at ~0.84 eV at 300 K and ~0.9 eV at 10 K. Such band gaps suggest the concentration of Sb to be in the range of 40% to 45%. Spectral features seen ~300 meV higher energies than the gap and may be related to the split off band.
We acknowledge the support of the NSF through DMR-1105362, ECCS-1100489 and ECCS-1509706. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
9:00 AM - P10.07
Three-Dimensional ZnO/MnO2 Nanostructured Pseudocapacitive Material
Long Pu 1 2 Arvind Dasgupta 2 Vivek Maheshwari 1 2
1Univ of Waterloo Waterloo Canada2Waterloo Institute for Nanotechnology Waterloo Canada
Show AbstractHierarchical assembly of multi-dimensional nanostructures has become essential for success in making future nano-devices in bottom-up approach. Two- and three- dimensional highly ordered complex architectures can be achieved by assembly of 1-D & 2-D building blocks (nanorod/nanowire, nanosheets). Zinc oxide, which is a semiconducting material with relatively high electron mobility, forms self-assembled nanostructure in specific orientations. In our study, 1-D ZnO nanorods are electrochemically deposited on 2-D ZnO nanosheets, followed by growth of a thin layer MnO2 nanoparticles. The final 3-D nanostructure not only speedups the redox reaction of MnO2 by introducing higher electric fields at the tips of ZnO rods, but also significantly increases the surface area of MnO2 by combining 0, 1 and 2 dimensional nanostructures in the template. Therefore it produce a higher overall energy density compared to conventional MnO2 electrode materials. Piezoelectric, elasticity and other promising properties of ZnO nanostructure make our low-cost, precisely controlled and easy fabrication 3-D nanocomposite a favorable template in a wide range of nano-devices.
9:00 AM - P10.08
ZnO Nanowire Arrays for Enhanced Photocurrent in Band Alignment Engineered PbS Quantum Dot Photovoltaics
Sehoon Chang 1 Paul Rekemeyer 1 Gyuweon Hwang 1 Chia-Hao Marcus Chuang 1 Moungi Bawendi 2 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge United States2Massachusetts Institute of Technology Cambridge United States
Show AbstractPbS colloidal quantum dots (CQDs) are an attractive material for the development of solution-processable photovoltaics with certified power conversion efficiency (PCE) up to 9.9%, however there is a mismatch between the optical absorption length and the carrier collection length that prevents these devices from achieving optimal photocurrent generation. To enhance charge collection we utilize a hydrothermally grown ZnO nanowire array to form a 3D heterojunction with a band alignment engineered CQD film that incorporates inorganic and organic ligands to control the Fermi level. The incorporation of nanowires in the device structure is shown to improve photocurrent by 15% compared to planar devices with band alignment engineering alone, with champion devices achieving short circuit current in excess of 29 mA/cm2 and PCE above 9%. Spectrophotometry and quantum efficiency measurements reveal that the addition of the nanowire array improves both the light harvesting efficiency and the carrier collection efficiency. Thus, the combination of ZnO nanowire arrays with advanced surface passivation of the CQDs offers a useful strategy for improving charge extraction in high-efficiency CQD PV devices.
9:00 AM - P10.09
Control of Phase Separation during Annealing of III-V Semiconductor Nanowires Using Core-Shell Structure: Phase Filed Approach
Mehrdad Arjmand 1 Izabela Szlufarska 1
1University of Wisconsin-Madison Madison United States
Show AbstractWe have performed three-dimensional elastoplastic phase field simulations to study the annealing of III-V semiconductor nanowires. These III-V materials typically have a miscibility gap and hence in equilibrium condition they tend to phase separate. Although this tendency toward phase separation can be kinetically suppressed by growth at low temperatures, alloys would spontaneously phase separate when the temperature is increased (e.g., during annealing).
Here, we have investigated the role of shell structure around the core nanowires in phase separation. Our simulations show that depending on the thickness of the shell and hence the strain, the phase segregation in the core can be delayed or even entirely suppressed. Thin shells only delay the phase separation of the core due to removal of free surface of the core while thick shells suppress the phase separation almost entirely due to the effect of strain. In addition, our results reveal that thin shells of nanowires can undergo plastic deformation due to the larger size of one of the separated phases in the core while no plastic deformation is found in the thick shell. Our results identify possible pathways to control phase separation in semiconductor nanowires, which has an important impact on improving the electronic properties of these materials such as PL intensity.
9:00 AM - P10.10
Anomalous Plastic Deformation and Sputtering of Ion Irradiated Silicon Nanowires
Andreas Johannes 1 Stefan Noack 1 Werner Wesch 1 Markus Glaser 2 Alois Lugstein 2 Carsten Ronning 1
1Univ of Jena Jena Germany2Technical University of Vienna Vienna Austria
Show AbstractSilicon is to date the most studied semiconductor and ion irradiation into silicon has been studied at great lengths, as it is instrumental in the development and production of modern microelectronics. Furthermore, the opportunities of nanostructured Si are manifold with new possible applications arising from a “simple” enhanced surface area for catalysts or sensors, via efficient wave-guiding of light for optoelectronics, to entirely new physical properties arising from quantum confinement. Many of these current and future applications may benefit from the modification of the material properties by ion irradiation, such as by controlled doping [1] or changing the morphology [2], if the underlying ion-nanostructure-interactions are well known. In this study [3], silicon nanowires of various diameters were irradiated with 100 keV and 300 keV Ar+ ions on a rotatable and heatable stage. Irradiation at elevated temperatures above 300 °C retains the geometry of the nanostructure and sputtering can be gauged accurately. The diameter dependence of the sputtering shows a maximum if the ion range matches the nanowire diameter. This is in good agreement with Monte Carlo simulations based on binary collisions. Nanowires irradiated at room temperature, however, amorphize and deform plastically. So far, plastic deformation has not been observed in bulk silicon at such low ion energies. The magnitude and direction of the deformation is independent of the ion-beam direction and cannot be explained with mass-transport in a binary collision cascade, but only by collective movement of atoms in the collision cascade with the given boundary conditions of a high surface to volume ratio.
[1] C. Ronning, et al., Materials Science and Engineering R 70, 30 (2010).
[2] C. Borschel, et al., Small 5, 2576 (2009).
[3] A. Johannes, et al., Nano Letters 15, 3800 (2015)
9:00 AM - P10.11
Mobility and Surface Depletion of Single GaN Nanowires Determined by Kelvin Probe Force Microscopy
Alex Henning 1 Paul Blanchard 2 Norman Sanford 2 Shannon M Duff 2 Kris A Bertness 2 Eliezer Halpern 1 Yossi Rosenwaks 1
1Tel Aviv University Tel Aviv Israel2NIST Boulder United States
Show AbstractWide-bandgap III-nitride nanowires (NWs) are important building blocks for future nanophotonics and nanoelectronics. To date, no direct approach has been reported to measure the drift mobility and the effective diameter of single NWs. Commonly, the carrier mobility in NWs is estimated from the field-effect mobility, µFE = (gmL2)/(VDSCNW), where gm = dIDS/dVBG is the transconductance with respect to the backgate, VBG, of the NW field-effect transistor (FET), L is the NW length, VDS is the source-drain voltage and CNW is the NW capacitance. The NW capacitance is a very small quantity that is exceedingly difficult to measure and is therefore approximated with a geometric model (metal cylinder on infinite plane). This approach usually results in an underestimation of the NW mobility, mainly because of interface and surface states in the gate oxide and the semiconductor NW, respectively.
Instead, we use Kelvin probe force microscopy (KPFM) in combination with current-voltage characteristics in the dark and under illumination to determine the electron mobility of single GaN NWs. Our approach results in a mobility of about 1500 cm2/Vs for Si doped (asymp; 1.5 × 1017 cm-3) GaN NWs, grown by catalyst-free molecular beam epitaxy, which is about four times higher than the field-effect mobility (400 cm2/Vs) determined for the same NWs.
The effective NW diameter in the dark is smaller than the geometric NW diameter because of surface depletion and can be estimated using KPFM. Under high-intensity sub-band gap illumination the surface band bending is reduced and thus the effective NW diameter approaches the geometric NW diameter. By measuring the current and the electric field, i.e. the derivative of the surface potential, across the NW, the effective NW diameter can be estimated using Ohm's law with known NW parameters.
9:00 AM - P10.12
Polarity Effects on the Formation of ZnO Nanowires and Related II-VI Heterostructures
Vincent Consonni 1 Laetitia Rapenne 1 Eirini Sarigiannidou 1 Lionel Gerard 2 Amandine Bocheux 1 3 Gilles Renou 4 Herve Roussel 1 Fabrice Donatini 2 Edgar Rauch 4 Estelle Appert 1 Regis Andre 2
1Universiteacute; Grenoble Alpes, CNRS, LMGP Grenoble France2Universiteacute; Grenoble Alpes, CNRS, Institut Neacute;el Grenoble France3CEA, LETI, Minatec Campus Grenoble France4Universiteacute; Grenoble Alpes, CNRS, SIMAP Grenoble France
Show AbstractPolarity effects are crucial for wurtzite compound semiconductors such as GaN and ZnO, both in the form of single crystals and thin films. They have been shown to strongly affect the surface reactivity and stability as well as the electrical and optical properties [1,2]. While polarity effects have widely been investigated for ZnO single crystals and thin films, there are still important issues to address regarding their effects in the form of ZnO nanowires (NWs) [3].
In this work, the formation mechanisms of ZnO NWs by chemical bath deposition are discussed in details with a special emphasis on the effects of crystal orientation and polarity of the ZnO nucleation surface [4]. The combination with selective area growth using electron beam lithography is further demonstrated by growing both O- and Zn-polar ZnO NWs with high structural uniformity and optical quality [5]. The spontaneous ZnO NW arrays are further covered with II-VI semiconductor shell by molecular beam epitaxy. These core shell NW heterostructures offer several crucial assets over planar thin films for optoelectronic devices such as reduced material consumption, improved crystallinity, efficient light trapping and charge carrier management. The resulting structural properties of these heterostructures are investigated by in-plane x-ray diffraction and by scanning and transmission electron microscopy (TEM) experiments including high-resolution TEM, energy dispersive x-ray spectroscopy and ASTAR with a scanning TEM [6]. The occurrence of different crystalline phases for the II-VI semiconductor shell and of epitaxial relationships with ZnO NWs is shown, revealing the strong interest of these heterostructures. Eventually, their optical absorption process is determined by UV-visible-NIR spectrophotometry and strongly depends on the morphology of these heterostructures.
The authors acknowledge funding by the Carnot Institute Energies du Futur through the project CLAPE, by the Research Cluster Micro-Nano from the Re#769;gion Rhocirc;ne-Alpes and by a Bonus Qualité Recherche grant from Grenoble INP through the project CELESTE.
[1] U. Ozgur et al., Journal of Applied Physics 98, 041301 (2005).
[2] S. Lautenschlaeger et al., Physical Review B 77, 144108 (2008).
[3] Z.L. Wang et al., Physical Review Letters 91, 185502 (2003).
[4] S. Guillemin et al., The Journal of Physical Chemistry C 117, 20738-20745 (2013).
[5] V. Consonni et al., ACS Nano 8, 4761-4770 (2014).
[6] V. Consonni et al., laquo; Epitaxy-Induced Polymorphism in ZnO / CdSe Core Shell Nanowire Heterostructures raquo;, submitted (2015).
9:00 AM - P10.13
Au-Nanoparticle Size Dependent Fermi Energy in Catalyst Growth InAs Nanowires
Michael Moeller 1 Douglas Soares Oliveira 1 Monica A. Cotta 1 Prasana K. Sahoo 1 Fernando Iikawa 1 Paulo Motisuke 2 Alejandro Molina 3 Mauricio M. de Lima 4 Alberto Garcia-Cristobal 4 Andres Cantarero 4
1Universidade Estadual de Campinas Campinas Brazil2Instituto Nacional de Pesquisas Espaciais Sao Jose dos Campos Brazil3University of Luxembourg Luxembourg Luxembourg4Universitat de Valencia Valencia Spain
Show AbstractSemiconductor nanowires (NWs) are very promising in future applications and already have been included in several optoelectronic devices such as lasers, solar cells, LEDs and sensors. A remarkable property of InAs NWs, as well as other arsenide and phosphide III-V semiconductor compounds, is the growth of the hexagonal wurtzite (WZ) crystal phase, while the most stable phase in bulk is the zincblende (ZB) one. Concerning the optical properties, the WZ phase presents several absorption peaks as compared to the cubic phase counterpart, due to the valence band splitting as well as the folding of the conduction band due to the Brillouin zone reduction. In this context, even though the InAs WZ structure has been extensively investigated, the results of its optical properties remain a matter of controversy, as in the case of the bandgap energy due to the strong effects of the surface on the optical spectra. In this contribution, InAs and InAs/InP core/shell nanowires grown by vapor-liquid-solid method are investigated by photoluminescence spectroscopy. Two set of samples are grown using different Au-nanoparticle sizes (5 and 20 nm), in Chemical beam epitaxy system. One of them is capped with InP shell and other one is pure InAs. All NWs are predominantly in wurtzite phase. We observe that capping InAs nanowires with an InP shell enhances the optical emission without affecting the Fermi energy which is above the conduction band edge. In contrast, the Fermi energy is reduced by ~20 meV when the Au-nanoparticle size increases from 5 to 20 nm. This is attributed to the differences in residual carbon incorporation into the catalyst-growth axial and the non-catalyst-growth radial layers. These results are corroborated using a self-consistent method to calculate the Fermi energy variation when the diameter of Au-nanoparticle increases. This effect can be useful to control the optical emission for device applications.
9:00 AM - P10.14
Dimension-Tailored Zinc Oxide Nanowire Arrays
Jayce Jian Wei Cheng 1 Sam Nicaise 1 Karl Berggren 1 Silvija Gradecak 1
1MIT Cambridge United States
Show AbstractHydrothermally synthesized zinc oxide (ZnO) nanostructures have found application in electronic and optical devices such as photovoltaics, LEDs and piezoelectrics. Although well-aligned, uniform ZnO nanowire arrays can be fabricated at low temperatures on a variety of substrates, control over nanowire length, diameter and array density remain elusive, primarily due to the lack of fundamental understanding of the growth mechanisms under homeostatic conditions. However, these parameters are critical for future applications in which intrinsic materials properties, such as exciton diffusion length, piezoelectric coefficient or carrier mobility dictate the exact device architecture.
Here, we demonstrate independent tailoring of the ZnO nanowire array dimensions including areal density, length, and diameter. We achieve this goal by utilizing a continuous flow reactor to investigate c-plane nanowire growth rates as a function of Zn2+ concentration, and by controlling nanowire spacing using dynamic deposition spincoating and electron-beam lithography. In varying nanowire array areal density, we observe that the vertical cshy;shy;-plane growth rate increases with decreasing c-facet area ratio, which we explain using diffusion/reaction analysis. This analytical method allows us to identify that the c-facet grows by the direct incorporation mechanism. We also establish a set of design guidelines for hydrothermal growth processes for realizing controllable, uniform nanowire growth rates across patterns with varying nanowire areal density. With these guidelines, we use citrate ion mediated growth to synthesize highly uniform nanowire arrays on an electron-beam pattern with varying pitch. This deeper understanding of the interplay between diffusion and reaction processes will allow greater control over nanowire morphology by streamlining design of processing conditions.
9:00 AM - P10.15
Chloride Assisted Synthesis of Pyramid-Shaped Wurtzite CdSe Nanocrystals with Inverted Crystal Polarity
Sandeep Ghosh 1 Roberto Gaspari 1 Giovanni Bertoni 1 Rosaria Brescia 1 Liberato Manna 1
1Istituto Italiano di Tecnologia Genoa Italy
Show AbstractImpurities play a major role in colloidal synthesis of nanostructures since they, whether introduced accidentally or already present in the chemicals used, can lead to reproducibility issues. One such source of impurity is the halide ion which has come under intense investigation lately since it influences the growth of various nanocrystals such as those of transition metal chalcogenides,1 noble metals etc. In this presentation, we will describe the hot injection synthesis of nearly monodisperse pyramid-shaped wurtzite cadmium selenide (CdSe) nanocrystals (NCs), performed in the presence of chloride ions as shape directing agents and exhibiting reversed crystal polarity compared to former reports.2Advanced electron microscopy techniques (image-corrected HRTEM with exit wave reconstruction and probe-corrected HAADF-STEM) indicate that the polar (000-1) facet constitutes the triangular base of the pyramids while the opposite [0001] facet had been reduced to a tip signifying the merging point for the three lateral facets. Based on a simple model of binding of Cl atoms to surface Cd atoms, Density Functional Theory calculations support the experimental evidence that chloride ions confer higher thermodynamic stability to the (000-1) facet over the (0001). This finding of differential relative stability of the two polar facets of wurtzite CdSe is contrary to that of previously reported experiments and calculations on NCs in which no chloride-based additives were deliberately used in the synthesis or no chlorine atoms were considered in the binding models.2 Addition of oleic acid triggers the self-assembly of these pyramids into peculiar tetramer geometry which suggests that the basal (polar) facet has a density and perhaps also type of ligands considerably different from that of the other three facets, since the pyramids interact with each other almost exclusively through their lateral facets and not via their basal (000-1) facets. A super-structure, without a long range order, is however observed for tetramers with their (000-1) facets roughly facing each other, but far from the formerly reported tail-to-tail arrangement. The inverted polarity of these CdSe pyramids was further corroborated through their exploitation as seeds onto which CdS pods were grown, which carried forward the polarity information. Finally, some preliminary transport measurements on these pyramidal nanocrystals will be compared with those on short nanorods of similar dimensions.
References:
1. Kim, M. R.; Miszta, K.; Povia, M.; Brescia, R.; Christodoulou, S.; Prato, M.; Marras, S.; Manna, L., Influence of Chloride Ions on the Synthesis of Colloidal Branched CdSe/CdS Nanocrystals by Seeded Growth. ACS Nano 2012,6 (12), 11088-11096.
2. Bertoni, G.; Grillo, V.; Brescia, R.; Ke, X.; Bals, S.; Catellani, A.; Li, H.; Manna, L., Direct Determination of Polarity, Faceting, and Core Location in Colloidal Core/Shell Wurtzite Semiconductor Nanocrystals. ACS Nano 2012,6 (7), 6453-6461.
9:00 AM - P10.16
Highly Dense Arrays of Silicon and Metal-Silicon Nanowires as Novel Platforms for Laser Desorption Ionization Mass Spectrometry Applications
Rosaria Anna Picca 1 Maria Jose Lo Faro 2 Cosima Damiana Calvano 1 Barbara Fazio 2 Maria Chiara Sportelli 1 Sebastiano Trusso 2 Paolo Maria Ossi 3 Fortunato Neri 4 Cristiano D'Andrea 5 Alessia Irrera 2 Nicola Cioffi 1
1Universitagrave; degli Studi di Bari Aldo Moro Bari Italy2IPCF-CNR Messina Italy3Politecnico di Milano Milano Italy4Universitagrave; di Messina Messina Italy5MATIS IMM - CNR Catania Italy
Show AbstractLaser desorption/ionization mass spectrometry (LDI-MS) based on Silicon (DIOS-MS) was firstly introduced by Siuzdak group in 1999 [1] showing sensitivities down to attomole without using any organic matrix. In theory, (nanostructured) inorganic substrates can overcome typical problems arising with conventional matrixes, such as background interference in the molecular weight region below 700 m/z and poor shot-to-shot reproducibility [2]. Since then, nanostructured Silicon and Si nanowires (Si NWs), often functionalized to improve hydrophobicity [3], have also been proposed as powerful surfaces for LDI-MS detection of different analytes, ranging from small molecules (e.g. amino acids, sugars) to peptides and proteins.
In this communication, we present novel platforms for LDI-MS applications based on dense arrays of Si NWs, prepared by a maskless wet-etching technique, assisted by the deposition of an ultrathin gold (or silver) film on a Si substrate [4]. This approach is more versatile and cheaper than conventional vapor-liquid-solid method. Moreover, the as-prepared Si NWs can be further decorated with metal (Ag, Au) nanoparticles prepared by pulsed laser deposition. The proposed materials were successfully applied for the LDI-MS investigation of low-molecular-weight compounds (e.g. amino acids, peptides, fatty acids) by simply depositing the analyte solutions onto a modified MALDI target. Morphological and surface spectroscopic data were correlated to MS results as a function of Si NW length, presence of additional metal, and surface composition.
Italian MIUR Project “Nanomaterials & laser ionization mass spectrometry: a new bio-analytical approach” FIRB Futuro in Ricerca 2008 cod. RBFR088SW7 is acknowledged for financial support.
[1] J. Wei et al., Nature 399 (1999) 243-246.
[2] N Cioffi et al., Anal. Bioanal. Chem. 5 (2009) 1375-1383.
[3] M. Dupré et al., Anal. Chem. 84 (2012) 10637minus;10644.
[4] A. Irrera et al., Nanotechnology 23 (2012) 075204 (7pp).
9:00 AM - P10.17
Reduction of Thermal Expansion in Polymers by the Implementation of ZnO Nanowires
Rozalia Orghici 1 Elke Pichler 1 Anne-Katrin Schuler 2 Uwe Gleissner 2 Wolfgang Schade 1 3
1Clausthal University of Technology Goslar Germany2University of Freiburg Freiburg im Breisgau Germany3Fraunhofer Heinrich Hertz Institute Goslar Germany
Show AbstractContinuous development of novel polymer based optical components is gaining increasing attention because of their extremely low cost, easiness to handle, and large scale fabrication. Several optical polymeric devices are currently available; however, a major challenge with regard to their integration into a sensing system represents the controlling of their optical properties for in-field applications. Optical properties of polymer based devices such as microring resonators, fibre Bragg gratings, or arrayed waveguide gratings are influenced by mechanical stress and temperature changes. Therefore, it is of outmost importance to minimize the effects of such external influences in order to maintain the optical properties unchanged.
In this study, investigations were performed to achieve athermal behaviour for the polymer polymethylmethacrylate (PMMA) by implementation of zinc oxide (ZnO) nanowires. ZnO nanowires of different lengths were grown in an aqueous solution of zinc nitrate and hexamethylenetetramine. The reaction temperature was 95°C. The growth time was 6h for short nanowires (~ 2 µm) and 19h for long nanowires (~ 7 µm).
Samples with and without incorporated nanowires were prepared and characterized based on their thermal expansion. The incorporation of the ZnO nanowires into the polymer is done during polymerization. The thermal expansion at temperatures between 17°C and 65°C for all investigated samples was measured by using an optical dilatometer. First data show a reduction of the thermal expansion of about 25% for the PMMA sample, in which ZnO nanowires with lengths of about 7 µm were embedded.
In addition, measurements with functionalized and unfunctionalized ZnO nanowires of different lengths have been performed. In both cases, a reduction of the thermal expansion was observed. These results will be shown and discussed during the conference.
Furthermore, the athermal operation of a polymer based arrayed waveguide grating fabricated on a PMMA foil will be shown.
9:00 AM - P10.18
Efficient Nitrogen Incorporation in ZnO Nanowires by Unintentional Doping
Jan Eric Stehr 1 Weimin M. Chen 1 N K Reddy 2 Charles W. Tu 3 I A Buyanova 1
1Linkoping University Linkoping Sweden2Humboldt University Berlin Germany3University of California La Jolla United States
Show AbstractZnO is a promising electronic material for a wide variety of applications ranging from sensing to light emission. Furthermore, ZnO is a sustainable and cheap material that can be easily synthesized in various nano-scale forms, e.g. tetrapods and nanowires (NWs), which allows one to further enhance its functionality. All these applications, however, require a good understanding and precise control of optical and electrical properties of the material that are known to be largely affected by intrinsic defects and impurities. Thus, chemical identification of these impurities, as well as understanding their local structure and effects on material properties, are the key requirements for advances in nanowire-based device technologies.
In this work we employ magnetic resonance spectroscopy to investigate defect formation processes in nominally undoped ZnO NWs grown by chemical vapor deposition, aiming to single out chemical origin of incorporated contaminants. EPR measurements of the NWs reveal three signals. The first signal, which has an electron spin S = 1/2 and an isotropic g-value of 2.002 and can be attributed to dangling bonds on the surface of the NWs, what seems to be very likely considering a large surface-to-volume ratio in the NWs. The second signal consists of a single line with g#9553; = 1.957 and gperp; = 1.956 and can be assigned to a shallow donor (SD). And the third signal contains three equally spaced lines implying a resolved hyperfine interaction between an electron with an effective spin S = 1/2 and a nucleus with a nuclear spin I = 1 with g#9553; = 1.995 and gperp; = 1.963. This EPR signal is the signature of a nitrogen atom occupying an oxygen lattice site (NO) acting as a deep acceptor in ZnO. The photo-ionization threshold energy of the NO center in the ZnO NWs determined by photo-EPR experiments is lower compared with its value in bulk ZnO, indicating that the defect might be located in proximity to the surface. This assumption is consistent with theoretical results predicting that incorporation of nitrogen in ZnO is more energetically favorable at (or close to) the surface than in volume regions [1,2]. The incorporated nitrogen stems from an unintentional doping from N2 contaminations in the source gases (Ar2 and O2) and to a lower extend from residual N2 background gas. This doping process is shown to be very efficient leading to a rather high concentration (~ 2-4 x 1016 cm-3) of unintentional N dopants in the NWs. Our finding thus underlines the importance of controlling such background contamination as compensation by the NO acceptors may hinder achieving high n-type conductivity in nanostructured ZnO. On the other hand, the lowered formation energy of NO in NWs might be beneficial for achieving p-type conducting ZnO nanostructures via nitrogen doping, e.g. due to N-containing defect complexes or nitrogen molecules.
[1] J. Gutjahr et al., Nanotechnology, 25, 145204, (2014).
[2] S. Haffad et al., Phys. Rev. B 85, 165207 (2012).
9:00 AM - P10.20
ZnO Nanorods Grown by Chemical Bath Deposition: Light Scattering and Light Emission Properties
Salvo Mirabella 1 Vincenzina Strano 1 Riccardo Reitano 2 Giorgia Franzo 1 Isodiana Crupi 1 Eric Barbagiovanni 1
1CNR IMM Catania Italy2University of Catania Catania Italy
Show AbstractAmong 1D semiconductor nanostructures, ZnO nanorods (NRs) are being investigated for a remarkably large variety of applications, such as bio-sensing, lightening, photovoltaics, flexible electronics. They can be easily grown by low-cost chemical bath deposition (CBD), still contrasting experimental results appear in literature regarding the microscopic mechanisms leading to high aspect-ratio and vertically aligned ZnO NRs. Here, we investigate the CBD of ZnO NRs by using Zn nitrate salt and hexamethylenetetramine (HMTA). SEM, XRD and TEM analyses showed evidence of a double role of HMTA in the NR growth mechanism. Beyond the well-established pH buffering activity, HMTA is shown to introduce a strong steric hindrance effect biasing the growth along the c-axis and ensuring vertical arrangement. This twofold function of HMTA explains why we do not observe merging or suppression of NRs, which occurs at low HMTA concentration [1]. The effect of variable HMTA/Zn nitrate ratio on the aspect ratio of ZnO NR was studied and applied for light scattering purposes in photovoltaics. ZnO NRs (120-1300 nm long, 280-60 nm diameter), grown by CBD at 90°C, exhibit diffused-to-total transmitted light ratio as high as 70 and 30% in the 400 and 1000 nm wavelength range, respectively. Data and scattering simulation show that ZnO NR length plays a crucial role in light diffusion. A transparent ZnO NR film grown on glass and placed on top of a 1 mm thick c-Si solar cell is shown to enhance the light-current conversion efficiency for wavelengths longer than 600 nm [2]. The same ZnO NR exhibit interesting luminescent properties in the UV and visible range. Employing photoluminescence excitation spectroscopy, we determined the energy levels and excitation pathways of the most relevant defect states into the energy gap. Two deep level defects (2.25 and 2.03 eV) were identified and associated with oxygen vacancies (Vo). A peculiar transient behavior in the PL intensity of these defects is shown to be sensitive to the ambient environment and to NR post- growth treatment. The largest transient was found in samples dried on a hot plate with a PL intensity decay time, in air only, of 23 and 80 s for the 2.25 and 2.03 eV peaks, respectively. Resistance measurements under UV exposure exhibited a transient behavior in full agreement with the PL transient indicating a clear role of chemisorbed atmospheric O2 on the surface of ZnO NRs. A new model for surface defect transient behavior due to band bending with respect to the Fermi level was proposed, which can be exploited for UV or gas sensing applications [3].
[1] V. Strano et al., J. Physical Chemistry C, 118 (2014)
[2] V. Strano et al., Applied Physics Letters, 106 (2015)
[3] E. G. Barbagiovanni et al., Applied Physics Letters, 106 (2015)
9:00 AM - P10.21
Transrotational Nanostructure of Chalcogenide Nanobelts Growing in Crystallizing Amorphous Films
Vladimir Yu. Kolosov 1 Yuri K Fetisov 1
1Ural Federal University Ekaterinburg Russian Federation
Show AbstractNovel microstructures with unexpected, dislocation independent, regular internal bending of the crystal lattice planes [1] are revealed by transmission electron microscopy (TEM) in thin (10 - 100 nm) films or layers after amorphous - crystalline transitions. Such perfect microcrystals with regularly curved lattice (built up by simultaneous translation and small regular rotation of the unit cell) demonstrate a new “transrotational” [2] type of solid state order. It is primarily dislocation independent. Transrotational structures were observed in particular [3-4] in phase-change materials used for non-volatile information storage (DVD RW and new prospective memory devices). The maximal lattice orientation gradients observed were about 300° per 1 micrometer with axis of lattice bending lying mainly in the film plane. TEM studies include primarily bend-contour TEM method [5] supported by HREM.
The elongated microcrystals (nanothin belts, ribbons, whiskers, etc.) presented here give an important example of very perfect transrotational crystals. Anyway they have in fact complicated hierarchical structure with torus geometry of internal bending of crystal lattice planes. They can be formed during aging, under heating or local electron beam annealing in amorphous films prepared by vacuum evaporation and are stable with years.
Opposite to non-traditional atoms packing in popular nanotubes our less known “transrotational” crystals/structures are less limited in dimensions. Most distinctive features of transrotational structure in plain view appear at the mesoscale (100-1000 nm). Bent atom layers in fine areas can be described as similar to that of hypothetical 2.5D halves (180°) of endless (continuous in film plane) multiwall nanotubes (in fact nano- tori/onions).
[1] I. E. Bolotov, V. Yu. Kolosov and A. V. Kozhyn, Phys. Stat. Sol.72a, 645 (1982).
[2] V. Yu. Kolosov and A. R. Thölen, Acta Mat.48, 1829 (2000).
[3] B. J. Kooi and J. T. M. De Hosson, J. App. Phys.95, 4714 (2004).
[4] E. Rimini et.al., J.App. Phys. 105, 123502 (2009).
[5] I. E. Bolotov and V. Yu. Kolosov, Phys. Stat. Sol.69a, 85 (1982)
Funding of the Ed. & Sci. RF Ministry is acknowledged
9:00 AM - P10.22
Dependence of the Gas Nanosensing Response and the Structural Properties of Different Indium Oxide Nanostructures
Guillem Domenech-Gil 1 Jordi Sama 1 Sven Barth 2 Paolo Pellegrino 1 Isabel Gracia 3 Albert Romano-Rodriguez 1
1Universitat de Barcelona Barcelona Spain2Vienna Technical University Vienna Austria3Consejo Superior de Investigaciones Cientiacute;ficas Bellaterra Spain
Show AbstractThe aim of using nanostructures as key components of gas sensing devices is to enhance the so-called “3-S” values: sensitivity, stability and selectivity. Due to the high surface-to-volume ratio of all these materials, chemical gas sensors based on nanostructures, where the interaction between the gas atmosphere and the nanostructure occurs at its surface, have been demonstrated to have sensitivities up to parts per billions (ppb) in different gases, values which can be well below the legal detection limits required for effective sensors. Stability is a second major issue of solid state gas sensors and this is strongly related to stable materials upon exposure of different atmosphere, some of them being corrosive or even oxidant. Metal-oxides are among the materials that fulfill both these requirements. The third one, selectivity, is one of the drawbacks in metal-oxide devices, as they respond to quite different gases and it is always difficult to distinguish the different components of an unknown atmosphere with just one device. Some electronic or signal treatment strategies have been developed that allow this discrimination, but requiring different sensors with different gas response patterns.
Among the different metal-oxides employed as gas sensors, indium oxide is known as a good candidate for detecting some oxidizing gases, like NO2 or ozone.
In this work different indium oxide nanostructures (nanowires, nanorods, nanoneedles, nanooctahedra, ...) have been fabricated via carbothermal reduction using a chemical vapor deposition (CVD) method. Depending on the growth conditions, different nanostructures are preferentially obtained or a mixture of them can be found on the substrate. These different materials have been structurally and optically characterized using X-ray diffraction, scanning and transmission electron microscopy and related techniques as well as photoluminescence and Raman spectroscopy, confirming their crystalline nature. To study the sensor properties, the nanomaterials are removed from the substrates applying sonication, followed by their deposition on substrates with prepatterned electrodes and, if necessary, are contacted by a combination of Focused Electron- and Focused-Ion-Beam techniques. The testing of the devices towards NO2, CO and ethanol and other gases at different concentrations in synthetic air has been carried out in a self-made test chamber, both at high temperature as well as at room temperature under the illumination with ultraviolet light.
The obtained nanostructure and the relation between them and their gas sensing properties will be presented and discussed.
9:00 AM - P10.23
Chiral Gold Nanoparticles Reduced by Circularly Polarized Light
Jihyeon Yeom 1 Gongpu Zhao 2 Peijun Zhang 2 Nicholas A. Kotov 1
1Univ of Michigan Ann Arbor United States2University of Pittsburgh School of Medicine Pittsburgh United States
Show AbstractChirality of metal nanoparticles (NPs) is one of the intriguing and inspiring phenomena in nature due to its optical activities in the metal-based electronic transitions in the visible region. There are on-going debates about origin of chirality in the chiral metal NPs: core or ligands on the surface. However, it has not been clearly understood due to unavoidable helps from chiral ligands to synthesize chiral NPs. Here, synthesizing stable chiral Au NPs using circularly polarized light is demonstrated. CPL reduced HAuCl4 in water and also provided chirality while it reduced Au NPs. The optical activity was detected in the visible range, indicating the formation of a new type of chiral NPs capped by achiral molecules. This strategy can contribute to understand the origin of chirality in the metal NPs and also origin of homochirality in nature
9:00 AM - P10.24
Self Assembly and Optical Properties of CdSe Nanoplatelet Superlattices
Yunan Gao 1 William Tisdale 1
1MIT Cambridge United States
Show AbstractColloidal CdSe nanoplatelets (NPs) are 1-D confined materials, which have atomic uniform thickness in the confinement direction, consequently, only homogeneous broadening in their exciton energy level distributions and very narrow emission spectrum. Additionally, NPs have a giant oscillator strength that leads to a faster emission rate compared to quantum dots or rods with same elementary components. Due to these properties, NPs have shown promising potential applications in light-emitting diodes, colloidal lasers, and harvesting multiple exciton generation in photovoltaic cells.
In the past few years, the synthesis of CdSe NPs has achieved fast progresses in selective thickness control, narrow lateral size distributions, and expended varieties like core/shell and core/crown structures. However, self-assembly of CdSe NPs has not been explored, although there are already enormous studies of self-assembly of other colloidal nano-particles. All previous studies are based on either dispersions or solids with NPs randomly oriented.
We will show for the first time a selective control of CdSe nanoplatelet superlattice self-assembly, i.e., NPs can be self-assembled into columnar or lamellar liquid crystalline superlattice. Moreover, we will present that the assembly morphology of superlattice has direct effects on their optical properties, like polarization, absorption efficiency and emission rate, etc., and also on their Forster energy transfer properties. The self-assembly is based on liquid interfacial self-assembly and transfer technique. The structure and propertied of the superlattice are characterized by transmission electron microscopy, and time-, polarization- and space-resolved photo-luminescent micro-spectroscopy.
P6: Nanowire Photonics and Optoelectronics
Session Chairs
Michael Filler
Erik Bakkers
Tuesday AM, December 01, 2015
Sheraton, 2nd Floor, Constitution A
9:30 AM - *P6.01
Nitride Nanowire Light Emitting Diodes: From Single Wire Properties to Flexible Light Emitters
Maria Tchernycheva 1
1Institut d'Electronique Fondamental, CNRS, University Paris Sud Orsay France
Show AbstractM. Tchernycheva1, X. Dai1, A. Messanvi1,2, H. Zhang1, V. Neplokh1, P. Lavenus1, N. Guan1, F. H. Julien1, L. Rigutti3, A. Babichev4,5, G. Jacopin,6 L. Largeau,7 R. Ciechonski,8 G. Vescovi,8 O. Kryliouk9, M. Foldyna10, J. Eymery2, and C. Durand2
1. Institut d&’Electronique Fondamentale, UMR 8622 CNRS, Université Paris Sud XI, 91405 Orsay, France
2. Equipe mixte “Nanophysique et semiconducteurs,” CEA/CNRS/Université Joseph Fourier, CEA, INAC, SP2M, 17 rue des Martyrs, 38054 Grenoble Cedex 9, France
3.Groupe de Physique des Matériaux, UMR CNRS 6634, Normandie University, University of Rouen and INSA Rouen, 76801 St. Etienne du Rouvray, France
4. St. Petersburg Academic University, 194021, St. Petersburg, Russia
5. Ioffe Institute, Polytechnicheskaya 26, 194021 St. Petersburg, Russia
6. ICMP LOEQ Ecole Polytechnique Fédérale de Lausanne, 1015 Lausanne, Switzerland
7. LPN-CNRS, Route de Nozay, 91460 Marcoussis, France
8. GLO AB, Ideon Science Park, Scheelevägen 17, S-223 70 Lund, Sweden
9. GLO-USA, 1225 Bordeaux Dr, Sunnyvale, CA, 94086, USA
10. CNRS, Laboratoire de Physique des Interfaces et Couches Minces (LPICM), Ecole polytechnique, 91128 Palaiseau, France
E-mail: [email protected]
We will present our recent work on nitride nanowire based light emitters. These nanomaterials have the potential to boost the device performance, to improve the energy efficiency, to reduce the cost and to bring new functionalities. In particular, we will discuss our recent progress towards flexible nitride nanowire devices. We propose a method to combine high flexibility of polymer films with high quantum efficiency provided by nitride nanowires to achieve flexible inorganic light emitting diodes and light sensors. We will also discuss the fabrication and characterization of single nanowire light emitting diodes with graphene transparent contacts [1], structural characterization of these nanowires using charge collection microscopy [2] as well as the coupling of single nanowire emitters with waveguides in order to form a functional photonic platform [3].
[1] Tchernycheva M, Lavenus P, Zhang H, Babichev A V, Jacopin G, Shahmohammadi M, Julien F H, Ciechonski R, Vescovi G, Kryliouk O, InGaN/GaN Core-Shell Single Nanowire Light Emitting Diodes with Graphene-Based P-Contact, Nano Letters 14, 2456 (2014).
[2] M. Tchernycheva, V. Neplokh, H. Zhang, P. Lavenus, L. Rigutti, F. Bayle, F. H. Julien, A. Babichev, G. Jacopin, L. Largeau, R. Ciechonski, G. Vescovi and O. Kryliouk, “Core-shell InGaN/GaN nanowire light emitting diodes analyzed by electron beam induced current microscopy and cathodolumine-scence mapping”, Nanoscale, 2015, DOI: 10.1039/C5NR00623F
[3] Tchernycheva M., Messanvi A., de Luna Bugallo A., Jacopin G., Lavenus P., Rigutti L., Zhang H., Halioua Y., Julien F. H., Eymery J., Durand C., Integrated Photonic Platform Based on InGaN/GaN Nanowire Emitters and Detectors, Nano Letters 14, 3515 (2014).
10:00 AM - P6.02
Solution-Processed Lead Halide Perovskite Nanowire Lasers with Low Lasing Thresholds and High Quality Factor
Haiming Zhu 1 Yongping Fu 2 Song Jin 2 Xiaoyang Zhu 1
1Columbia University New York United States2University of Wisconsin-Madison Madison United States
Show AbstractThe remarkable performance of lead halide perovskites in solar cells can be attributed to the long carrier lifetimes and low non-radiative recombination rates, the same physical properties that are ideal for semiconductor lasers. Here we show room temperature and wavelength tunable lasing from solution grown single crystal lead halide perovskite nanowires with very low lasing thresholds (220 nJ/cm2) and high quality factors (Q ~ 3600). The lasing threshold corresponds to a charge carrier density as low as 1.5×1016 cm-3. Kinetic analysis based on time-resolved fluorescence reveals little charge carrier trapping in these single crystal nanowires and gives estimated lasing quantum yields approaching 100%. Such lasing performance, coupled with the facile solution growth of single crystal nanowires and the broad stoichiometry-dependent tunability of emission color, makes lead halide perovskites ideal materials for the development of nano-photonics, in parallel with the rapid development in photovoltaics from the same materials
10:15 AM - P6.03
Alternating Current Operated InGaN/GaN Tunnel Junction Nanowire Phosphor-Free White-Light Emitting Diodes
Sharif Md. Sadaf 1 Yong-Ho Ra 1 Hieu P. T. Nguyen 2 Zetian Mi 1
1McGill Univ Montreal Canada2NJIT Newark United States
Show AbstractGaN nanowire based light emitting diodes (LEDs) have emerged as a strong contender for solid-state lighting applications because of the drastically reduced dislocation densities and polarization fields compared to planar III-nitride quantum well based LEDs. Such bottom-up nanowires grown on Si substrates is particularly attractive from different device processing and application perspectives. However, unlike conventional light bulbs, LEDs are low voltage devices and cannot operate on an alternating current (AC) voltage. As a consequence, an electrical circuit is required to convert AC power to low-voltage DC power (typically 2-4V). Such a driver adds a significant level of complexity, cost, and efficiency loss to the LED devices and systems. In this context, we have demonstrated, for the first time, AC LEDs consisting of p-GaN up and p-GaN down dot-in-a-wire structures monolithically grown on the same patterned Si substrate using the technique of selective area growth (SAG). This AC operated nanowire LED array emits green light at positive and negative polarity of AC voltage. We fabricated p-GaN up and p-GaN down LED structures on the same n-doped Si substrate. Tunnel junction helps connect the p-GaN layer with the n-type Si substrate, thereby enabling reverse polarity LED operation. During the SAG growth, p-up nanowire LED arrays were first grown on the opening areas of SiOx coated Si substrate. Then the SiOx and the nanowires on top were selectively removed using chemical etching. The p-up nanowire LED structures were then covered with SiOx and additional opening areas were created prior to the growth of the p-down nanowire LED structures. Subsequently, the SiOx and the nanowires on top were selectively etched. This leads to the formation of p-up and p-down nanowire LED arrays monolithically integrated on the same chip. The electrical measurements were done with a frequency of 20-60 Hz at a peak to peak voltage of 10 V using an Agilent 6812B AC power source. At a frequency of 60 Hz, these devices are almost flicker free, and are suited for practical applications. These devices can also be operated independently by DC bias. Moreover, with the stacking of multiple active regions in a single nanowire structure, we have demonstrated, for the first time, phosphor-free nanowire LEDs that can show significantly enhanced efficiency and reduced efficiency droop. The realization of high efficiency deep ultraviolet nanowire LEDs and lasers with the use of tunnel junction is being investigated and will be reported.
10:30 AM - P6.04
Single GaAs/AlGaAs Nanowire Photoconductive Terahertz Detectors with Tunable Bandwidth
Kun Peng 1 Patrick Parkinson 2 5 Lan Fu 1 Qiang Gao 1 Nian Jiang 1 Ya-Nan Guo 1 Fan Wang 3 Yesaya Wenas 1 Hannah Jane Joyce 4 5 Jessica L Boland 5 Michael Johnston 5 Hark Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University Canberra Australia2University of Manchester Manchester United Kingdom3Macquarie University Sydney Australia4University of Cambridge Cambridge United Kingdom5University of Oxford Oxford United Kingdom
Show AbstractTerahertz spectroscopy and imaging have been widely used for materials analysis, security screening, biology imaging and non-destructive testing1. Photoconductive (Auston) switches2 based on ion beam modified3 or low temperature grown4 semiconductor materials, is one of the most commonly used techniques for THz detection in many THz spectroscopy systems. One-dimensional III-V compound semiconductor nanowires, with their direct and tunable band gap, high carrier mobility (close to that in bulk materials), and in contrast short carrier lifetime (typically sub-nanosecond)5 and thus a fast response time in the THz regime, have been considered as ideal alternatives to bulk semiconductor materials for THz detection. Due to their nano-scale size, they show great promise as sub-wavelength THz detectors for near-field imaging or detecting element for highly integrated “on-chip” THz spectrometer 6.
In this work, we report the design, fabrication and characterization of room-temperature photoconductive THz detectors based on single GaAs/AlGaAs core-shell nanowires. The GaAs/AlGaAs nanowires were grown by the vapor-liquid-solid growth technique in a metalorganic chemical vapor deposition system and fabricated with electrical contacts with various electrode (antenna) geometry designs7. The fabricated nanowire devices were then incorporated into a THz time-domain spectroscopy system to measure the incident THz pulse signal. The single nanowire detectors show good THz responses with comparable sensitivity to those of the conventional devices (such as the standard electro-optic detection crystal ZnTe and photoconductive ion-implanted InP), with a further tunable detection bandwidth resulting from specific device geometry design. Finite-difference time-domain simulations were performed to investigate the influence of detector antenna geometry design and compare with our experimental results. The correlation between device performance and nanowire optoelectronic property/antenna design will be discussed, to provide design guidance for further improvement of the nanowire detector sensitivity and bandwidth.
Reference
1.T. Ouchi, K. Kajiki, T. Koizumi, T. Itsuji, Y. Koyama, R. Sekiguchi, O. Kubota and K. Kawase, J Infrared Millim Te 35 (1), 118-130 (2014).
2.D. H. Auston, Appl Phys Lett 26 (3), 101-103 (1975).
3.E. Castro-Camus, J. Lloyd-Hughes, L. Fu, H. H. Tan, C. Jagadish and M. B. Johnston, Opt Express 15 (11), 7047-7057 (2007).
4.S. Kono, M. Tani, P. Gu and K. Sakai, Appl Phys Lett 77 (25), 4104-4106 (2000).
5.H. J. Joyce, C. J. Docherty, Q. Gao, H. H. Tan, C. Jagadish, J. Lloyd-Hughes, L. M. Herz and M. B. Johnston, Nanotechnology 24 (21), 214006-214012 (2013).
6.J. Cunningham, M. B. Byrne, C. D. Wood and L. Dazhang, Electron Lett 46 (26), S34-S37 (2010).
7.K. Peng, P. Parkinson, L. Fu, Q. Gao, N. Jiang, Y. N. Guo, F. Wang, H. J. Joyce, J. L. Boland, H. H. Tan, C. Jagadish and M. B. Johnston, Nano Lett 15 (1), 206-210 (2015).
10:45 AM - P6.05
1.3 micro;m Emission from Dilute Nitride GaAs/GaAsSbN/GaAs Core-Multi Shell Nanowires Grown by MBE
Pavan Kumar Kasanaboina 1 Estiak Ahmad 2 Jia Li 1 2 Lewis Reynolds 3 Yang Liu 3 Shanthi Iyer 1 2
1North Carolina Aamp;T State University Greensboro United States2North Carolina Aamp;T State University Greensboro United States3North Carolina State University Raleigh United States
Show AbstractThe effective way of bandgap tuning up to telecommunication wavelength of 1.3 µm in mixed As-Sb based nanowires is introduced by incorporating small amount of N. Highly dense and vertical GaAs/GaAsSbN/GaAs core-multi shell nanowires have been successfully grown on Si (111) substrate via self-assisted epitaxy by plasma assisted molecular beam epitaxy. Two different N contents were the focus of study. Compositional and structural demonstration of core-multi shell nanowires were employed by EDS-TEM. X-ray diffraction analysis revealed that with increasing N content, lattice parameter of GaAsSbN is lattice matched towards GaAs. The optical properties of these nanowires investigated by employing micro-photoluminescence (µ-PL) measurements at 4K. A red shift to 1.3 µm wavelength is observed with increasing N content at constant Sb flux of 10 at.%. The observation of room temperature PL spectra of as grown nanowires is attributed to type of defects presented in the nanowires, which is unusual in dilute nitride thin films. A large red shift of ~11 cm-1 and ~8 cm-1 of LO and TO modes of Rama spectra, respectively, is due to phonon localization at point defects. Good replication of PL spectra of different single nanowires to that of nanowire array confirms the compositional homogeneity of the nanowire throughout the sample. This work is supported by the Army Research Office (Grant No. W911NF-11-1-0223 and W911NF-15-1-0161, technical monitor-William Clark).
P7: Understanding Vapor-Liquid-Solid Growth Mechanisms
Session Chairs
Kimberly Dick Thelander
Erik Bakkers
Tuesday AM, December 01, 2015
Sheraton, 2nd Floor, Constitution A
11:30 AM - *P7.01
Understanding VLS Growth and Composition Evolution of Au-Seeded Ternary III-V Nanowires
Vladimir Dubrovskii 1 2
1Ioffe Physical Technical Institute RAS St. Petersburg Russian Federation2St. Petersburg Academic University St. Petersburg Russian Federation
Show AbstractTernary nanowires with modulated and controlled composition offer great promise in fundamental studies of compound semiconductors and opens up wide range of applications due to their bandgap tunability and high carrier mobility. In this talk, we will consider some concepts and experimental results regarding the Au-seeded vapor-liquid-solid (VLS) growth and composition of ternary III-V nanowires. We will present a new model [1] that allows for computation of the nanowire composition versus the vapor composition and the growth parameters and show how the results correlate with recent experimental findings on the Au-seeded MOVPE growth of InxGa1-xAs nanowires [2].
In our experiments, InGaAs nanowires were grown by Au-seeded MOVPE with different vapor compositions, droplet sizes, V/III flux ratios and surface temperatures. We will discuss these results in detail and show that the model represents very well the experimental findings. We will also discuss the main trends and the ways to control the composition of ternary VLS III-V nanowires based on the group III and V element mixing as well as the NW doping levels versus the growth parameters.
References
[1] V.G. Dubrovskii, submitted to Cryst. Growth Des. (2015).
[2] A. S. Ameruddin et al., submitted to Nano Res. (2015).
12:00 PM - P7.02
Corner Wetting during Vapor-Liquid-Solid Growth of Facetted Nanowires
Brian J. Spencer 1
1SUNY-Buffalo Buffalo United States
Show AbstractWe consider the corner wetting of faceted nanowires in the context of vapor-liquid-solid growth of nanowires. In particular we determine numerical solutions for the equilibrium shape of a liquid drop on top of wires of square and hexagonal cross section. The behavior of the liquid surface near the corners of the wire is nearly singular, requiring some care in establishing precise solutions. With our numerical approach we are able to resolve the near-singular behavior and determine drop shape of terms of the Young contact angle, the drop size and the wire cross section. In general, for a fixed contact angle the drop contact line approaches the corner as a function of increasing drop volume. However, a key result for nanowire growth is that for a range of contact angles there is no equilibrium drop shape that completely wets the corner because large drops violate the edge spillover condition before the corners are wetted.
12:15 PM - P7.03
Nanowire Kinking during Vapor-Liquid-Solid Growth: Experiments and Simulations
Yanming Wang 1 Yanying Li 2 Seunghwa Ryu 3 Paul C. McIntyre 1 Wei Cai 4 1
1Stanford University Stanford United States2Stanford University Stanford United States3Korea Advanced Institute of Science and Technology Yuseong-gu Korea (the Republic of)4Stanford University Stanford United States
Show AbstractNanowires (NWs) have promising applications for the next-generation electronic and optical devices, and vapor-liquid-solid (VLS) growth is a widely studied method for NW fabrication. However, many fundamental questions regarding the VLS mechanism are still not understood, such as NW kinking during growth. Kinking, a sudden change in axial orientation of nanowires during growth, is a common defect that complicates the directed synthesis of these nanocrystals. Understanding such growth anomalies is important for better control of the NW orientation, yield and quality required for applications.
Experimental studies of coherent kinking of germanium nanowires, in which no crystallographic defects form at the kink site, detect two different kinking structures. One structure, which is most pronounced for Ge NW&’s of diameter close to 20 nm, involves kinking from a vertical <111> to <110> growth axis on Ge (111) single crystal substrates. The other involves kinking from the vertical [111] axis to an inclined <111> growth direction for NWs of > 30 nm diameter close to the base of the nanowires, at a (111) Ge or Si substrate surface.
We developed a 3D multi-phase field model for VLS NW growth. The model captures the NW tapering and sidewall facets in good agreement with experimental observations. The model predicts that the steady-state NW growth velocity is a linear function of the vapor chemical potential and the inverse of catalyst diameter, consistent with the Gibbs-Thomson effect in nanowire growth. With anisotropic interfacial energies, the model shows the NW growth orientation dependence on catalyst diameter and hence it provides an explanation of NW kinking during steady-state VLS growth. In this model, we introduce a perturbation force to induce the NW structural transition and the free energies are evaluated at different stages during motion of a Au-Ge liquid nanocatalyst droplet. The model enables us to discuss the instability of the catalyst droplet for different pedestal structures, which is important for understanding the onset of kinking at the NW base.
12:30 PM - P7.04
Linking the Forward and Reverse Vapor-Liquid-Solid Mechanisms in Metal Oxide Nanostructures
Bethany Hudak 2 Lei Yu 2 Yao-Jen Chang 2 Matthew Park 2 Beth S. Guiton 2 1
1Oak Ridge National Laboratory Oak Ridge United States2University of Kentucky Lexington United States
Show AbstractThe vapor-liquid-solid (VLS) nanowire growth mechanism is ubiquitous in semiconductor nanowire synthesis. These one-dimensional nanostructures are important for applications such as solar cells, Li-ion batteries, and gas sensors. VLS synthesis is known to produce single-crystalline nanowires with diameters, lengths, dopant concentrations, and interfaces that can be tuned throughout the growth process. Theoretical predictions suggest that VLS growth is governed by steady-state kinetics, and that the crystal chemistry important for the reverse process may be different from that which governs nanowire growth. We have developed a technique to directly observe the reverse process of Au-catalyzed SnO2 VLS nanowire growth - namely the etching of SnO2 nanowires by the Au nanoparticles at their tip - using in situ heating in a transmission electron microscope (TEM).1 This process is the opposite of the growth mechanism, and has been dubbed SLV. By utilizing energy dispersive x-ray spectroscopy (EDS), we can track the Sn content of the Au catalyst particle as the nanowire dissolves at the solid-liquid interface, and evaporates at the liquid droplet surface. This method provides an experimental platform to explore the VLS nanowire growth mechanism, including measuring the saturation concentration of a reactant within a VLS catalyst droplet. This technique is further extended to combine SLV with VLS growth, to produce integrated metal oxide heterostructures.
References
(1) Hudak, B. M.; Chang, Y.-J.; Yu, L.; Li, G.; Edwards, D. N.; Guiton, B. S. ACS Nano2014, 8, 5441
12:45 PM - P7.05
Insights on the Initial Stages of Growth of GaAs Nanowires on Silicon
Federico Matteini 1 Goezde Tuetuencueoglu 1 Heidi Potts 1 Anna Fontcuberta i Morral 1
1EPFL Lausanne Switzerland
Show AbstractSemiconductor nanowires have the potential to contribute to next generation solar cells.1-2 In particular, within the wide range of possible materials, GaAs, with a direct bandgap of 1.42 eV, is among the best single junction performances.3 Additionally, the integration of GaAs nanowires on Si platform by self-assembly would directly enable the formation of dual-junction cells.4 In order to achieve maximum efficiency with nanowire-based devices it is crucial to tailor the nanowire density and diameter, so that light absorption can be optimized.1,5-6
In previous work we demonstrated the importance of the exact nature of the native oxide for controlling Ga-assisted GaAs nanowire growth.7 In particular we showed the role of its wetting/dewetting properties in the growth process. In the present work we demonstrate that non-uniform desorption of native oxide is the root-cause which controls nanowire density. The initial stages of nanowire growth can be controlled by provoking localized desorption of the native oxide upon annealing at high temperatures ultra-high vacuum. This leads to the formation of nanoscale holes, which act as pinning-points for the gallium droplets, starting point for GaAs nanowire growth. We provide understanding of the mechanisms of native oxide desorption and Ga droplet formation to develop a method to engineer the nanowire density. Insights over the early stages of formation of the catalytic droplets are given.
This work represents a step forward towards cost-effective and controlled fabrication of ensembles of self-catalyzed III-V nanowires.
References:
[1] P. Krogstrup et al, Nature Photon. 2013, 6, 468
[2] J. Wallentin et al Science 2013, 339, 1057
[3] W. Shockley et al, J. Appl. Phys. 1961, 32, 510
[4] A. Kandala, et al, A. Phys. Status Solidi A 2009, 1, 173
[5] M. Heiss et al, Nanotechnology 2013, 25, 014015
[6] F. Matteini et al, Nanotechnology 2015, 26, 105603
[7] F. Matteini et al, Cryst. Growth Des. 2015, in press.
Symposium Organizers
Philippe Caroff, The Australian National University
Monica A. Cotta, State University of Campinas
Shadi A. Dayeh, University of California, San Diego
Anna Fontcuberta i Morral, Ecole Polytechnique Federale de Lausanne
Sebastien R. Plissard, Laboratory for Analysis and Architecture of Systems, CNRS
Symposium Support
Attolight
Keysight Technologies
P13: Alternative Growth and Materials
Session Chairs
Sebastian Koelling
Jinkyoung Yoo
Wednesday PM, December 02, 2015
Sheraton, 2nd Floor, Constitution A
2:45 AM - P13.02
Study of the Evolution of Silicon Nanowire Density, Morphology, Growth Direction, and Crystallinity by Plasma Assisted Vapor-Liquid-Solid Growth using Tin as Catalyst
Jian Tang 1 Wanghua Chen 1 Jean-Luc Maurice 1 Soumyadeep Misra 1 Martin Foldyna 1 Erik Johnson 1 Pere Roca I Cabarrocas 1
1LPICM, CNRS, Ecole Polytechnique Palaiseau France
Show AbstractPlasma-assisted Vapor Liquid Solid (VLS) silicon nanowire growth using Sn as catalyst is a promising way to synthesize Si nanowires with high electrical performance at low temperature (~400 °C). What&’s more, atomically sharp Si/Ge heterojunction nanowire structures can be achieved due to the low solubility of Si and Ge in Sn. However, it is hard to produce nanowires with well controlled density, morphology, growth direction, and crystalline quality using this growth method [1]. Thus, better understanding of the growth process is essential to achieve controlled growth. A series of silicon nanowire growth experiments has been carried out using the same process parameters except for the growth duration, which has been varied from 1 second to 8 hours. We have characterized the evolution from a few nm of Sn catalyst droplets to several µm long nanowires. We found that at the first stage of nanowire growth, the density decreases from ~6000 Sn nanoparticles/µm2 to 1300 nanowires/µm2 just after 20 seconds of growth. The NW density further decreases to 170/µm2 of long and straight nanowires after 2 minutes of growth and to less than 10/µm2 after 90 minutes. These changes in NW density are accompanied with an evolution of their morphology from cylindrical to conical, to bended conical, and finally to a bended baseball bat shape. The changes of nanowire axial direction distributions have been analysed statistically. Moreover, the changes in the crystalline structure of nanowires from i) monocrystalline, to ii) monocrystalline core/defective crystalline shell, then iii) to monocrystalline core/defective crystalline shell/amorphous shell, and finally iv) to bended and strained monocrystalline core/defective crystalline shell/amorphous shell structure have been explained.
[1] S. Misra, L. Yu, W. Chen, and P. Roca i Cabarrocas. The Journal of Physical Chemistry C 117 (2013) 17786
3:00 AM - P13.03
Atomic Structure Transition in Reset-Stop Ge2Sb2Te5 Nanowires
Jun Young Lee 1 2 Jeong-Hyeon Kim 1 2 Deok-Jin Jeon 1 2 Jaehyun Han 1 2 Jong-Souk Yeo 1 2
1Yonsei University Incheon Korea (the Republic of)2Yonsei University Incheon Korea (the Republic of)
Show AbstractA transition of atomic structure in a reset-stop Ge2Sb2Te5 nanowires (GST NWs) is directly observed at atomic resolution using scanning transmission electron microscopy (STEM). The phase transition of GeTe-Sb2Te3 pseudo-binary compounds has been studied for a half-century and widely used for data storage, but what happens in the phase-change area of single crystalline phase-change material has not been clearly investigated at an atomic resolution. We fabricated a phase change memory (PCM) device composed of GST NWs and Joule heating electrodes. The NW PCM device was stopped at a reset state. The cross-sectional TEM sample of the GST NW along its longitudinal direction is prepared for the analysis of phase transition behavior. We directly observed the changes in atomic structure in a reset-stop GST NW with cross-sectional STEM analysis. The phase change of GST NW occurred at the center region of the NW. Highly ordered vacancy layers predicted in conventional HCP structure of GST NW are not frequently detected in a reset-stop GST NW. The migration of Ge and Sb atoms from octahedral sites to tetrahedral sites in vacancy layers is imaged indicating the formation of re-arranged HCP structures upon the phase transition. In addition, the crystal region near the amorphous area has shown 5 layered structures with the changes over 10% in the atomic concentrations of Sb and Ge. The results extend our understanding further on the phase-change mechanism in single crystalline phase-change materials.
This research was supported by the National Research Project for Next Generation MLC PRAM Development by the Ministry of Knowledge Economy (MKE) of Korea. And this research was also supported by the MSIP(Ministry of Science, ICT and Future Planning), Korea, under the “IT Consilience Creative Program” (IITP-2015-R0346-15-1008) supervised by the IITP(Institute for Information & Communications Technology Promotion)
3:15 AM - P13.04
High-Quality InAs/InSb Heterostructure Nanowires Grown by Molecular-Beam Epitaxy on Si (111) Substrates
Dong Pan 1 Yuanhuan Cao 2 Shaoyun Huang 2 Hongqi Xu 2 Jianhua Zhao 1
1Chinese Academy of Sciences Beijing China2Peking University Beijing China
Show AbstractInSb is one of the most important III-V semiconductor materials owing to its narrow bandgap, extremely high electron mobility, strong spin-orbit interaction and giant g factor. These unique properties make InSb nanowires (NWs) attractive building blocks for the realization of high-frequency and low-power electron devices, spin-related devices and superconductor hybrid quantum devices [1-4]. So far, heterostructured NWs containing a high quality InSb segment have been realized by means of metal organic vapor phase epitaxy [4-6], chemical beam epitaxy [7] and molecular-beam epitaxy (MBE) [8] on III-V substrates, but very few on Si substrates. Here, we report the growth of high quality InAs/InSb heterostructure NWs on Si (111) substrates by MBE using Ag catalysts [9]. It is found that the Sb/In flux ratio can be varied to effectively control the morphology and the size of the InSb NWs. High-resolution transmission electron microscope images confirm that the InSb NW is fully single-crystalline and has a sharp interface with the InAs stem. Finally, to evaluate the electrical properties of the InSb NW segment, we fabricate top-gate NW #64257;eld-e#64256;ect transistors (FETs) and investigate the temperature dependences of the field effect mobility of the NWFETs.
References:
[1] B. M. Borg et al., Nanotechnology, 24 (2013) 202001.
[2] H. A. Nilsson et al.,Nano Lett., 9 (2009) 3151.
[3] M. T. Deng et al.,Nano Lett., 12 (2012) 6414.
[4] S. R. Plissard et al., Nat. Nanotechnol., 8 (2013) 859.
[5] P. Caroff et al.,Small, 4 (2008) 878.
[6] S. R. Plissard et al.,Nano Lett., 12 (2012) 1794.
[7] D. Ercolani et al.,Nanotechnology, 20 (2009) 505605.
[8] C. Thelander et al.,Appl. Phys. Lett., 100 (2012) 232105.
[9] D. Pan et al.,Nano Lett., 14 (2014) 1214.
P14: Group IV Semiconductor Nanowires and Applications
Session Chairs
Anna Fontcuberta i Morral
Philippe Caroff
Wednesday PM, December 02, 2015
Sheraton, 2nd Floor, Constitution A
4:30 AM - *P14.01
Plateau-Rayleigh Crystal Growth on 1D Substrates
Robert Watson Day 1 Charles M. Lieber 2
1Harvard University Cambridge United States2Harvard University Cambridge United States
Show AbstractNanoscience offers the promise of driving revolutionary advances in many areas of science and technology, yet the realization of this promise depends critically on the rational development of nanoscale structures whose properties and/or function are controlled during synthesis. Recently, we reported a growth phenomenon specific to one-dimensional (1D) materials termed Plateau-Rayleigh crystal growth (PRCG)1 that allows for controlled and simultaneous elaboration of structure and composition in the axial and radial dimensions. For example, under well-defined conditions chemical vapor deposition of Si onto uniform-diameter Si cores, Ge onto Ge cores, and Ge onto Si cores can generate periodic shell nanowires with tunable diameter-modulation, anisotropy, periodicity and amplitude. Here, we first review key details of PRCG1, and then describe new results that expand substantially the scope of this synthetic approach through studies of heterostructures and growth on top-down fabricated nanowire substrates. First, we demonstrate that Si periodic shells can be grown successfully on Ge cores. Second, by modulating the time and pressure during growth of core/shell heterostructure nanowires, coiled, or spring-like structures can develop where shells form predominantly on one side of the core in contrast to the radially conformal, periodic shells reported previously. Transmission (TEM) and scanning electron microscope (SEM) images of these structures indicate that one-sided Ge shells induce bending of the Si core away from the shell, whereas one-sided Si shells deform the Ge core toward the shell, consistent with stress from lattice mismatch. Last, we will show that our PRCG concept can be applied to growth of periodic shells on top-down fabricated 1D substrates in addition to bottom-up, VLS-grown nanowire cores. The potential benefits of combining top-down methodology with bottom-up growth for expanding synthetic capabilities as well as for enabling unique applications will be discussed.
References
1 R. W. Day, et al., Nature Nanotechnology10, 345-352 (2015).
5:00 AM - P14.02
Direct Growth of Au- or In-Induced Silicon Nanowires on Flexible Polyimide
Lin Tian 1 Lorenzo Di Mario 1 Antonio Minotti 1 Faustino Martelli 1
1CNR-IMM Rome Italy
Show AbstractThe merger of Si nanowires (SiNWs) with flexible substrates would endow the final devices with properties of flexibility, transparency and lightweight. To achieve this goal, one solution is to transfer SiNWs, grown on a rigid substrate, to arbitrary flexible substrates by contact-printing, wet transfer or mechanical sliding1,2. An alternative solution is to grow SiNWs on the desired flexible substrates, which can include steel foil3, glass4, copper foil5 and carbon fibers6, using the VLS mechanism. A direct growth of SiNWs on polymer substrates has not been reported yet. Here we demonstrate that SiNWs can be directly deposited on flexible and low-cost polyimide with either Au or In as seed by plasma enhanced chemical vapor deposition (PECVD).
Though widely used as growth seed, Au, however, creates deep-level defects in SiNW and degrades its electronic properties. Therefore the final goal of NWs growth is to achieve Au-free synthesis. Indium, which is a shallow acceptor in Si, does not present similar issues. Moreover, the low eutectic temperature of Si-In (157 °C) allows growth of NWs at low temperatures, an important advantage when plastic substrates are involved. In order to overcome the easily-oxidation nature of In, we have adopted in-situ H2 plasma to recover In and prompt following growth. Similar strategy has been reported with In NPs on Si substrates7 and In thin film on silica substrates8.
In our approach, the substrate was constituted of polyimide layer (4-8mu;m)/a-Si layer (50-100nm)/Au (2nm) or In (2-10nm) layer on top of silica substrate. The polyimide layer (PI-2611, HD MicroSystems) was spin-coated, followed by a-Si deposition by PECVD, and metal catalysts thin film by e-beam evaporation. Such structure can be easily peeled off with great flexibility. The thin a-Si layer promotes formation and supersaturation of Au/In-Si alloys, the lack of which would increase incubation time and greatly reduce NWs density. Prior to SiNWs growth, H2 plasma was applied to remove In oxidation and increase catalyst activity, and the deposition was carried out at 350°C in PECVD with SiH4 and H2 gas mixture. Dense SiNW arrays have been achieved with both Au- and In-catalysts. The complete flexible NW structure has been successfully removed by the silica support. Characterization of these wires is presently ongoing.
This project has received funding from the European Union's 7th Framework Programme for research, technological development and demonstration under grant agreement no. 316751 (NanoEmbrace)
1 Y. Kim, et al., Small n/a (2015).
2 A. Carlson et al., Adv. Mater. 24, 5284 (2012).
3 V. Chakrapani et al. Power Sources 205, 433 (2012).
4 B.N. Mbenkum et al. ACS Nano 4, 1805 (2010).
5 K.J. Norris et al., J. Cryst. Growth 406, 41 (2014).
6 E. Peled et al., Nano Lett. 15, 3907 (2015).
7 F. Iacopi et al., Nanotechnology 18, 505307 (2007).
8 I. Zardo et al., Appl. Phys. A 100, 287 (2010).
5:15 AM - P14.03
Induced Twins and Dislocations in Single Si/Ge Core/Shell Nanowire Heterostructures
Yung-Chen Lin 1 Jinkyoung Yoo 1
1Los Alamos National Laboratory Los Alamos United States
Show AbstractAdvanced device architectures or new materials&’ functionality for electronics, catalysis and optoelectronics require heterostructures to overcome current performance limit. Especially, those heterostructure materials at nanoscale attract much more interests since thinner body may allow tolerating more lattice strains or enable strain to relax more easily. The combination of different materials to form the heterostructures without high-density defects at nanoscale is thus not limited. These extraordinary mechanical properties at nanoscale make strain engineering possible for many heterostructures. To utilize the potentials of the nano-heterostructures, we need to know how strains relax, how strains are balanced among heterostructures and how defects generate for the system with large lattice mismatch (e.g. Si/Ge). Theoretical calculation for Si/Ge or III-V core-shell structures has shown the coherent thickness relation between the shell and core region. However, there have been rare the detailed experimental studies support the theories which assume lattice strains are balanced and distributed among layers according to the volume ratio of core-shell heterostructures. Additionally, defect generation directly in the core region for the core-shell heterostucture has not yet been studied in detail. In this presentation, we reported using Si/Ge core-shell nanowire (NW) heterostructure to observe how the defect formation in the core-shell structure.
Core Si NWs were prepared by low-pressure chemical vapor deposition through Au-catalyzed vapor-liquid-solid mechanism. Subsequently, Ge shells were grown with in-situ manner. The Si NWs are single crystalline and grow along [111] or [112] direction. Stacking faults (SFs) are observed in the single crystalline Si core and Ge shell after growing Ge shell with certain thickness. As the Ge shell thickness increases, many SFs can be generated in the Si core. Moreover, the SFs in the Si core are movable through thermal annealing. After annealing, more SFs are observed in the Si core and subsequently, the SFs form twin planes are observed in the center region of Si core. The formations of dislocations, SFs, and twin planes in the Si core through Ge shell growth give insights of controlling mechanical properties of brittle group-IV semiconductors at nanoscale. The insights will be also discussed.
5:30 AM - P14.04
A Comprehensive Growth Model for Platinum-Catalyzed Silicon Nanowire Synthesis
Nicolas Hibst 1 Peter Knittel 2 Johannes Biskupek 3 Christine Kranz 2 Boris Mizaikoff 2 Steffen Strehle 1
1Ulm University Ulm Germany2Ulm University Ulm Germany3Ulm University Ulm Germany
Show AbstractPlatinum appears to be a promising catalyst for the vapor-liquid-solid (VLS) synthesis of electronic grade silicon nanowires (SiNW) as it forms less deep traps compared to the most frequently used gold catalyst. Although known since the mid 60's, the number of publications following up on this matter is still rather limited, which may be attributed to the higher synthesis temperatures and the complex silicide phase diagram.
Based on these facts and diverging experimental results, we performed extensive studies to elucidate the fundamental mechanisms. We merged both our experimental results and those published so far to present the first comprehensive growth model for Pt-catalyzed SiNW synthesis. Our experiments show evidently that nanowires are grown from a Pt silicide catalyst both in the well-known VLS mode and at the same time also in a non-VLS-mode even from Pt deposits as thin as 2 nm. The different growth modes are triggered by the local Pt concentration and certain growth parameters as well as influenced by the substrate pretreatment. The derived growth model includes the simultaneous formation of Pt- and Si-rich silicide phases exhibiting different melting points. Pt-rich silicides act as liquid catalyst for standard VLS growth of SiNWs, whereas Si-rich phases remain solid and nucleate non-VLS SiNWs with a polycrystalline tip by directed solid state diffusion with the catalyst present at the interface to the substrate. Our experiments comprise the substrates fused silica, silicon nitride, silicon, and sapphire and include different Pt catalyst forms such as thin-films, focused ion beam induced (IBID) Pt deposition, and Pt nanoparticles.
5:45 AM - P14.05
Silicon Nanowires: Synthesis, Structural Properties and Photonic Applications
Maria Jose Lo Faro 2 3 1 Cristiano D'Andrea 3 Paolo Musumeci 1 Barbara Fazio 2 Giorgia Franzo 3 Elena Messina 2 Pietro Gucciardi 2 Giulia Bertino 3 Sebastiano Trusso 2 P.M. Ossi 4 F. Neri 5 Fabio Iacona 3 Francesco Priolo 3 1 6 Cirino Vasi 2 Alessia Irrera 2
1Universitagrave; di Catania Catania Italy2Istituto per i Processi Chimico-Fisici Messina Italy3Istituto per la Microelettronica e Microsistemi Catania Italy4NEMAS Politecnico di Milano Milan Italy5Universitagrave; di Messina Messina Italy6Scuola Superiore di Catania Catania Italy
Show AbstractSemiconductor nanowires (NWs) are attracting the interest of a large scientific community as building blocks for a wide range of future nanoscaled devices. In particular Silicon Nanowires (Si-NWs) constitute very promising materials for several applications, such as microelectronics, sensors and photovoltaic devices.
In this work we demonstrate the synthesis of Si NWs in a cheap, fast, maskless and compatible with Si technology approach, by using the metal-assisted chemical etching of Si substrates catalyzed by thin metallic layer (about 2 nm of Au or Ag) deposited by Electron Beam Evaporation. This is a powerful technique to obtain nanometer-size, high density (10 11NWs/cm2) and low-cost Si NWs with high and controllable aspect ratio.
Raman spectroscopy and transmission electron microscopy (TEM) prove that we are able to obtain Si NWs with quantum confinement size, moreover it is possible to change the nanowires diameters with strong control by varying the metallic film thickness. Si NWs obtained by this technique maintain the same crystalline structure and doping of the starting substrate and this is a fundamental feature for devices implementation.
A detailed study of room temperature photoluminescence (PL) properties of Si NWs has been performed. The results of this analysis show a PL peak centered at about 700 nm that exhibits a blue shift by decreasing the NW mean size, in perfect agreement with quantum confinement theory.
Light emitting devices based on Si NWs, showing an efficient room temperature electroluminescence (EL) emission at low voltage, have also been realized. We demonstrate that the design of new textures of NWs and the optimization of their size and spatial arrangement may play a key role for the improvement of the optical properties, such as light trapping and multiple scattering phenomena. We also realized a low cost room temperature operating hybrid light source by coupling Si NWs and carbon nanotubes (CNT). CNT are a very promising material for a wide range of applications due to their unique characteristics. By coupling the two materials we are able to realize a double emission wavelengths material, that exhibits a tunable emission in the visible range from Si NWs and in the IR from CNT; a detailed study of the PL properties has been performed, and the conditions leading to the prevalence of the visible or of the IR signal have been identified. Finally, we report the structural and optical properties of Si NWs decorated with metallic clusters (Ag or Au) obtained by Pulsed Laser Deposition, for biosensing applications.
P15: Poster Session III: Semiconductor and Metal 0D/1D/2D/3D Nanostructures
Session Chairs
Wednesday PM, December 02, 2015
Hynes, Level 1, Hall B
9:00 AM - P15.01
Glucose Detection Based on Amperometric Response of Electrochemical Sensor Using Hydrothermal Sol-Gel Synthesized ZnO Nanorods
Sanghamitra Mandal 1
1University of Arkansas Fayetteville United States
Show AbstractThe fabrication of an electrochemical sensor for glucose detection using hydrothermally grown zinc oxide (ZnO) nanorods is investigated. The working principle is based on the electrochemical reaction taking place between immobilized glucose oxidase adsorbed by the ZnO nanorods, and the electrolyte glucose. The synthesis of ZnO nanorods on indium tin oxide (ITO) coated glass substrate was performed using a hydrothermal sol-gel growth technique. Characterization of the ZnO nanorods was performed by using X-ray diffraction, and the absorption, micro-Raman, and scanning electron microscopies. Nafion/GOx/ZnO nanorods/ITO-coated glass substrate was used as a working electrode, while the reference electrode was a platinum plate. Amperometric response for clinical range of blood glucose concentration from 0.01 - 20 mM is measured at +0.8 V. The response time for the tested sensor obtained from the amperometric response curve is estimated to be less than 3 sec. The analyzed sensitivity of 1151 µA/cm2 mM-1 and the lower detection limit of 0.089 mM for the sensor were estimated from the glucose linear concentration range of 0.01 - 1.6 mM. Electrochemical characterization of the sensor was performed using the cyclic voltammetry method for a voltage range of -1.0 - 1.0 V at a sweep rate of 100 mV/sec.
9:00 AM - P15.02
Hybrid Nanostructures Composed of Ge Nanowires and Ag Nanoparticles for Highly-Sensitive and Fast Gas Sensors
Gyeongho Lee 1 Jae-Gwan Park 1 Seok Joon Kwon 1
1KIST Seoul Korea (the Republic of)
Show AbstractGas sensors based on semiconductor nanostructure are widely used from healthcare to environmental monitoring. Advantages of the nanostructure-based gas sensors such as higher sensitivity and faster responsibility with shorter recovery time originate from single crystallinity as well as high-surface area to volume ratio. When the semiconductor nanostructure is incorporated with noble metal nanoparticles which work as surface-decorating nanoparticle, even enhanced sensing performances are expected due to more efficient and faster electron exchange between gas species and the nanostructures. In this presentation, we report experimental study on the preparation of hybrid nanostructures composed of single crystalline Ge nanowires (NWs) which are coated with Ag nanoparticles (NPs) for the application for highly sensitive and fast gas sensors detecting gaseous NOx, NH3, and CO2. The Ge NWs were synthesized via vapor-phase reaction in conjunction with thermal evaporation. The synthesized Ge NWs are surrounded by amorphous GeO2 thin sheath due to natural oxidation in ambient condition. The Ge NWs-GeO2 sheath core-shell structure suffers galvanic reaction with aqueous solution of Ag precursors, in which Ag precursors are precipitated onto the surface of Ge NWs, whereas Ge NWs suffers oxidation with dissolution of the amorphous GeO2 sheath. We fabricated solid devices for the gas sensing, in which the sensing materials are Ag NPs on Ge NWs and Ge NWs-GeO2 sheath without surface Ag NPs. We compared gas sensing performances of the two nanostructures, and found that the hybrid nanostructures exhibit better gas sensing performances (i.e., higher sensitivity factor by 1.7, faster response time factor by 1.8, and quicker recovery time factor by 4.2) irrespective of the gas species. In particular, we observed notably highly enhanced sensitivity for NOx gas of concentration as low as of 0.2 ppm, when the gas sensing material is the hybrid nanostructures. We provided a mathematical model to elucidate the enhanced gas sensing performances based on parameter-dependent differential equations for adsorption and desorption dynamics of gas species on the surface of the nanostructure, and the model could successfully explain the origin of the enhanced gas sensing performances in quantitative manner. Based on a similar enhancing mechanism, the present study can work as a basis to prepare other kinds of sensors for dilute biomolecules detection with highly enhanced sensitivity.
9:00 AM - P15.03
Thermoelectric properties of Lead Telluride Nanocubes
Neeleshwar Sonnathi 1 Khasimsaheb B 1 Sivaiah Bathula B 2 Bhasker Gahtori 2 Ajay Dhar 2 Panigrahi B K 4 Amrithapandian S 3
1GGS Indraprastha University New Delhi India2NPL Delhi India3, Indira Gandhi Center for Atomic Research Kalpkham India4Indira Gandhi Center for Atomic Research Kalpkham India
Show AbstractIn the present investigation, we report the cost-effective, surfactant-free and scalable synthesis technique for Lead Telluride (PbTe) nanocubes by chemical precipitation method followed by spark plasma sintering (SPS). The synthesized nanocubes were characterized by X-Ray Diffractometer (XRD), High Resolution Transmission Electron Microscope (HRTEM) and X-Ray Photoelectron Spectroscopy (XPS). The HRTEM studies clearly indicate that the nucleation centers (spherical) evolve into nanocubes by addition of the Pb and Te atoms. The thermopower measurement performed on as sintered PbTe nanocubes exhibited an enhancement of 420 µV at 400 K, which is higher than the reported values at this temperature. This enhancement could be attributed to the potential barrier scattering at the grain boundaries. Further, significant reduction in thermal conductivity was observed due to its higher surface area with many facets effectively scattered various length scales of phonons for PbTe nanocubes and thus leading to an increase in ZT. The dimensionless figure of merit (ZT) was found to be ~ 0.45 at 300K, which is three time higher than the reported bulk values at this temperature. Moreover, thermoelectric compatibility factor with respect to the temperature has been calculated and it is quite comparable with similar material synthesized employing different processing routes. Enhanced thermoelectric properties coupled with moderate compatibility factor makes PbTe nanocubes as a potential candidate for green energy generation.
9:00 AM - P15.04
Wide Area Synthesis of Long Order Vanadium Pentoxide Nanoribbons
Megha Singh 1 Rabindar Kumar Sharma 1 G B Reddy 1
1Indian Institute of Technology Delhi New Delhi India
Show AbstractTransition metal oxides have displayed a wealth of unique optical, chemical, physical, and electronic properties, which have attracted the attention of researchers and engineers alike. Vanadium pentoxide (V2O5) offers Multi-valency, wide optical band gap and good thermal and chemical stabilities, especially in the form nanostructured thin film (NSTs). These properties lead to fascinating applications like in electrochromic devices, power storage devices, gas sensing, and catalysis. Here we report the synthesis of long order vanadium pentoxide (V2O5) nanoribbons over a wide area of 20 mm × 20 mm, using a new technique known as plasma assisted sublimation process (PASP). The nanoribbon growth has been achieved on glass substrates with Nickel acting as catalyst layer. Thickness of catalyst layer is kept constant at 500 nm throughout the experiments. Vanadium precursor is kept in vacuum chamber at optimised partial pressure of oxygen gas. Oxygen plasma accelerates the formation of vanadium oxide which is then sublimated and deposited on substrate. The gas environment acts as transport medium for sublimated oxide from precursor to substrate. The growth parameters such as partial pressure, plasma voltage and boat voltage (for heating precursor) have been optimised.
In this report, we present the effect of source temperature (temperature at which precursor is heated) on the synthesis of long order nanoribbons. Vanadium precursor when heated in plasma environment lead to formation of vanadium oxide, which is sublimated at optimum temperature to deposit on substrate. Samples were deposited at three different source temperatures, 300, 400 and 5000C. SEM micrographs taken at 5Kx, 10Kx and 20Kx magnifications show ribbon like morphology of nanostructures grown. The samples have been studied using XRD and Raman spectroscopy, which confirms the presence of long order in V2O5 nanoribbons. It is also revealed that no other phase besides α- V2O5 is present in samples under study. HRTEM and SAED studies reveals orthorhombic structure of nanoribbons along with fringe pattern which again confirms the composition of nanoribbon to be V2O5. It is revealed from studies that at low temperatures, there is short range order leading to deposition of amorphous V2O5. When temperature is increased, short order gives way for long range order and highly crystalline α-V2O5 is obtained. The surface morphology also changes with respect to temperature, as substrate is kept close to precursor at a distance of about 5 mm.
9:00 AM - P15.05
Novel Design Routes for High Performance Hybrid Thermoelectric Nanocomposites
Ayaskanta Sahu 1 Boris Russ 1 Fan Yang 1 Jason Forster 1 Eun Seon Cho 1 Norman Su 1 Nelson Coates 3 Rachel Segalman 2 Jeffrey Urban 1
1Lawrence Berkeley National Lab Berkeley United States2University of California Santa Barbara Santa Barbara United States3California Maritime Academy Vallejo United States
Show AbstractHybrid materials can be broadly defined as a class of composites with organic or biological and inorganic components intimately mixed with one another where atleast one of the components has dimensions ranging from a few angstroms to several nanometers. In contrast to mixtures whose properties can often accurately be described as an arithmetic average of the properties of their components, the distinctive feature of a truly hybrid material is that material synergies lead to performance that is greater than the sum of its parts, which can happen when there are strong, non-linear interactions between the constituent components and the role of their interfaces become predominant. Hybrid inorganic-organic materials offer a greater degree of tunability than single component materials due to the considerable structural and electronic diversity of the available building blocks. Additionally, one can easily control the relative volume fraction of constituents in the hybrid, the shapes/structures of the components (e.g., nanoscale inorganic semiconductor spheres or cubes in a polymer matrix), as well as the electronic/bonding interactions between components. While extensively used for fuel cells, photovoltaics, membranes and separation devices, smart coatings, catalysts, sensors etc., very few hybrid organic inorganic materials exist for thermoelectric applications.
Here, we demonstrate a novel approach to create a myriad of thermoelectric materials comprising of a conductive polymer and a range of different inorganic components spanning from nanocrystals to nanoplatelets to nanowires. Judicious tuning of the electronic nature of the components allows us to obtain both n-type and p-type composites. By varying the loading of the constituents, we observe interesting non-monotonic electrical conductivity at intermediate loadings suggesting non-effective medium behavior. The surprising electrical conductivity behavior can be explained with a model where carrier transport is primarily through a highly conductive volume of polymer that exists at the nanoparticle-polymer interface. Additionally, by doping the individual components separately, we can boost the electrical conductivities even further and obtain higher power factors. Thus, a mix of traditional doping mechanisms and innovative interface engineering at the nanoscale allows us to generate high performance thermoelectric materials.
9:00 AM - P15.06
Strong Red Luminescent Twin ZnO Nanorods for Nano-Thermometry and Phonon Tunnel Device Application
Avanendra Singh 1 Kartik Senapati 1 Karunakar Nanda 2 B. Satpati 3 Pratap Kumar Sahoo 1
1National Institute of Science Education and Research (NISER) Bhubaneswar India2Indian Institute of Science Banglore India3Saha Institute of Nuclear Physics Kolkata India
Show AbstractTwo segments of horizontally grown crystalline ZnO nanorods (NRs) connected with an amorphous layer have been successfully and reproducibly synthesized using one-step hydrothermal technique by controlling the growth rate. The amorphous layer between the crystalline ZnO sections is tunable with growth parameters and confirmed by transmission electron microscopy. The confocal photoluminescence (PL) imaging and spectroscopy of twin ZnO NRs at different temperature shows stable and intense red emission with comparably week UV emission. The strong red emission from the twin NRs are the consequence of surface defect and structural imperfections of lattice disorder. The UV emission shows strong excition-phonon coupling with temperature. The disappearance of asymmetry after 293K indicates the weak exciton-phonon coupling at higher temperature while the coupling is stronger at lower temperature. An indirect analysis from phonon bands of PL shows that the amorphous layer acts as a phonon barrier beyond certain thickness. So this crystalline-amorphous-crystalline architecture may be suitable for fundamental studies of the phonon tunnelling in nanostructure. We also show that the individual ZnO NRs can be used for sensing and mapping of temperature in a wide range of 80-373 K with an accuracy of 0.1K and very good sensitivity. So these NRs may have suitable application for non-contact nano-thermometry.
9:00 AM - P15.07
Aluminum Nanowire Arrays via Directed Assembly
Nathan T. Nesbitt 1 Juan M. Merlo 1 Aaron H. Rose 1 Yitzi M. Calm 1 Krzysztof Kempa 1 Michael J. Burns 1 Michael J Naughton 1
1Boston College Chestnut Hill United States
Show AbstractVertically-oriented, lithographically-ordered, metal nanowire arrays have potential utility as capacitors, high surface area electrodes, electrochemical biosensors, optical nanoscopes, rectennas, and solar cells, but presently lack a route to fabrication. Template-based techniques, such as electrodeposition into lithographically-defined nanopore arrays, have produced well-ordered nanowire arrays with a maximum pitch of about 2 µm; such nanowires, however, tend to cluster due to local attractive forces. This complicates coating the nanowires with materials to produce core-shell or nanocoax structures for the above applications. Chemical vapor deposition has shown success in freestanding nanowire fabrication, but can only produce metal nanowires in disordered arrays or carbon nanofibers having low electrical conductivity (~104 S/m, three decades lower than good metals).
To realize the aforementioned applications, we have modified the preparation of AAO templates to produce highly ordered and freestanding aluminum nanowire arrays. This top-down process etches the nanowires from the underlying substrate at lithographically-defined locations, providing high control of the nanowire placement. Additionally, the process is amenable to low-cost, large-scale production because it is solution based, roll-to-roll compatible, operates at atmospheric pressure with temperatures between 0 0C and 60 0C, and uses Al, an earth abundant and inexpensive metal.
9:00 AM - P15.08
Supercritical Fluid Electrodeposition of Small Nanowires into Mesoporous Templates
Andrew Lee Hector 1
1Univ of Southampton Southampton United Kingdom
Show AbstractSupercritical fluids are attractive media for the electrodeposition of metals and semiconductors into complex nanostructures [1], as their essentially zero surface tension allows them to readily penetrate porous and nanoporous structures. They also have low viscosities and hence show enhanced mass transport rates. Depending on the choice of electrolyte and solvent they can also have a wide electrochemical window. These advantages also come with several practical disadvantages including generally low dielectric constants and the necessity to work in sealed systems at elevated temperature and pressure. Hence there is very little published work on electrodeposition from single phase supercritical systems.
In order to explore the possibilities of using electrodeposition from supercritical fluids to make sub 20 nm nanostructures and nanostructured devices we have focused on the electrodeposition of a range of p-block elements from supercritical difluoromethane. With many of this elements it has been possible to deposit sub-20 nm nanowires using commercial anodic alumina templates as the hosts. These have been characterized in detail using X-ray diffraction and transmission electron microscopy. To investigate the small size limits of the technique, sub-5 nm templates have been developed from ordered mesoporous silica films [2]. Progress in deposition into these very small pore systems will also be described.
References
1. P. N. Bartlett, D. A. Cook, A. L. Hector, W. Levason, G. Reid, W. Zhang, M. W. George, J. Ke and D. C. Smith, “Electrodeposition from supercritical fluids”, Phys. Chem. Chem. Phys., 2014, 16, 9202-9219.
2. C. Robertson, R. Beanland, S. A. Boden, A. L. Hector, R. J. Kashtiban, J. Sloan, D. C. Smith and A. Walcarius, “Ordered mesoporous silica films with pores oriented perpendicular to a titanium nitride substrate”, Phys. Chem. Chem. Phys., 2015, 17, 4763-4770.
9:00 AM - P15.09
Synthesis and Applications of alpha;-Ag2-3xEuxWO4 Nanostructures
Ivo Mateus Pinatti 1 Paula F. S. Pereira 2 Icamira C Nogueira 3 Jose A. Varela 2 Elson Longo 2 Ieda L. V. Rosa 1
1Federal Univ of Sao Carlos Sao Carlos SP Brazil2UNESP Araraquara Brazil3INCTMN/CDMF San Carlos Brazil
Show AbstractRare earth doped materials have been extensively studied due to their outstanding properties which are employed in various fields, including lasers, LEDs, photodetectors and other functional materials based on their electronic, optical, and chemical characteristics. Silver Tungstate (α-Ag2WO4) semiconductor has many applications such as ozone gas sensor, catalysis, antibacterial agent as well as photoluminescence material. Recently, a novel process of Ag growth on α-Ag2WO4 surface when it is bombarded with an electron beam has also been discovered. However, there are no records on the structural, optical and luminescence properties of rare earth ions doping α-Ag2WO4. Emission bands of Eu3+ ions are easy to study in the visible region due to the structure of the energy levels, such as fine lines of absorption and emission. Eu3+ can also be used as spectroscopic probe for site symmetry determination, and it will be suitable for α-Ag2WO4 once it has a complexity host lattice composed of one tungsten and four silver atoms clusters. This dopant can also enhance the efficiency and purity of the color of this material or even produce new phosphors, besides structural modification due to insertion of a different ion into the matrix. α-Ag2-3xEuxWO4 (x = 0, 0.25, 0.75 and 1.0 mol%) powders were synthesized by the coprecipitation method at 90 °C for 20 minutes using Sodium tungstate dehydrate (Na2WO4.2H2O), Silver nitrate (AgNO3) and Europium Oxide (Eu2O3) as precursors. Trivalent rare earth ions presenting in different molar ratio concentrations were introduced into the α-Ag2WO4 lattice aiming to study their structural, optical and luminescence properties. This methodology was used because it is simple, cheap, does not need high production temperatures, is industrially favorable, as well as yields materials with homogeneous shape and size without deleterious phases. X-ray diffraction presented a single phase indexed as orthorhombic structure with space group Pn2n (ICSD n° 4165), showing crystallinity at long-range. Micro-Raman revealed the presence of at least 14 high intensity Raman-active vibrational modes. The optical properties of these nanocrystals were investigated by UVminus;vis spectroscopy and showed the creation of new intermediary levels within the band gap. The emission spectra excited at 393 nm (Xe lamp) showed the characteristic 5D0→7FJ (J = 0 - 4) transitions of Eu3+ resulting in a red luminescence. Decay time study suggested that Eu3+ ions are present at least in two different sites and predominantly occupying Ag+ sites in the host lattice. Judd-Ofelt intensity parameters and other radiative properties were evaluated from the emission spectra by adopting standard procedure. The SEM images revealed a wire-like morphology with average diameters of 40-60 nm. These results show novel and interesting properties for these new materials.
9:00 AM - P15.10
Surface Defect Engineering:Gigantic Enhancement in Detection Ability of Nanosensor by Increasing Defect Amounts
Yu-Ting Lin 1 Ping Hung Yeh 1 Sheng-Wei Lee 2 Ke Teng 1 Chien-Min Chang 1 Pin-Chun Pan 1 Chun-Yen Lai 1
1Tamkang New Taipei Taiwan2National Central University Tao-yuan Taiwan
Show AbstractThe polycrystalline CoTiO3 nanofibers (p-CoTiO3 NFs) can be a potential candidate for broadband light and gas detection; p-CoTiO3 NFs has numerous defect states on the surface and grain boundary which fabricated by electron-spinning. The defect-rich and defect-poor p-CoTiO3 NFs can be fabricated; which the electrical properties of various defect amounts were different under photo illumination. The mechanism and sensing ability of the p-CoTiO3 NFs can be invested through changing detection environment (vacuum, O2, air, CO and humidity). Furthermore, the room temperature ethanol detection also can be achieved due to the catalysis of Co. From those results of this research work, the mechanism of the broadband light and the room temperature gas molecules detection can be clarified through the surface defect engineering; those results also inspired us for multiple nanodevice design.
9:00 AM - P15.11
Cation Exchange in Low-Dimensional CdSe Nanomaterials into PbSe/CdSe Heterostructures and Anisotropic PbSe Nanomaterials
Dongkyu Lee 1 Sangheon Lee 2 Doh C Lee 1
1Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)2Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractCation exchange is known as a powerful tool to design novel nanocrystals which cannot be synthesized by routine hot-injection or heating-up methods. Governed by diffusion process of cations, the kinetically-controlled solid-state reaction enables metastable heterostructures which are thermodynamically unfavored. For instance, sequential conversion of CdS nanowires with copper and silver leads to superlattices within the nanocrystals, forming metastable p-n heterojunctions. [1]
In this presentation, we describe the direct pathway of Cd-to-Pb cation exchange in CdSe NRs and the role of ligands in cation exchange reaction. Previously reported conversion method of using PbCl2-oleylamine complex [2] leads to morphology change of nanorods into spherical nanoparticles. In contrast, mixing Pb-oleate and oleylamine triggered anisotropic cation exchange of nanorod that its partial conversion in mild temperature results in heterostructure with axial CdSe/PbSe heterojunctions, keeping the original morphology intact. Microscopic analysis revealed that the cation exchange proceeds asymmetrically along the <0001> direction at both tips of CdSe NRs; which the conversion rate appears to be higher in (000-1) planes. Notably, absence of oleylamine did not provoke any sign of conversion suggesting that oleylamine would play a significant role in this solid-state reaction. Binding energy calculation based on density-functional theory revealed that strong binding of oleylamine on the (000-1) facet of CdSe NRs is responsible for this asymmetric cation exchange.
Our work on the new cation exchange pathway broadens design range of CdSe/PbSe heterojunction nanomaterials potentially with various morphologies, since template CdSe nanocrystals can be prepared in different shapes via facile colloidal synthesis. We are extending our strategy of designing new cation exchange system to observe unique electric and photocatalytic properties of other heterostructures based on various nano-crystalline templates.
References
[1] Tan, C.-S.; Hsiao, C.-H.; Wang, S.-C.; Liu, P.-H.; Lu, M.-Y.; Huang, M. H.; Ouyang, H.; Chen, L.-J. Sequential Cation Exchange Generated Superlattice Nanowires Forming Multiple p-n Heterojunctions. ACS Nano 2014, 8, 9422-9426.
[2] Zhang, J.; Gao, J.; Church, C. P.; Miller, E. M.; Luther, J. M.; Klimov, V. I.; Beard, M. C. PbSe Quantum Dot Solar Cells with More than 6% Efficiency Fabricated in Ambient Atmosphere. Nano Lett. 2014, 14, 6010-6015.
9:00 AM - P15.12
Synthesis and Battery Applications of Electrically Conductive Niobium Doped Rutile TiO2 Nanorods
Aleksandra Jolanta Gardecka 1 2 Mechthild Lubke 1 2 Gregory Goh 2 Gopinathan Sankar 1 Ivan Parkin 1
1UCL London United Kingdom2A*Star Singapore Singapore
Show AbstractBlue, electrically conductive niobium doped rutile TiO2 nanorods were synthesised using a hydrothermal method at 180°C. Thorough structural analysis revealed that in order for niobium to remain evenly incorporated into the titania lattice, the maximum doping level is 3.5% by mole fraction. Further doping led to the phase segregation, and at 20% niobium in the solution by mole fraction, caused the creation of mainly Nb2O5 with only 10% TiO2. The phase-pure rutile materials were used directly after the synthesis without further heat-treatment as an anode material for lithium-ion batteries. At low and high applied current rates (0.1 A g-1 to 5 A g-1) the Nb-doped rutile TiO2 showed far superior electrochemical performances compared to the undoped counterpart. Pure TiO2 showed a specific capacity of about 140 mAh g-1 whereas Nb-doping increased the specific capacity above 200 mAh g-1 at a modest current rate of 0.1 A g-1.
9:00 AM - P15.13
Electromagnetic Interface Shielding Behavior of Silver Nanowire Networks
Ece Alpugan 1 Sahin Coskun 1 Arcan Fehmi Dericioglu 1 Husnu Emrah Unalan 1
1Middle East Technical University Ankara Turkey
Show AbstractDevelopment of electromagnetic technology increased the importance of electromagnetic interference shielding (EMI), which can be realized by the reflection and/or absorption of electromagnetic radiation by the shielding materials [1]. In case of reflection, conductive materials are used as shields due to their mobile charge carriers, which interact with the incident electromagnetic field. One of the most electrically conductive metals is silver (Ag). Due to its superior properties in bulk, nanostructures of silver and in particular nanowires have received remarkable attention. Silver nanowires (NWs) in the form of networks showed promising optical transparency and sheet resistance values and started to be extensively used as contact materials in prototype optoelectronic devices. In this work, EMI shielding properties of Ag nanowire networks on polyethyleneterephthalate (PET) substrates were investigated. For this purpose, Ag nanowires were synthesized through a simple polyol process [2]. Following purification, silver nanowires were deposited onto PET substrates at different densities through spray coating method. EMI shielding properties of Ag NWs at high frequency range (18-40 GHz) were investigated. Ag NWs showed increasing microwave reflectance with the increase in the nanowire density. The effect of nanowire density on the shielding effectiveness of the networks in conjunction with their optical transmittance were investigated. The results presented herein demonstrates the possibility of fabricating optically transparent EMI shielding materials over large areas through simple means.
[1] D.D.L. Chung, Carbon 39 (2001) 279.
[2] S. Coskun, B. Aksoy, H. E. Unalan, Cryst. Growth Des. 11 (2011) 4963.
9:00 AM - P15.14
Near-Infrared Emitting CuInSe2/CuInS2 Dot Core/Rod Shell Heteronanorods by Sequential Cation Exchange
Ward van der Stam 1 Eva Bladt 2 Freddy Rabouw 1 Sara Bals 2 Celso de Mello Donega 1
1Utrecht University Utrecht Netherlands2Antwerp University Antwerp Belgium
Show AbstractTernary colloidal nanocrystals (NCs) such as CuInX2 NCs (with X = S, Se and Te) are widely investigated as alternative for the toxic heavy-metal based NCs (CdX and PbX, with X = S, Se, Te), since their photoluminescence (PL) can be tuned from the visible into the near-infrared (NIR), which is attractive for several applications [1]. The major drawback of these ternary NCs is that their synthesis does not offer the same degree of freedom in terms of size, shape and composition that is currently available for the Cd-based counterparts. For example, two Cd-chalcogenide materials can be easily combined into a single NC by epitaxial overgrowth on a seed NC, resulting in core/shell heteronanocrystals (HNCs) with exciting properties [2]. However, this synthetic versatility has not yet been possible for ternary NCs. In order to grow ternary NCs, multiple precursor reactivities need to be precisely balanced in order to get homogeneous nucleation and subsequent growth, which limits their synthetic development. Up to date, it has not been possible to grow anisotropic luminescent ternary NCs, let alone combine two ternary compounds into a single HNC.
Cation exchange (CE) reactions have emerged as a promising alternative for direct synthesis protocols, offering post-synthetic control over the composition and crystal structure, leading to NCs otherwise not attainable [3]. Recently, we have extended the field of CE reactions by performing partial CE in Cu2-xS NCs, resulting in luminescent wurtzite CuInS2 NCs [4]. The In3+ for Cu+ cation exchange reaction is self-limited, stopping when the ternary composition is reached, without exchanging completely into In2S3.
Here, we demonstrate a combination of the synthetic versatility of Cd-based HNCs and sequential cation exchange reactions (Cd2+ for Cu+ followed by In3+ for Cu+). In this way we have been able to produce ternary CuInSe2/CuInS2 (CISe/CIS) dot core/rod shell NCs with efficient PL in the NIR, which cannot be produced by direct synthesis. Furthermore, the generality of our approach is demonstrated by converting a number of different Cd-chalcogenide based (hetero)NCs into CuIn-chalcogenide (hetero)NCs, with size and shape preservation. This approach thus allows the high-degree of control achieved for Cd-chalcogenide based NCs and HNCs to be exploited to fabricate shape and size-controlled ternary (hetero)NCs, which would otherwise remain inaccessible.
[1] Kolny-Olesiak, J.; Weller, H. ACS Appl. Mater. Interfaces, 2013, 5, 12221.
[2] de Mello Donega, C. Chem. Soc. Rev., 2011, 40, 1512.
[3] Beberwyck, B. J.; Surendranath, Y.; Alivisatos, A. P. J. Phys. Chem. C, 2013, 117, 19759.
[4] van der Stam, W.; Berends, A. C.; Rabouw, F. T.; Willhammar, T.; Ke, X.; Meeldijk, J. D.; Bals, S.; de Mello Donega, C. Chem. Mater., 2015, 27, 621.
9:00 AM - P15.15
Si-Doped MoO3 Nanobelts and Nano-Needles/-Particles for Sensing of NH3 in the Human Breath for Detection and Monitoring of End-Stage Renal Disease
Andreas Guentner 1 Marco Righettoni 1 Sotiris E Pratsinis 1
1ETH Zurich Zurich Switzerland
Show AbstractAround 3 million patients worldwide suffer from end-stage renal disease (ESRD), a number that increases at ~7% annually.1 Monitoring breath NH3 may enable early detection of ESRD and real-time monitoring of its progression since NH3 levels are 400 - 1&’800 ppb (mean 960) for healthy people and 820 - 14&’700 ppb (mean 4&’880) for ESRD patients.2 Chemo-resistive gas sensors based on semiconducting MOx are promising since they offer a compact/portable design and are simple in operation. Nevertheless, most such sensors suffer from insufficient analyte sensitivity and selectivity. So tailoring of the sensing material phase, (grain & crystal) size and morphology (0D/1D/2D/3D) is needed as both govern its sensing performance.3
Here, chemo-resistive gas sensors have been developed consisting of flame-made nanostructured α-MoO3, a promising phase for selective detection of breath NH3.4,5 A key novelty is the thermal stabilization of α-MoO3 by Si-doping that alters the sensing film morphology from nanobelts to hybrid nanoneedle/particles. To create these films, pure and Si-doped MoOx nanoparticles of about 10 nm in grain size were made by flame spray pyrolysis (FSP) and directly deposited onto sensor substrates. Si-doped MoO3 sensors (1.5 - 3.5 wt% SiO2) with hybrid nanoneedle/particle-like morphology showed enhanced response, improved operational stability and superior selectivity for NH3 over other breath-relevant gases (acetone, NO, CO) than pure MoO3 that had formed nanobelts. Optimal dopant level and sensor operational temperature were identified at 3 wt% SiO2 and 400 °C, respectively. This sensor could clearly distinguish breath-relevant NH3 levels down to 400 ppb at realistic conditions (90% relative humidity) with fast response and recovery times (< 1 min) and operational stability. Such sensors can be readily incorporated into portable devices,6 so they have high potential for further development towards a simple hand-held breath NH3 detector for early-stage renal disease (ESRD) detection and real-time monitoring of haemodialysis therapy.
(1) ESRD Patients in 2012: A Global Perspective, Fresenius Medical Care, Bad Homburg, 2013.
(2) Davies, S.; Spanel, P.; Smith, D. Kidney Int.1997, 52, 223-228.
(3) Righettoni, M.; Amann, A.; Pratsinis, S.E. Mater. Today2015, 18, 163-171.
(4) Mutschall, D.; Holzner, K.; Obermeier, E. Sens. Actuators B1996, 36, 320-324.
(5) Gouma, P.; Kalyanasundaram, K.; Xiao, Y.; Stanacevic, M.; Wang, L. Sensors Journal, IEEE2010, 10, 49-53.
(6) Righettoni, M.; Ragnoni, A.; Güntner, A.T.; Loccioni, C.; Pratsinis, S.E.; Risby, T.H. J. Breath Res.in press.
9:00 AM - P15.16
Photoresponse and Light Trapping in Nanowire Array-Graphene Interfaces
Tito Huber 1 Scott Johnson 1 2 Eric Edwards 1 Tina Brower 1 Albina Nikolaeva 2 Leonid Konopko 2
1Howard Univ Washington United States2Academy of Sciences Kishinev Moldova (the Republic of)
Show AbstractGraphene is an emerging optical material for photodetection. It features tunability by electrostatic doping and photothermoelectric response. It also features low optical absorption which makes it difficult to use as a detector. We studied the interface between transparent electrodes such as graphene and indium tin oxide (ITO) with thermoelectric (TE) nanowire arrays such as bismuth and bismuth telluride. The nanowire arrays were fabricated using a template method. Graphene was fabricated on a copper substrate and then transferred to the nanowire array surface. The interfaces were characterized with a number of tools including Scanning Electron microscopy, Raman spectroscopy and optical reflectance. The electronic transport at the contact was characterized at room temperatures and at 4 K. Interestingly, we observed that the interface has low optical reflectivity and high optical absorption.We will discuss these observations in terms of enhanced optical trapping. We also studied the photocurrent through the interface in particular the temporal and wavelength dependencies that are attributed to the origin of the signal. In the case that the transparent electrode is ITO and under infrared illumination, the signal can be completely described by thermoelectric effects considering cooling rates given by heat diffusion through the array. The temporal and wavelength dependence of the photoresponse of the graphene/TE nanowire arrays is not thermoelectric, rather the effects that are observed are suggestive of a photovoltaic process
9:00 AM - P15.17
Pd-Functionalized ZnO Nanocube Loaded WO3 Nanofibers for Exhaled Breath Analysis
Won-Tae Koo 1 Sang-Jun Kim 1 Seon-Jin Choi 1 Ji-Su Jang 1 Il-Doo Kim 1
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)
Show AbstractSemiconductor metal oxide (SMO)-based volatile organic compound sensors are getting much attention due to its future possibilities for portable applications with the low cost fabrication. Increase of surface area and catalytic sensitization should be accompanied to achieve highly sensitive and selective exhaled breath sensors using SMO-based sensing materials. Among the numerous nanomaterials, metal-organic frameworks (MOFs) are strong candidates for breath sensing materials because they have fascinating features such as ultrahigh porosity, and incredibly high surface area. In addition, noble metal nanoparticles (NPs) such as Pt and Pd which can enhance the sensitivity of the breath sensing materials can be encapsulated in the cavities of the MOFs. In this regard, MOFs are suitable materials for SMO-based breath analyzer. In this work, we propose catalyst-functionalized ZnO loaded on WO3 nanofibers (Catalyst-ZnO-WO3 NFs) synthesized by using ZIF-8 templates, which were prepared by solution process at room temperature. Subsequently, catalytic Pd NPs were encapsulated in the cavities of the ZIF-8 by reduction of catalytic metal ions. Electrospinning solution were prepared by dispersing Pd-functionalized ZIF-8 and polyvinylpirrolidone (PVP), and dissolving tungsten precursor [(NH4)6H2W12O40middot;xH2O] in DI-water solvent. Pd-ZnO-WO3 NFs were finally achieved by electrospinning and following calcination at 500 °C for 1 h. During the calcination, ZIF-8 were completely oxidized to ZnO, and porous WO3 NFs were synthesized by the decomposition of PVP and crystallization of the inorganic precursors. The sensing performances of porous WO3 NFs, ZnO-WO3 NFs, and Pd-ZnO-WO3 NFs were evaluated toward various biomarker gases (toluene, acetone, ethanol, hydrogen sulfide, nitric oxide, and ammonia) in high humid atmosphere. Pd-ZnO-WO3 NFs exhibited a twenty-four times higher toluene response, which is a biomarker for lung cancer, (Rair/Rgas=53.55 at 5 ppm) at 350 °C compared to that of porous WOshy;3 NFs. In addition, Pd-ZnO-WO3 NFs detected at 100 ppb of toluene with high response (Rair/Rgas=4.37 at 350 °C). Moreover, Pd-ZnO-WO3 NFs improved other sensing characteristics, in terms of response time and selectivity. These results demonstrates that the novel and facile synthesis of highly porous catalyst-loaded heterojunction SMO NFs by using MOF template can be applicable for exhaled breath analysis.
9:00 AM - P15.18
Tunable Coulomb-Oscillations in Improved CoPt Nanoparticle Based Field-Effect Transistors
Hauke Lehmann 1 Mirjam Volkmann 1 Svenja Willing 1 Christian Klinke 1
1Universitauml;t Hamburg Hamburg Germany
Show AbstractMetallic nanoparticles offer possibilities to build and improve basic electrical devices. Due to the small volume and the resulting low self-capacitance each single nanoparticle exhibits a high charging energy. Thus, a Coulomb-energy gap [1] is formed which adopts the role of a semiconductor&’s bandgap. This energy gap is for instance influenced by the particles&’ size and the surrounding dielectric environment. However, it is strongly required to keep the nanoparticles separated by tunnel barriers, since a merging of the particles would render them metallic again.
We synthesize monodisperse CoPt nanoparticles by colloidal chemistry [2]. Utilizing their ability to self-assemble, these particles are deposited via the scalable Langmuir-Blodgett technique [3] as highly-ordered homogeneous monolayers onto silicon substrates with pre-defined gold electrodes. Our resulting nanoparticle array is limited to stripes of defined lengths and widths through a resist mask, which has been pre-patterned by electron-beam lithography. Compared to former experiments on vast nanoparticle films [4], such a defined channel can be controlled much more precisely by applying a carefully adjusted gate voltage. An insulating dielectric layer on top of the nanoparticles enables the application of a local top-gate electrode instead of using the global back-gate voltage. Thus, each device becomes single addressable. The effect of the stripes' geometry as well as of different preparation techniques has been examined.
We observe clear Coulomb-oscillations at temperatures of up to over 150 K and find maximum on/off-ratios of around 70 % for our metal-nanoparticle based field-effect transistors.
[1] C. W. J. Beenakker, Phys. Rev. B 44, 1646 (1991)
[2] S. Lim et al., Nano Lett. 10, 964 (2010)
[3] V. Aleksandrovic et al., ACS Nano 2, 1123 (2008)
[4] Y. Cai et al., J. Appl. Phys. 114, 034311 (2013
9:00 AM - P15.19
One-Pot Preparation of Star-Shaped ZnO/Zn(OH)2 at Room Temperature and Its Decoration with Silver Nanoparticle
George Ricardo Santana Andrade 1 Cristiane Cunha Nascimento 1 Luiz P. Costa 1 Iara F. Gimenez 1
1University of Sergipe Satilde;o Cristoacute;vatilde;o Brazil
Show AbstractHybrid nanostructures based on the combination of metal oxide semiconductor and noble metal nanoparticles have been designed for applications in diverse areas such as optoelectronics, chemical and biological sensing, solar energy conversion, environmental remediation and catalysis. This work aims to prepare orange-emitting zinc oxide (ZnO) particles with a star-like morphology by a new room temperature methodology and their decoration with silver nanoparticles (SNPs). For preparing ZnO particles, aqueous zinc acetate and thiourea solution with known concentration was magnetically stirred while its pH was adjusted to values of 8-12. After 30 min aging, the obtained white powder was centrifuged, washed with Milli-Q water until reached neutral pH and dried in an oven at 45 °C for 12h. For hybrid ZnO/SNP, Ag+ ions were adsorbed on the ZnO surface and photochemical reduced by using UVA-light irradiation. XRD and TEM analysis of white powders obtained in different pH values suggest that Zn(OH)2 and ZnO nanoparticles aggregate by an oriented-attached mechanism due to the presence of thiourea and its decomposed products. It was found that at pH 8.0 nearly spherical ZnO and Zn(OH)2 nanoparticles were produced, whereas at pH 12.0 only star-shaped particles with spike length around 400 nm were found. FTIR spectra revealed the presence of thiocyanate ions (SCN) on the ZnO surface and emission spectra of all samples showed dependence with the excitation wavelength. SNPs were photochemically produced in situ on the surface of these particles after a thermal treatment at 150 °C for 12 h for removing Zn(OH)2. The concentration of silver nitrate has a direct response on the average size and size distribution of SNPs, what was studied by UV-vis and TEM analysis. The presence of SCN on ZnO surface prevents uncontrollable growth of Ag nanoparticles into different morphologies and high degrees of polydispersity. Finally, preliminary studies showed that hybrid ZnO/SNP particles have an improved bactericide activity against gran-positive and gran-negative bacteria.
This work was financially supported by CNPq, Capes and Fapitec. Authors are also thankful to CMNano (project number 82).
9:00 AM - P15.20
Synthesis of Ag NWs Using Mono Alcohol -Silver Nitrate- Copolymer System
Shintaro Sugiyama 1 Jhon Cuya 1 Shohei Ida 1 Daisuke Kodama 2 Kimitaka Sato 2 Hiroshi Miyamura 1 Yoshitugu Hirokawa 1 Balachandran Jeyadevan 1
1The University of Shiga Prefecture Hikone City Japan2DOWA Holdings Co. Ltd. Tokyo Japan
Show AbstractHighly electrically conductive Ag nanowires (Ag NWs) are being considered as a potential alternative material for indium tin oxide (ITO) in transparent conductive films (TCF). Generally, these NWs are synthesized by polyol reduction process using polyvinylpyrrolidone (PVP) as capping agent; however, the aspect ratio of these wires is yet to satisfy the requirement for TCF. On the other hand, little has been reported on synthesis of high aspect ratio Ag NWs using capping agents other than PVP or solvent other than ethylene glycol. Since we have developed techniques to synthesize metallic nanoparticles using mono alcohols, we attempted the synthesis of Ag NWs using similar techniques and succeeded using the benzyl alcohol-AgNO3-PVP system. However, their aspect ratio was low and the yield was poor compared to EG-AgNO3-PVP system. The solubility of metal salts and specific absorption of the surfactant were considered to have strong influences on the selectivity of the solvent and subsequent properties of Ag NWs. Thus, we attempted the synthesis of Ag NWs using capping agents other than PVP but with structure/ligand similar PVP such as oleylamine, polyacryloylmorpholine and VP-based copolymer in benzyl alcohol and investigated their influence on their properties. The yield of Ag NWs synthesized using either PVP or copolymer was high. In contrast, the yield was low in the cases where oleylamine and polyacryloylmorpholine were used. Ag NWs prepared by using copolymer had an average length and diameter of 22.8 mu;m and 57.1 nm, respectively. The above results confirmed the successful synthesis of Ag NWs using mono alcohol and capping agent other than PVP. The influence of capping agents on the synthesis of Ag NWs with enhanced aspect ratio has also been investigated and the details of these results will also be reported.
9:00 AM - P15.21
Preparation and Thermal Conductive Properties of Silver Nanowire Dispersed in Magnetic Functional Fluid
Takuya Naito 1 Jhon Cuya 1 Hiroki Yokoyama 2 Yasushi Ido 2 Atsushi Yoshioka 3 Yuhiro Iwamoto 3 Hiroshi Yamaguchi 3 Kimitaka Sato 4 Hiroshi Miyamura 1 Balachandran Jeyadevan 1
1The University of Shiga Prefecture Hikone City Japan2Nagoya Institute of Technology Nagoya Japan3Doshisha University Kyotanabe Japan4DOWA Holdings Co.Ltd. Tokyo Japan
Show AbstractSilver nanowires (Ag NWs) have gained attention because of their high thermal conductivity and researches on the evaluation of their physical properties are being carried out intensively. Recently, the heat transfer of fluid dispersing nanomaterial such as metal NWs, carbon nanotubes etc., have been reported. However, the heat transfer characteristics in such systems were isotropic. In this study, we report the synthesis Ag NWs, their dispersion in polar and non-polar solvents through ligand exchange and preparation of magnetic functional fluid dispersing Ag NWs. Subsequently, the anisotropic thermal conductivity properties of the magnetic functional fluid were measured by aligning Ag NWs using an external magnetic field. First, high yield Ag NWs were synthesized by reducing Ag ions in ethylene glycol in the presence of the polyvinylpyrrolidone (PVP) by optimizing the synthesis parameters and their structural, morphological properties were analyzed using XRD, SEM. The lengths, diameters and aspect ratios of the wires ranged between 10-40 mm, 30-400 nm and 60-400, respectively. Though the as-synthesized Ag NWs were dispersible in polar solvents due to the presence of PVP on their surfaces, they were also made dispersible in non-polar solvent through exchange technique. Subsequently, the Ag NW dispersions were mixed with magnetic nanoparticle suspensions to obtain the magnetite functional fluid. The microstructure of NWs in magnetic functional fluid in the presence of external magnetic field were observed using Dark-field microscope. The thermal conductivity measurements of magnetic functional fluid loaded with 0.11 vol % Ag NWs, and carried out in the presence of an external magnetic field using hot-wire method exhibited tunable a 14% (±7%) enhancement compared with Ag NPs. The thermal conductivity enhancement depended on the external magnetic field strength, concentration and aspect ratio of the Ag NW. In this presentation, the details of the chemical synthesis technique used to obtain Ag NWs with varying aspect ratios and the methodology to disperse them in polar and non-polar solvents. And also, the thermal conductive properties of Ag NWs in magnetic suspension will be reported.
9:00 AM - P15.22
Misfit Strain Calculations for Piezoelectric Quantum Dot Core/Shell Crystals
So-young Seo 2 Sung Sic Yoo 1 Dhaneshwar Mishra 2 Y. Eugene Pak 1
1Advanced Institutes of Convergence Technology Suwon Korea (the Republic of)2Ajou University Suwon Korea (the Republic of)
Show AbstractQuantum dots (QDs) are nanocrystals that are widely used in electronic devices as semiconductors due to their distinct and unique characteristics. QD structures are often manufactured as core/shell assembly due to the chemical sensitivity of the core surface which leads to degradation of emission properties. Since the core and the shell are often made from different materials with different lattice dimensions, the assembled QD core/shell structures can induce misfit strains. The misfit strain has a profound effect on the exciton dynamics and blinking properties which play an important role in determining the light emission performance. The induced strain can also alter the theoretical predictions of the optoelectronic properties that are often calculated from the first principle calculations. Hence, in order to better predict the optoelectronic properties, the elastic strains must be included in the Hamiltonian equations in calculating the electronic band structures which are usually calculated by the k.p method. Therefore, the work herein has investigated the piezoelectric behavior of QD core/shell structures in zinc-blende (ZB) and wurtzite (WZ) crystals in the framework of linear piezoelectricity. The strains arising from lattice mismatch in the core/shell structure is modeled as eigenstrains resulting from size-mismatched inclusion embedded in a finite spherical piezoelectric medium. Assuming that the core/shell piezoelectric structures exhibit spherically hexagonal anisotropy, an exact solution is obtained through the eigenvalue decomposition method and the analytical expressions of the electroelastic fields are found using appropriate boundary and continuity conditions. In order to verify the analytical solutions for the piezoelectric QD core/shell nanostructure, FEA was performed using the equivalent thermal strain to model the initial lattice mismatch. In this process, the electroelastic fields arising from the shrinking of the geometrically misfitted core was added to the FEM solution resulting in an excellent agreement.
The current solution can be extended to an infinite matrix problem whose result can be used in analyzing embedded QDs with piezoelectricity effects. Furthermore, the solutions obtained in this work can be used for any two-layer spherical piezoelectric structures having spherical anisotropy subjected to various electromechanical loads.
9:00 AM - P15.23
The Intriguing Effect of Shell Polytypism in DiB-QDs: Probing Spin Dynamics before Charge Localization
Valerio Pinchetti 1 Gianfranco Vaccaro 1 Gianluca Sirigu 5 Andrea Camellini 5 Wan Ki Bae 4 Sotirios Christodoulou 2 Francesco Meinardi 1 Margherita Zavelani Rossi 5 Liberato Manna 2 Guglielmo Lanzani 2 Iwan Moreels 2 Victor I. Klimov 3 Sergio Brovelli 1
1Universitagrave; degli Studi di Milano - Bicocca Milan Italy2Istituto Italiano di Tecnologia Genova Italy3Los Alamos National Laboratory Los Alamos United States4Korea Institute of Science and Technology Seoul Korea (the Republic of)5Politecnico di Milano Milano Italy
Show AbstractCdSe/CdS dot-in-bulk (DiB) colloidal quantum dots (QDs) are recently demonstrated dual emitting
heterostructures capable of emitting from core and shell states simultaneously under low optical1
and electrical2 excitation. Such ability has been ascribed to the combination of a dynamic Coulomb
blockade effect that prevents the localization of multiple holes in the core, and of the polytypic shell
structure yielding an interfacial potential barrier between core and shell valence band that slows
down the capture rate of shell holes into the core. As a result, in DiB-QDs, in the single exciton
regime, quantum-confined core excitons and bulk-like shell excitons co-exist3 making DiB-QDs a
unique model system for fundamental investigations of shell carrier dynamics prior to localization
in the core, which, in conventional thick-shell CdSe/CdS QDs (g-QDs), is inaccessible due to
ultrafast hole capture rates.
One intriguing feature recently discovered in g-QDs is the formation, at cryogenic temperatures, of
negatively charged core excitons (negative trions, T-), leading to circularly polarized emission in
high magnetic fields4. Using magneto-optics experiments (1.5K, 0-5 T), we show that DiB-QDs
allocate T- in the core and the shell regions simultaneously, with spin-polarization dynamics
determined by the different confinement regimes. Similar to g-QDs, the spin-relaxation rate of core
holes in DiB-QDs is longer than the respective PL decay (0.13 vs. 0.165 ns-1). In contrast,
picosecond-resolved spin polarization measurements of shell T- in DiB-QDs reveal that the spin-flip
rate is 100-fold larger than for core T- and is also sensibly faster than the respective PL decay (1.98
vs. 0.51 ns-1). This effect suggests that the transition between the spin sublevels of shell holes could
be assisted by coupling to surface states, i.e. dangling bonds, which are instead inaccessible to core
localized holes, as recently suggested by Rodina and Efros5.
Finally, to unveil the role of shell polytypism on the two-color emission of DiB-QDs, we performed
spectroscopic studies on CdSe/CdS DiB with analogue composition and size, differing from each
other exclusively for the polytypic vs. homogeneous shell structure. Surprisingly, also QDs with
homogeneous shell, that is, nominally without an interfacial potential barrier, show shell emission
in the single exciton regime, whilst the Coulomb blockade mechanism is active exclusively in DiB-
QDs with polytypic shell, leading to suppressed Auger recombination and over 200% higher
biexciton efficiency with respect to DiB-QDs with homogeneous shell. As a result, DiB-QDs with
polytypic shell show amplified spontaneous emission from shell states using nanosecond pulsed
excitation sources, while homogeneous DiB-QDs with homogeneous shell requires femtosecond
pulsed excitation.
1 Galland C., Nano Lett, 2013, 13
2 Brovelli S., Nano Lett, 2013, 14
3 Brovelli S., Nano Lett, 2014, 14
4 Javaux C., Nat Nano, 2013, 8
5 Rodina A., Nano Lett, 2015, 15
9:00 AM - P15.24
Syntheses of CoPt Nanoparticles for Coulomb-Transistor Applications
Mirjam Volkmann 1 Sascha Kull 1 Hauke Lehmann 1 Christian Klinke 1
1Universitauml;t Hamburg Hamburg Germany
Show AbstractEven metallic nanoparticles offer possibilities to build and improve basic electrical devices. Due to the small volume and the resulting low self-capacitance each single nanoparticle exhibits a high charging energy. In that case, the role of a semiconductor&’s bandgap is adopted by the resulting so-called Coulomb-energy gap [1], that is for instance influenced by the particles&’ size and the surrounding dielectric environment.
We synthesize monodisperse CoPt nanoparticles by colloidal chemistry via the hot-injection method. The CoPt alloy nanoparticles are formed by reduction of platinum (II) acetylacetonate and the pyrolysis of cobalt carbonyl in the presence of long-chain amine and carbonic acid as capping ligands [2]. These ligands serve as stabilizing agents and hinder a merging of the particles. The influence of the synthesis parameters on the size, shape and composition will be discussed. The particle size at constant composition is tunable from 8 down to 2 nm, strongly depending on the concentration of oleylamine. In contrast, oleic acid mainly determines the particle shape.
The resulting monodisperse CoPt nanoparticles are used to fabricate homogeneous monolayers via the Langmuir-Blodgett technique [3]. Thus, metal-nanoparticle based field-effect transistors may be fabricated following a quick and comparably cheap preparation route [4].
[1] C. W. J. Beenakker, Phys. Rev. B 44, 1646 (1991)
[2] S. Lim et al., Nano Lett. 10, 964 (2010)
[3] V. Aleksandrovic et al., ACS Nano 2, 1123 (2008)
[4] Y. Cai et al., J. Appl. Phys. 114, 034311 (2013)
9:00 AM - P15.25
Attachment of Colloidal Nanoparticles to Boron Nitride Nanotubes
Mirjam Volkmann 1 Michaela Meyns 1 Hauke Lehmann 1 Christian Klinke 1
1Universitauml;t Hamburg Hamburg Germany
Show AbstractOne-dimensional materials have attracted increased interest after the accidental discovery of carbon nanotubes [1], because of their extraordinary properties combined with their unique structures. Due to synergetic effects, the combination of nanoparticles and nanotubes opens many new possibilities for example for catalysis, in solar cells, or for water splitting [2].
We report on the synthesis and characterization of nanocomposites based on semiconductor nanoparticles attached to boron nitride nanotubes. To achieve those composites the boron nitride nanotubes are simply integrated into the colloidal synthesis of the correspondent nanoparticles. The main focus lies on the direct attachment meaning that the boron nitride nanotubes were used non-functionalized and without prior defect creation which should imply non-damage lattice structure. With PbSe, CdSe, and ZnO nanoparticles a wide range of semiconductor bandgaps is covered (spectrum from the near infrared to the ultra violet). In all cases, a high surface coverage of the boron nitride nanotubes with nanoparticles is achieved. In addition to synthetic aspects, optical properties of such composites will be discussed and compared to earlier results obtained from carbon nano tubes.
[1] S. Iijima, Nature 1991, 354, 56-58.
[2] D. Golberg et al., Adv. Mater. 2007, 19, 2413-2432.
9:00 AM - P15.26
Study About an Experimental Design for CdTe Quantum Dots Synthesis and Analysis of Changes in Optical Properties after Their Interaction with Hydroxyl Radicals
Emilio Alonso Navarrete 1 Rodrigo Henriquez 1 Ricardo Schrebler 1 Ricardo Cordova 1 Luis Aguilar 1 Manuel Bravo 1 Eduardo Munoz 1
1Pontificia Universidad Catoacute;lica de Valparaiacute;so Valparaiso Chile
Show AbstractQuantum dots (QDs) are small nanocrystals consisting of a semiconductor material with diameters in the range of 2-10 nm (10 to 50 atoms). These QDs exhibit intermediate characteristics between the electronic properties of semiconductors higher volume (bulk) and molecules, due in part to the surface-volume ratio structure [i]. The most evident result of the properties of these nanomaterials is the wavelength of the fluorescent emission, which may be modified depending on the particle size. Generally, decreasing the size of the QDs is reflected in an increase in energy between the valence band and the conduction band, and with this emission maximum are shifted toward short wavelengths [ii].
In this work we examined the optimization of synthesis parameters of CdTe semiconductor nanoparticles, and an analysis of the changes in their optical properties after interaction with hydroxyl radicals. The synthesis of CdTe QDs was studied in aqueous medium using as precursors Na2TeO3 and CdCl2, mercaptosuccinic acid (MSA) as capping agent, NaBH4 as reducing agent, and Borax/Citrate as buffer in a reflux system. The study was conducted at different temperatures, pH, precursor molar-ratios and reflux times. The QDs were characterized by UV-Vis spectroscopy. Furthermore, the optimization was performed using a Doehlert&’s experimental design.
Simultaneously, the fluorescence lifetime of the QDs was obtained by means of time-resolved fluorescence measurements when they were exposed to a source of hydroxyl radicals generated from a Fenton reaction. Different quenching processes could be observed when this nanoparticles were exposed to radicals.
[i] Ekimov, A. I. & Onushchenko, A. A.; Onushchenko (1981). "Quantum size effect in three-dimensional microscopic semiconductor crystals". JETP Lett. 34: 345-349.
[ii] Medintz I L, Clapp A R, Brunel F M, Tiefenbrunn T, Uyeda H T, Chang E L, Deschamps J R, Dawson P E and Mattoussi H. Nature. Mater. (2006) 5: 581.
9:00 AM - P15.27
Improved Gas Sensing Properties to VOC Vapour via Controlled Reaction Area of alpha;-MoO3 Nanorods with One Step Sol-Gel Route
Shuren Cong 1 Tohru Sugahara 1 Jinting Jiu 1 Yukiko Hirose 1 Shijo Nagao 1 Katsuaki Suganuma 1
1Osaka University Osaka Japan
Show AbstractLow dimensional metal oxide semiconductor nanostructures have attracted considerable research attention for their potential to fabricate gas sensing devices. Nanostructure materials can offer reduced working temperature, miniaturized size and low power consumption of sensors. Among these nanostructures, nanorods are some the most important morphologies because of their high surface-to-volume ratio, superior crystallinity and faster electronic transmission channels; they have been studied for a long time with respect to growing nanorods with prolonged lengths along the axis or increased length-diameter ratios. Molybdenum trioxide (MoO3) is an important transition metal oxide semiconductor with unique functional properties. In this work, we report α-MoO3 nanorods grown randomly from silica glass substrates that exhibit a single-phase and single-crystal nature by a simple sol-gel, spin-coating, and sintering processes. Results of SEM and XRD show the α-MoO3 nanorods grow from the substrate in random direction, with diameters of 10 - 20 nm, like needles. The experiments indicate that the length of the obtained nanorods depend on the molar ratio of molybdate:citric acid, sintering time and solvents. The maximum nanorods lengths of the obtained α-MoO3 nanorods can be extended from 100 nm up to approximately 600 nm at optimal synthesis condition, which may result in the enlargement of the reaction area of the nanorods. The gas sensing experiments reveal the α-MoO3 nanorods show an enhanced gas sensing properties to the Volatile Orgnic Compounds (VOC) with the extension of the length of the nanorods. The α-MoO3 nanorods also exhibit a good selectivity with the VOC gas, such as ethanol, methanol, isopropyl and acetone.
9:00 AM - P15.28
Flexible and Stretchable Conductive Nanowires and Nanocomposites Based on Polymer/CNT Blends
Mehmet Girayhan Say 1 2 Mehmet Kanik 1 2 Mehmet Bayindir 1 2 3
1National Nanotechnology Research Center, UNAM, Bilkent University Ankara Turkey2Institute of Materials Science and Nanotechnology, Bilkent University Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractNanocomposite materials composed of carbon nanotubes (CNTs) and carbon loaded polymers expected to bring solutions to most fundamental problems in flexible and stretchable electronics related to electrical conductivity, elastic modulus and thermal durability. Especially, when those nanocomposites formed in functional nanowires, they potentially find wide range of electronic and electro-mechanic applications such as capacitors, sensors, actuators and energy harvesting.1 Although fabrication techniques such as mold template method, liquid - gaseous phase growth, lithography have been used widely in fabrication of composite nanowires for flexible electronics, they are still insufficient to bring on required building block. Therefore, a fabrication technique, which is capable to produce extreme high aspect ratio, well-ordered, high conductive, flexible, stretchable and high elastic modulus, is demanded. We developed a promising CNTs / Polymer nanocomposite material for flexible electronics based on thermal size reduction method. Initially, we optimized composition of Conductive Polyethylene (CPE) and metallic CNTs by dissolving in Xylene and prepared a thin film nanocomposite with a uniform ~ 103 S/m conductivity. Further, we fabricated a bulk rod using our conductive films for processing it through three steps of size reduction process, which is based on thermal fiber drawing technique.2 We obtained kilometer long, conductive, ordered, flexible, stretchable micro and nanowires with 300 - 800 µm, 2 - 8 µm and 50 - 300 nm in diameters in step 1, step 2 and step 3 fiber drawing process, respectively. According to Raman Spectroscopy, SEM, and TEM investigations, CNTs embedded in polymer has exchange the mixed orientation with end to end alignment parallel to fiber drawing direction. The main reason of maintaining the conductivity in micro and nanocomposite wires is the aligned CNTs along the fiber and carbon particles through the fiber width. The nanostructures and nanocomposite films were used to fabricate conductive substrates, ionic capacitors and flexible electrodes.
1. M. Kanik, M.G. Say, B. Daglar, A.F. Yavuz, M.H. Dolas, M.M. El-Ashry, M. Bayindir, Adv. Mater. 2015, 27, 2367.
2. M. Yaman, T. Khudiyev, E. Ozgur, M. Kanik, O. Aktas, E. O. Ozgur, H. Deniz, E. Korkut, M. Bayindir, Nat. Mater. 2011, 10, 494.
9:00 AM - P15.29
Self Assembled Growth and Optical Properties of Ge/Si Core/Shell Quantum Dots in Alumina Matrix
Maja Buljan 1 Nikolina Nekic 1 Jordi Sancho Paramon 1 Iva Bogdanovic-Radovic 1 Nikola Radic 1
1Rudjer Boskovic Institute Zagreb Croatia
Show AbstractMaterials consisting of semiconductor core-shell nanostructures, like nanowires or quantum dots attract special attention due to their highly adjustable electronic structure and optical properties. Especially interesting are materials based on core/shell Ge/Si quantum dots due to the type II band alignment, leading to the separation of charge carriers [1,2].
We have recently developed a method for the production of self-assembled core/shell Ge/Si quantum dots in an amorphous alumina matrix [3]. The method is very simple and consists of magnetron sputtering deposition process of Al2O3/Ge/Si multilayer. The formed dots are spontaneously ordered in a three-dimensional body centered tetragonal quantum dot lattice.
The light absorption properties of these materials are significantly different compared to the films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi prepared by the same method. The core/shell-based materials show a strong narrow absorption peak, while others show only broad, low-intensity bands. The properties of the absorption observed for the core/shell quantum dots is in accordance with the theoretical predictions from Ref. [1], and it is characteristic for type II confinement.
Tuning the radius of the Ge core and thickness of the Si shell we are able to tune the position of the absorption peak in a broad range of energies. To demonstrate that effect several sets of Ge/Si/Al2O3 - films differing by deposition conditions are compared.
[1] E. L. Oliveira, E. L. Albuqerque, J. S. de Sousa, G. A. Farias, F. M. Peeters, Configuration- Interaction Excitonic Absorption in Small Si/Ge and Ge/Si Core/Shell Nanocrystals, J.Phys. Chem C 116 (2012), 4399 - 4407.
[2] A. Shik, H. Ruda, E. H. Sargent, Non-equilibrium carriers and recombination phenomena in type-II quantum dots, Nanotechnology 12 (2001), 523-528.
[3] M. Buljan et al. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix, Nanotechnology 26 (2015), 065602.
9:00 AM - P15.30
In-Situ Investigation of Nanocluster Deposition and Sintering using Synchrotron X-Ray Scattering
Jeffrey Ulbrandt 1 Yang Li 1 Randall Headrick 1
1Univ of Vermont Burlington United States
Show AbstractNanocluster thin films are one of the simplest deposition systems, offering a way to observe fundamental surface and bulk processes with particles larger than individual atoms, but small enough to interact significantly with each other through mechanisms such as stress and surface mobility. A key mechanism affecting the properties is the ability of the nanoclusters to sinter upon contact with each other. In-Situ X-ray Reflectivity and Grazing Incidence Small Angle X-Ray Scattering (GISAXS) were used to monitor thin-films grown from Tungsten Disilicide (WSi2) and Copper (Cu) nanoclusters. The nanoclusters ranged in size from 2nm to 9nm diameter and were made by high-pressure magnetron sputtering via inert-gas condensation. X-Ray Reflectivity measurements of the film at various stages of growth reveal that the resulting films exhibit very low density, approaching 15% of bulk density. This is consistent with a simple off-lattice ballistic deposition model where particles stick at the point of first contact without further restructuring. We observe a small change of the shape of GISAXS spectra when the films are several particle layers thick, which we interpret as modest sintering at the contact points between particles. WSi2 Nanoclusters of a larger diameter (5-9 nm) show little to no sintering, while smaller diameter Nanoclusters (2.6 nm) show a modest effect. Copper Nanoclusters with a similar diameter (5 nm) to the larger WSi2 Nanoclusters showed a greater degree of sintering than WSi2.
9:00 AM - P15.31
Synthesis and Catalytic Performance of Au@PdAu Tadopoles Comprised of Au@Pd Heads and an Au Tail
Liliam Kaori Yamada 1 Anderson Gabriel Marques Da Silva 1 Thenner Silva Rodriques 1 Pedro Henrique Cury Camargo 1
1Universidade de Satilde;o Paulo Satilde;o Paulo Brazil
Show AbstractNovel Au@PdAu tadpoles were synthesized by employing Au@Pd core@shell nanoparticles (NPs) as starting materials for Au deposition employing AuCl4-(aq) as the Au precursor, PVP as a stabilizer/reducing agent, water as the solvent, and 100 oC as the reaction temperature. The Au@PdAu tadpoles obtained by this route displayed Au nanorod tails while the heads were comprised of Au@Pd NPs decorated with small and spherical Au NPs islands. Our mechanistic investigations suggested that, in the initial stages, Au deposition over the Au@Pd NPs took place to generate Au islands decorated at the Au@Pd surface. After subsequent growth, the small variations in the size of Au islands provided the driving force for the occurrence of Ostwald ripening processes that, together with further generation of Au atoms from AuCl4-(aq) reduction, lead to the growth of a single Au nanorod from each Au@Pd nanoparticle surface to yield the Au@PdAu tadpole morphology. The effect of a number of reaction parameters on product morphology were explored, leading to new insights into the growth behavior involved in the synthesis of bimetallic and anisotropic nanomaterials containing Au and Pd. Although bimetallic nanomaterials having Au and Pd compositions have been extensively synthesized, more generally, there are few reports of the synthesis of bimetallic particles with the characteristic tadpole morphology. In order to demonstrate their application, the catalytic activity of Au@PdAu tadpoles towards the reduction of 4-nitrophenol was investigated as a function of composition and morphology during different growth stages. We believe that the Au@PdAu tadoples may inspire the design of novel nanomotor having catalytic and optical (plasmonic) properties.
P11: Optical Spectroscopy and Infra-Red Applications
Session Chairs
Irina Buyanova
Jessica Boland
Wednesday AM, December 02, 2015
Sheraton, 2nd Floor, Constitution A
9:30 AM - P11.01
Ultrafast Carrier Dynamics of a Photo-Excited Germanium Nanowire-Air Metamaterial
Yanying Li 1 Raphael Clady 2 Ann F Marshall 1 Junghyun Park 1 Shruti V. Thombare 1 Gerentt Chan 1 Timothy W. Schmidt 3 Mark Brongersma 1 Paul C. McIntyre 1
1Stanford Univ Stanford United States2University of Sydney Sydney Australia3University of New South Wales Sydney Australia
Show AbstractUltrafast carrier dynamics in arrays of single crystal and relatively uniform-diameter Ge nanowires (NWs) are investigated by transient absorption measurements and effective medium simulations. We present the first quantitative analysis of a Ge NW-air metamaterial, translating the photon response of the assemblies to carrier dynamics. Three time regimes of the ultrafast recombination process are identified: Auger recombination dominant (0 - 5 ps), “fast” surface trapping and recombination dominant (5 - 20 ps), and a mix of “fast” recombination and “slow” surface trapping (20 - 200 ps). The rates of surface recombination and their dependences on pump fluence are determined, highlighting the different interactions of electrons and holes with Ge NW surface and interface states. Structural and excitation conditions can be engineered to extend the photogenerated electron and hole lifetimes. Small wire diameters and low pump powers enhance the electron lifetime because charging of defect states in the surface oxide layer produces a potential barrier for electrons to be trapped at Ge/GeOx interface. This phenomenon simultaneously causes an enhancement of hole lifetime for relatively large wire diameters and small pump powers.
9:45 AM - *P11.02
Deep Sub-Wavelength Infrared Light Localization and Transport in Semiconductor Nanowires
Michael A. Filler 1
1Georgia Institute of Technology Atlanta United States
Show AbstractLocalized surface plasmon resonances (LSPRs) supported in nanoscale semiconductors offer exciting new opportunities to confine and transport infrared light within deep sub-wavelength volumes. The vapor-liquid-solid (VLS) growth of semiconductor nanowires, which provides precise control of resonator geometry, carrier density, and spacing, is a particularly attractive technique for engineering both the far- and near-field behavior of infrared LSPRs. This talk will overview our recent efforts to generate, characterize, and utilize these excitations in phosphorus-doped Si nanowires. Resonant absorption (and emission), with cross-sections exceeding 10-10 cm-2 at room temperature, is tunable between 5 and 20 mu;m by varying doped segment aspect ratio and carrier density. User-programmable multi-modal absorption spectra are possible by encoding several doped segments, each with distinct dimensions and/or dopant concentrations, along the nanowire length. Near-field coupling strength can be enhanced by 5-10 times due to the nanowire&’s anisotropic dielectric environment and the large refractive index of semiconductors in the infrared. This unique property of semiconductor nanowires permits extreme light localization and field intensities at inter-resonator spacings that are far larger, and thus easier to fabricate, then in isotropic media. As the infrared spectral regime is home to the vibrational signatures of chemical bonds and thermal emission from earthly heat sources, this work provides a foundation from which to engineer narrowband infrared light sources, compact thermal waveguides, and ultrasensitive chemical sensors.
10:15 AM - P11.03
Germanium Nanowire Arrays as Multispectral Photodetectors in the Shortwave Infrared Region
Amit Solanki 1 Hyunsung Park 1 Kenneth B. Crozier 2 3
1Harvard University Cambridge United States2University of Melbourne Melbourne Australia3University of Melbourne Melbourne Australia
Show AbstractLight absorption in a material is dependent on light-matter interaction and thus varies with the physical shape or morphology of the absorber. It has been recently shown that nanowires (NWs) offer interesting possibilities in this regard, due to the fact that they act as waveguides when illuminated along their long axis. These NWs exhibit enhanced optical absorption at wavelengths determined by the interplay between excitation of waveguide modes and absorption in the NW. A NW photodetector will therefore have a responsivity spectrum determined by its diameter, as this modifies the properties of the waveguide modes. It has been shown that this effect can be employed in silicon NWs in the visible spectrum for color imaging. In SWIR range, there is a considerable interest in multispectral imaging for applications ranging from defense to agriculture. Indeed, systems exist that perform this function, but at present these often consist of broadband image sensors that are coupled to spectrometers, making them bulky and expensive. To overcome these issues, we have proposed the use of Germanium (Ge) NW photodetectors as SWIR multispectral photodetectors. Here, we provide a direct demonstration of NW based SWIR multispectral photodetectors comprising of arrays of Ge NWs with diameters ranging from 75 to 250nm.
We start with a p+ Ge wafer on which an epi stack containing undoped (1.5 mm thick) and n+ (300 nm thick) layers. NW arrays were fabricated by electron beam lithography and reactive ion etching to obtain arrays with 2mu;m long NWs. The NWs were then embedded in 1.7mu;m thick polymethyl methacrylate layer and an indium tin oxide layer was sputter coated as the top contact. The substrate was used as the back contact.
Photocurrent measurements were performed on the devices using a homemade optical setup, with the illumination wavelength swept from 400 to 1700nm. Electromagnetic simulations were performed, with the modeled structures having geometries chosen to match those of the actual devices. The simulations show spectrally resolved absorption in NW arrays with the position of the dominant absorption peak shifting to longer wavelength with increasing diameter. Our experimental results exhibit a similar redshift in the absorption spectra with increasing NW diameter. In both theory and experiment we see that the light absorption in visible wavelength is dominated by ripples which are independent of NW diameter suggesting a Fabry-Perot type of absorption. Overall, we observed tuning of absorption peaks from 800-1600nm by changing the NW diameter from 75-250nm. Comparison of the measured external quantum efficiencies of the devices to the simulated absorption suggests a high internal quantum efficiencies (>80%). Our results provide a proof of concept for Ge NW array based active photodetectors whose spectral responsivities can be tuned via appropriate choice of NW diameter.
10:30 AM - P11.04
Optical Design for Near-Unity UV-Vis-NIR Absorption in Sparse Arrays of III-V Nanowires
Katherine T. Fountaine 1 2 3 Colton R. Bukowsky 1 Harry A. Atwater 1 3
1California Institute of Technology Pasadena United States2Northrop Grumman Redondo Beach United States3Joint Center for Artificial Photosynthesis Pasadena United States
Show AbstractSparse arrays of vertically oriented III-V nanowires (NWs) represent an approach to flexible high efficiency optoelectronic devices, such as photodetectors, solar cells, and photoelectrochemical devices. At low fill fractions, NW arrays exhibit strong absorption due to their large resonant scattering and absorption cross sections. We report here on: (i) the wavelength-dependent light absorption properties of sparse arrays of uniform III-V NWs that arise from efficient coupling into resonant leaky waveguide modes, (ii) optical design methods to achieve near-unity broadband absorption via morphological and geometric optimization of sparse arrays, and (iii) fabrication and optical characterization of large-area InP NW arrays with optimized morphologies and geometries.
Absorption enhancements in sparse III-V NW arrays arise from coupling into resonant leaky waveguide modes. For light incident parallel to the nanowire axis, the absorption cross section of a single GaAs NW (r=80 nm, L=3 mu;m) exceeds 75 times its physical cross section at the resonant TM11 wavelength. This large absorption cross section ensures near-unity absorption of light in a narrow wavelength range near resonance, even for very sparse arrays (<5% fill fraction). To achieve near unity broadband absorption in sparse NW arrays, we have introduced new resonant modes that exploit the large (> 75x) resonant NW absorption cross sections. Two approaches give rise to broadband optical absorption: (i) introducing a multi-NW unit cell, in which NWs of different radii are arranged in a subwavelength area unit cell, and (ii) tapering of NWs, which introduces a continuum of modes with each mode corresponding to a different radius and resonant wavelength. Both approaches result in broadband absorption, and for the case of 5% fill fraction InP NW arrays, both approaches achieve an overall 20% absorption enhancement as compared with a uniform array. To experimentally demonstrate broadband absorption in nanowires, InP NW arrays were fabricated using inductively-coupled plasma, reactive ion etching (ICP-RIE). Beginning with a bulk InP {100} wafer, a patterned hard mask was prepared via RF sputtering of a 400 nm SiOx mask layer, a direct e-beam write of the desired patterns, and a pseudo-Bosch RIE step to transfer the pattern from the resist into the SiOx layer. Subsequently, a Cl2/H2/CH4 etch was used to etch either vertical or tapered nanowire arrays; the methane flow was modified to control NW taper. NWs of varying morphology were optically characterized by embedding them in PDMS and peeling layers from the InP substrate. Optical characterization of tapered and multi-radii NW arrays validated the optical design developed from analytic theory and electromagnetic simulations and near unity UV-Vis-NIR absorption was demonstrated. These results along with photovoltaic and optoelectronic device applications for tapered and multi-wire unit cell NWs will be discussed.
P12: Nanoelectronics
Session Chairs
Wednesday AM, December 02, 2015
Sheraton, 2nd Floor, Constitution A
11:15 AM - *P12.01
Template-Assisted Selective Epitaxy (TASE) of III-V Nanostructures for Coplanar Heterogeneous Device Integration with Si
Heike Riel 1 H. Schmid 1 M. Borg 1 K. Moselund 1 D. Cutaia 1 S. Karg 1 Giorgio Signorello 1
1IBM Academy of Technology IBM Research Ruuml;schlikon Switzerland
Show AbstractIII-V semiconductor nanowires and nanostructures are of huge interest for
various applications ranging from high-speed electronics, opto-electronics,
solar and thermo-electric energy harvesting to topological quantum
computing. To successfully demonstrate these applications it is essential
to integrate III-V nanowires with Si technology and to achieve a high level
of control and yield, and low variability. We have developed a novel method
called Template-Assisted Selective Epitaxy (TASE) to realize highly
controlled synthesis of III-V nanowires and nanostructure devices on Si
substrates of any orientation. This robust and versatile technique
combining top-down fabrication with bottom-up epitaxy enables the
monolithic integration of vertical as well as lateral III-V nanowires on
Si, as well as dimension scaling and abrupt heterostructures. Using TASE we
have demonstrated the co-planar local integration of InAs, InGaAs, and GaAs
on Si substrates. Furthermore, we have shown that stacked wires and
variable shapes e.g. Hall-bar structures defined by the template can be
realized. Hall devices, Multi-gate field-effect transistors and tunnel FETs
were fabricated directly from the in-place grown III-V nanowire structures
to illustrate the versatility and power of this approach.
11:45 AM - P12.02
Steep Turn-On Property of Vertical Tunnel FET Using InGaAs/InP Core-Shell Nanowire/Si Heterojunction
Katsuhiro Tomioka 1 3 2 Fumiya Ishizaka 1 3 Takashi Fukui 1 3 Junichi Motohisa 1
1Hokkaido Univ Sapporo Japan2JST-PRESTO Kawaguchi Japan3RCIQE Sapporo Japan
Show AbstractRapid increasing in power density of integrated circuits with miniaturization of field-effect transistors (FETs) is now sewious problem. The goal of future electronic circuits is to reduce both off-state leakage current and subthreshold slope (SS) of FETs while enhancing their performance While, classical FETs have difficulty in reducing SS doe to carrier thermal diffusion mechanism. Tunnel FETs have attracted much attention as building-blocks for the future lower power integrated circuits because the FET is able to reduce off-state leakage current due to p-i-n junction and SS due to tunneling transport mechanism. However, there were few report regarding achievement of steeper SS and moderate tunneling behavior. Here we report on vertical tunnel FETs using InGaAs/InP core-shell nanowire/Si heterojunctions. The device demonstrates steep SS (< 60 mV/dec) turn-on behavior and very small DIBL at room temperature.
At first, we grew InGaAs NWs on n-Si (111) by low-pressure horizontal MOVPE system. Growth conditions were previously reported [1]. We used diethylzinc (DEZn) for pulse doping segment and mono silence (SiH4) for n-type doped InGaAs segment. The purpose of the pulsed doping is to make intrinsic layer by compensation effect. After growth of the InGaAs NWs, InP shell layer was grown at 580°C to enhance lateral-over growth [2]. Device processes for the vertical FET structure was the same as previous report [3]. The gate oxide was HfAlO, and the gate metal was tungsten. Drain metal was Ti/Pd/Au non-alloy Ohmic electlode.
Fabricated TFET had a single InGaAs/InP core-shell NW as a channel. Measured current was normalized by using the outer perimeter of the gate metal. The device demonstrated steep SS (#65374;42 mV/dec turn-on behavior and current enhance ment which was 10 times higher that that of the TFETs using InGaAs NW/Si heterojunction without InP shell.This switching property appeared at as low as drain-source bias of 10 mV. Output property of the device showed that the dark Zener current of the diode structure was moderately modulated by the gate bias. The DIBL was 3 mV/V, which was much smaller that the classical FETs. This very small DIBL reflects the tunneling current was surely modulated, indicates this device structure virtually suppress the short channel effects, which means further scaling of channel length was available.
[1] K. Tomioka, M. Yoshimura, T. Fukui, Nature 488 (2012) 189.
[2] K. Tomioka et al., J. Mat. Res. 17 (2011) 2127.
[3] K. Tomioka, M. Yoshimura, T. Fukui, Nano Lett. 13 (2013) 5822.
12:00 PM - P12.03
Nanowire Transistors with Multiple Electron-Beam Defined Polymer Electrolyte Gates and Their Application to Quantum Devices
Damon Carrad 1 Adam Burke 1 2 Sofia Fahlvik Svensson 2 Hannah Jane Joyce 3 Hark Hoe Tan 3 Chennupati Jagadish 3 Lars Samuelson 2 Heiner Linke 2 Adam Paul Micolich 1
1UNSW Australia Sydney Australia2Lund University Lund Sweden3Australian National University Canberra Australia
Show AbstractWe present an electron-beam based method to pattern the polyethylene oxide (PEO)/LiClO4 polymer electrolyte at the nanoscale and use it as a high capacitance gate dielectric in nanowire transistors [1]. Patterning the polymer electrolyte eliminates gate/contact overlap - reducing parasitic effects - and enables independent gating of multiple devices on the same chip, each with multiple independent gates [1]. Polymer electrolytes are highly effective gate dielectrics: a bias applied to the gate electrode drives motion of Li+ and ClO4- ions in opposite directions through the polymer. This effectively transfers the gate charge to 1 nm away from the channel, producing a high dielectric constant and reduced operating voltage. Previous techniques for patterning polymer electrolytes lack resolution to fit a discrete polymer electrolyte gate on nanowire devices. Fortuitously, PEO is patternable by electron beam lithography on the nm-scale: an electron beam crosslinks PEO chains, making these regions relatively insoluble in e.g. H2O. We extended this to include LiClO4-doped PEO and applied the patterned polymer electrolyte to single- and dual-gated NWFETs.
Additionally, ionic motion within the polymer electrolyte 'freezes-out' at temperatures below 200 K. We used this effect to realise a quantum dot device where we can set and ‘freeze in&’ different external ionic charge environments for low temperature transport studies [2]. This provides tuneable control over carrier concentration and/or substrate-gate threshold, as well as the disorder potential within the nanowire device. We also demonstrate that our polymer electrolyte gates are compatible with thermoelectric studies of nanowire devices, providing an effective route to wrap-gate control over the nanowire without thermal shorting of the device [2].
References:
[1] D.J. Carrad et al., Nano Letters14, 94 (2014).
[2] S. Fahlvik-Svensson et al., Advanced Functional Materials25, 255 (2015).
12:15 PM - P12.04
Schottky Barrier Measurements on Individual GaAs Nanowires by X-Ray Photoemission Electron Microscopy
Lorenzo Di Mario 1 Stefano Turchini 2 Silvia Rubini 3 Giovanni Zamborlini 4 Vitaliy Feyer 4 Lin Tian 1 Claus Michael Schneider 4 Faustino Martelli 1
1IMM-CNR Roma Italy2ISM-CNR Roma Italy3IOM-CNR Basovizza Italy4Peter Gruuml;nberg Institute, Forschungszentrum Juuml;lich Juuml;lich Germany
Show AbstractIn order to use semiconductor nanowires (NWs) in electrical devices, it is important to know how the metal-semiconductor junction forms at the nanoscale. In fact with the large surface to volume ratio that characterizes the nanostructures, the surface becomes even more important than in bulk material and justifies the need of a specific and detailed characterization. The Schottky barrier can be studied directly in devices analyzing current-voltage (I-V) or capacitance-voltage (C-V) characteristics based on thermionic emission model1,2. The use of X-ray photoemission spectroscopy (XPS) allows the investigation of the early stage of the formation of the Schottky barrier, supplying complementary information and helping to understand the physics beneath the device operation. On the other hand, XPS on metal covered semiconductor NWs has been scarcely investigated3, due to the difficulty of performing similar measurement with standard equipment.
For this work, we have grown GaAs NWs by molecular beam epitaxy, using gold as growth seed and tellurium or silicon impurities to obtain n4 or p5 doping, respectively. In order to keep the surface of the NWs clean prior to evaporate the metal, they were covered in the growth chamber by amorphous As. They were then mechanically transferred from the growth substrate to a silicon wafer, to have isolated NWs lying on the surface. In situ annealing at 350 °C removed the amorphous As right before the start of the measurements.
We employed X-Ray PhotoEmission Microscopy (XPEEM) to determinate the Schottky barrier between doped GaAs nanowires and copper. The experiments were carried out at the NanoESCA beamline of the Elettra synchrotron radiation facility (Trieste, Italy).
Our technique permits to select electrons with a well-defined binding energy, constructing an image showing the lateral distribution and amount of the emitting element. Acquiring XPEEM images at different binding energies we can extract the As and Ga 3d core levels spectra of individual NWs at increasing Cu coverages. From a preliminary analysis the Schottky barrier has been derived using well known relations6, obtaining for Te-doped GaAs NWs a barrier height of about q#981;n=0.4 eV and q#981;p=0.6 eV for Si-doped GaAs NWs.
Theoretical calculations7 predict a dependence of the Schottky barrier height on the diameter of the NWs. Being the NWs several micrometers long and tapered, the lateral resolution of the instrument in XPEEM mode (sim;70 nm) allows us to follow possible variation of the signal along the NW axis. The analysis of the axial dependence of the barrier is presently ongoing.
1 F. Leonard et al., Phys. Rev. Lett. 102, 106805 (2009)
2 C.-H. Hsu et al., Appl. Phys. Lett. 101, 183103 (2012)
3 S. N. Das et al., Appl. Phys. Lett. 96, 092111 (2010)
4 Czaban et al., Nano Lett. 9, 148 (2009)
5 M. Piccin et al., Physica E 37, 134 (2007)
6 Y.-J. Lin, Appl. Phys. Lett. 86, 122109 (2005)
7 Y. Calahorra et al., J. Appl. Phys. 117, 034308 (2015)
12:30 PM - P12.05
Understanding Statistical Variability of Semiconductor-Nanowire Conductivity with High-Throughput Measurements
Cevat Akin 1 Jingang Yi 1 Leonard C. Feldman 2 Corentin Durand 3 Saban Hus 3 An-Ping Li 3 Michael Filler 4 Jerry Shan 1
1Rutgers University Piscataway United States2Rutgers University Piscataway United States3Oak Ridge National Laboratory Oak Ridge United States4Georgia Institute of Technology Atlanta United States
Show AbstractNanowires of the same composition, and even fabricated within the same batch, often exhibit electrical conductivities that can vary by orders of magnitude. Unfortunately, existing electrical characterization methods are time consuming, making the statistical survey of highly variable samples essentially impossible. We propose and demonstrate a contactless, solution-based method to efficiently measure the electrical conductivity of individual 1D nanomaterials. The new method, electro-orientation spectroscopy, is based on the transient alignment behavior of fluid-suspended nanowires in AC electric fields of different frequencies (Akin et. al., ACS Nano, 2015, 9 (5), pp 5405-5412). Comparison with direct transport measurements by probe-based scanning tunneling microscopy shows that electro-orientation spectroscopy can quantitatively measure nanowire conductivity over a 6-order-of-magnitude range, 10-5 - 10 S/m. With this method, we statistically characterize the conductivity of a variety of nanowires and find significant variability in silicon nanowires grown by metal-assisted chemical etching from the same wafer. In comparison, vapor-liquid-solid-grown silicon nanowires show less variability in conductivity. We also find a strong dependence of electrical conductivity on surface states, with surface passivation increasing the effective conductivity by more than an order of magnitude, suggesting that that active carrier concentration is being depleted by surface traps in the as-produced silicon nanowires. We describe and demonstrate an automated microfluidic device capable of measuring and sorting hundreds of nanowires per hour. Finally, we discuss the potential of the contactless electro-orientation approach to be integrated with other solution-based methods for scalable positioning of nanowires for post-growth device assembly. We anticipate that this new method, by making the efficient statistical characterization of nanowires widely accessible, will ultimately enable better understanding of process-structure-property relationships in 1D nanomaterials.
Symposium Organizers
Philippe Caroff, The Australian National University
Monica A. Cotta, State University of Campinas
Shadi A. Dayeh, University of California, San Diego
Anna Fontcuberta i Morral, Ecole Polytechnique Federale de Lausanne
Sebastien R. Plissard, Laboratory for Analysis and Architecture of Systems, CNRS
Symposium Support
Attolight
Keysight Technologies
P18: Electronic Transport and Carrier Dynamics in Nanowires
Session Chairs
Margit Zacharias
Sebastien Plissard
Thursday PM, December 03, 2015
Sheraton, 2nd Floor, Constitution A
2:30 AM - *P18.01
III-V Nanowire-Based 3D Electronics Enabled by Selective Lateral Epitaxy
Xiuling Li 1
1University of Illinois at Urbana-Champaign Urbana United States
Show AbstractIII-V compound semiconductor nanowires (NWs), carbon nanotubes (CNTs), and graphene and 2D transition metal dichalcogenides (TMDCs) have emerged as potential alternatives for the post-silicon-era. III-V NWs are promising because they have well-defined bandgaps and high mobility, which are critical for sufficient on-to-off-state current ratios for logic devices, as well as high power gain (fmax) for radio frequency (RF) applications. However, enthusiasm for their potential device benefits is often tempered by concerns of their controllability during material synthesis and compatibility challenges during processing at the wafer scale. This is particularly true for vapor-liquid-solid (VLS) epitaxial grown NWs, since most of the resulting NWs are either dispersed randomly in-plane or arranged vertically which has some fundamental drawbacks for device integration.
In this talk, I will first present the growth of perfectly aligned defect-free densely-packed planar III-As nanowires by a method called selective lateral epitaxy (SLE), where the selectivity is defined by the Au seed particles and the lateral epitaxy is realized via the planar VLS mechanism by MOCVD [1]. I will then demonstrate transistors and simple circuits built on an array of NWs grown from electron beam lithography patterned Au dots that are readily scalable to whole wafers. For a GaAs nanowire/AlGaAs high electron mobility transistor (HEMT) with a gate length of 150 nm, ~ and ~ 33/78 GHz [2, 3]. These results represent a major milestone in proving bottom-up grown III-V planar nanowire arrays, grown out of metal catalyst particles at a temperature that is much lower than conventional III-V thin film growth temperature, are ready for real world applications. In addition to homogeneous SLE, heterogeneous SLE of high-quality planar InAs NWs on GaAs is demonstrated [4]. Gate-all-around (GAA) InAs planar NW MOSFETs are developed and analyzed for low power FETs and future heterogeneous device integration using SLE.
[1] “Site-Controlled Planar GaAs Nanowire Growth: Yield and Mechanism,” C. Zhang, X. Miao, P. K. Mohseni, W. Choi, and X. Li, Nano Lett., 14 (12), pp 6836-6841 (2014).
[2] “High Speed Planar GaAs Nanowire Arrays with fmax > 78 GHz by Wafer-Scale Bottom-up Growth,” X. Miao, K. D. Chabak, C. Zhang, P. K. Mohseni, D. E. Walker Jr., and X. Li, Nano Lett. 15 (5), pp 2780-2786 (2015).
[3] “RF Performance of Planar III-V Nanowire-Array Transistors Grown by Vapor-Liquid-Solid Epitaxy,” K. D. Chabak, X. Miao, C. Zhang, D. E. Walker Jr., P. K. Mohseni, and X. Li, IEEE Electron Device Lett., 36(5), 445-447 (2015).
[4] “InAs Planar Nanowire Gate-All-Around MOSFETs on GaAs Substrates by Selective Lateral Epitaxy,” C. Zhang, W. Choi, P. Mohseni, and X. Li, IEEE Electron Dev. Lett., in press.
3:00 AM - P18.02
Carrier Dynamics of Localized and Delocalized States in Narrow GaAs/AlGaAs Quantum Well Tube Nanowires
Teng Shi 1 Howard E Jackson 1 Leigh M. Smith 1 Changlin Zheng 2 Joanne Etheridge 2 3 Nian Jiang 4 Qiang Gao 4 Hark Hoe Tan 4 Chennupati Jagadish 4
1University of Cincinnati Cincinnati United States2Monash University Clayton Australia3Monash University Clayton Australia4Australian National University Canberra Australia
Show AbstractTemperature-dependent photoluminescence (PL), photoluminescence imaging and time-resolved photoluminescence (TRPL) measurements were carried out on single 2 and 6 nm GaAs/AlGaAs quantum well tube (QWT) nanowires. The QWT is defined by a thin quantum well which is embedded into the AlGaAs shell surrounding a GaAs nanowire (NW) core. In the 2nm QWT, high resolution PL spectra reveal many ultranarrow emission lines on the high energy side at low temperatures. Spatially-resolved PL images show that these localized states are randomly distributed along the NW long axis and quench rapidly compared to the low energy side emissions as a function of temperature. At 120K, a PL map of the 2nm QWT shows continuous PL emission along the NW long axis, which is similar to the emission from delocalized states in 6 or 8 nm QWTs reported elsewhere[1]. The overall emission linewidth decreases at higher temperatures in the 2nm QWT, which is not seen in the 6nm QWT or other more familiar quantum dot systems [2]. Time-resolved PL spectra of single QWT NWs show well defined localized states (sharp emission lines) at low temperatures, but which show distinct redshifts at temperatures above 80 K. These results suggest that the localized states on the high energy side of the PL emission band are metastable and only weakly confined by ~10 meV. At higher temperatures, the excitons are able to escape the weak potential barrier and relax to the delocalized states which are the ground state of the QWT.
To understand these observations in the 2nm QWT, we have developed a model where localized states on the high energy side are decoupled from the delocalized states at low temperatures, but become strongly coupled once the excitons have enough thermal energy to surmount the energy barrier. At low temperatures, the radiative recombination dominates the high energy emission side. At higher temperatures, radiative emission is quenched through 1) carriers captured to the low energy delocalized states and 2) carriers lost through nonradiative recombination for both the localized and delocalized states. Parameters extracted from this model show a weak activation energy of 13 meV for the localized states which is consistent with recent Stark effect measurements, and also predicts strong emission from the delocalized states up to 120 K which is consistent with the temperature- and time-dependent PL.
We acknowledge the support of the NSF through DMR-1105362, ECCS-1100489 and ECCS-1509706. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
[1] Shi, T.; Jackson, H. E.; Smith, L. M.; Jiang, N.; Gao, Q.; Tan, H. H.; Jagadish, C.; Zheng.
C.; Etheridge, J. Nano Lett. 2015, 15, 1876-1882.
[2] Bayer, M.; Forchel, A. Phys. Rev. B 2002, 65, 413081minus;413084.
3:15 AM - P18.03
Inherent Variation in Charge Carrier Recombination between Individual Semiconductor Nanowires Measured Using Ultrafast Microscopy
Emma M Cating 1 Caleb Christie 1 Erika van Geothem 1 Michelle Gabriel 1 Christopher Pinion 1 James Cahoon 1 John Papanikolas 1
1UNC Chapel Hill Chapel Hill United States
Show AbstractThe further development of nanotechnologies such as solar energy conversion and optoelectronics requires a deep understanding of physical processes such as recombination and diffusion of charge carriers in nanostructures. Morphology and surface chemistry are two easily controlled attributes which impact charge carrier dynamics and have been studied. Features such as bends create strain in the nanowire enhancing charge carrier recombination, while passivation of surface states slows surface recombination velocity (SRV). What is less understood is the inherent variation in recombination behavior between individual nanostructures. We have combined ultrafast pump-probe spectroscopy with optical microscopy to characterize the SRVs in silicon nanowires on a wire-to-wire basis with high spatial and temporal resolution. Charge carriers were excited in a localized region of an individual nanowire by 425 nm light that was focused to a diffraction limited spot (400nm diameter) by a microscope objective. After a well-defined delay, the pump-induced change in transmission of an 850 nm probe pulse was detected, showing the temporal evolution of the photogenerated charge carrier population in a specific location in the structure. We used scanning electron microscopy to correlate structural features with the charge carrier dynamics measured by pump-probe.
3:30 AM - P18.04
Transient Rayleigh Scattering Measurements of Carrier Dynamics and Band Structure of GaAsSb/InP Nanowires
Yuda Wang 1 Nadeeka Wickramasuriya 1 Howard E Jackson 1 Leigh M. Smith 1 Xiaoming Yuan 2 Philippe Caroff 2 Fan Wang 2 Yanan Guo 2 Hark Hoe Tan 2 Chennupati Jagadish 2
1University of Cincinnati Cincinnati United States2Australian National University Canberra Australia
Show AbstractWe study the carrier recombination dynamics and band structure of GaAs1-xSbx and GaAs1-xSbx/InP core/shell nanowires (NWs) grown by MOCVD. Using Transient Rayleigh Scattering (TRS) measurements and Raman scattering measurements in single unstrained bare core and strained core-shell NWs, we measure the strain distributions in the core and shell and its effect on band structures. At 10 K, the GaAs0.7Sb0.3 NW band gap for the bare NW is 1.07 eV but reduces to 0.98 eV because of the tensile strain in the GaAs0.7Sb0.3 core from the InP shell, since InP possesses a larger lattice constant. Micro-Raman experiments show a blue-shift of the InP phonons and a red-shift of the GaAs0.7Sb0.3 phonons in the GaAs0.7Sb0.3/InP strained core-shell NWs, which is consistent with the tensile core strain and compressive shell strain inferred from the TRS results.
Recombination dynamics of the photoexcited carriers are measured using TRS. The recombination lifetimes in bare GaAs0.7Sb0.3 NWs are found to be less than the 50 ps at all temperatures, which is limited by our system response. In contrast, the lifetimes measured in the GaAs0.7Sb0.3/InP core/shell NWs are 820ps at 10K and 130ps at 300K. This significant lifetime enhancement reflects the effectiveness of the InP shell surface passivation, substantially suppressing nonradiative recombination at the GaAs0.7Sb0.3 surface. From these measurements we infer a surface recombination velocity (SRV) of ~ 100,000cm/s for the GaAs0.7Sb0.3 bare NW, which reduces to an estimated ~ 3,000cm/s in the GaAs0.7Sb0.3/InP core-shell NW. Similar measurements show that the recombination lifetime in a bare GaSb NW to be ~ 100ps, which gives a SRV ~ 25,000cm/s. This implies that GaSb NWs have substantially lower nonradiative surface recombination than GaAs or GaAsSb NWs.
We acknowledge the support of the NSF through DMR-1105362 , ECCS-1100489 and ECCS-1509706. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
3:45 AM - P18.05
Doping-Induced Universal Conductance Fluctuations in GaN Nanowires
Peter J. Klar 1 Lars Ostheim 1 Matthias T. Elm 1 Pascal Hille 1 Joerg Schoermann 1 Martin Eickhoff 1
1Justus Liebig Univ-Giessen Giessen Germany
Show AbstractThe transport properties of Ge-doped single GaN nanowires are investigated, which exhibit a weak localization effect as well as universal conductance fluctuations at low temperatures. By analyzing these quantum interference effects the electron phase coherence length was determined. Its temperature-dependence indicates that in the case of highly doped nanowires electron-electron scattering is the dominant dephasing mechanism, while for the slightly doped nanowires dephasing originates from Nyquist-scattering. The change in scattering mechanism is attributed to a modification of the carrier confinement, which is caused by the Ge-doping. The results demonstrate that the phase coherence length can be tuned by the donor concentration making Ge-doped GaN nanowires an ideal model system for studying the influence of impurities on quantum-interference effects in mesoscopic and nanoscale systems.
P19: Wide Bandgap Nanowires
Session Chairs
Thursday PM, December 03, 2015
Sheraton, 2nd Floor, Constitution A
4:30 AM - *P19.01
Metal Oxide Nanostructures- Pattern Generation, Growth Understanding and Applications
Margit Zacharias 1
1Albert-Ludwigs-University of Freiburg Freiburg Germany
Show AbstractSemiconductor nanowire devices have several properties which match future requirements of scaling down the size of electronics. However, the large scale growth is still hard to achieve. The talk will discuss the conditions for growth shifting from VLS to VS and resulting in the various shapes reported in literature. Furthermore, growth control in solution growth will be demonstrated using a controlled coverage of specific crystal planes. In addition, an overview will be given about nano-templating methods used for positioning nanowires. Vertical ZnO nanowire arrays will be used as multifunctional sensors. Different functionalities will be shown and the influence of low index surface on sensor properties will be discussed.
5:00 AM - P19.02
Mode Switching in ZnO Nanowire Lasers
Robert Roeder 1 Robert Buschlinger 2 Themistoklis Sidiropoulos 3 Max Riediger 1 Rupert F Oulton 3 Ulf Peschel 2 Carsten Ronning 1
1University of Jena Jena Germany2University of Jena Jena Germany3Imperial College London London United Kingdom
Show AbstractThe growing demand for faster communications technologies and the inherent limitations of electronic integrated circuits stimulated the research of nanophotonic components accompanied by the urgent need for nanoscale light sources. The demonstration of laser emission from single semiconductor nanowires makes them interesting to accomplish these demands in generating highly localized intense monochromatic light as they mark the lower size limit of photonic laser systems. High quality II-VI semiconductor nanowires consisting of zinc oxide (ZnO) emit in the ultraviolet spectral range acting as Fabry-Pérot laser resonators with the capability of achieving modulation speeds in the single ps regime due to ultrafast carrier thermalization and gain recovery [Sidiropoulos, Nat Phys, 10, 870-876]. However, the fundamentally coupled angular emission and light-matter interaction of the nanowire device depend on the operating transverse laser mode. Characterisation of the operating transverse mode requires several optical measurement techniques and a combined FDTD and semiconductor Bloch equation approach [Buschlinger, Phys Rev B, 91, 159903]. The laser light emitted through the nanowire&’s end facet is detected “head-on”, while a double pump technique is applied to measure the laser dynamics. These measurements combined with the optical simulations prove the switching from single transverse mode operation of the HE11 mode in thin ZnO NWs to an admixture of several transverse modes in thicker NWs at approximately 180 nm diameter.
5:15 AM - P19.03
Spontaneous Transformation of Thin Films into ZnO Nanowire Arrays with High Structural and Optical Quality
Sophie Guillemin 1 2 Eirini Sarigiannidou 1 Estelle Appert 1 Fabrice Donatini 3 Gilles Renou 4 Georges Bremond 2 Vincent Consonni 1
1Universiteacute; Grenoble Alpes, CNRS, LMGP Grenoble France2Universiteacute; de Lyon, CNRS, Institut des Nanotechnologies de Lyon Villeurbanne France3Universiteacute; Grenoble Alpes, CNRS, Institut Neacute;el Grenoble France4Universiteacute; Grenoble Alpes, CNRS, SIMAP Grenoble France
Show AbstractZnO nanowires are usually formed by physical and chemical deposition techniques following the bottom-up approach consisting in supplying the reactants on a nucleation surface heated at a given temperature [1,2]. Both the spontaneous and selective area growths are typically used for their integration into a large variety of sensing, electronic, optoelectronic, and photovoltaic devices [1-3].
In this work, an alternative approach is shown: we demonstrate that a ZnO thin film deposited by sol-gel process can spontaneously be transformed into ZnO nanowire arrays by a simple annealing [4]. The development of these ZnO nanowires occurs through successive shape transitions, including the intermediate formation of pyramid-shaped islands. The fundamental description of the transformation process is carried out by scanning and transmission electron microscopy (TEM) experiments including high-resolution TEM, high angle annular dark field - scanning TEM, energy dispersive x-ray spectroscopy - scanning TEM as well as ASTAR, casting a light into the mechanisms driving the spontaneous formation of ZnO nanowires. The resulting ZnO nanowires are of high structural and optical quality with the presence of an intense near band edge emission as deduced by spatially-resolved cathodoluminescence measurements. The spontaneous transformation process thus combines the easiness and low-cost of sol-gel process and simple annealing, revealing its great technological potential as an alternative approach to the more usual bottom-up approach.
The authors acknowledge funding by the Carnot Institute Energies du Futur through the project CLAPE, by the Research Cluster Micro-Nano from the Re#769;gion Rhocirc;ne-Alpes and by a Bonus Qualité Recherche grant from Grenoble INP through the project CELESTE.
[1] Z.L. Wang, Journal of Physics: Condensed Matter 16, R829-R858 (2004).
[2] L. Schmidt-Mende, J.L. MacManus-Driscoll, Materials Today 10, 40 (2007).
[3] V. Consonni et al., ACS Nano 8, 4761-4770 (2014).
[4] S. Guillemin et al., laquo; Spontaneous Shape Transition of Thin Films into ZnO Nanowire Arrays with High Structural and Optical Quality raquo;, submitted (2015).
5:30 AM - P19.04
Single-Crystal GaN Nanowire Synthesis Assisted by Germanium Catalyst
Umar Saleem 1 2 Hong Wang 2
1CINTRA - CNRS/NTU/Thales, UMI 3288 Singapore Singapore2Nanyang Technological University Singapore Singapore
Show AbstractSemiconductor nanowires are nanoscale building blocks that can allow various applications in nano-electronics and photonics. Field-effect transistors, photo-detectors, bio-chemical sensors or light-emitting diodes using semiconductor nanowires have been demonstrated. The Vapor-Liquid-Solid (VLS) growth mechanism has been widely used and studied since its first introduction in 1964 by Wagner et al.. In this mechanism, a catalyst nanoparticle serves as a preferential site for the absorption and dissolution of reactants from the vapor phase, directs the nanowires growth direction, and defines the diameter of the nanowire. A common morphological feature of VLS-grown nanowires is shown by each nanowire terminated at one end by a catalyst nanoparticle with a diameter similar to that of the nanowire.
Gallium Nitride (GaN) nanowires exhibit great material properties with direct and wide band-gap, high charge carrier mobility, and excellent thermal stability which allow a wide range of applications from high-speed MOSFETs, light-emitting diodes and lasers to micro resonators, chemical sensors, and highly sensitive atomic probe tips.
Growth of GaN NWs on low-cost large size Silicon wafers has attracted great interest in recent years. Bottom-up approaches using metal catalysts or selective-area method for the growth of GaN on Si has been reported. The former based on Vapor-Liquid-Solid (VLS) mechanism using different metals as catalysts allows a low thermal budget with high growth rate and better size control compared to the latter. GaN nanowires grown using metal catalysts such as Au, Ni, Fe or In and self-catalytic VLS mechanism have so far been reported. However, potential contamination by metal catalysts and the use of non-commercial growth techniques (e.g. HVPE or MBE) make the process hard to be integrated with the Si CMOS platform.
We report the use of Germanium (Ge) as catalyst for Gallium Nitride (GaN) nanowires growth. High-yield growth have been achieved with Ge nanoparticles obtained by dewetting a thin layer of Ge on a Si substrate. The nanowires are long and grow straight with very little curvature. The GaN nanowires are single-crystalline growing along the [0001] axis and show a Wurtzite structure. We further extend the VLS growth mechanism by using a semiconductor (Ge) as a catalyst to grow single-crystal semiconductor GaN nanowires. The growth phenomena observed in Ge-catalyzed GaN nanowire growth are similar to those which occur in metal-catalyzed GaN nanowires growth, suggesting that metals and Ge share similar catalytic effects and mechanisms. The synthesis of nanowires has been done using an industrial Low Pressure Chemical Vapor Deposition (LPCVD) system.
5:45 AM - P19.05
Controlling the Optical Properties of GaN-Based Nanowire Heterostructures by Ge-Doping
Jorg Schormann 1 Pascal Hille 1 Jan Muessener 1 Maria de la Mata 2 Jordi Arbiol 2 Marc Beeler 3 Caroline Botum Lim 3 Eva Monroy 3 Joerg Teubert 1 Martin Eickhoff 1
1Justus-Liebig-Univ Giessen Giessen Germany2Institut de Ciencia de Materials de Barcelona Barcelona Spain3CEA-Grenoble Grenoble France
Show AbstractThe influence of Ge-doping on the structural and optical properties of GaN nanowires (NWs) and Al(Ga)N/GaN NW heterostructures grown by plasma-assisted molecular beam epitaxy is investigated. Electrostatic screening of the internal electric fields within the active GaN nanodisc (ND) region is expected for high carrier concentrations [1]. Si-doping of the active QD-region has been reported not to affect the internal electric fields in AlN/GaN QD layers most likely due to the limited doping efficiency of Si and its deteriorating influence on the crystal quality [2]. Here, we take advantage of the outstanding doping efficiency of Ge in GaN NWs [3] to achieve electrostatic screening by free carriers in Al(Ga)N/GaN:Ge NDSLs.
We investigate polar NW heterostructures grown along the [000-1] axis by plasma-assisted molecular beam expitaxy on Si(111) substrate. Germanium concentrations higher than 1020 cm-3 were realized within GaN NDs [4]. High crystalline quality and nanowire homogeneity were demonstrated by scanning transmission electron microscopy and high resolution x-ray diffraction analysis. Single NW electrical characterization reveals an almost linear increase of the conductivity with increasing Ge-concentration while the optical characteristics are almost unaffected. Continuous-wave and time-resolved Photoluminescence (PL) analysis reveal the attenuation of the quantum-confined Stark effect through Ge-doping of the active GaN ND regions within the Al(Ga)N/GaN NDSLs.
These results were compared to those of Al(Ga)N/GaN NW heterostructures with Ge-doped barriers, hence separating the doped region from the optically active GaN NDs.
[1] S. Riyopoulos, Nanoscale Res. Lett.4, 993 (2009).
[2] F. Guillot et al., J. Appl. Phys.100, 044326 (2006).
[3] K. Forghani et al., J. Appl. Phys. 112, 093102 (2012).
[4] J. Schörmann et al., J. Appl. Phys.114, 103505 (2013).
P16: Optical Spectroscopy and Optoelectronic Applications
Session Chairs
Paulina Plochocka
Katherine Fountaine
Thursday AM, December 03, 2015
Sheraton, 2nd Floor, Constitution A
9:30 AM - *P16.01
InP-Based Nanopillar on Silicon for Nano-Photonics Applications
Connie Chang-Hasnain 1 Fanglu Lu 1 Indrasen Bhattacharya 1
1University of California, Berkeley Berkeley United States
Show AbstractNanolaser on silicon with silicon-transparent emission is critical for on-chip optical interconnect. However, most nanowires can only lase at visible wavelength because their small lateral dimension results in very weak optical confinement, especially at long wavelength. In this work, we demonstrate the first as-grown nanolaser on silicon with silicon-transparent wavelength, by directly synthesizing III-V nanopillar on silicon-on-insulator (SOI) substrate. The laser active gain medium consists of InGaAs multiple quantum wells (QWs) grown on InP nanopillar, which emits from 1.1µm to 1.5µm, depending on indium composition and thickness of QWs. The large footprint of nanopillar provides strong light confinement in transverse direction. In longitudinal direction, the buried oxide in SOI increases the bottom reflection, building up a vertical high-Q Fabry-Perot cavity. With both high quality material and novel high Q cavity, long wavelength nanolaser is achieved under optical pumping. This result is a crucial step towards practical integration of nano-photonics with silicon-based electronics.
10:00 AM - P16.02
Fabry-Perot Microcavity Modes in Single GaP/GaNP Core/Shell Nanowires
Jan Eric Stehr 1 A Dobrovolsky 1 Supanee Sukrittanon 2 Y J Kuang 2 Charles W. Tu 2 Weimin M. Chen 1 I A Buyanova 1
1Linkoping University Linkoping Sweden2University of California San Diego United States
Show AbstractIII-V semiconductor nanowires (NWs) are currently attracting wide research interest owing to their unique physical properties determined by the one-dimensional (1D) geometry combined with superior material quality, which are desirable for a wide range of optoelectronic and photonic applications. For example, a large dielectric contrast between a nanowire and its surroundings combined with the 1D geometry allows confinement of photonic modes and their propagation along the nanowire axis, promising for guiding light on the nanoscale between different photonic components. To date, efficient light sources based on III-V NWs can be fabricated for the ultra-violet-to-green and red-to-infrared spectral ranges, determined by available direct bandgap materials. Novel materials that can cover the technologically challenging yellow-amber spectral range are GaNP alloys. Though gallium phosphide is an indirect bandgap semiconductor, which limits applications of this material in optoelectronics, alloying with nitrogen transforms its bandgap character to a quasidirect one in GaNP alloys resulting in a dramatic enhancement of oscillator strength of optical transitions. Additionally, strong anticrossing interaction between the band states and nitrogen states leads to the giant bowing in the bandgap energy, which can be tuned from 2.2 down to 1.2 eV by varying the N content.
The GaP/GaNP core/shell NWs studied in this work were grown by gas-source molecular beam epitaxy on (111)-oriented Si substrates using Ga droplets as a catalyst. Photoluminescence (PL) spectra measured from single NWs transferred onto silicon and carbon substrates exhibit periodic variations in the PL intensity. The spacing between the observed undulations in the PL spectra is found to increase with decreasing NW length which is typical for Fabry-Perot (FP) type cavity modes. The cavity is concluded to be formed along the NW axis with the end facets acting as reflecting mirrors. Based on the performed theoretical modelling of hexagonal cavities, the detected FP modes are identified as the fundamental hybrid HE11 and EH11 modes. Spectral dependences of the group refractive indices for these modes are also determined and are shown to reflect combined contributions of material and waveguide dispersions. Based on the calculated E-field distributions, a substantial part of the fundamental modes is concluded to be confined in the GaP core and not in the emitting GaNP shell with the smaller bandgap, which facilitates a decrease in re-absorption of the propagating light. Although we could not detect the stimulated emission, the observation of the FP micro cavity modes in the GaP/GaNP core/shell NWs can be considered as a first step towards achieve lasing in this material system.
10:15 AM - P16.03
Flexible Nanowire Solar Cells for Wearable Electronics
Yun Goo Ro 1 Renjie Chen 1 Namseok Park 1 Ahmed T. Elthakeb 1 Ren Liu 1 Siarhei Vishniakou 1 Shadi A. Dayeh 1
1Univ of California-San Diego La Jolla United States
Show AbstractOne of the most exciting trends in electronics lately is flexible and wearable devices that can feedback important information about our health and well-being. One of the main obstacles to overcome for flexible and wearable electronic devices is power management; In this work, we introduce flexible and efficient Si nanowire solar cells which promise excellent potential for powering wearable electronics.
Silicon nanowire solar cells have long promised reducing the optical absorption length in Si and the enhanced photovoltaic activity in thin Si materials but have been limited to less than 10% power conversion efficiencies. Surface recombination loss of photogenerated carriers is one major component for degrading nanowire solar cell performance. Controlling the nanowire facets on crystal planes that are known to have low interface state densities may help in reducing surface recombination and recovering the promised performance of nanowire solar cells. Here, we studied the solar cell performance in {100} and {110} square Si nanowires and in arbitrary faceted Si circular nanowires with similar surface area. We have done a systematic study to minimize the surface recombination effect by fabricating nanowires with clean smooth surface and we demonstrate that with appropriate surface passivation, solar cell performance can be enhanced to over 9% efficiency. Additional tuning of optical absorption resulted in 10.5% power conversion efficiencies in 10 mu;m tall Si nanowires, which is the highest performance reported for this type of thin Si solar cells.
The nanowire cells were transferred to flexible polyimide substrates. Bending tests of these wire cells showed that the devices are flexible and potentially wearable. For this purpose, we developed a single fabrication process that for making both Si nanowire solar cells and MOSFETs on a single chip, for solar-powered wearable electronics. We will report on the latest performance metrics in these endeavors and the performance analysis of the overall system on a flexible substrate.
10:30 AM - P16.04
Selective-Area MOVPE In(Ga)P Nanowires for Solar Cells and Lighting Applications
Alessandro Cavalli 1 Rene P. J. Veldhoven 1 Jos Haverkort 1 Erik Bakkers 1
1TU Eindhoven Eindhoven Netherlands
Show AbstractWe fabricated solar cells based on InP Nanowires (NW) grown via Selective Area Metal-Organic Vapor Phase Epitaxy (SA-MOVPE). Growth is carried out without catalyst at high temperature (>700°C), resulting in exceptional material quality. Selective area InP NW exhibit pure wurtzite crystalline structure and show excellent optical properties. Solar cells and LEDs based on a p/i/n/n++ doping profile have been devised. The first device has shown an efficiency of 4.7%, showing great potential for improvement.
At the same time, we developed In1-xGaxP nanowires, grown with the same technique, with a Ga fraction (x) between 0 and 0.24. InGaP selective area grown NWs are a building block for 2-junction solar cells based on InAsP-InGaP nanowires. We show for the first time tunability of the bandgap for WZ InGaP ternary alloy NW, maintaining growth uniformity and homogeneity, while showing outstanding optical properties.
10:45 AM - P16.05
Raman and Transient Rayleigh Scattering Spectroscopy of Single InGaAs/InP Strained Core-Shell Nanowires
Nadeeka Wickramasuriya 1 Yuda Wang 1 Howard E Jackson 1 Leigh M. Smith 1 Amira S. Ameruddin 2 H. Aruni Fonseka 2 Philippe Caroff 2 Jennifer Wong-Leung 2 Hark Hoe Tan 2 Chennupati Jagadish 2
1University of Cincinnati Cincinnati United States2Australian National University Canberra Australia
Show AbstractWe use Raman spectroscopy and transient Rayleigh scattering (TRS) spectroscopy to characterize the energy structure and strain of individual Wurtzite In0.65Ga0.35As nanowires (NW) and In0.65Ga0.35As/InP strained core/shell NWs. The cores of both types of wires were 30 nm in diameter and ~2mu;m in length and synthesized by Au catalyst-assisted metal organic chemical vapor deposition (MOCVD). Micro-Raman scattering from individual In0.65Ga0.35As nanowires show TO and LO modes with frequencies which are consistent with the 35% Ga concentration determined from the growth parameters along with detailed HRTEM measurements. Similar measurements on Raman scattering of In0.65Ga0.35As/InP core/shell nanowires show that both the InGaAs TO and LO modes from the core have energies about ~3 cm-1 greater than measured in the unstrained core-only nanowires which indicates that they are under compression. The Raman response from the InP shell reveals TO and LO modes which have shifted about 7 ~ 8 cm-1 to lower frequencies compared with bulk material which indicates that it is under tensile stress. Our calculations showed that the In0.65Ga0.35As core is under compressive strain of ~0.36% while the InP shell is in tensile strain of ~0.56%. This measured strain is expected to shift the band structure of both the InGaAs core and the InP shell. Preliminary measurements of single nanowires using TRS at 300 K, show clear evidence for a strong band resonance in the unstrained InGaAs NW at 1440 nm (0.86 eV). This resonance moves up in energy in the core-shell NW to 1400 nm (0.886 eV), consistent with compressive strain. This resonance is seen at the same energy as PL emission from the same NWs reported previously.[1] The time decays of this resonance are observed to be quite long (~ 500 ps) at room temperature which is a measure of the electron and hole occupation as a function of time. In contrast, the equivalent resonance in the bare InGaAs core is extremely weak consistent with a larger nonradiative recombination rate.
We acknowledge the support of the NSF for this research through DMR-1105362, ECCS-1100489 and ECCS-1509706. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
[1] A. Ameruddin, H.A. Fonseka, P. Caroff, J. Wong-Leung, RLM Op het Veld, J. Boland, M.B. Johnston, H.H. Tan, and C. Jagadish, Nanotechnology26, 205604 (2015).
P17: Crystal Phase and Optical Properties in Nanowires
Session Chairs
Maria de la Mata
Connie Chang-Hasnain
Thursday AM, December 03, 2015
Sheraton, 2nd Floor, Constitution A
11:30 AM - *P17.01
The Growth of InP Nanowires for Quantum Light Sources
Philip Poole 1 Dan Dalacu 1 Michael Reimer 2 Gabriele Bulgarini 3 Val Zwiller 3
1National Research Council Canada Ottawa Canada2University of Waterloo Waterloo Canada3Delft University of Technology Delft Netherlands
Show AbstractThe growth of semiconductor nanowires has rapidly advanced in recent years to the point where it is possible to grow high purity, single crystal phase structures. The combination of their small dimensions and excellent optical waveguiding properties make them ideal candidates for quantum light sources to be used in quantum computing and quantum cryptography. In this paper we describe the growth of position and diameter controlled wurtzite InP nanowires using Chemical Beam Epitaxy (CBE), and demonstrate their excellent optical properties.
The InP nanowires were grown using a selective area vapour-liquid-solid growth process which allows the precise positioning of nanowires on the substrate, and simultaneous control of their diameter to within a few nm. This allowed us to remove competing substrate surface growth and control the clad nanowire diameter. We investigated the effect of growth rate and V-III ratio on the crystal phase purity, with low growth rates and group V fluxes resulting in wurtzite nanowires with very low stacking fault densities (<1/µm could be achieved). Photoluminescence (PL) showed that as the nanowires increased in diameter the density of crystal phase defects (stacking faults and zincblende insertions) increased. Time resolved PL was found to be even more sensitive than c.w. PL to the presence of crystal phase defects at low defect densities.
By increasing the group V flux by a factor of 3 it was possible to change the nanowire growth mode from axial to radial. This allowed the growth of a high crystal phase purity wurtzite nanowire core, typically 20 nm in diameter, which could then be increased in diameter to >250 nm. Using the nanowire core as a template in this way resulted in the large diameter wire also being pure wurtzite. The increased nanowire diameter resulted in a dramatic increase in the emission and collection efficiency of photons emitted by the nanowire through strong waveguiding effects. Photon collection efficiencies into a single mode fibre approaching 100% could be achieved.
By incorporating single InAsP quantum dots in the InP nanowire core a high brightness single photon source was demonstrated. Extremely clean single dot spectra were measured due to the lack of competing stacking fault/zincblende emission, or emission from planar substrate growth due to the selective area nature of the growth process. This source exhibited linewidths as narrow as 3 µeV, probabilities of multiphoton emission g2(0)<0.005, and count rates as high as 320,000 counts/s.
12:00 PM - P17.02
Decoding Crystal Phase Switching in Nanowires
Simone Assali 1 Luca Gagliano 1 Douglas Soares Oliveira 2 Marcel Verheijen 1 3 Lou-Fe Feiner 1 Sebastien Plissard 4 Erik Bakkers 1 5
1Eindhoven University of Technology Eindhoven Netherlands2Universidade Estadual de Campinas Campinas Brazil3Philips Innovation Services Eindhoven Eindhoven Netherlands4Univ. de Toulouse Toulouse France5Delft University of Technology Delft Netherlands
Show AbstractThe development of nanowire homostructures formed by the combination of the zinc blende (ZB) and wurtzite (WZ) crystal phases has drawn a lot of attention in the last few years1,2. Gallium Phosphide (GaP) in the normal cubic phase has an indirect band gap, but the predicted direct band gap in the WZ crystal structure has been recently shown in WZ GaP wires by photoluminescence measurements3 and photoelectrochemical hydrogen production4. Large spontaneous polarization fields are predicted for WZ GaP5, which can induce surface charges across a thin ZB GaP nanowires segment6. This would allow the growth of crystal phase quantum well (CPQW) and quantum dot (CPQD) structures in this material system7.
Here, we study the growth kinetics of pure and thus defect-free WZ/ZB homostructures in GaP nanowires with the aim to obtain monolayer control of the ZB and WZ segment lengths. We find that the Ga concentration and the supersaturation in the catalyst particle are the key parameters determining the growth kinetics. These parameters can be controlled by the gallium partial pressure and the temperature. The formation of WZ and ZB can be understood with a model based on nucleation either at the triple phase line (TPL) for the WZ phase and in the center (C) of the solid-liquid interface for the ZB phase. With this knowledge, a WZ/ZB superlattice with multiple WZ and ZB segments with constant length has been fabricated.
References
[1] K.A. Dick, et al., Nano Lett.10, 3494-3499 (2010).
[2] N. Vainorius, et al., Nano Lett.15, 2652-2656 (2015).
[3] S. Assali, et al., Nano Lett.13, 1559-1563 (2013).
[4] A. Standing, et al., Nature Comm., accepted.
[5] A. Belabbes, et al., Phys. Rev. B87, 035305 (2013).
[6] J. Lähnemann, et al., Phys. Rev. B86, 081302(R) (2012).
[7] N. Akopian, et al., Nano Lett.10, 1198-1201 (2010).
12:15 PM - P17.03
Formation and Optical Properties of GaAsSb Nanowire Networks
Zhou Yang 1 Krzysztof Galkowski 1 2 Duncan Maude 1 Fuccio Cristiano 3 4 Paulina Plochocka 1 Sebastien R. Plissard 3 4
1Laboratoire National des Champs Magneacute;tiques Intenses Centre National de la Recherche Scientifique Toulouse France2Institute of Experimental Physics, Warsaw University Warsaw Poland3CNRS, LAAS Toulouse France4Univ de Toulouse, LAAS Toulouse France
Show AbstractThe growth of branched nanowires (NW) recently gained interest due to new developments in quantum optics and mesoscopic physics [NatPhys2011Alicea]. Recent studies reported the growth of InAs and InSb NW networks [NL2006Dick; NL2013Dalacu, NatMat2013Plissard, AdvMat2014Car] that are the most promising material candidate for Majorana&’s braiding. These new 3D structures enable fabrication of advanced devices such as photonic crystals and multiple terminal Field Effect Transistors (FET).
Here, we investigate the growth and optical properties of T-shape GaAsSb NWs grown by molecular beam epitaxy (MBE). These Ga-seeded nanostructures are studied via High Resolution Transmission Electron Microscopy (HR-TEM) and compositions are determined via EDS measurements. Depending on the antimony concentration and the growth conditions, the crystalline structure can be tuned from zinc blende to wurtzite. A new growth mechanism is proposed in order to explain the formation of these 3D structures.
Optical methods are extremely powerful technique to investigate the electronic properties of nanowires as well as their crystal structure. Therefore, we have performed low temperature micro-photoluminescence studies to examine the quality and crystal structure of a single T-shape GaAsSb NW. Linearly polarization resolved measurements allow us to identify the zinc blende and wurtzite regions of the nanowire. The emission energy for wurtzite part of the nanowire is at higher energy than for zinc blende. This information brings a new light for the electronic properties of GaAsSb NWs, as to the best of our knowledge this is the first observation of a wurtzite structure in this system. Additionally, the most striking effects is that the emission from this structure is very bright, which is highly unusual for a NW grown without shell. This result with further investigations and optimization of the optical performance of T-shape structures opens a new way for future applications such as for example nanowire based beam splitters.
12:30 PM - P17.05
Effects of Polytypism and Wurtzite Phase Formation on Optical Properties and Band Structure of Individual GaP and GaNP- Based Nanowires
A Dobrovolsky 1 P Persson 1 S Sukrittanon 2 Y J Kuang 2 Charles W. Tu 2 Weimin M. Chen 1 Irina A. Buyanova 1
1Linkoping Univ Linkoping Sweden2University of California La Jolla United States
Show AbstractIII-V semiconductor nanowires (NWs) have gained significant interest as building blocks in novel nanoscale devices. The one dimensional (1D) nanostructure architecture allows one to extend band structure engineering beyond quantum confinement effects by utilizing formation of different crystal phases that are thermodynamically unfavourable in bulk materials. It is therefore of crucial importance to understand the influence of variations in the NWs crystal structure on their fundamental physical properties.
In this work we investigate effects of structural polytypism on the optical properties of gallium phosphide and GaP/GaNP core/shell NW structures grown by molecular beam epitaxy on Si substrates, by a correlative investigation on the structural and optical properties of individual NWs [1]. The former is monitored by transmission electron microscopy whereas the latter is studied via cathodoluminescence (CL) mapping. It is found that structural defects, such as rotational twins in zinc blende (ZB) GaNP, have detrimental effects on light emission intensity at low temperatures. This implies that either these defects are directly involved in non-radiative recombination processes or they facilitate formation of point defects that act as efficient non-radiative recombination (NRR) centers. On the other hand, the contribution of the structural defects becomes less significant at elevated temperatures when the NRR process involving point defects dominate. The formation of the wurtzite (WZ) phase does not change the intensity of the monitored CL either in GaP or in the GaNP alloy. Considering a better structural quality of the WZ segments, this finding is consistent with the results of the first principle calculations [2, 3] suggesting that the fundamental band-to-band transitions in WZ GaP remain dipole forbidden in spite of zone folding. Moreover, the bandgap energy of WZ GaP is concluded to be above 2.22 eV at 30K. We also show that the change in the lattice structures does not affect the bandgap energies of the GaNP alloys, at least within the range of nitrogen composition [N] < 2.0% studied in this work. Both WZ and ZB GaNP are found to have a significantly higher efficiency of radiative recombination as compared with that in the parental GaP, promising for potential applications of GaNP NWs as efficient nanoscale light emitters within the amber-red spectral range.
[1]. A. Dobrovolsky, Per O. A. Persson, S. Sukrittanon, Y. Kuang, C.W. Tu, W. M. Chen, and I. A. Buyanova, Nano Lett. 15, 4052 (2015)
[2]. C.-Y.Yeh, S.-H.Wei, A. Zunger, Phys. Rev. B 50, 2715 (1994).
[3] A. Belabbes, C. Panse, J. Furthm#533;ller, F. Bechstedt. Phys. Rev. B 86, 075208 (2012).