Symposium Organizers
Yi Gu, Washington State University
Yong Zhu, North Carolina State University
Jonathan E. Spanier, Drexel University
Silvija Gradecak, Massachusetts Institute of Technology
Symposium Support
Aldrich Materials Science
Angstrom Thin Film Technologies LLC
HORIBA Scientific
M Squared Lasers Inc.
UU2: Characterization I
Session Chairs
Tuesday PM, April 22, 2014
Moscone West, Level 2, Room 2020
2:30 AM - *UU2.01
Electromechanical Characterization of Semiconducting Nanowires: Enhanced Properties and Novel Techniques
Horacio Espinosa 1 Rodrigo A Bernal 1 Ravi Agrawal 1 Majid Minary 1 Jeff Paci 2
1Northwestern University Evanston USA2Northwestern university Evanston USA
Show AbstractNanostructures are expected to be the building blocks of next-generation electronic, electromechanical, and energy harvesting devices. In particular, semiconducting nanowires are envisioned as feasible alternatives for a number of applications such as interconnects, nano-optoelectronic systems, and energy storage and delivery elements for self-powered sensors. This emerging technological importance of nanowires has resulted in increased demand for accurate characterization, as only by quantifying their mechanical, thermal, electrical, physical and chemical properties, thorough understanding of their behavior will be generated. In turn, this understanding will be applied in the design and manufacturing stages, in order to optimize nanostructure synthesis, and nano-system architecture and performance.
In this presentation we will summarize our recent work on the characterization of mechanical and electromechanical properties of semiconducting nanowires. As a core experimental technique, we have developed a MEMS-based nanoscale material testing system, designed to serve as a platform for in-situ electron microscopy testing of one dimensional (1-D) nanostructures. We have employed this system to identify mechanical property size effects in ZnO and GaN nanowires. Furthermore, the validity of atomistic force fields commonly used to model these semiconducting materials has been assessed by comparing to experimental findings and quantum mechanical simulations. It will be shown that force fields are accurate enough to capture elasticity size effects but that higher order theories are needed to interpret nanowire failure.
In regards to electromechanical properties, we will present Density-functional-theory (DFT) first-principles simulations of ZnO and GaN nanowires that have revealed a piezoelectricity size-effect, where the d33 piezoelectric coefficient increases as the nanowire diameter decreases. We point out that experimental confirmation of the piezoelectricity-size effect, and its characterization in realistic nanowire sizes (10-100 nm) is of critical importance. To address this challenge, we present a novel AFM-based approach to characterize the piezoelectric tensor of nanowires and the results obtained with this method for GaN nanowires. The experimental results reveal that for realistic nanowire sizes (50 nm < diameter <200 nm), higher piezoelectric coefficients, up to six times the values of bulk, can be observed.
We will close by presenting recent developments in MEMS in-situ electron-microscopy testing of nanowire piezoresistance. In particular, a novel device for four-point electromechanical characterization will be described. Initial results on the characterization of resistance variation with applied strain will be demonstrated for silver and silicon nanowires.
3:00 AM - UU2.02
Silicon Nanowires: From Mechanical Properties to Stretchable Electronics
Yong Zhu 1
1North Carolina State University Raleigh USA
Show AbstractWe have characterized the mechanical properties of silicon nanowires as a function of the nanowire size. The nanowires, grown by the vapor-liquid-solid process, were subjected to tensile tests in situ inside a scanning electron microscope. The Young&’s modulus decreases while the fracture strain increases, as the nanowire diameter decreases. Of particular interest is that the fracture strain is over 12% with the diameter of 15 nm or so, demonstrating outstanding mechanical resilience of the material. The nanowires are found to be linear elastic followed with brittle fracture at room temperature. The silicon nanowires have been applied in stretchable electronics. Silicon nanowire coils were fabricated on elastomeric substrates by a controlled buckling process. Two buckling modes (the in-plane wavy mode and the three-dimensional coiled mode) can be obtained. The nanowire coils exhibit very large stretchability up to the failure strain of PDMS (>100% in our study). Such a large stretchability relies on the effectiveness of the coil shape in mitigating the maximum local strain, with a mechanics that is similar to the motion of a coil spring. Single NW devices based on coiled NWs show nearly constant electrical response in a large strain range. Our work has demonstrated silicon nanowires with excellent mechanical properties, which may find important electronic, optical and other applications where mechanical deformation is anticipated.
3:15 AM - *UU2.03
Bridging Surface Atomic Structure with In Situ Nanomechanics of ZnO Nanowires
Morigen He 1 2 Jing Zhu 1
1TsingHua University Beijing China2University of Pennsylvania Philadelphia USA
Show AbstractEvaluating the mechanical properties of nanomaterials and understanding their size effect is basic for optimizing the performance of nano-electromechanical devices and systems. For example, the diameter dependence of elastic modulus in ZnO nanowires has been focused by extensive experimental and theoretical studies. However, the real mechanism of size effect has never been directly revealed in atomic-scale. Here we firstly show the diameter dependence of elastic modulus in [0001]-oriented ZnO nanowires measured in both bending and tensile modes by in situ scanning electron microscopy. As diameter is smaller than about 120 nm, moduli of nanowires deviate from the bulk value and increase dramatically with decreasing diameter, which can be well explained by a core-shell composite model in terms of stiffening effect correlated with a radial-distributed relaxation strain function. Then we show that by using aberration-corrected transmission electron microscopy combined with density functional theory calculations, the relaxation (atomic displacements) of ZnO{10-10} fresh surfaces can be directly quantified in picometer-level accuracies. The Zn-O bond in the outmost surface layer is shown to be contracted by 6~7 %, according well with theoretical predictions. Further, the under-surface distribution of Zn-O bond contractions are directly measured for the first time, which verify our model of relaxation strain function and thus validate the correlation between surface relaxation and elasticity size effect. In the end, we show a novel reversible reconstruction between wurtzite and body-centered-tetragonal lattice in ZnO{10-10} fresh surfaces, which has not been observed before and may open broad prospects for exploring the metastable surface structures and related applications of ZnO nanomaterials.
4:30 AM - *UU2.05
The Influence of Doping and Defects on the Electrical and Electromechanical Behavior of Nanowire Devices
Lincoln J Lauhon 1
1Northwestern University Evanston USA
Show AbstractDefect engineering is important frontier in nanostructured materials. For example, modulation of doping to form heterojunctions provides function to most semiconductor devices, and this is expected to be the case for nanowires as well. We will first describe the influence of axial and radial dopant distributions on the behaviors of p-n and metal-semiconductor junctions in nanowires by correlating atom probe tomography with scanning photocurrent microscopy, Kelvin probe force microscopy, and device simulations. We will then describe new investigations into the dynamic mechanical behaviors of vanadium dioxide nanowires around the insulator to metal transition. We will explore the influence of localized defects on nanomechanical resonators with potential applications in new classes of sensors and oscillators.
5:00 AM - UU2.06
A Rigorous Analysis of Image Force Barrier Lowering in Metal-Semiconductor Nanowire Contacts
Yonatan Calahorra 1 Dan Mendels 1 Ariel Epstien 1 Dan Ritter 1
1Technion - IIT Haifa Israel
Show AbstractImage force barrier lowering is an important effect in metal-semiconductor contacts. In planar systems it is well understood and acknowledged-for when discussing semiconductor device properties. However, in regards to semiconductor nanowire devices, reports dealing mainly with this effect are scarce - both experimental and theoretical.
Herein, we introduce a rigorous treatment of this problem taking into account image potential inside closed geometries; this includes combining the image force electrostatic potential with the band diagram of the closed geometry - a task which is trivial when considering large planar systems. Conversely, when considering closed geometries, aside from calculating the image force, the derivation of the barrier lowering requires an additional calculation - a reference energy for the charge at the geometry center.
We approach the problem from a fundamental point of view and discuss spheres as well as cylinders. Furthermore, although the governing expressions are complex and can only be evaluated numerically, we present analytical approximations for the cylindrical system, which allow easy implementation in band-diagrams.
The results are then used to calculate the image force barrier lowering of metal wrapped cylindrical nanowires. Calculations show that the effect is generally stronger than that of planar systems, however system dimensionality may induce non-trivial effects.
We believe that this study is of major interest to the nanowire community, and in particular for the analysis of nanowire I-V measurements where wrapped or omega-shaped metallic contacts are involved.
5:15 AM - UU2.07
Alternative Contact Metallizations for p-GaN Nanowires
Shannon Duff 1 Matthew Brubaker 1 Paul Blanchard 1 Norman Sanford 1 Kristine Bertness 1
1National Institute of Standards and Technology Boulder USA
Show AbstractP-type doping of gallium nitride nanowires (GaN NWs) presents challenges beyond those confronted for planar GaN films in terms of growth calibration, measurement, and 3D contact geometry. In this work, we studied the contact resistance of several planar p-GaN films grown with conditions that approached those of GaN NWs and, specifically, Mg-doped GaN NWs themselves.
The 1.8 - 2.2 µm thick Mg-doped GaN films used in this study were grown by plasma-assisted MBE on commercially obtained template GaN films on sapphire substrates. The Mg doping concentration of these films was estimated to be approximately 5e18 - 1e19 cm-3 as measured by SIMS. The Mg-doped GaN NWs were grown on Si (111) substrates with AlN buffer layers and had similar Mg doping concentrations as measured by SIMS. Films were grown with a Ga flux approximately 1.5 times higher and in a substrate temperature range approximately 20 °C lower than those used for NW growth.
Ni/Au contacts to p-GaN films have shown favorable contact resistivities in the literature; however, we have observed significant void formation in proximity to NWs after annealing Ni/Au contacts, which resulted in increased NW device resistance and prevented ohmic contact formation. This motivated the study of several other contact schemes to p-GaN films that had been cited in the literature. In addition to Ni (20 nm)/Au (20 nm) annealed at 450 °C for 10 minutes in N2/O2, three other metal contacts were deposited in a circular transmission line model (CTLM) pattern using e-beam evaporation and standard photolithographic lift-off techniques. They were Pd (1 nm)/Ag (50 nm)/Au (10 nm)/Ti (30 nm)/Au (20 nm) annealed at 800 °C for 1 minute in N2, Pd (10 nm)/Ni (20 nm)/Au (30 nm) annealed at 500 °C for 1 minute in N2, and Pt (7 nm)/Pd (7 nm)/Au (15 nm) annealed at 600 °C for 2 minutes in N2. The CTLM pattern had spacings of 5, 10, 20, 40, 65, and 100 µm. Current-voltage (I-V) characteristics were measured both before and after annealing. A minimum resistance was calculated from the linear portion of the I-V curve and used with the CTLM pattern geometry to compute specific contact resistance, bulk resistivity, and sheet resistance. The conductivity was then calculated and plotted versus the Mg doping concentration measured by SIMS, allowing for the comparison of growth conditions and measured material properties.
The resulting data showed that, although not ohmic, the Pd/Ag/Au/Ti/Au contact resulted in the least resistive contacts to the p-GaN films. This contact was then applied to an array of randomly dispersed Mg-doped GaN NWs by use of e-beam evaporation and standard photolithographic lift-off techniques. I-V characteristics were measured both before and after annealing at 3 different temperatures. Although rectifying electrical behavior was observed, there was a considerable increase in the average amount of measured current after annealing at 800 °C - from approximately 10 pA to 20 µA at -25 V bias.
5:30 AM - UU2.08
Modulation Doped Ge-SixGe1-x Core-Shell Nanowires
David Carl Dillen 1 Kyounghwan Kim 1 En-Shao Liu 1 Emanuel Tutuc 1
1The University of Texas at Austin Austin USA
Show AbstractThe characterization of core-shell nanowire (NW) heterostructures has proven challenging by comparison to planar heterostructures due to their reduced dimensions. Consequently, fundamental core-shell heterostructure properties such as elastic strain and energy band offsets, as well as the strain-band structure interplay remain largely unexplored experimentally. In this work, we demonstrate radial modulation doping in coherently strained Ge-SixGe1-x core-shell NWs along with a novel technique to directly measure the valence band offset (ΔEv) in this heterostructure.
We grow modulation doped Ge-SixGe1-x core-shell NWs through a combination of vapor-liquid-solid (VLS) and chemical-vapor deposition (CVD) growth processes. A thin boron modulation doped region is incorporated into the SixGe1-x shell during CVD growth by the addition of B2H6 source gas for a fixed period of time. We then fabricate Omega;-gate NW field-effect transistors (FETs) using an Al2O3 top dielectric and highly doped source/drain contacts with Ni electrodes. Electrical measurements show an enhancement in peak hole mobility at low-temperature in the modulation doped devices, along with a clear kink in the drain current (Id) versus gate voltage (Vg) characteristics. Finite-element calculations indicate that the kink observed in the Id-Vg data is a result of the shell becoming populated with holes at large gate overdrive voltage and stems from a decrease in carrier mobility due to charged impurity scattering in the shell. Through a comparison of transport data to finite-element calculations, we extract ΔEv in Ge-SixGe1-x core-shell NW heterostructures, and its dependence on the shell&’s Si composition (x).
5:45 AM - UU2.09
Polarization-Induced Charge Distributions at Polytype Interfaces in Semiconductor Nanostructures
Luying Li 1
1Huazhong University of Science and Technology Wuhan China
Show AbstractIn this work, Charge redistribution at homogeneous ZB/WZ heterojunctions in ZnSe nanobelts, as well as InAs nanopillars with ‘polytype&’ heterojunctions, have been studied by off-axis electron holography and other electron microscopy techniques.
Homogeneous ZB/WZ heterostructural junctions have been successfully synthesized in ZnSe nanobelts, and polarity continuity is determined through aberration-corrected HAADF imaging. The hypothesized saw-tooth-like potential profile is directly revealed at the nanoscale using off-axis electron holography. With the exclusion of possible contributions from piezoelectric polarization and band offsets, spontaneous polarization is identified as the predominant factor causing the experimental profile. Our results suggest that the polarization-induced charge distribution at ZB/WZ heterostructural junctions could represent a viable alternative path for tailoring charge distribution in semiconductor nanostructures. [1]
Polytype heterocrystalline structures within InAs nanopillars are characterized by multiple TEM techniques. The electric field related to spontaneous polarization within the ZB region is revealed at nanometer scale using off-axis electron holography, and the measured value of spontaneous polarization for WZ-InAs is close to published results. Strain-induced variations of local spontaneous polarization are determined with atomic resolution through probe-corrected HAADF imaging. Moreover, spontaneous polarization values along the interface normal are calculated and possible explanations are provided. The strain-induced variations of spontaneous polarization along the interface normal would provide valuable information for tailoring charge distribution in semiconductor nanostructures and for fabrication of future devices. [2]
This study was supported by the National Science Foundation of China, MOE Doctoral Fund, the Fundamental Research Funds for the Central Universities, SRF for ROCS, SEM. Luying Li thanks S. Ketharanathan, E. Dailey, P. Madras, J. Drucker, L. Jin, J. Wang, W. Yin, Y. Yan, H. Sang, W. C. H. Choy, D. J. Smith, and M. R. McCartney for their contributions to this study.
References
[1] Li L., Jin L., Wang J., Smith D. J., Yin W., Yan Y., Sang H., Choy W. and McCartney M. R., “Polarization-induced charge distribution at homogenous Zincblende/Wurtite heterostructural junctions in ZnSe nanobelts”, Adv. Mater., Vol. 24, No. 10, (2012), pp 1328-1332.
[2] Li L., Gan Z., McCartney M. R., Liang H., Yu H., Yin W., Yan Y., Gao Y., Wang J. and Smith D. J., “Determination of polarization-fields across polytype interfaces in InAs nanopillars”, Adv. Mater., (DOI: 10.1002/adma.201304021).
UU1: Growth I
Session Chairs
Chennupati Jagadish
Paul C. McIntyre
Tuesday AM, April 22, 2014
Moscone West, Level 2, Room 2020
9:00 AM - *UU1.01
Kinking Dring Vapor-Liquid-Solid and Vapor-Solid-Solid Nanowire Growth
Paul C. McIntyre 1 2 Shruti Thombare 1 Yanying Li 3 Yanming Wang 1 Ann F. Marshall 2 Seunghwa Ryu 4 Wei Cai 5
1Stanford University Stanford USA2Stanford University Stanford USA3Stanford University Stanford USA4Stanford University Stanford USA5Stanford University Stanford USA
Show AbstractBoth vapor-liquid-solid (VLS) and vapor-solid-solid (VSS) growth of nanowires can produce defects, and the probabilities of their formation depend on both growth conditions (e.g. growth temperature, precursor supersaturation, and their temporal stability), and the wire geometry (e.g. diameter). Kinking, a sudden change in axial orientation of nanowires during growth, is a common defect that complicates the directed synthesis of these nanocrystals. This presentation will review the mechanisms of kinking in VLS and VSS growth of germanium nanowires, a relatively simple materials system for investigation of such effects, although reference will be made to more complex systems. The importance of the balance between nanowire sidewall surface energy and catalyst/wire interface energy for kinking with both liquid and solid catalysts will be emphasized. Finally, the potential for three-dimensional phase field simulations to analyze both wire growth kinetics and defect formation during growth will be described.
UU3: Poster Session: Growth and Assembly
Session Chairs
Tuesday PM, April 22, 2014
Marriott Marquis, Yerba Buena Level, Salons 8-9
9:00 AM - UU3.01
Facile and Controlled Fabrication of Patterned, High-Aspect Ratio Iron-Capped Silicon Oxide Nanowires
Taiwo Raphael Alabi 1 2 Suman Das 1 2 Dajun Yuan 2 1
1Georgia Institute of Technology Atlanta USA2Georgia Instiute of technology Atlanta USA
Show AbstractConventional techniques for the fabrication of dense silicon oxide and silicon nanowire arrays either involve the use of a bottom-up chemical vapor deposition (CVD) vapor-liquid-solid VLS growth process, or the use of a top-down fabrication techniques that involves a solvent based catalytic etch process or a dry-etch procedure that involves a reactive ion etching chamber. The major drawback to the above techniques include the harsh processing conditions involving either extreme temperatures above 300°C or dangerous chemicals such as HF. Furthermore, the techniques do not provide a one-step fabrication approach for patterned arrays of the 1D nanowires.
We report here a facile, single-step, process that relies on a top-down fabrication procedure in combination with a laser interference ablation technique for the fabrication of 1D patterned arrays of Iron-tipped silicon/silicon oxide nanowires. The process involves the incorporation of iron from an Iron salt solution into the (poly-4-vinylpyridine) P4VP block of a phase separated (polystyrene-b-4-polyvinylpyridine)PS-b-P4VP block copolymer. The block copolymer is spin coated on a silicon or silicon oxide substrate. Linear and square patterns serving as precursors for the formation of patterned silicon nanowires are formed via exposure of phase-separated PS-b-P4VP block copolymer to a 266nm output from a 10ns pulsed Nd:YAG laser interference beam. High-aspect ratio iron-tipped silicon oxide nanowires are obtained by etching the silicon oxide substrate in a plasma reactive ion etching chamber. Etching is done in 2 stages: first with O2 to remove the block copolymer and convert the iron salt to iron oxide, while the final etch was done with CHF3:O2 reactive ion gases to generate the silicon oxide nanowires. The aspect ratio of the as-fabricated nanowires can be varied up to 20 with heights extending up to 1 um, by varying the duration in the final etch step in the plasma chamber. The diameter of the as-fabricated nanowires can be controlled by varying the iron-loading time of the P4VP block, or by controlling the size of the P4VP block during the phase separation step. The periodicity of the patterns generated by the nanowires can also be varied by changing the convergence angle of the interfering laser beams.
The chemical composition of the as-fabricated iron-tipped silicon oxide nanowires was confirmed using X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM). The nanowires were imaged with a scanning electron microscopy (SEM) while the phase separated block copolymer was imaged with an atomic force microscope (AFM). Other analyses including photoluminescence measurements were also conducted on the as-fabricated nanowires.
9:00 AM - UU3.02
Size-Controlled Conformal Nanofabrication of Biotemplated Three-Dimensional TiO2 and ZnO Nanonetworks
Hakan Ceylan 1 Cagla O Akgun 1 Turan S Erkal 1 Inci Donmez 1 Ruslan Garifullin 1 Ayse B Tekinay 1 Necmi Biyikli 1 Mustafa O Guler 1
1Bilkent University Ankara Turkey
Show AbstractA solvent-free fabrication of TiO2 and ZnO nanonetworks is demonstrated using supramolecular nanotemplates with high coating conformity, uniformity, and atomic scale size control. Deposition of TiO2 and ZnO on three-dimensional nanofibrous network template is accomplished. Ultrafine control over nanotube diameter allows robust and systematic evaluation of the electrochemical properties of TiO2 and ZnO nanonetworks in terms of size-function relationship. We observe hypsochromic shift in UV absorbance maxima correlated with decrease in wall thickness of the nanotubes. Photocatalytic activities of anatase TiO2 and hexagonal wurtzite ZnO nanonetworks are found to be dependent on both the wall thickness and total surface area per unit of mass. Wall thickness has effect on photoexcitation properties of both TiO2 and ZnO due to band gap energies and total surface area per unit of mass. This work is a successful example that concentrates on nanofabrication of intact three-dimensional semiconductor nanonetworks with controlled band gap energies.
9:00 AM - UU3.03
Solution Growth and Functionalization of Si Nanowires
Olga Burchak 1 Pascale Chenevier 1 Peter Reiss 1
1CEA Grenoble Grenoble France
Show AbstractThe solution-liquid-solid (SLS) growth of Si nanowires is an interesting alternative to vapour-liquid-solid (VLS) growth when larger quantities of material are required. When small-sized Au nanoparticles are used as seeds for the growth, comparably high reaction temperatures (>400°C) are required to trigger the decomposition of the silane precursor (trisilane). Furthermore, only reactions carried out under supercritical conditions in pressure vessels yield a satisfying conversion of trisilane while at ambient pressure generally a large fraction of amorphous silicon is formed.
We show that at ambient pressure a much higher fraction of Si nanowires is obtained when using Ni instead of Au seed nanoparticles. Contrary to gold, nickel catalyzes the decomposition of silane and therefore allows for a faster conversion of the precursor. At the same time the diameter of the nanowires (on the order of 10 nm) is much better calibrated, as less coalescence of the catalyst nanoparticles occurs. When using Au nanoparticles reactions under the same conditions lead to a broad dispersion of the nanowire diameters.
Finally we present first results of the in situ functionalization of the chemically grown Si nanowires preventing from the formation of a native oxide layer upon air exposure.
9:00 AM - UU3.04
CVD Controlled Bandgap Engineering within Single-Nanostructures for Nanophotonic Applications
Pengfei Guo 1 Xiujuan Zhuang 1 Jinyou Xu 1 Anlian Pan 1
1Hunan University Changsha China
Show AbstractBandgap modulation is particularly important for the development of multifunctional and broadband-response optoelectronic components, such as tunable lasers, multispectral photodetectors, laterally-arranged multiple bandgap solar cells. Here we will summarize our achievements in changing the bandgap/composition of semiconductor gradually or abruptly along the length or the width direction of single nanostructures simply by chemical vapor deposition (CVD) method with moving source materials. As excellent examples, we will present the growth of composition-graded CdSSe and ZnCdSSe nanowires [1], symmetrically composition-graded CdSSe nanowires [2], atomically abrupt CdS/CdSSe axial heterostructured nanowires and CdS/CdSSe lateral heterostructured nanoribbons [3]. More particularly, we will show that such a special individual nanostructures are excellent alternatives for high-quality white light source, wavelength splitter, asymmetric optical waveguides, low-threshold or dual-color nanolasers.
References:
[1] X. Zhuang, C. Ning and A. Pan, Adv. Mater., 2011, 24, 13-33.
[2] P. F. Guo, X. J. Zhuang, J. Y. Xu, Q. L. Zhang, W. Hu, X. L. Zhu, X. X. Wang, Q. Wan, P. B. He, H. Zhou and A. L. Pan, Nano Lett., 2013, 13, 1251-1256.
[3] J. Y. Xu, L. Ma, P. F. Guo, X. J. Zhuang, X. L. Zhu, W. Hu, X. F. Duan and A. L. Pan, J. Am. Chem. Soc., 2012, 134, 12394-12397.
9:00 AM - UU3.05
Wurtzite InP/AlGaP Core-Shell Nanowires Toward Direct Band Gap Transition
Fumiya Ishizaka 1 Katsuhiro Tomioka 1 2 Takashi Fukui 1
1Hokkaido University Sapporo Japan2Japan Science and Technology Agency (JST) Kawaguchi Japan
Show AbstractSemiconductor nanowires (NWs) have attracted considerable interest in recent years due to their potential applications in electronic and photonic devices. NWs are also interesting because the crystal structures can be controlled by properly changing the growth conditions [1]. Band structure calculations show that GaP and AlP in the wurtzite (WZ) phase have direct band gaps [2], although those in the zinc blende (ZB) phase have indirect band gaps. In addition, the presence of direct band gap transitions in the WZ GaP NWs are experimentally confirmed [3]. These WZ GaP NWs are grown at high growth temperature and low V/III ratio by vapor-liquid-solid (VLS) method. We have been studying another approach to realize WZ crystal structures by using a WZ InP NW as a template, which can easily obtain a perfect WZ structure without stacking faults due to its high ionicity. In this study, we discuss WZ InP/GaP and InP/AlGaP core-shell NWs grown by selective-area metal organic vapor phase epitaxy (SA-MOVPE). First, we grew InP/GaP core-shell NWs on InP (111)A substrates with periodical openings of a SiO2 mask layer. InP/GaP core-shell NWs had hexagonal {-211} side facets with the average diameter and height of 100 nm and 1.6 mu;m. From transmission electron microscope (TEM) observations, WZ GaP shell was grown laterally on the side surface of a WZ InP NW template. The GaP shell layer was also confirmed by energy dispersive X-ray spectroscopy (EDX). Only WZ structure can be transferred from a WZ InP template to GaP shell because the stacking sequence of the WZ phase of ABAB... is fixed in the lateral <-211> direction. Due to the large lattice mismatch of InP/GaP, however, GaP shell was always non-uniform. In order to avoid this difficulty, we fabricated InP/AlGaP core-shell NWs by adding Al in the GaP shell. From SEM observations, AlGaP shell layer was grown uniformly even for a 50-nm-thick shell. Also, the hexagonal side facets rotated from {-211} to {-110} during AlGaP growth. To determine the crystal structure, X-ray diffraction measurements were performed on 4x4 mm2 area NW samples. We observed the WZ (0002) AlGaP shell peak as well as the WZ (0002) InP core peak around the ZB (111) InP substrate peak. This means that AlGaP shell has WZ structures. TEM studies of InP/AlGaP core-shell NWs will be discussed to assess the crystal structures in more detail. [1] Y. Kitauchi et al., Nano Lett., 10, 1699 (2010). [2] A. De et al., Phys. Rev. B, 81, 155210 (2010). [3] S. Assali et al., Nano Lett., 13, 1559 (2013).
9:00 AM - UU3.06
Epitaxial Growth of Luminescent Sn-Cr Doped beta;-Ga2O3 Nanowires
Julio Ramirez-Castellanos 1 Andrea Peche 1 Iamp;#241;aki Lopez 2 Emilio Nogales 2 Bianchi Mendez 2 Javier Piqueras 2 Jose M Gonzalez-Calbet 1
1Univ. Complutense Madrid Spain2Univ. Complutense Madrid Spain
Show AbstractSn and Cr doped monoclinic β-Ga2O3 nanostructures have been grown by using a thermal method [1]. The presence of Sn during growth has been shown to strongly influence the morphology of the resulting structures, including Sn doped branched wires, whips, and needles. Subsequent codoping with Cr was achieved through the usage of thermal diffusion. The formation mechanism of the branched structures has been studied by means of High Resolution Transmission Electron Microscopy (HRTEM). The HRTEM study shows a very well-crystallized material. Measured interplanar distances are 3.03 and 5.79 Å, respectively, which fit well with the b and c parameters of the β-Ga2O3 unit cell, and very similar to those of the Sn doped sample. The growth direction obtained from the images is [001]. EDS microanalysis shows a very homogeneous chemical composition and confirms the presence of Sn and Cr.
Moreover, epitaxial growth has been observed, which was revealed by a very high quality interface between the central rod and the branches of the structures. Furthermore, formation of extended defects such as twins has been observed in the interface region. The influence of dopants on the energy levels of Ga and O within the structures has been studied by XPS. Temperature-dependent and excitation-density-dependent CL indicates that this is a thermally activated emission. In Snminus;Cr doped samples a very intense Cr3+ red luminescence emission which quenches the bands is observed. Branched Snminus;Cr codoped structures were studied with microphotoluminescence imaging and spectroscopy, wave guiding behaviour being observed along the trunks and branches of these structures.
[1] I. Loacute;pez, E. Nogales, B. Méndez, J. Piqueras, A. Peche, J. Ramírez-Castellanos, and J. M. González-Calbet, J. Phys. Chem. C, 117 (2013) 3036.
9:00 AM - UU3.07
Uniform Ordering of ZnO Nanorods and Nitrogen Plasma Treatment for Improving ZnO-P3HT Hybrid Photovoltaic Devices Performance
Seungjun Oh 1 Takahiro Nagata 1 Janos Volk 2 Yutaka Wakayama 1
1National Institute for Materials Science Tsukuba Japan2Hungarian Academy of Sciences Budapest Hungary
Show AbstractIn recent years, extensive studies of hybrid photovoltaic (HPV) devices, composed of poly(3-hexylthiophene) (P3HT) and ZnO, have increased interest in renewable energy. The creation of HPV devices faces two major challenges to enhance conversion efficiency which are the short-circuit current density (JSC) and open circuit voltage (VOC). Here, we applied two approaches for the major challenges. First, we established the fabrication technique for large-scale uniformly ordered ZnO nanorods (NRs) via nanoimprint lithography and hydrothermal growth. The purpose of uniformly ordered ZnO NRs is to promote the effective infiltration of P3HT for increasing the donor-acceptor interface area, which is directly related to JSC. Second, near-atmospheric pressure nitrogen plasma (NAP) treatment was performed on the surface of ZnO NRs to suppress carrier recombination losses which causes VOC reduction.
Uniformly ordered ZnO NRs have been fabricated on a bottom electrode of Al-doped ZnO (AZO) film via a combination of hydrothermal growth and nanoimprint lithography. Here, the AZO film was grown on a sapphire substrate to obtain a flat, single-crystal seed layer, which was critical in growing highly oriented epitaxial ZnO NRs. The NAP treatment was performed on the surface of the ZnO NRs. P3HT solution was spin-coated onto the ZnO NRs. Subsequently, Ag was deposited as a top electrode by thermal evaporator.
Structural characterization revealed that the crystallinity and flatness of the ZnO seed layer were key factors in improving uniformity as regards the diameter, height, and orientation of the ZnO NRs. The ZnO NRs can form a large donor-acceptor interface area. Consequently, the JSC was five-fold larger compared to that of the flat P3HT/ZnO bilayer structure. Meanwhile, characterization of the electronic structures and dark current-voltage measurements revealed that NAP treatment produced a highly resistant layer on the surface of ZnO NRs. This layer worked as a barrier to protect dark carrier injection and to reduce photo-carrier recombination. As a result, VOC was increased up to 0.71 V.
9:00 AM - UU3.08
Nanowire-Functionalized Cotton Textiles
Maksym Zhukovskyi 1 Lina Sanchez-Botero 2 Matthew P. McDonald 1 Juan Hinestroza 2 Masaru Kuno 1
1University of Notre Dame Notre Dame USA2Cornell University Ithaca USA
Show AbstractWe show the functionalization of cotton fabrics and fibers using solution-synthesized CdSe and CdTe nanowires (NWs). Conformal coatings onto individual cotton fibers were achieved through various physical and chemical approaches. Physical and chemical approaches involve the electrostatic attraction of NWs to positively charged cotton and take advantage of long-lived dipoles that can be induced in the wires. Resulting NW-functionalized textiles consist of dense, conformal coatings and have been characterized for their UV-Visible absorption as well as Raman activity. The demonstrated functionalization of cotton is general and NW coatings using other compositions are possible given the solution processability of these wires. We demonstrate potential uses of semiconductor NWs functionalized cotton textiles through two proof-of-concept applications. The first entails barcoding cotton using the unique Raman signature of the NWs. We also demonstrate the surface-enhancement of their Raman signature using the plasmon resonance of co-deposited Au. A second demonstration takes advantage of the photoconductive nature of semiconductor NWs to create cotton-based photodetectors. Apart from these illustrations, semiconductor NW-functionalized cotton textiles may possess other uses in the realm of medical, anti-counterfeiting and photocatalytic applications. These substrates may be used as platforms for developing smart and interactive clothing.
9:00 AM - UU3.09
Crystalline GaSb Nanowires Synthesized on Amorphous Substrates: From the Formation Mechanism to p-Channel Transistor Applications
Ming Fang 1 Fei Xiu 1 Zai-xing Yang 1 Ning Han 1 Johnny Ho 1
1City University of Hong Kong Kowloon Tong Hong Kong
Show AbstractIn recent years, because of the narrow direct bandgap and outstanding carrier mobility, GaSb nanowires (NWs) have been extensively explored for various electronics and optoelectronics. Importantly, these p-channel nanowires can be potentially integrated with n-type InSb, InAs, or InGaAs NW devices via different NW transfer techniques to facilitate the IIIminus;V CMOS technology. However, until now, there have been very few works focusing on the electronic transport properties of GaSb NWs. Here, we successfully demonstrate the synthesis of crystalline, stoichiometric, and dense GaSb NWs on amorphous substrates,[1] instead of the commonly used IIIminus;V crystalline substrates, InAs, or GaAs NW stems as others reported. The obtained NWs are found to grow via the VLS mechanism with a narrow distribution of diameter (220 ± 50 nm) uniformly along the entire NW length (>10 mu;m) with minimal tapering and surface coating. Notably, when configured into FETs, the NWs exhibit respectable electrical characteristics with the peak hole mobility of sim;30 cm2 Vminus;1 sminus;1 and free hole concentration of sim;9.7 × 1017 cmminus;3. All these have illustrated the promising potency of such NWs directly grown on amorphous substrates for various technological applications, as compared with the conventional MOCVD-grown GaSb NWs.
Reference:
[1] Yang Z., Wang F.Y., Han N., Lin H., Cheung H.Y., Fang M., Yip S.P., Hung T.F., Wong C.Y., Ho J.C. "Crystalline GaSb nanowires synthesized on amorphous substrates: from the formation mechanism to p-channel transistor applications", ACS Applied Materials & Interfaces, in press, 2013.
9:00 AM - UU3.10
Ge/GaAs Core-Shell Nanowires
Charles Renard 1 Geraldine Hallais 1 Gilles Patriarche 2 Laetitia Vincent 1 Daniel Bouchier 1
1IEF-CNRS/Universitamp;#233; Paris Sud Orsay Campus France2Laboratoire de Photonque et Nanostructures/ CNRS Marcoussis France
Show AbstractTo date the highest photovoltaic (PV) performances have been obtained using multijunction (MJ) cells, with record conversion efficiencies of 43.5% under light concentrators for triple junction devices (InGaP/InGaAs/Ge). The concept of MJs is based on the use of materials with complementary bandgaps in order to maximize photon harvesting over a large spectrum while minimizing thermal losses. However, this technology suffers from high costs related to the use of expensive Ge substrates and the growth of very high quality lattice matched materials on Ge. Here, we propose a novel III-V solar MJ cell based on the synthesis of Ge/GaAs core-shell nanowires (NWs) onto inexpensive lattice-mismatched Si substrates. Defect-free Ge NWs have been epitaxially grown on Si substrate using the vapor-liquid-solid (VLS) method assisted by Au catalysts. Then a GaAs shell was epitaxially grown on Ge nanowires via Chemical beam epitaxy (CBE) as these two materials show a very small lattice mismatch allowing for strain relaxation. High-resolution transmission electron microscopy (HRTEM) measurements on the wires confirm that the GaAs/Ge core-shell structure is single crystal. The distribution of the GaAs shell around the Ge core was also investigated by EDS mapping analysis and no trace of Au have been observed at the GaAs and Ge interface and in the inner part of the core-shell NWs. We will present further characterizations of these core-shell NWs arrays such as micro-photoluminescence, micro-Raman, and X-Ray Diffraction.
9:00 AM - UU3.11
Molecular Beam Epitaxy Growth of InAsP/InP Quantum Dots within InP Nanowires on Si
Paul Kuyanov 1 Anders Gustafsson 2 Ray LaPierre 1
1McMaster University Hamilton Canada2Lund University Lund Sweden
Show AbstractMolecular Beam Epitaxy (MBE) was used to grow Au catalyzed InP nanowires on <111> Si. Multiple short InAsP segments were added in the middle of the nanowire creating a quantum dot (QD) structure for infrared (IR) photodetectors. The nanowires were then passivated with InAlP to surround the QD. The ability to grow lattice mismatched III-V nanowire structures on a Si substrate opens up many possibilities, such as extending existing Si-based CCDs to IR wavelengths. However the InAsP QD should have a very high As concentration to operate in the IR range.
The QDs were studied using transmission electron microscopy (TEM) in the high angle annular dark field mode to determine the QD dimensions, and energy dispersive x-ray spectroscopy was used to measure the composition of the QDs. The gas switching sequence and growth interruptions of the group III and V species during the QD formation were found to have a large effect on the QD composition. The As composition in the QD was significantly lower than that obtained from thin film calibrations. This phenomenon was corroborated with low temperature photoluminescence measurements, which showed a QD emission at a higher energy than expected from thin film calibrations (indicative of a lower than nominal As composition). Cathodoluminescence measurements confirmed the same finding, showing localized emission from the QD. A novel group III and V gas switching sequence is presented to improve compositional control of the QD.
9:00 AM - UU3.12
Controlled-Growth of Vertically Aligned Silicon Nanowires Based on Vapor-Liquid-Solid Mechanism
Yi-Seul Park 1 Da Hee Jung 1 Jin Seok Lee 1
1Sookmyung Women's University Seoul Republic of Korea
Show AbstractSi nanowires (SiNWs) have been identified as useful building blocks for nanoscale electronic and thermoelectric devices. In order to maximize their entire potential in many applications, SiNWs must be integrated efficiently and economically into various device systems. It is essential to simplify to fabricate devices by eliminating complex processes. Also, an important requirement for device application is the precise control of the location and the size of the SiNWs. According to the vapor-liquid-solid (VLS) growth mechanism, while the length of the SiNWs is determined mainly by the chemical vapor deposition (CVD) conditions such as gas flow rate and growth time, the location and the diameter of the SiNWs are controlled by the diameter and the location of the Au nanoparticles (AuNPs) used as catalyst. For this reason, it is important to develop position of AuNPs on the substrate. In this work, we synthesized vertically aligned SiNWs using Au film and patterned Au film as used catalyst for SiNWs growth to obtain precise location of SiNWs. In the case of Au film, the density of vertically aligned SiNWs can be controlled by manipulating the annealing time during the formation of AuNPs from Au film. On the other hand, in the case of patterned Au film, it is possible to manipulate the pitch, diameter, and length of vertically aligned SiNWs by changing the experimental parameters such as various pitch and thickness of patterned Au film, and growth time.
9:00 AM - UU3.13
Electrochemical Synthesis of CdSe/CdTe Nanowires for Hybrid Photovoltaic Structures
Jelena Gurevits 1 Julia Kois 1 Sergei Bereznev 1 Enn Mellikov 1 Andres Opik 1
1Tallinn University of technology Tallinn Estonia
Show AbstractAdvanced electrochemical technique was elaborated to fabricate self-organized CdSe and CdS nanowire structures from aqueous electrolytes on ITO conductive glasses. We have recently been demonstrated successful electrochemical formation of free-assistent CdSe nanowire structures with diameter around 30 nm and length till 2 um. This work has extended the previous research to electrodeposition of Cd chalcogenide (CdSe, CdS) nanowires and also formation of core-shell CdSe/CdTe nanowire structures.
CdSe nanowire structures were synthesized potentiostatically from an acidic solution of H2SeO3 and CdCl2 at room temperature. Then the CdSe (core) nanowires were further passivated by CdTe (shell) thin film by method of electrochemical deposition from alkaline solutions. The effect of varying interfacial passivation with CdTe layer on the performance of the prepared photovoltaic structures was investigated and special attention was paid to electrochemical characterization, UV-VIS absorption spectroscopy and photoluminescence (PL) spectroscopy of obtained nano-layers.
It should be noted, that electrically conductive polymers (poly (3-octylthiophene) etc.) were applied successfully for preparation of high work-function quasi-ohmic back-contacts to CdTe shells. The electrodeposition and spin-casting techniques were used step-by-step to prepare complete hybrid photovoltaic structures.
It was found that resulting hybrid structures of P3HT with new functionalized CdSe/CdTe photoabsorber has shown shift in optical and photoresponsive electrical properties in dependence on condition of formation of CdTe passivated shell films.
9:00 AM - UU3.14
Large Area Fabrication of Ordered Silicon Nanowires by Self-Assembly and Metal Assisted Etching
Luca Boarino 1 Diego Chiabrando 1 Natascia Deleo 1 Emanuele Enrico 1 Giulia Aprile 1 Katia Sparnacci 2 Michele Laus 2
1INRIM Turin Italy2East Piedmont University Alessandria Italy
Show AbstractColloidal lithography operates in the range from few micrometers to less than 100 nanometers using different building blocks, i.e. silica or polystyrene nanospheres of controlled dimensions obtained by nanoemulsion as a mask for the subsequent processing, like metal deposition, vapor phase growth, reactive ion etching, plasma or chemical wet etching. The major advantage of this technique is the possibility to obtain a hexagonal close packed (hcp) nanostructuration on large area, just choosing the dimension of the starting building block as the elementary cell of the nanostructuration.
This technique, extended under 50 nanometers by means of diblock copolymers, represents at the moment the only possible alternative to extreme UV optical lithography and multiple exposition to reach the 22 nanometers node in the International Technology Roadmap of Semiconductors. [1]
Different nanospheres have been spun with optimized speed and acceleration parameters achieving high quality ordered monoloayers with size ranging from 200 to 75 nanometers.
After O2 plasma engineering of the self-assembled monolayers, the diameter of the spheres is reduced to around 100 nm or less. E-beam metal deposition through the nanospheres mask has been carried out, mainly with silver and gold. Removal of the nanospheres&’ mask leaves a so called “antidot” metal pattern, 20 nanometers thick.
At this point the samples underwent Metal Assisted Etching (MAE) with the aid of a solution of HF and an oxidizing agent [2]. Metal catalytic action allows for removal of several nm of Si, leaving an ordered array of nanowires, having dimension defined a-priori by the diameter of the nanospheres employed for lithography. Different porosity has been achieved, depending on etching parameters and MAE solution composition [3], as observed by TEM analysis.
Devices based on groups or single nanowires have been fabricated by means of dualbeam SEM, nanomanipulation and Gas Injector System and preliminary electrically characterized.
[1] International Technology Roadmap for Semiconductors, 2009 edition, metrology,http://www.itrs.net/links/2009ITRS/2009Chapters_2009Tables/209_Metrology.pdf
[2] S. Bastide, C. Chartier, and C. Levy-Clement, Electrochimica Acta 53, 5509-5516 (2008).
[3] A.I. Hochbaum, D. Gargas, P. Yang, “Single crystalline mesoporous silicon nanowires,” Nano Lett., 9, 3550, 2009.
9:00 AM - UU3.17
Morphology Control of the Growth of ZnTe: From Thin Film to Nanowires
Jhih-Hong Peng 1 Sandwip Dey 1 Hongbin Yu 1
1Arizona State University Tempe USA
Show AbstractZinc telluride (ZnTe) is an attractive II-VI compound semiconductor with a direct bandgap of 2.3 eV that is used in many applications in optoelectronic devices. Compared to the two dimensional semiconductors, one-dimensional (1D) nanowires can have different electronic properties for potential novel applications. However, the growth and characterization of ZnTe nanowires have not been studied as extensively as their counterparts in the form of bulk, thin film and quantum dots. In this work, we present the study of ZnTe nanowires (NWs) that are synthesized through a simple vapor-liquid-solid (VLS) method. The growth of ZnTe was performed on Si substrate. Half of the Si substrates have the Au catalysts on them deposited by sputtering technique and the other half substrates have no Au catalysts, and they are placed alternatively in the growth furnace along different temperature zones. By controlling the presence or the absence of Au catalysts and controlling the growth parameters such as growth temperature, we can obtain different morphologies of ZnTe, such as thin films and NWs in the same run. The characterization of the ZnTe NWs was performed using scanning electron microscope (SEM), energy-dispersive X-ray spectroscopy (EDX), high- resolution transmission electron microscope (HRTEM), X-ray diffraction (XRD), photoluminescence (PL), Raman spectroscopy and light scattering measurement. The SEM shows different morphology of ZnTe, such as thin films, NWs and sheets. X-ray diffraction studies revealed that as-deposited ZeTe thin film and NWs were polycrystalline in nature with grain size as large as tens of micron meters and belonged to the zinc-blende phase with a strong preferred orientation along the (111) direction. From the HRTEM image of a single ZnTe nanowire, an identical periodic orientation is found along the length of the wire, revealing its single crystallinity and uniformity. The HRTEM image also shows the nanowire having planes with a lattice spacing of 0.35 nm, corresponding to the spacing of the (111) plane of the ZnTe cubic structure. PL measurements from ZeTe film and single ZnTe NWs exhibit a peak around 540 nm at room temperature indicating the band-edge emission from the material. . The frequency of the modes correspond to the values typical of high-quality ZnTe single crystals and indicate high optical structural quality of the wires. Besides, the light scattering measurement from ZnTe NWs also has absorption at wavelength of 540 nm, indicative of band-edge absorption.
9:00 AM - UU3.18
Growth of AlGaAs Nanowires on Planar Al2O3 Layers Deposited on Si (111) and Amorphous Glass Substrates
Shinya Sakita 1 Shinjiro Hara 1
1Hokkaido University Sapporo Japan
Show AbstractBottom-up fabrication of semiconductor nanowires (NWs) has attracted much attention in the research fields of nano-scale electronic and photonic devices. Growth of semiconductor NWs has recently been demonstrated on insulating layers, e.g. GaN nano-rods on amorphous glass substrates [1] and Ge NWs on SiO2 layers on Si substrates [2] for the realization of solar cells and light emitting diodes on low-cost substrates as a green nanotechnology. However, these NWs have much poor size uniformity, and are distributed randomly on the substrates. We have fabricated III-V compound semiconductor NWs by using selective-area MOVPE, and realized GaAs/AlGaAs core-shell NWs and AlGaAs nanotubes [3]. Recently, some researchers have reported that thin amorphous Al2O3 layers deposited by ALD are crystallized to γ-phase Al2O3 after the annealing process at a relatively high temperature [4]. In this paper, therefore, for the NW growth on crystallized Al2O3 layers, we demonstrate and investigate the crystallization of Al2O3 layers deposited by ALD on Si (111) and amorphous glass substrates. Structural characterizations of the crystallized Al2O3 layers and AlGaAs NWs grown on them are described here.
We, first, deposited Al2O3 layers on Si (111) and amorphous glass substrates by ALD. Before the AlGaAs NW growth, we annealed the samples for crystallizing Al2O3 layers at 975 oC. AlGaAs NWs were finally grown by MOVPE on the Al2O3 layers at 750 oC.
For the 5-nm-thick Al2O3 layers on Si (111) substrate, we observed that hexagonal NWs with a relatively high-aspect ratio, i.e. the height of around 300 nm and the diameter of 50 to 500 nm, were formed. The side wall facets were parallel to {-110} surfaces of Si (111) substrate. For the 20-nm thick Al2O3 layers on glass substrate, next, we observed tetrahedral and hexagonal nanostructures, whose side wall facets were randomly rotated, were formed. The height and diameter of these hexagonal nanostructures were less than 100 nm and 100 to 300 nm, respectively. XRD spectra for both of the samples had the peak at 2theta; asymp; 27.3o from AlGaAs (111) planes . These results possibly showed that some of the AlGaAs NWs and nanostructures were directed in the <111>B direction. From the cross-sectional TEM observations, we confirmed that Al2O3 layers were crystallized to γ phase on both of the samples. In the case of Al2O3 layers on Si substrate, γ-Al2O3 <111> direction was oriented approximately parallel to Si <111> direction. In the Al2O3 layers on glass substrate, on the other hand, we confirmed that some of the γ-Al2O3 {111} planes were parallel to the substrate surface, whereas the rest of them tilted against the substrate surface. The results show that it is possible to control the direction of crystallized Al2O3 layers even on amorphous glass substrate.
[1] J. H. Choi et al., Nature Photon. 5 (2011) 763 [2] X. Sun et al., JVST B 25 (2007) 415 [3] J. Noborisaka et al., APL 87 (2005) 093109 [4] C. M. Tanner et al., APL 90 (2007) 061916
9:00 AM - UU3.19
Novel Heterostructured Nanomaterials via Anion Exchange in II-VI Semiconductors
Rahul Agarwal 1 Ritesh Agarwal 1
1University of Pennsylvania PHILADELPHIA USA
Show AbstractIon exchange in nanostructures has proven to be a successful method to transform them into unique compositions and morphologies, which cannot be obtained otherwise. Our group previously studied cation exchange at the nanoscale in cadmium sulfide with zinc in the vapor phase (Zhang et al., Nano Lett. 10, 149 (2010)). It motivated us to further study nanoscale transformations in II-VI semiconductors via the anion exchange route in the vapor phase. Anion exchange process is kinetically more difficult to achieve than cation exchange owing to the large size of the anions and its role in forming the crystal&’s structural framework. This kinetically unfavorable process makes it a challenging materials science problem. We have successfully performed anion exchange on single-crystalline cadmium sulfide (wurtzite) and periodically nano-twinned cadmium telluride (zinc blende) nanowires and nanobelts with selenium in the vapor phase at different temperatures. The reactions occur in a very narrow temperature range also requiring a precise amount of reactant delivery. The experiments were carried out inside a tube furnace with precise control over the precursor concentration in the reaction zone using a home built atomic layer deposition system. The resulting products included core-shell, alloyed and completely transformed nanostructures, which interestingly retained their parent crystal structure and defect distribution, thereby producing new structural phases of these common materials. Another interesting morphology was single crystalline, periodically branched nanobelts with a preferential branching orientation. Photoluminescence (PL) measurements on these structures indicated a shift in band gap as a function of compositional variation and induced strain, which was further confirmed using Raman spectroscopy. The ability to obtain kinetically-controlled nanostructures with structural phases not observed in natural systems opens up possibilities to engineer new materials for novel applications ranging from photonics to precisely engineered structures for thermoelectric devices.
9:00 AM - UU3.20
Creating New VLS Silicon Nanowire Contact Geometries by Controlling Catalyst Droplet Motion
Sardar Bilal Alam 1 Federico Panciera 2 3 Ole Hansen 1 4 Kristian Mamp;#248;lhave 1 Frances M Ross 2
1Technical University of Denmark Kgs. Lyngby Denmark2IBM T. J. Watson Research Center Yorktown Heights USA3University of Cambridge Cambridge United Kingdom4Technical University of Denmark Kgs. Lyngby Denmark
Show AbstractIntegration of silicon nanowires (SiNWs) as active components in silicon devices requires that desired structural and electrical interfaces can be established between the nanoscale geometry of the SiNW and the microscale architecture of the device. Using in-situ transmission electron microscopy (TEM), we record in real time the physical process that occurs when a SiNW grown using the Au-catalysed vapour liquid solid (VLS) mechanism impinges on a hot silicon surface. As the droplet makes contact, it wets the surface; Si continues to grow at both interfaces between droplet and Si, and at the same time Au diffuses away from the contact site. We measure the parameters of this process from movies recorded during contact events. We demonstrate that the geometry of the final contact formed between the nanowire and the silicon surface can be controlled by varying the contact surface temperature. By adjusting the relative temperature between the impinging SiNW and the contact surface, we can control the balance of silicon deposition vs. migration and diffusion. This can give rise to a variety of contact geometries including a Si to Si contact with controlled shape and diameter, a nano gap, or a Si-Au-Si contact. We further demonstrate that these different contact structures can be modified using electromigration, in which the catalyst droplet rate and direction of migration from the contact site is controlled by passing a current of few µA through the wire during the contact formation process. We will describe the contact process, and then discuss in-situ electrical measurements carried out after connected nanowires were formed. Such measurements help us to understand the influence of the contact structure on nanowire electrical properties.
9:00 AM - UU3.21
Self-Catalyzed InP Nanowires Grown by VLS-MBE on Silicon for Photonics
Jean-Baptiste Barakat 1 Roman Anufriev 1 Amaury Mavel 1 Herve Dumont 1 Jose Penuelas 1 Philippe Regreny 1 Nicolas Chauvin 1 Catherine Bru-Chevallier 1 Gilles Patriarche 2 Bassem Salem 3 Michel Gendry 1
1Institut des Nanotechnologies de Lyon Ecully France2Laboratoire de Photonique et de Nanostructures Marcoussis France3Laboratoire des Technologies de la Microamp;#233;lectronique Grenoble France
Show AbstractSilicon photonic deals with the integration of optical functions on chip and among others light emission which could be realized with III-V semiconductor compounds. Nanowires (NWs) grown by catalyst-assisted vapor-liquid-solid (VLS) method seems to be a very efficient way to integrate defect-free III-V compounds of high structural and optical qualities on silicon (Si), despite the highly mismatched interface between these materials. To ensure compatibility with Si technology, it is highly desirable to develop Au-free catalysts for the epitaxial growth of III-V NWs on Si. Our objective is to demonstrate that InP NWs could be successfully grown on Si(111) but also on Si(001) by solid-source Molecular Beam Epitaxy (MBE) using indium droplets as seeds.
Indium droplets of controlled diameter were deposited in-situ in the MBE reactor before the NW growth. We investigated several main growth parameters (growth temperature, indium and phosphorus fluxes) in order to favour the InP NW nucleation as a function of the indium droplet diameter. We notice that the presence of a thin silicon oxide layer onto silicon substrate is a limiting point to promote the nucleation step for epitaxial NWs. A bimodal NW distribution has been highlighted with column-like NWs and rod-like NWs. We successfully achieve rod-like InP NWs grown at 380°C with 100% verticality on Si(111). High-resolution transmission electron microscopy and X-ray diffraction reveal a Zinc-Blend structure with a high density of twins and stacking faults. Photoluminescence measurements of NWs show a weak intensity peak around 1.45 eV which is correlated to these poor structural properties. We are now working to improve the nucleation step focusing on the Si substrate preparation (exposure to phosphorus, arsenic or gallium flux before the indium droplet deposition) and the structural and optical properties of these self-catalyzed InP NWs on Si substrates and on patterned Si surfaces.
9:00 AM - UU3.22
Growth and Characterization of Wurtzite GaP Nanowires with Control Over Axial and Radial Growth by Use of HCl In-Situ Etching
Alexander Berg 1 Sebastian Lehmann 1 Neimantas Vainorius 1 Anders Gustafsson 1 Mats-Erik Pistol 1 L.Reine Wallenberg 2 Lars Samuelson 1 Magnus T. Borgstroem 1
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractNanowires have attracted increasing research interest during the recent years due to their electronic and geometrical advantages compared to bulk materials. In nanowires, wurtzite (WZ) crystal phase has been observed in several materials even though zinc blende is the predominant crystal phase in bulk of the same materials. Gallium phosphide (GaP) has been predicted to have a direct band gap in the wurtzite crystal phase by band structure calculations [1,2], which makes it interesting for use in optoelectronic devices. Recently, the experimental confirmation of the direct band gap nature of WZ GaP was reported [3]. The GaP NWs were grown at 750 °C by use of in-situ etching with HCl [3]. In this contribution, we report on the chemistry of synthesis of non-tapered WZ GaP nanowires by use of in-situ etching and the structural and optical characterization thereof [4]. HCl was evaluated as an in-situ etchant in order to impede the onset of radial growth on the WZ GaP nanowires grown at temperatures around 600 °C where tapering normally occurs. Transmission electron microscopy measurements confirmed non-tapered wurtzite GaP nanowires after growth. Photoluminescence characterization revealed defect related red emission, possibly related to transitions within the band gap.
[1] A. De, C.E. Pryor, Predicted band structures of III-V semiconductors in the WZ phase, Physical Review B 81 (2010) 155210
[2] A. Belabbes, C. Panse, J. Furthmüller, F. Bechstedt, Electronic bands of III-V semiconductor polytypes and their alignment, Physical Review B 86 (2012) 075208
[3] S. Assali, I. Zardo, S. Plissard, D. Kriegner, M.A. Verheijen, G. Bauer, A. Meijerink, A. Belabbes, F. Bechstedt, J. Haverkort, E.P.A.M. Bakkers, Direct Band Gap Wurtzite Gallium Phosphide Nanowires, Nano Letters 13 (4) (2013) 1559-1563
[4] A. Berg, S. Lehmann, N. Vainorius, A. Gustafsson, M.-E. Pistol, L.R. Wallenberg, L. Samuelson, M.T. Borgström, Growth and characterization of wurtzite GaP nanowires with control over axial and radial growth by use of HCl in-situ etching, Journal of Crystal Growth 386 (2014) 47-51
9:00 AM - UU3.23
Sol-Flame Synthesis of Cobalt-Doped TiO2 Nanowires with Enhanced Electrocatalytic Activity for Oxygen Evolution Reaction
Lili Cai 1 In Sun Cho 1 Manca Logar 1 2 Apurva Mehta 3 Chi Hwan Lee 1 Pratap M Rao 1 Fritz B. Prinz 1 Xiaolin Zheng 1
1Stanford University Stanford USA2Jozef Stefan Institute Ljubljana Slovenia3SLAC National Accelerator Laboratory Menlo Park USA
Show AbstractDoping nanowires (NWs) is of crucial importance for a range of applications due to the unique properties arising from both impurities incorporation and nanoscale dimensions. However, existing doping methods face the challenges of simultaneous control over the morphology, crystallinity, dopant distribution and concentration at the nanometer scale. Here, we present a controllable and reliable method, which combines versatile solution phase chemistry and rapid flame annealing process (sol-flame), to dope TiO2 NWs with cobalt (Co). The sol-flame doping method not only preserves the morphology and crystallinity of the TiO2 NWs, but also allows fine control over the Co dopant concentration by varying the concentration of cobalt precursor solution. Characterizations of the TiO2:Co NWs show that Co dopants exhibit 2+ oxidation state and substitutionally occupy Ti sites in the TiO2 lattice. The Co dopant concentration significantly affects the oxygen evolution reaction (OER) activity of TiO2:Co NWs, and the TiO2:Co NWs with 12 at.% of Co on the surface show the highest OER activity with a 0.76 V reduction of the overpotential with respect to undoped TiO2 NWs. This enhancement of OER activity for TiO2:Co NWs are attributed to both improved surface charge transfer kinetics and increased bulk conductivity. With the demonstrated controllability, we believe that the sol-flame doping method will be a general and promising technique for effective doping of diverse nanostructured materials.
9:00 AM - UU3.24
Confining the Growth: Catalyst Free Ordered Arrays of Nano-Pyramidal Wires Made of Dislocation Free AlN
Michele Ann Conroy 1 2 3 Vitaly Zubialevich 1 Haoning Li 1 2 Nikolay Petkov 1 Justin Holmes 1 2 3 Peter Parbrook 1 2
1Tyndall National Institute Cork Ireland2University College Cork Cork Ireland3Centre for Research on Adaptive Nanostructures and Nanodevices Dublin Ireland
Show AbstractIII-N semiconductor materials and their alloys promise the future in optoelectronics with light emission spanning from the near-IR to the deep UV region along with excellent thermal conductivity and chemical resistance. However recently high density well-ordered nanostructured nitride material has attracted significant interest in recent years. Confining the dimensions of the bulk material provides many unique properties and applications in nano-devices from field effect transistors to nanoscale light emitting diodes LEDs. AlN in particular has a good lattice match with GaN and ternary alloy AlGaN making it an ideal candidate for UV LEDs. Although there has been great work done in producing AlN based nanorods and wires,1 uniform periodic free standing rods has still been limited to GaN systems to date. We report in this paper the first study producing micron length scale AlN nano-rods in an ordered highly close packed honeycomb array, across entire sapphire 2” wafers by metal organic vapour phase epitaxy MOVPE. The pattern itself is originally produced by a self-assembled silica sphere lithography mask.2 The wafer is placed into the MOVPE reactor where the rods continue to grow epitaxially from the surface of the pattern in the c-axis. The rods have a pitch of ~350nm with a spacing between individual rods of ~8nm, and have been grown to a length of 2.5µm. A major advantage to growing nanorods of AlN over bulk material is the massive reduction of the threading dislocation densities (TTDs) due to the release of strain. These catalyst free wires in fact have no visible dislocations reaching the top surface when viewed under weak beam dark field (WBDF) conditions in the transmission electron microscope (TEM). With a 6 faceted sidewall and pointed tip the nanostructures have many possible applications including the growth of high crystal quality quantum wells on the facets.
1. Yong, Ken-Tye, and Siu Fung Yu., Journal of Materials Science, 47.14 (2012): 5341-5360.
2. Conroy, Michele, et al., ECS Transactions, 53.2 (2013): 39-42.
9:00 AM - UU3.27
Vapor Phase Metal-Assisted Chemical Etching
Owen Hildreth 1 Daniel Schmidt 2
1National Institute of Standards and Technology Boulder USA2Nationtal Institute of Standards and Technology Boulder USA
Show AbstractMetal-assisted Chemical Etching (MaCE) is a powerful 3D nanofabrication platform capable of maintaining feature resolutions on the order of 1 - 2 nm even for structures with aspect ratios greater than 500:1. MaCE is capable of fabricating silicon nanowires, helical nanowires, spiraling chiral structures, sloping channels, and more. This talk introduces a new variant of MaCE called Vapor Phase Metal-assisted Chemical Etching (VP-MaCE) that was developed to bypass some of the complications of traditional Liquid Phase MaCE (LP-MaCE). Similar to Vapor Phase Hydrofluoic Acid (HF) etching of SiO2, in VP-MaCE the HF and H2O2 is delivered to the substrate in the vapor phase instead of the liquid phase. By operating in the vapor phase, this process completely eliminate issues of structure deformation due to capillary or stiction forces - enabling the fabrication of even higher aspect ratios structures with even high densities. VP-MaCE also increases intrawafer uniformity and wafer-to-wafer uniformity by eliminating fluid flow induced catalyst motion and H2 bubble generation. This talk covers key aspects of VP-MaCE including process conditions, etch rate, catalyst motion, and temperature effects.
9:00 AM - UU3.28
Theoretical Study on the Retarded Dopant Diffusion in Silicon Nanowires
Ki-Ha Hong 1 Jongseob Kim 2
1Hanbat National University Daejeon Republic of Korea2Samsung Advanced Institute of Technology, Samsung Electronics Yong-In Republic of Korea
Show AbstractDoping is a crucial step to make efficient electronic devices by controlling conductance and making p-n junctions. It has been already published that there are fundamental difficulties in doping of nanoscale materials such as quantum dots and nanowires. Unique nanoscale phenomena such as dielectric confinement and self-purification have been discovered to understand the origin of the troubles in doping of nanostructures.
Uniform dopant distribution in nanostructures is commonly preferred and diffusion is an essential process to make engineered dopant profiles. Diffusion, as in the case of doping shows unusual behaviors in the nanostructures, which cannot be expected from the results of bulk diffusion. For dopant diffusion in semiconductor nanowires, several studies focused on non-uniform radial dopant distribution profiles and it is reported that additional annealing process is necessary to obtain uniform dopant distribution. Dopant diffusion commonly accompanied with nanowire growth and the diffusion profiles can be significantly affected by growth process. This restricts the profound understanding of the diffusion behaviors in nanostructures. Xie et al.&’s controlled experiments on dopant diffusion behaviors in Si and Ge nanowires is worth to notice in that they reported diameter dependent dopant location in nanowires when the diffusion process is decoupled with growth step. They show that dopant diffusion behaviors in Si and Ge nanowires show that the dopants hardly penetrate from surface to center region when their diameters are less than 20 nm. They presented that this reduced diffusion in nanostructures cannot be explained with self-purification and dielectric confinement.[1]
In this study, we report the reduced dopant diffusion in SiNWs through the ab-initio calculation of the formation energy of multi-dopants and correlation among them. Our results show that the dopant-dopant interaction is significantly reduced in nanostructures that dopant-dopant interaction energy between near dopants is significantly reduced as the diameter of SiNWs becomes smaller. This makes the dopant diffusion from heavily doped region into undoped region reduced and longer diffusion process time necessary to generate optimal dopant profile in the semiconductor devices than that of bulk semiconductors. Although diffusion in nanostructures are complexly affected by various factors such as surface passivation, temperature, pressure, and other process variables, this theoretical prediction of the dopant behaviors in the nanostructures serves one of fundamental understanding why nanoscale diffusion become different from the bulk behavior.
[1] Xie, P.; Hu, Y.; Fang, Y.; Huang, J.; Lieber, C. M. Proceedings of the National Academy of Sciences of the United States of America 2009, 106, 15254-15258.
9:00 AM - UU3.29
Synthesis and Sensing Properties of Ce-Doped SnO2 Nanostructures
Anima Johari 1 Anoopshi Johari 2 Mukesh Chandra Bhatnagar 3 Simant Srivastav 1
1Indian Institute of Technology Delhi (IITD) New Delhi India2THDC Institute of Hydropower Engineering and technology, tehri Tehri India3Indian Institute of Technology Delhi New Delhi India
Show AbstractWe report the atmospheric pressure growth of one-dimensional nanostructures of Ce-doped Tin oxide (SnO2) by thermal evaporation process. The growth was carried out on silicon substrate at atmospheric pressure in a horizontal tubular furnace under nitrogen (N2) ambience. The surface morphology, structure, composition and gas sensing properties of as-grown SnO2 nanostructures were studied. The surface morphology study reveals the growth of wire-like nanostructures of Ce-doped SnO2 on Au (50nm)/Si substrate (Figure 1). The corresponding X-ray diffraction pattern confirms that the as-synthesized Ce-doped SnO2 nanowires have tetragonal rutile structure with polycrystalline nature and also showed that Ce gets incorporated into the SnO2 lattice. The composition of nanowires confirms the doping of Ce into SnO2 nanowires and atomic fraction of Ce in nanowires is 1 at%. The gas sensing properties of as synthesized undoped and Ce-doped SnO2 nanowires based sensor was studied and compared for various volatile organic compounds. The Ce-doped SnO2 nanowires exhibit comparatively good response.
9:00 AM - UU3.30
Synthesis of PbTe One-Dimensional Nanostructures: Nanorods and Nanowires
Qianglu Lin 1 Weon-kyu Koh 2 Hongluo Luo 1 Jeffrey Pietryga 2 Victor Klimov 2
1New Mexico State University Las Cruces USA2Los Alamos National Laboratory Los Alamos USA
Show AbstractLead chalcogenide nanostructures have been attracted numerous attentions in their optical and electronic properties owing to their small effective mass and large excitons Bohr radius, which lead to a strong quantum confinement in nanosize regime. Owing to their rock salt crystal structures, Shape control of lead chalcogenide has been one of the most challenging issues in colloidal semiconductor synthesis. Here, we synthesized colloidal PbTe nanorods and nanowires via oriented attachment. The aspect ratio of PbTe one dimensional nanostructure can be tuned from 2 to 100 with 2 nm width as a function of reaction time and temperature. At low reaction temperature, the ripening of PbTe quantum dots is suppressed, leading to an efficient oriented attachment to produce monodisperse PbTe nanowires. High resolution TEM study reveals that the PbTe nanowires formed along the <111> direction, which may indicate the oriented attachment proceeds to eliminate the high energy {110} plane.
9:00 AM - UU3.31
Surface Diffusion in Pulsed Laser Deposition / Vapor-Liquid-Solid ZnO Nanowire Grow
Aurelian Marcu 1 Flavian Stokker 1 Ionut Nicolae 1 Reza R Zamani 2 3 Joan R Morante 3 4 Cristian P Lungu 1 Constantin Grigoriu 1 Jordi Arbiol 2 5
1National Institute for Laser Plasma and Radiation Physics Bucharest-Magurele Romania2Institut de Ciamp;#232;ncia de Materials de Barcelona ICMAB- CSIC Barcelona Spain3Catalonia Institute for Energy Research (IREC) Barcelona Spain4Departament damp;#8217;Electramp;#242;nica, Universitat de Barcelona Barcelona Spain5Instituciamp;#243; Catalana de Recerca i Estudis Avanamp;#231;ats (ICREA) Barcelona Spain
Show AbstractNanowire growing using vapor-liquid-solid (VLS) and pulsed laser ablation becomes a widely used technique in spite of the still rather limited knowledge and control possibilities over VLS elementary processes. Particularly for the pulsed growing case, competition between thin film and VLS growing could sometimes be challenging to predict and control.
In this work we used a high speed repetition rate picosecond laser in an 'eclipse' system configuration for growing ZnO nanowire on Au patterned substrate through PLD/VLS technique. Experimental results have shown that nanowire length increase on the patterned regions in the vicinities of catalyst free areas. The grown length depends on laser plume parameters but also on the system geometry. Smaller mask-substrate distance seem to enhance the differences in the nanowire length between the center and periphery of the patterned zones. The differences in structure morphologies were investigated for different laser powers and number of laser pulses per trains. Obtained experimental results are considered as surface diffusion evidences and as a possible diffusion control based technique of the VLS grown morphologies. Based on the CCD high speed imaging and Monte-Carlo (PIC) simulations of the plume propagation, some estimations on plume-substrate interaction and diffusion controled morphology limitations in VLS technique were made
9:00 AM - UU3.32
Fabrication of ZnO Semiconductor Nanowire Arrays and 3D Networks by Electrodeposition in Etched Ion Track Templates
Liana Movsesyan 1 2 Ina Alber 1 Christina Trautmann 1 2 Maria Eugenia Toimil-Molares 1
1GSI Helmholtzzentrum famp;#252;r Schwerionenforschung GmbH Darmstadt Germany2Technische Universitamp;#228;t Darmstadt Darmstadt Germany
Show AbstractNanowires (NWs) are considered excellent model systems to investigate the influence of size-effects on their physical properties such as optical, electrical, magnetic, and thermal. Semiconductor NWs in particular have aroused great interest among researchers due to their promising application in energy conversion and harvesting. Nanostructured photo-electrodes and NW-based solar cells are being developed and investigated to achieve higher absorption of sun light and efficient charge separation and transport [1].
We present the synthesis of ZnO NW arrays and NW networks by electrodeposition in polymer membranes fabricated by ion-track technology. Ion irradiation and chemical etching parameters are adjusted to tailor density, diameter (70-250 nm), and geometry (cylindrical and conical) of the nanochannels in the template [2]. In addition, polymer foils are irradiated in several steps from different directions at an incident angle of 45°. After subsequent chemical etching, templates consisting of a network of interconnected nanochannels are obtained [3]. ZnO NW arrays and NW networks were electrodeposited potentiostatically in a three-electrode configuration using an aqueous solution of zinc nitrate at 80° C [4].
Morphology, composition and crystallinity of the NWs are analyzed by high resolution scanning electron microscopy, energy dispersive X-ray analysis and X-ray diffraction as function of size and deposition conditions. Current-voltage characteristics measured on ZnO NW arrays exhibit semiconducting behavior as expected. Integration density, wire diameter, and crystalline quality of the ZnO NWs are optimized in order to obtain mechanically stable and self-supporting 3D NW networks.
The reduced diameter, high surface-to-volume ratio, and high degree of interconnectivity of the NW networks make these 3D systems very promising for various applications such as sensors or photoanodes in photoelectrochemical cells due to improved transport properties of the photogenerated charge carriers to the wire surface.
[1] J. Schoonman, R. van de Krol, U.P.B. Sci. Bull., Series B, 73(4) (2011), 31-44.
[2] M. E. Toimil-Molares, Beilstein J. Nanotechnol. 3 (2012) 860 - 883.
[3] M. Rauber, I. Alber, S. Müller, R. Neumann, O. Picht, C. Roth, A. Schökel, M. E. Toimil-Molares, W. Ensinger, Nano Lett. 11 (2011), 2304-2310.
[4] M. Sima, I. Enculescu, R. Vasile, J. Optoelectron. Adv. Mater. 8 (2006) 825-828.
9:00 AM - UU3.33
Low Temperature Growth of Horizontal ZnO Nanorods
Abdiel Rivera 1 Anas Mazady 1 Mehdi Anwar 1
1University of Connecticut Storrs USA
Show AbstractWe report the growth of ZnO horizontal nanorods (NRs) at low temperature on p-Si substrate without any assisting mechanism. Most reports of horizontal nanowires/nanorods growth are performed by confining the growth along the surface using patterns, dislocations and catalyst. Xu et al. reported the growth of horizontal nanowires at low temperature on patterned surface using optical lithography1. Nikoobakht et al. also, reported growth of similar structures by controlling the size of the Au catalyst which dictated the dimensions of the NRs2.
ZnO horizontal nanorods were grown on ZnO thin film/p-Si, using MOCVD and hydrothermal synthesis. The ZnO thin film growth was carried out using MOCVD technique at a constant pressure of 70 Torr. Diethyl Zinc (DEZn) was used as the Zn precursor with N2 as the carrier gas and N2O as the oxygen source. The process was carried out at 300C for 20 min with a DEZn flow rate of 50 sccm and N2O flow rate of 35 sccm with 100 sccm of N2 running at the background. The horizontal nanowires were grown using an aqueous solution of 27mMol of HMTA and 3.29mMol, 6.53mMol and 11.35mMol of Zn(NO3)2 at 90C during a period of 6 - 20 hours.
Environmental scanning electron microscope (E-SEM) was used to explore the physical properties of the horizontal ZnO nanowires. The horizontal nanowires have a diameter in the range of 200 - 500nm and the length varied between 1 - 7 um, depending upon the duration of growth and precursors ratio. For a Zn(NO3)2 concentration between 3.29mMol < X < 11.35mMol, the NRs are symmetrical in the vertical axis and the diameter slightly decrease and the end of the NRs. For Zn(NO3)2concentration of 3.29mMol, an asymmetrical growth along the vertical axis was observed. As noted by Jiang et al., with long growth time, the reaction reach a certain equilibrium, where the rate of dissolution become faster than the rate of formation3. Lower concentration of Zn ions favor the growth of ZnO in the (0001) direction with oxygen termination, therefore, the growth becomes slower compared to ZnO (0001). The density of the horizontal NRs were directly affected by the Zn:HMTA ratio, increased with decreasing Zn concentration. It was observed that for higher concentration of Zn (>11.35mMol of Zn), small nanowires grow from the thin film with a lower density. EDX was performed on the horizontal NWs to confirm the even composition of ZnO.
In summary, we have consistently grown horizontal ZnO NWs on p-Si at low temperature using ZnO thin film as a seed layer without the need for prior treatment of the substrate using patterns, etching, and catalyst, among others.
References:
1S. Xu, Y. Ding, Y. Wei, H. Fang, Y. Shen, A.K. Sood, D.L. Polla, Z.L. Wang, J. Am. Chem. Soc. 131 (2009) 6670 - 6671
2B. Nikoobakht, C.A. Michaels, S.J. Stranick, M.D. Vaudin, App. Phys. Lett. 85 (2004) 3244 - 3246
3H. Jiang, J. Feng, X. Li, J. of Allow and Compounds 478 (2009) 550-553
9:00 AM - UU3.34
p-Diamond/n-GaN Nanowire Heterostructures
Fabian Schuster 1 Martin Hetzl 1 Saskia Weiszer 1 Andrea Winnerl 1 Marco Wolfer 2 Hiromitsu Kato 2 3 Christoph Nebel 2 Jose Antonio Garrido 1 Martin Stutzmann 1
1TU Muenchen Garching Germany2Fraunhofer IAF Freiburg Germany3National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan
Show AbstractGaN nanowires are a hot topic in research to supersede two-dimensional structures in the fields of optoelectronics, (photo-) catalysis or sensing applications due to low defect densities and large surface areas. Recently, we have demonstrated the growth of GaN nanowires on diamond, resulting in nano-heterostructures between two wide bandgap semiconductor materials with an atomically abrupt and high-quality interface. [1]
Bipolar p-diamond/n-AlGaN heterodiodes are proposed as a new approach to light-emitting and laser diodes emitting in the UV spectral range, where diamond acts as transparent p-type contact and efficient heat sink. Simulations of the electronic band structure in such heterostructures are presented to understand the charge carrier dynamics over the interface region.
As a proof of concept, n-GaN nanowires were grown on p-diamond substrates with different doping concentrations. Power-dependent photoluminescence measurements at room temperature give evidence for stimulated emission due to the NWs acting as intrinsic waveguides. IV characteristics of the nanodiodes were measured by means of conductive AFM, where the AFM tip contacts the top of individual nanowires. For low doping levels, rectifying behaviour is observed, whereas high doping levels result in tunnel diodes. In a next step, model devices were fabricated. To this end, ensemble top contacts were processed by filling the space between the nanowires with insulating material and contacting the revealed nanowire tips. IV characteristics of these devices will also be presented.
[1] F. Schuster et al., Nano Letters 12, 2199 (2012)
9:00 AM - UU3.35
GaAs Nanowire Seeded by In-Situ Formed Tin Particles
Rong Sun 1 Daniel Jacobsson 1 Sebastian Lehmann 1 Kimberly A. Dick 1 2
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractAu has been employed as seeding material for the growth of semiconductor nanowire since 1964 when Wagner and Ellis proposed the famous vapor-liquid-solid (VLS) mechanism for the growth of Si whiskers. [1] Even nowadays it remains the most widely used seed particle for almost all the semiconductor nanowire growth. However, gold is not compatible with current silicon industry as it forms deep trap centers in silicon. Therefore, finding alternative seed materials is of high relevance. Although the growth of III-V nanowires with seed materials other than gold has been reported, [2,3,4] general knowledge about nanowires seeded by alternative materials is still rare and needs substantial input. In our contribution, we demonstrate for the first time the metal-organic vapor phase epitaxial (MOVPE) growth of vertically aligned GaAs nanowires seeded by tin particles deposited in-situ.
In our study, nanowires were grown on GaAs {111}B and {111}A substrates, using tin particles formed in-situ from TESn prior to the nanowire growth step. We observed that nanowire morphology, growth rate, and crystal structure can be tuned using fundamental growth parameters, including V/III-ratio of incoming precursor flows, total precursor flows, and growth temperature. Different growth behaviors are also observed on GaAs {111}B and {111}A surfaces which may be attributed to differences in substrate surface energies. Transmission electron microscopy (TEM) results revealed pure zinc blende GaAs nanowires for selected growth conditions. In addition, energy dispersive X-ray spectroscopy (EDS) indicated that a Sn-rich particle remains at the tip of the nanowire with a SnxAs1-x phase formed, probed after finishing the growth step by cooling in AsH3/H2 atmosphere. Furthermore we will present results of electrical and optical characterization of GaAs nanowires.
[1] R.S. Wagner, W.C. Ellis, Appl. Phys. Lett., 4 (1964) 89-90.
[2] H. Y. Xu,Y. Wang,Y. N. Guo, Z. M. Liao, Q. Gao, H. H. Tan,C. Jagadish,J. Zou, Nano Lett. 2012, 12, 5744minus;5749
[3] K. Hillerich, K. A. Dick, M. E. Messing, K. Deppert, J. Johansson, Nano Res. 2012, 5(5): 297-306
[4] S Plissard, G Larrieu, X Wallart and P Caroff, Nanotechnology 22 (2011) 275602
9:00 AM - UU3.36
Novel Rhombus-Shaped Co3O4 Nanorod Arrays for Multi-Applications
Zhen Wen 1 2 3 Liping Zhu 1 2 3 Yaguang Li 1 2 3 Weimin Mei 1 2 3 Zhizhen Ye 1 2 3
1Zhejiang University Hangzhou China2Zhejiang University Hangzhou China3Zhejiang University Hangzhou China
Show AbstractWe have successfully synthesized rhombus-shaped Co3O4 nanorod (NR) arrays via a facile fluorine-mediated hydrothermal route, involving the formation of Co(OH)F as precursor and then thermal conversion to porous Co3O4. In the growth process, the preferential combination between F- and Co2+ to form CoF+ complexes prevents the formation of Co(OH)2. Then, the CoF+ complexes react with OH- to form rhombus-shaped Co(OH)F. The novel rhombic Co3O4 NRs have an average edge length of 400 nm, an induced edge angle of 50° and a length of 15 mu;m. After annealing at appropriate temperature, the NRs have a highly porous texture and numerous nanopores exist in the rhombic building-blocks, which is due to lattice contraction, pyrolysis and release of HF during the thermal treatment. The one-dimension structure, nano-porosity, large specific surface area, good crystallinity, special exposed facets and the open space of the rhombic Co3O4 NRs imply that they could also have immense potential in multi-applications. Good ohmic contacts with the electrode and intensive contact with the insulated substrate make it directly served as gas sensor. The sensitivity to 100 ppm ethanol reaches sim;31 and the optimal working temperature is as low as 160°C. The sensor exhibits good response/recovery kinetics (90 s and 60 s), outstanding selectivity over several interferential gases and good stability tested in 3 months. When tested as anodes for lithium ion batteries (LIBs) without the addition of other ancillary materials (carbon black and binder), the Co3O4 NR arrays on a nickel substrate exhibit a high reversible capacity (over 1000 mA h g-1) and good cycling performance over 20 cycles in the range of 0.005-3 V at a current rate of 1 C. The novel material also has potential application in heterogeneous catalysts, solar cells, supercapacitors and so on. The results demonstrate that the rhombus-shaped Co3O4 NR arrays are very promising for the fabrication of cost effective and high-performance multifunction devices.
9:00 AM - UU3.37
Synthesis of Tellurium One Dimensional Nanostructures by Galvanic Displacement Reaction (GDR) for the Application of Piezoelectric Devices
Tingjun Wu 1 Miluo Zhang 1 Nosang V Myung 1
1University of California Riverside Riverside USA
Show AbstractEnergy crisis, one of the paramount issues today, becomes a key driver for the improvement of energy generation and utilization efficiency, due to the restriction of non-renewable resources. Piezoelectric generator, which can directly convert mechanical energy to electricity, has been extensively developed for this issue. Utilization of 1-D nanomaterials in a piezoelectric generator can significantly improve its device efficiency, since novel phenomena and properties have been demonstrated when the materials&’ dimension is lowered to nanoscale. Elemental tellurium (Te) is a p-type semiconductor which has crystal orientation dependent electrical and piezoelectric properties. The strain piezoelectric coefficient in a bulk Te has been reported to be 3.6 * 10-9 cm/V and the number can be further improved by nanoengineering. Galvanic displacement reaction (GDR) is an electrochemical process which is induced by the difference in materials&’ redox potential, leading to the dissolution of more active material and deposition of less active material. It is a high-yielding and cost-effective process which can be applied for the synthesis of various nanostructures especially hetero-structures.
In our work, we demonstrated a cost-effective and mass-productive process to synthesis Te nanostructures with controlled dimension and morphology for piezoelectric application. A commercial zinc foil was chosen as the sacrificial material to provide a sufficient driving force for Te deposition. Control over the dimension and morphology of the Te nanostructures was achieved by tuning the GDR conditions, such as the concentration of TeO32- in the electrolyte, pH, as well as the reaction time and temperature. A mechanistic study of GDR was carried out by using electrochemical analysis methods including open-circuit potential (OCP) and linear polarization curve (LPC). The piezoelectric properties of the Te nanostructures were characterized and related to their structures.
9:00 AM - UU3.38
Catalytic Growth and CdCl2 Treatment of CdTe Microwires
Gwangseok Yang 1 Younghun Jung 1 Seungju Chun 2 Donghwan Kim 2 Jihyun Kim 1
1Korea University Seoul Republic of Korea2Korea University Seoul Republic of Korea
Show AbstractCadmium telluride (CdTe) is one of the ideal photovoltaic materials due to its direct band gap (~1.45 eV) and high absorption coefficient. CdCl2 treatment is considered to be a critical post-growth process to fabricate high efficiency CdTe-based solar cells because it has been known that grain growth and recrystallization of CdTe occur during CdCl2 treatment. However, the effects of CdCl2 treatment on CdTe nano- or micro-structures have not been reported yet. Nano- and micro-structures such as wires, rods, particles and pyramids have great potentials to improve the conversion efficiency because they can enhance light trapping and collection efficiency. In this work, CdTe microwires were grown by using closed-space sublimation (CSS) method and annealed after CdCl2 treatment.
Firstly, Au thin film was deposited on sapphire substrates for catalytic growth (vapor-liquid-solid mechanism). Rapid thermal annealing was carried out to form Au nanoparticles. Temperature difference between substrate and CdTe powder source was a driving force of CdTe deposition in our CSS system. We could control the morphologies of CdTe structures by adjusting the growth conditions. CdCl2-saturated methanol solution was used for CdCl2 treatment. After CdCl2 treatment, annealing processes were performed at 385 °C under air ambient for 15, 30, 60 and 90 minutes. SEM, XRD, micro-photoluminescence, and micro-Raman spectroscopy were used to analyze the optical and structural properties of our CdTe microwires. Side-wall growth was enhanced with increasing the duration of the CdCl2 treatment. After CdCl2 treatment, the crystal orientation of CdTe grain randomized due to the recrystallization effect. The details of our experiments and characteristics of CdTe microwires will be discussed.
9:00 AM - UU3.39
Study of Pre-Growth In-Situ Plasma Annealing Impact for Indium Phosphide Nanowire Growth via MOCVD
Junce Zhang 1 2 David M. Fryauf 1 2 Juan Jose Diaz Leon 1 2 Kate J. Norris 1 2 Min Wei 1 3 Nobuhiko P. Kobayashi 1 2
1University of California Santa Cruz Santa Cruz USA2Advanced Studies Laboratories, Univ. of California Santa Cruz - NASA Ames Research Center Moffett Field USA3University of Electronic Science and Technology of China Chengdu China
Show AbstractIn-situ plasma annealing was used as a pre-growth treatment for indium phosphide (InP) nanowire grown by metal organic chemical vapor deposition (MOCVD) on different substrates with gold catalyst. Argon and hydrogen mixture plasma was created using a Low Frequency Radio Frequency (LFRF) plasma source. Different growth times for recipes with and without plasma during pre-growth annealing were compared to investigate the plasma effect to the InP nanowire network growth. Scanning electron microscopy (SEM), x-ray diffraction (XRD), Photoluminescence (PL) and Raman spectroscopy were used to characterize nucleation and surface morphology, crystal structure and optical properties of InP nanowire networks. In-situ plasma annealing can accelerate the growth speed and enhance the InP nanowire density by chemically treating the gold catalyst and the surface of substrates to create a higher density of nucleation sites for nanowire growth.
9:00 AM - UU3.40
InN Quantum Dots on GaN Nanowires Grown by MOVPE
Zhaoxia Bi 1 David Lindgren 1 Jonas Johansson 1 Martin Ek 2 L. Reine Wallenberg 2 Martin Hjort 3 Anders Mikkelsen 3 Anders Gustafsson 1 Magnus T. Borgstroem 1 Jonas Ohlsson 4 Bo Monemar 1 Lars Samuelson 1
1Lund University, Box 118, S-221 00 Lund Sweden2Lund University, Box 124, S-221 00 Lund Sweden3Lund University, Box 118, 22100 Lund Sweden4Scheelevamp;#228;gen 17, S-223 70 Lund Sweden
Show AbstractLow dimensional nitride structures are attracting attention being nanometer scale building blocks for optoelectronics. GaN nanowires (NWs) can be grown free of dislocations. (0001)-oriented GaN NWs have six smooth m-plane side facets which make a good non-polar template for the light emitting diodes (LED) based on a core-shell geometry. InGaN quantum dots (QDs) have strong carrier confinement and can be used the active media for the LEDs. The small size makes the QDs capable of accommodating the mismatch strain, and therefore high quality InGaN QDs emitting long wavelength range, like yellow to red, may become possible to fabricate. This can facilitate an LED color shift to longer wavelengths than blue. To the best of our knowledge, no one has reported the growth of InGaN QDs on GaN NWs, which might be a good combination for the highly efficient long wavelength GaN-based LEDs.
As a start, we chose to grow InN QDs, instead of ternary InGaN to simplify the growth. In this work, we show the in situ growth of InN QDs on arrays of (0001) GaN NWs grown by selective area technology metal-organic vapor phase epitaxy (MOVPE). When the growth temperature is low, the InN QDs form on the side facets as well as at the edges between the side facets. However, at high temperatures the QDs tend to grow only at the edges. The growth time series shows that the InN QDs do not form until 30 s after initiating growth, and the QD density saturates at 100 per wire at a growth time of 60 s. The QD height can be controlled from about 4 nm to a few tens of nanometers. TEM characterization shows that stacking faults are formed in the QDs due to the large lattice mismatch (>10%), which indicates the presence of misfit dislocations.
UU1: Growth I
Session Chairs
Chennupati Jagadish
Paul C. McIntyre
Tuesday AM, April 22, 2014
Moscone West, Level 2, Room 2020
9:30 AM - UU1.02
Room Temperature Epitaxy of Single-Crystalline Ge Nanowires: An Electrochemical Liquid-Liquid-Solid Growth Process
Junsi Gu 1 Eli Fahrenkrug 1 Sunyeol Jeon 3 Rachel S Goldman 3 Stephen Maldonado 1 2
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA3University of Michigan Ann Arbor USA
Show AbstractThis presentation will demonstrate an electrodeposition strategy that enables room temperature epitaxial growth of single crystalline Ge nanowires on various semiconductor wafer supports. The ability to synthesize structurally and electrically integrated group IV semiconductor nanowire arrays directly onto device platforms is critical for large scale implementation of nanoscale electronic and photonic devices. However, no simple nanofabrication scheme currently exists that simultaneously satisfies the thermal budget and chemical compatibility imposed by the most common device architectures (e.g. CMOS) and substrates (e.g. plastics). Our approach utilizes a recently-developed electrochemical liquid-liquid-solid (ec-LLS) process that electrochemically reduces dissolved GeO2(aq) at isolated liquid Ga nanodroplets on Ge or Si substrates under bench-top conditions. Such growth process is compatible with wafer scale processing, allowing uniform deposition of Ge nanowire films over large area (> 10 cm2). Energy dispersive X-ray spectroscopic mapping shows the Ge nanowires are grown by a metal-seeded tip-growth mechanism, commonly observed in the vapor-liquid-solid growth. The epitaxial nature of the nanowire growth is supported by the high resolution transmission electron micrographs highlighting the continuous lattice arrangement across the nanowire-substrate interface. Scanning electron micrographs of Ge nanowires grown on Ge(111), Ge(100) and Si(100) substrate will be shown to demonstrate the substrate-guided nanowire orientations as a result of epitaxial growth. The crystal growth details including nanowire kinking and defect formation will also be discussed. The presented data show epitaxial growth of covalent group IV nanowires is possible at room temperature and without vacuum equipment or heavily refined precursors.
9:45 AM - UU1.03
Solvent Vapor Growth: A Versatile Glassware Approach to the Formation of Silicon, Germanium and Axial Silicon-Germanium Heterostructure Nanowires Using Low Solubility Liquid Catalysts
Emma Mullane 1 Hugh Geaney 1 Quentin Ramasse 2 Tadhg Kennedy 1 Kevin M. Ryan 1
1University of Limerick Limerick Ireland2SciTech Daresbury Campus Daresbury WA4 4AD United Kingdom
Show AbstractThe growth of Sn seeded Si and Ge nanowires in high density directly from an evaporated catalyst layer on stainless steel is reported.1 Nanowire growth was achieved in low cost glassware apparatus using the vapor phase of a high boiling point organic solvent as the growth medium. The nanowires are single crystalline with predominant <111> growth directions. High resolution transmission electron microscopy, dark field scanning transmission electron microscopy and energy dispersive X-ray analysis are used to elucidate the interface between the seed and the nanowire. The growth method was then extended to the formation of Si/Ge axial heterostructure nanowires using the versatile wet chemical approach.2 The growth method exploits the low solubility of Si and Ge within the Sn catalyst material to produce abrupt heterojunctions characterized using aberration corrected scanning transmission electron microscopy and atomic level electron energy loss spectroscopy. Additional analysis focussed on the role of crystallographic defects in determining interfacial abruptness and the preferential incorporation of metal catalyst atoms near twin defects in nanowires.
1. Emma Mullane, Tadhg Kennedy, Hugh Geaney, Calum Dickinson, and Kevin M. Ryan, Chemistry of Materials2013 25 (9), 1816-1822
2. Hugh Geaney, Emma Mullane, Quentin M. Ramasse, and Kevin M. Ryan, Nano Letters2013 13 (4), 1675-1680
10:00 AM - UU1.04
Kinetic Competition Model and Size Dependent Phase Manipulation of Ni Silicides Formationin Si Nanowire Templates
Yu Chen 1 Yu Huang 1 2
1University of California, Los Angeles Los Angeles USA2University of California, Los Angeles Los Angeles USA
Show AbstractPhase manipulation is important for the production of metallic silicide as contacts in Si nanowire based devices. In this work, we propose a kinetic competition model to explain the phase formation sequence in 1-D structures and achieve the phase control through template modifications. Multiple silicides coexist initially and then the fastest one forms as the first phase in a following growth competition. With kinetic parameters extracted from in-situ TEM observations, we quantitatively explain the phase formation sequence, which depends on the diameter of Si nanowire. Through template structure modifications, we can also selectively enhance or suppress the growth rate of silicides. A thick Al2O3 shell around the nanowire is applied to impede the growth of large volume diffusion limited phases including Ni31Si12, δ-Ni2Si and theta;-Ni2Si. Moreover, a thin Pt interlayer between Si and Ni is used to suppress the nucleation of NiSi2. Together, we can suppress all competing silicides and render slow growing NiSi to form as the first phase. The resistivity and maximum current density of NiSi NW are close to reported results. Controlled formation of Ni31Si12, δ-Ni2Si, theta;-Ni2Si, NiSi or NiSi2 as the first phase has also been achieved.
10:15 AM - UU1.05
Observing Nickel Germanide Formation in 1-Dimension
Roisin Kelly 1 Justin D. Holmes 1 Nikolay Petkov 1 2
1Tyndall National Institute, University College Cork Cork Ireland2Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin Ireland
Show AbstractOne of the major roadblocks in developing Ge nanowire transistors is in finding low resistance ohmic contacts. This is due to the fact that surface states between a metal and a Ge nanowire contribute to large Fermi level pinning and hence detrimental large Schottky barriers for the devices. Metal alloys with Ge, i.e. germanides, have been suggested as a possible solution. Nickel germanide has shown promise as the germanide of choice due to its thermal stability and low resistivity [1]. One problem which has been identified with Ni-germanides for bulk systems is the agglomeration of the germanide and hence an increase in resistivity [2]. The finite dimensions of the nanorods should eliminate this due to a limited Ni/Ge interface. In-situ TEM annealing at 500 °C of Ni germanides supplied first insights into the kinetics of the nanowire Ni germanide formation suggesting a linear growth rate and a sharp interface with the Ge nanowire [3]. Our studies further expand this knowledge by looking at the formation of germanides restricted to 1-dimensional Ge nanorods in contact to a finite source of Ni i.e. Ni cap. The nanorod diameters were scaled down to 20 nm using electron beam lithography (EBL) and reactive ion etching (RIE) using (100), (110) and (111) Ge substrates. Hence we establish the effect of the Ni-germanide formation with a decreasing interface area, deterministically controlled crystal orientation and dopant level. Ni-capped Ge nanorods with varying size and crystal orientation have been annealed in-situ in the TEM to observe the Ni-germanide formation. The consumption of the Ni as it migrates into the Ge is observed and corresponding germanide growth rates were determined. Other methods of annealing such as microwave and rapid thermal annealing (RTA) will also be presented in this study as a comparison to the in-situ annealing.
1. Brunco, D. P. et al. Germanium MOSFET devices: Advances in materials understanding, process development, and electrical performance. Journal of the Electrochemical Society 155, H552-H561, doi:10.1149/1.2919115 (2008).
2. Lee, J.-W. et al. Enhanced Morphological and Thermal Stabilities of Nickel Germanide with an Ultrathin Tantalum Layer Studied by Ex Situ and In Situ Transmission Electron Microscopy. Microscopy and Microanalysis 19, 114-118, doi:doi:10.1017/S1431927613012452 (2013).
3. Tang, J. et al. Single-crystalline Ni2Ge/Ge/Ni2Ge nanowire heterostructure transistors. Nanotechnology 21, doi:10.1088/0957-4484/21/50/505704 (2010).
10:30 AM - UU1.06
Control of Heterointerface and Doping in Axial Si-Si1-xGex Heterostructure Nanowires Grown by Au Catalyzed VLS Method for Nanoelectronic Devices
Priyanka Periwal 1 Virginie Brouzet 1 Gilles Patriarche 2 Pascal Gentile 3 Franck Bassani 1 Bassem Salem 1 Thierry Baron 1
1Laboratoire des technologies de la microelectronique Grenoble France2Laboratoire de Photonique et de nanostructures Paris France3SiNaPs laboratory SP2M, CEA Grenoble Grenoble France
Show AbstractTo exploit the potential of Si-Si1-xGex nanowire (NWs) heterostructures for electronic-based application (Tunnel FET), one needs to have nanostructures with controlled doping and abrupt heterointerface. In this respect, we have studied the variations of the growth parameters (temperature, pressure, and growth interruption), diameter and segment length on the quality and interfacial abruptness of heterointerface. We show that any kind of change made during the growth of heterojunctions (Si/Si1-xGex or Si1-xGex/Si) tends to destabilize the Au droplet leading to kinks, broader heterointerface, change in Ge content. It allows us to determine an optimize condition for Si/SiGe NWs growth. In these conditions, we have studied the doping of Si and Si1-xGex NWs grown at 450°C. The incorporation of dopants is characterized by four point measurements, secondary-ion mass spectrometry, scanning probe microscopy and Auger spectroscopy. We could achieve comparatively high dopant concentrations with boron doping and somewhat reduced growth rates for phosphorous doping in Si1-xGex. Finally, we present the electrical characterization of axial p-i-n junctions using Si-Si1-xGex integrated in Omega; gate device configuration. We have obtained an on-current Ion = 250 nA at Vds= -0.5V and a subthreshold slope = 140 mV/dec.
11:15 AM - *UU1.07
III-V Compound Semiconductor Nanowires
Chennupati Jagadish 1
1The Australian National University Canberra Australia
Show AbstractWith the continuous miniaturization of semiconductor materials and devices, high aspect ratio semiconductor nanostructures, such as nanowires, have become a primary candidate for next generation electronic and optoelectronic devices. As- and P-based III-V compound semiconductor nanowires are of particular interest for optoelectronic devices due to their high carrier mobility and optical emission efficiency compared to indirect-bandgap group IV materials.
In this report, various III-V semiconductor nanowires, including GaAs, InP, InAs nanowires and related nanowire heterostructures, were grown epitaxially on GaAs, InP, InAs (111)B or Si (111) substrates by metalorganic chemical vapor deposition (MOCVD) using Au nanoparticles as catalyst. Some challenging issues related to the growth of III-V semiconductor nanowires by MOCVD and their implications on optical properties will be reviewed. In addition, some prototype nanowire devices such as GaAs/AlGaAs nanowire lasers and solar cell devices have been demonstrated.
Firstly, GaAs nanowires with high optical and crystal quality were demonstrated by choosing an appropriate V/III ratio together with growth temperature. By passivating the GaAs nanowires with a AlGaAs shell, 1.9 ns of minority carrier lifetime has been obtained at room temperature.
Precise control of crystal structure either in zincblende (ZB) crystal or wurtzite (WZ) crystal phase or mixed phases was also demonstrated in various III-V semiconductor nanowires. This unique phenomenon in nanowires which can not be realized in their bulk counterpart opens new possibilities for engineering nanowire devices.
Prototype nanowire solar cell devices were fabricated by planarizing the GaAs/AlGaAs/GaAs nanowire structures. The devices exhibit a spectrally broad photo-response and the conversion efficiency can be over 4%. Modeling of Nanowire lasers has also been carried out by calculating the threshold gain for nanowire guided modes as a function of nanowire diameter and length. Gain spectrum for GaAs nanowires as a function of injected carrier density was modeled using microscopic gain theory. Based on these calculations, we have optimized the structure design for nanowire laser devices. The prototype GaAs/AlGaAs/GaAs nanowire laser structures were grown and optically pumped laser operation was demonstrated at room temperature.
11:45 AM - UU1.08
In-Situ TEM Growth Studies on Polytypic GaAs Nanowires
Daniel Jacobsson 1 Federico Panciera 2 3 Mark C Reuter 3 Frances M Ross 3 Kimberly A Dick 1 4
1Lund University Lund Sweden2University of Cambridge Cambridge United Kingdom3IBM T. J. Watson Research Center Yorktown Heights USA4Lund University Lund Sweden
Show AbstractReal-time imaging of growing nanowires using in situ transmission electron microscopy (TEM) has in the last few years revealed remarkable dynamic behavior at the seed particle/nanowire interface. The interface between nanowire and seed particle is not static; instead, the nanowire shows growing and dissolving faceted corners at the triple phase line between the solid crystal, liquid seed particle and surrounding vapor. The oscillations at the triple phase line have been correlated with the addition of new layers axially to the nanowire. The presence and behaviour of the oscillating facets is critical to understanding nanowire growth and polytype formation. Many models of nanowire growth assume that nucleation of each new layer takes place at the triple phase line. The presence of additional facets at the triple phase line alters the location at which nucleation occurs, changing the energetics of the process. In the case of III-V nanowires, this could affect whether wurtzite or zincblende forms, and hence determine the properties of the resulting nanowire. Here we present movies and analysis of the dynamic growth interface during formation of GaAs in situ in an ultra high vacuum TEM. Growth was achieved by flowing trimethylgallium and arsine over a heated substrate decorated with GaAs nanowires previously grown from Au particles. The growth interface was imaged at video rate (30 frames per second) using dark-field imaging conditions that are sensitive to crystal structure and defects in the nanowire. By changing temperature and precursor flow, we observed growth of both zinc-blende and wurtzite polytypes in individual nanowires. During the growth of zinc-blende GaAs, we will show corner oscillations that fluctuate as new layers add to the nanowire. We will compare these findings with previous results obtained for GaP nanowires, where the growth rate fluctuates depending on the formation of defects within the nanowire. For the growth of wurtzite GaAs, we will show observations of the corner oscillation and interface morphology and discuss the results with respect to models of nucleation at the growth interface.
12:00 PM - UU1.09
Impact of Nano-Patterned Oxide Templates on the Growth of Ordered Self-Catalyzed GaAs Nanowire Arrays on Silicon
Sandra Jean Gibson 1 Ray LaPierre 1
1McMaster University Hamilton Canada
Show AbstractA main driving force behind the interest in semiconducting nanowires is the unique capability to engineer novel heterostructures for various high-performance electronic devices. In the same way, it is also possible to achieve high-quality growth despite using lattice mismatched substrate materials. This work is focused on the growth of patterned self-catalyzed GaAs nanowire arrays on silicon substrates by gas source molecular beam epitaxy (MBE), which is expected to make an excellent candidate for high-efficiency photovoltaic applications. Patterning is used to produce the controlled nanowire morphology, uniformity and areal densities necessary for optimal ensemble nanowire devices. A template of nanoscale holes can be defined in a thin (100-300 Å) oxide layer, facilitating the growth of positioned, epitaxial nanowires while avoiding accompanying parasitic film deposition. In our work we have used electron beam lithography as the patterning method and show that the silicon oxide film may be both thermally grown or may be deposited by chemical vapor deposition.
The yield and morphology of vertically aligned nanowires has been studied as a function of the pattern parameters such as hole diameter and inter-hole spacing. Using cross-sectional transmission electron microscopy (TEM) samples prepared using a focused ion beam technique, important features of the nanowire nucleation, growth and structure have been studied. In particular, we show that a linearly increasing length-radius distribution, analogous to that observed for unpatterned self-catalyzed growth on substrates with thin oxides, may be obtained even when using patterned oxide masks due to an unintended residual layer of oxide, as confirmed by TEM analysis. We explain how a linear length-radius dependence can result from the individual NWs beginning their growth at different times, accompanied by significant radial growth. We then show how the spread in obtained NW dimensions is significantly decreased using improved etching practices which ensure the complete removal of the oxide layer.
Our experimental results also show that the axial and lateral growth rates increase strongly with increasing the interhole spacing. We account for this by proposing that a significant proportion of growth material is supplied by a secondary flux of adatoms desorbing from the oxide surface between the nanowires. Shadowing of this flux by neighboring nanowires in the array may therefore have a strong effect on the overall growth rates and the subsequent nanowire morphology, which will be characterized in an accompanying growth model.
12:15 PM - UU1.10
Influence of Microstructure on Optical Properties of Uniform InGaAs Nanowire Arrays on Si
Stefanie Morkoetter 1 Julian Treu 1 Stefan Funk 1 2 Michael Bormann 1 Mengyu Liang 1 Simon Hertenberger 1 Daniel Rudolph 1 Markus Doeblinger 3 Max Bichler 1 Ilaria Zardo 1 Jonathan J. Finley 1 Gerhard Abstreiter 1 2 Gregor Koblmueller 1
1Technical Universtiy of Munich Munich Germany2Technical Universtiy of Munich Munich Germany3Ludwig-Maximilians University of Munich Munich Germany
Show AbstractFunctional III-V nanowire (NW)-based optoelectronic devices on Si, such as photoabsorbers or light emitters, require controlled fabrication of homogeneous and composition-tuned NW arrays. Especially ternary In1-xGaxAs nanowires (NWs) hold great promise to be an ideal candidate for applications in nanoelectronics, integrated photonics, as well as photodetection and solar cells. Here, recent insights into the role of microstructural features on optical properties of self-induced, catalyst-free In1-xGaxAs NW arrays on Si (111) substrate are reported.
Using solid-source molecular beam epitaxy (MBE), we realized the spontaneous non-catalytic growth of well-aligned and highly uniform In1-xGaxAs NW arrays on pre-patterned Si (111) substrates. Starting from pure InAs, alloying with Ga and hence In1-xGaxAs NW growth was realized up to pure GaAs, demonstrating wide tunability of the bandgap over the near-infrared spectral range [1]. Detailed XRD and EDX measurements confirmed that the uniformity of these NWs across the entire arrays as well as the homogeneous incorporation of Ga along the NW axis was maintained over the whole compositional region.
With increasing Ga content the microstructure of the In1-xGaxAs NWs changes from a predominantly wurtzite phase (WZ) with distinct segments and stacking faults along the growth direction towards a disordered stacking for intermediate Ga contents. These findings were confirmed by µ-Raman spectroscopy, which displays specific features such as scaling of phonon mode broadening in dependence of Ga content [2]. By increasing the Ga content even further additional zincblende (ZB) segments were identified which were not observed in the case of In-rich In1-xGaxAs.
Interestingly, the PL peak energies of NWs with low Ga content (i.e., with higher quality WZ stacking), showed a blue-shift of 30-40meV compared to bulk InGaAs, as well as enhanced PL intensities. The origin of this shift is associated with the underlying microstructure, i.e. higher bandgap of WZ compared to ZB as was theoretically predicted. [3] The disordered structure for In1-xGaxAs NWs with an intermediate Ga content reduces this shift until in the case of high Ga contents a red-shift of the PL peak energies is observed. This red shift can be explained by the intermixing of WZ and ZB segments and the resulting indirect transitions due to the type II band alignment.
[1] S. Hertenberger et al. , Appl. Phys. Lett. 101, 043116 (2012).
[2] S. Morkötter et al., Phys. Rev. B 87, 205303 (2013).
[3] Z. Zanolli et al., Phys. Rev. B 75, 245121 (2007).
12:30 PM - UU1.11
Controlling Polytypism to Enhance the Electron Mobility of InAs Nanowires Grown on Silicon
Marion Sourribes 1 Ivan Isakov 1 Marina Panfilova 1 Huiyun Liu 2 Paul A. Warburton 1
1University College London London United Kingdom2University College London London United Kingdom
Show AbstractThe growth of compound semiconductor nanowires directly onto silicon would allow direct integration of nanowire devices with CMOS technology while using silicon wafers which are orders of magnitude cheaper than their compound semiconductor counterparts. Compound semiconductor nanowires are typically grown using the “vapour-liquid-solid” technique in which gold nanoparticle catalysts seed the growth. However gold cannot be combined with silicon since it forms trap states in the silicon bandgap. The growth of nanowires without the use of heterocatalytic nanoparticle seeds is therefore attracting a growing interest. In the case of the narrow-bandgap semiconductor InAs, however, the absence of a heterocatalyst results in the nanowires displaying polytypism, i.e. uncontrolled axial modulation of the crystal structure between the zinc-blende (cubic) and the wurtzite (hexagonal) polytypes of InAs. This in turn leads to an undesirable suppression of the electron mobility.
We solve the problem of mobility suppression in polytypic InAs nanowires grown on silicon without heterocatalytic nanoparticles by incorporating small amounts of antimony in the nanowires. The InAs1-xSbx nanowires (0 le; x le; 0.15) are grown by catalyst-free molecular beam epitaxy on silicon (111) substrates. We observe both a sharp decrease of polytypism and a reduced twin defect density in the InAsSb nanowire crystal structure with increasing antimony content. This decrease leads to a significant increase in the field-effect mobility, this being more than three times greater at room temperature for InAs0.85Sb0.15 nanowires than InAs nanowires. Through the adjustment of the growth conditions or antimony content, defect-free InAsSb nanowires with no polytypism may be achievable without the use of heterocatalytic nanoparticle seeds. Our work will enable the development of future InAs nanowire-based devices directly integrated with silicon CMOS circuits.
12:45 PM - UU1.12
Monolayer Control of Crystal Structure Manipulation in GaP Nanowire Arrays
Simone Assali 1 Sebastien Plissard 2 Marcel Verheijen 1 3 Erik Bakkers 1 2
1TU/e Eindhoven Netherlands2Kavli Institute of Nanoscience Delft Netherlands3Philips Research Laboratories Eindhoven Netherlands
Show AbstractThe theoretical predictions of a direct band gap in Gallium Phosphide (GaP) when grown with the wurtzite (WZ) crystal structure[1-2] have recently been confirmed by photoluminescence (PL) measurements on WZ GaP nanowires grown in a MOVPE system[3]. X-ray diffractions measurements further characterized the unit-cell of the WZ nanowires, showing spontaneous polarization due to different Ga-P bond length[4].
In this work the control of the switch between the WZ and the zinc-blende (ZB) crystal structure over an atomic scale will be discussed, resulting in the formation of crystal phase quantum well (CPQW) and quantum dot (CPQD) structures due to predicted band-offset[1]. By changing the growth temperature and the III/V ratio the ZB segments can be grown within the WZ nanowires with a monolayer precision. The real values for the supersaturation at the gold droplet during growth for the ZB and WZ phases are estimated from the theoretical calculations[5] using samples grown with series of Gallium flows. The critical influence of the nanowires diameter and pitch on the ZB segment length will also be discussed.
References:
[1] A. Belabbes et al., Electronic bands of III-V semiconductor polytypes and their alignment, PRB 86, 075208 (2012)
[2] A. De and C. E. Pryor, Predicted band structures of III-V semiconductors in the wurtzite phase, PRB 81, 155210 (2010)
[3] S. Assali et al., Direct band gap wurtzite Gallium Phosphide nanowires, Nano Lett., 13, 1559 (2013)
[4] D. Kriegner, S. Assali et al., Unit cell structure of the wurtzite phase of GaP nanowires: X-ray diffraction studies and density functional theory calculations, PRB 88, 115315 (2013)
[5] R. E. Algra et al., The Role of Surface Energies and Chemical Potential during Nanowire Growth, Nano Lett., 11, 1259 (2011)
Symposium Organizers
Yi Gu, Washington State University
Yong Zhu, North Carolina State University
Jonathan E. Spanier, Drexel University
Silvija Gradecak, Massachusetts Institute of Technology
Symposium Support
Aldrich Materials Science
Angstrom Thin Film Technologies LLC
HORIBA Scientific
M Squared Lasers Inc.
UU5: Characterization II
Session Chairs
Wednesday PM, April 23, 2014
Moscone West, Level 2, Room 2020
2:30 AM - *UU5.01
Controlled Doping of Semiconductor Nanowires
Yossi Rosenwaks 1 Iddo Amit 1 Gil Shalev 1 Alexander Henning 1 Uri Givan 2 Justin G. Connell 2 Lincoln J. Lauhon 2 Ori Hazut 3 Roie Yerushalmi 3
1Tel Aviv University Tel-Aviv Israel2Northwestern University Evanston USA3The Hebrew University of Jerusalem Jerusalem Israel
Show AbstractControlled dopant profiles and abrupt electrical junctions are essential for the operation of current and future semiconductor devices, and represent one of the major challenges that the semiconductor industry and scientific community is facing.
We use quantitative Kelvin probe force microscopy (KPFM) and nano-probe scanning Auger spectroscopy to measure both the longitudinal and radial dopant distribution in VLS grown doped Si nanowires [1]. We find that both VLS and VS doping mechanisms result in very inhomogeneous doping distribution. These results are compared to measurements conducted on monolayer doped NWs [2]. This process makes an advantage of the selectivity and controllability of chemical monolayer formation to produce tailor-made dopant profiles.
In the last part of the talk I will present an electrostatically formed nanowire (EFN) which is a nanowire-like charge conducting channel that is not physically fabricated, but rather, electrostatically formed post-fabrication [3]. The fabrication and comparison with bottom-up grown nanowires will be discussed.
[1] I. Amit, Uri Givan, Justin G. Connell, Dennis F. Paul, John S. Hammond, Lincoln J. Lauhon and Y. Rosenwaks, Nanoletters, dx.doi.org/10.1021/nl4007062 .
[2] O. Hazut, A. Agarwala, I. Amit, T. Subramani, S. Zaidiner, Y. Rosenwaks, and R. Yerushalmi, ACS Nano 6, 10311-18 (2012).
[3] G. Shalev, G. Landman, I. Amit, Y. Rosenwaks, and I. Levy, Asia Nature Materials, 3, (2013).
3:00 AM - UU5.02
Carrier Transport in a Core-Shell Nanowire: Direct Probing, Interface Phenomena, and Non-Linear Optoelectronic Devices
Guannan Chen 1 Terrence McGuckin 1 Guan Sun 2 Eric Gallo 1 Ilio Miccoli 4 Yujie Ding 2 Patrick Kung 5 Paola Prete 3 Nicola Lovergine 4 Jonathan E Spanier 1
1Drexel University Philadelphia USA2Lehigh University Bethlehem USA3Consiglio Nazionale delle Ricerche (CNR) Lecce Italy4University of Salento Lecce Italy5University of Alabama Tuscaloosa USA
Show AbstractCoaxial core-shell GaAs/AlGaAs nanowires are promising for high-speed devices, light-emitting diodes, and photonic device applications. The surrounding shell provides not only passivation of surface states, but also a parallel transport channel. With large mobility difference and band offset, competition between electrons transferred from the GaAs core to AlGaAs shell and those transiting along the core results in negative differential resistance (NDR) in the photocurrent which can be modulated using electric field, photon energy, and photon flux1. For rational device design, an understanding of carrier transport and interface band structure is necessary2. We present our recent results on probing carrier transport in a single core-shell nanowire by electron beam induced current (EBIC) which has a high lateral resolution of ~10 nm. Spatial profiles from devices under applied electric field resolve drift component in a coupled drift-diffusion transport. We report on axial position- and bias voltage-dependent differences in carrier transport along parallel conduction channels in single nanowire device using EBIC. We also use photocurrent spectroscopy to directly measure the band alignment at nanowire interface. Together with atom probe tomography, an accurate model of interface electron transfer can be determined. Work at Drexel supported by NSF (DMR 0907381) and the US Dept of Education under the GAANN-RETAIN program (P200A100117).
1G. Chen, E. M. Gallo, O. D. Leaffer, T. McGuckin, P. Prete, N. Lovergine, and J. E. Spanier, “Tunable Hot-Electron Transfer Within a Single Core-Shell Nanowire,” Phys. Rev. Lett. 107, 1-5, (2011).
2G. Chen, G. Sun, Y. J. Ding, P. Prete, I. Miccoli, N. Lovergine, H. Shtrikman, P. Kung, T. Livneh, and J. E. Spanier, “Direct measurement of band edge discontinuity in individual core-shell nanowires by photocurrent spectroscopy,” Nano Lett. 13, 4152-7, (2013).
3:15 AM - UU5.03
Diameter Dependence of Exciton Diffusion Length and Carrier Depletion in Single ZnO Nanowire Schottky Diodes
Andramp;#233;s De Luna Bugallo 1 Fabrice Donatini 1 Pierre Tchoulfian 1 4 Vincent Sallet 3 Corinne Sartel 3 Julien Pernot 1 2
1Univ. Grenoble Alpes, Inst NEEL/CNRS Grenoble France2Institut Universitaire de France Paris France3Groupe d'Etude de la Matiamp;#232;re Condensamp;#233;e (GEMAC), Universitamp;#233; de Versailles St-Quentin en Yvelines- CNRS Versailles France4CEA-LETI Grenoble France
Show AbstractDue to their direct-band gap, large exciton binding energy, high mobility, ZnO semiconductor is a promising material for devices applications such as UV emitters-photodetectors, solar cells or transistors. Nanostructuring of ZnO in the form of nanowires (NWs) brings additional advantages for the next device generation such as light trapping, improved crystal quality, low cost substrate integration. Nevertheless, NW properties often diverge from their bulk counterparts due to the high surface-to-volume ratio. Therefore, a detailed comprehension on the electronic transport and electrostatics properties is essential for the design of NW based devices. In the scope this work, we present a systematic study of ZnO NW Schottky diodes using two complementary techniques, electron beam induced current (EBIC) and cathodoluminescence (CL) to map the depletion region formed by the metal-semiconductor junction and to determine the exciton diffusion length in ZnO NWs. The ZnO NWs used in this study, were grown on a-plane sapphire or c-plane ZnO substrates by metalorganic chemical vapor deposition (MOCVD).
In order to fabricate Schottky diodes, Ohmic (Ti-Au) and Schottky (Ni-Au) contacts were achieved on single NWs dispersed on a Si/SiO2 substrate template using a hybrid lithography process that integrates e-beam lithography and CL imaging [1]. Spatially resolved CL and EBIC images were recorded at room temperature over several Schottky devices with different nanowire diameters ranging from 50 nm to 350 nm. The physical properties measured by CL (optical) and EBIC (electrical) will be compared.
The exciton diffusion lengths were obtained by analyzing the CL and EBIC profiles taken along the NW axis. The exciton diffusion lengths were found to vary linearly versus the wire diameter (for diameter between 50 nm and 150 nm) and sublinearly for larger diameter (larger than 150 nm). This behavior will be discussed and described in terms of surface recombination mechanisms.
More surprisingly, the extension of the depleted area (region where the electric field is sufficiently high to ionize excitons and so spatially separate electron-hole pairs) is found to vary linearly versus the reverse bias voltage applied to the Schottky diodes. The variation of the extension versus bias (close to 30 nm/V) is similar to the one previously reported by some of us for ZnO wires grown by MOCVD in another lab [2] and found here to be independent to the NWs diameter.
These data will be correlated to resistivity measurements (4 probe resistance measurements between 4 K and 300 K) and finite element simulations (nextnano3) in order to understand and describe the origin of this unexpected voltage dependence of the depleted area in semiconducting NW.
[1] F. Donatini and Le Si Dang, Nanotechnology, 21, 375303 (2010).
[2] J.S. Hwang, F. Donatini, J. Pernot, R. Thierry, P. Ferret, Le Si Dang, Nanotechnology, 22, 475704 (2011)
3:30 AM - UU5.04
Imaging the Local Density of Free Charge Carriers in Doped InAs Nanowires with Infrared Near-Field Microscopy
Benedikt Hauer 1 Fabian Haas 2 Kamil Sladek 2 Thomas Schaepers 2 Hilde Hardtdegen 2 Thomas Taubner 1
1RWTH Aachen University Aachen Germany2Forschungszentrum Jamp;#252;lich Jamp;#252;lich Germany
Show AbstractFor a fundamental understanding of local electrical and chemical processes in electronic materials and composite structures, advanced microscopy tools are indispensable. Free charge carriers as well as chemical and structural properties can be studied by optical spectroscopy methods. The Drude contribution of free charges can affect the optical properties in infrared range. However, most established infrared characterization methods lack in spatial resolution due to the diffraction limit of propagating waves.
In scattering-type scanning near-field optical microscopy (s-SNOM) the diffraction limit is circumvented by using a sharp probe as a local scatterer. The evanescent fields at the apex of this tip allow for an optical sample characterization with a wavelength-independent resolution in the order of the tip radius (20 nm). Since the scattering efficiency of the tip-sample system depends on the dielectric function of the involved materials, regions with different optical properties can be distinguished. Due to a resonant optical coupling at light frequencies close to the plasma frequency, s-SNOM is particularly sensitive to the charge carrier density [1].
In this contribution, we demonstrate the capability of s-SNOM to investigate the local density of free electrons in Si-doped InAs nanowires grown by selective-area metalorganic vapor phase epitaxy (SA-MOVPE) [2]. The high sensitivity of the method is sufficient for quantifying slight unintended variation in the carrier concentration during the growth process. From spectrally resolved near-field images we determine the local charge carrier density and their mobility with an accuracy of about 5% and a spatial resolution up to 20 nm.
[1] J. Stiegler et al., “Nanoscale free-carrier profiling of individual semiconductor nanowires by infrared near-field microscopy”, Nano Lett. 10, 1387 (2010).
[2] S. Wirths et al., “Effect of Si doping on InAs nanowire transport and morphology”, J. Appl. Phys. 110, 053709 (2011).
4:30 AM - *UU5.06
Tailoring Light-Matter Interactions in Semiconductor Nanowires with Nanocavity Plasmons
Ritesh Agarwal 1
1University of Pennsylvania Philadelphia USA
Show AbstractControlling the optical properties of semiconductors with an engineered surface plasmon nanocavity is of great importance for understanding the underlying physics and designing new nanoscale photonic devices including highly efficient photovoltaics. In this talk we will demonstrate highly enhanced absorption and also emission from single CdS-SiO2-Ag core-shell plasmonic nanowires, properties of which are different from simple photonic CdS nanowires. We will demonstrate that by fabricating a complete nanoplasmonic cavity, drastically enhanced absorption in comparison to photonic nanowires (no metal shell) is obtained due to the optical antenna effect, which can be tuned completely by controlling the nanowire size. Likewise, by tuning the plasmonic cavity size to match the whispering gallery mode resonances, an almost complete transition from thermalized excitonic to hot-excitonic emission can be achieved, which reflects exceptionally high radiative rate enhancement. Time-resolved measurements for the plasmonic nanowires showed the excited-state lifetime shortening by a factor of >1000, resulting in sub-picosecond lifetimes. Numerical calculations also confirms that the electromagnetic field enhancement by the whispering gallery plasmon nanocavity is as high as 1000 in these structures. In addition, we also demonstrate bright light emission from Si nanowires with large diameters (~100 nm) coupled to a plasmonic nanocavity due to hot carrier recombination with a quantum efficiency of ~1%. This represents many orders of magnitude radiative rate enhancement over their photonic counterparts, which can be useful for fabricating Si-based light emitting devices. Furthermore, photocurrent measurements from these plasmonic nanocavities reveal nonconventional polarization responses, which can be tailored precisely as a funcetion of excitation wavelength, incoming optical polarization and cavity size. These observations indicate that the intrinsic optical properties of semiconductors can be engineered by their interaction with nanocavity plasmons and is important for understanding and designing nanoscale optoelectronic devices with tailored responses.
5:00 AM - UU5.07
Unexpected Optical Response in InAs Nanowire Arrays: Crystal-Phase Dependent Nanophotonic Resonances
Nicklas Anttu 1 Sebastian Lehmann 1 Kristian Storm 1 Kimberly A. Dick 1 Lars Samuelson 1 Phillip M. Wu 1 Mats-Erik Pistol 1
1Lund University Lund Sweden
Show AbstractThe control of the in- and out-coupling of light is of major importance for the design and performance of opto-electronic devices[1]. Nanostructures are one of the most promising systems for managing this light-matter interaction[1]. There, diffraction and interference of light at the sub-wavelength scale can lead to optical resonances that boost the efficiency[2]. Additionally, from the material science point of view, the nanostructure geometry can allow for crystal phases that are not stable in bulk-like systems, enabling for example the wurtzite (Wz) phase of the opto-electronically important III-V semiconductors[3]. Here, we show that these nanostructure-specific optical and crystallographic properties can intertwine unexpectedly strongly. As a model system, we fabricated arrays of zinc-blende (Zb) and Wz InAs nanowires. Even though we compare nanowires of equal dimensions, we find a strikingly different optical response. In the ZB nanowires, a well-absorbing optical resonance is quenched at short wavelengths (lambda;<500 nm). In strong contrast, the WZ nanowires do not reveal that quenching. As a result, the absorption per volume semiconductor material in the WZ nanowires can be 100% higher than in corresponding ZB NWs. To understand this surprising difference, we turn to compare the refractive indexes of the underlying Wz and Zb InAs material. However, in contrast to Zb InAs, the refractive index of Wz InAs is not well-known since bulk samples are not readily available for ellipsometric measurements. To enable the comparison, we probe the refractive index of Wz InAs - for the first time - through reflectance measurements on Wz InAs nanowire arrays. Our study shows a considerable difference in the refractive index between Wz and Zb InAs, which translates into a large difference in the critical point energies in the electronic band structures. In summary, our study shows a new avenue for managing light-matter interaction in nanostructures by controlling optical resonances with crystal-phase engineering.
1. J. Wallentin, N. Anttu, D. Asoli, M. Huffman, I. Åberg, M. H. Magnusson, G. Siefer, P. Fuss-Kailuweit, F. Dimroth, B. Witzigmann, H. Q. Xu, L. Samuelson, K. Deppert, and M. T. Borgström, "InP Nanowire Array Solar Cells Achieving 13.8% Efficiency by Exceeding the Ray Optics Limit," Science 339, 1057-1060 (2013).
2. N. Anttu, "Geometrical optics, electrostatics, and nanophotonic resonances in absorbing nanowire arrays," Opt. Lett. 38, 730-732 (2013).
3. P. Caroff, K. A. Dick, J. Johansson, M. E. Messing, K. Deppert, and L. Samuelson, "Controlled polytypic and twin-plane superlattices in III-V nanowires," Nat. Nanotechnol. 4, 50-55 (2009).
5:15 AM - UU5.08
Correlated Luminescence and Hall Measurements on Single Nanowires
David Lindgren 1 Magnus Heurlin 1 Olof Hultin 1 Kristian Storm 1 Magnus T. Borgstroem 1 Lars Samuelson 1 Anders Gustafsson 1
1Physics, Lund University Lund Sweden
Show AbstractThe free electron carrier concentrations in single zinc-blende InP core-shell pn-junction nanowires (NWs), grown by metal-organic chemical vapor deposition (MOCVD), are determined by optical and electrical methods using micro-photoluminescence (mu;PL), cathodoluminescence (CL) and Hall effect measurements. The NW dimensions are typically about 2 mu;m in length and 300 nm in diameter, and the n-type shell is about 100 nm thick.
The carrier concentration can be estimated from a PL or CL spectrum in several ways, for instance through peak position or peak width [1, 2]. These methods often provide a satisfactory estimate. However, if dealing with more complex spectra (for instance spectra with several and/or overlapping peaks), the methods could be less straight forward to implement. Instead, a least square method is chosen to fit the high-energy tail of the emission to the high-energy tail of the density of occupied states. The Fermi energy and electron temperature are extracted from the fit, which is information needed to derive the carrier concentration from the Fermi-Dirac integral. The results from this method are also compared to the results from the alternative approaches mentioned above.
The electrical measurements are carried out by using a recently developed platform for single NW Hall measurements [3]. From the measured Hall voltage of the NW shell, the carrier concentration is determined. The platform allows measurements to be done on several locations along the length of a NW, and consequently provides spatially resolved information.
Three sets of samples were grown in the MOCVD, in which the V/III-ratio, the growth temperature and the dopant flow in the shell was varied. The optical and electrical measurements were correlated in order to evaluate the reliability of the methods and strengthen the conclusions. Luminescence and Hall measurements were performed on the exact same NWs and by using CL in spot mode it is possible to excite the same region as the locations where the Hall voltages are measured, which gives a direct measure of how well the techniques correlate. The correlation is important, since the fabrication of the Hall devices and the measurements are time consuming, whereas PL and CL measurements are much faster.
It is found that the electron carrier concentration derived from the electrical and optical measurements are in good agreement. Either method could be used separately, so the choice of method should instead depend on what additional properties one might want to extract from a sample.
[1] Bugajski M and Lewandowski W 1985 J Appl Phys 57 521-30
[2] Sieg R. M. and Ringel S. A. 1996 J Appl Phys 80 448
[3] K. Storm, F. Halvardsson, M. Heurlin, D. Lindgren, A. Gustafsson, P. M. Wu, B. Monemar, L. Samuelson 2012 Nature Nanotechnology 7, 718-722
5:30 AM - UU5.09
Top-Down Fabrication of GaAs Nanowire Antennas with Embedded Quantum Dots
Peter Edmund Kremer 1 Adetunmise Dada 1 Pragati Kumar 1 Yong Ma 1 Edmund Clark 2 Brian Daniel Gerardot 1
1Heriot-Watt University Edinburgh United Kingdom2EPSRC Sheffield United Kingdom
Show AbstractPhotonic nanowires operating as efficient single-mode waveguides are significant for applications such as light emitting devices, solar cells, and quantum light sources. While bottom-up fabrication of nanowires with embedded quantum emitters has been realized in several systems, in general the quality and purity of these emitters is less than ideal. Alternatively, top-down fabrication of nanowires around high quality self-assembled quantum dots can be realized but is technologically challenging. Here we demonstrate highly controlled plasma etching of GaAs nanowires with desired structural properties. Finite-difference time-domain simulations are used to achieve the optimal design, which includes a top-taper as a photon out-coupler and gold reflector below a layer of self-assembled InGaAs quantum dots.
We perform high resolution photoluminescence spectroscopy, single photon correlation measurements, and time-resolved photoluminescence to characterize the properties of the QDs in the one-dimensional nanowires. We observe a huge enhancement in the light-extraction efficiency, > 60% from a single dot into the objective lens, compared to a dot in the bulk (~ 1%). Auto-correlation measurements are performed to confirm the high-quality single photon emission from the dots up to saturation excitation powers (corresponding to > 2 MHz APD counts after filtering). Using cross-correlation measurements between the different spectral lines, we clearly identify the neutral and charged excitons and bi-excitons from the same QD in the spectra. Finally, we characterize the effect of excitation power on the emission properties of the dots. At low excitation powers, we obtain spectral linewidths limited by the spectrometer resolution (~ 35 micro-eV). With increasing non-resonant excitation power, linewidth broadening and energy detuning of the spectral lines are observed. This behaviour is ascribed to filling surface states at the nanowire surface which create lateral electric fields. Based on the observed Stark shifts at high powers we can estimate the magnitude of the lateral electric fields. These results demonstrate the photonic nanowire is a highly efficient broad-band nano-antenna for high quality self-assembled quantum dots.
5:45 AM - UU5.10
Structural and Optical Properties of Pseudoternary CdxZn1-xSySe1-y Nanowires
Seok Joon Kwon 1 Hae Min Jeong 1 Jae-Gwan Park 1
1KIST Seoul Republic of Korea
Show AbstractWe studied the structural and optical properties of pseudoternary CdxZn-1xSySe1-y alloy chemical compound nanowires synthesized by pulsed laser deposition (PLD). Experimentally, the spatial gradient in the synthesis temperature inside the furnace led the nanowires to have different composition, which resulted in the variation of the optical properties. As opposed to the conventional prediction on the change of the direct band gap, the alloy nanowires showed smaller optical bowing effects, and this observation was compared to the computer calculation based on the empirical pseudo potential method. We provided a simple model to explain such the smaller bowing effect based on strain relaxation mechanism. Based on the detailed understanding of the structural and optical properties of the pseudoternary alloy nanowires, we can expect to obtain wider option to prepare and design advanced optical semiconductors for devices of desired performances.
UU6: Poster Session: Characterizations and Applications
Session Chairs
Wednesday PM, April 23, 2014
Marriott Marquis, Yerba Buena Level, Salons 8-9
9:00 AM - UU6.01
Strain and Optical Properties of Germanium/SiNx Core-Shell Nanowire
Quentin Benoit A La Guillaume 1 Kevin Guilloy 1 Ludovic Dupre 1 Therese Gorisse 1 Michel Mermoux 3 Kevin Foubert 2 Johan Rothman 2 Denis Buttard 1 Pascal Gentile 1 Nicolas Pauc 1 Vincent Calvo 1
1CEA Grenoble France2CEA Grenoble France3UMR5631INPG-CNRS St Martin d'Heres France
Show AbstractGermanium micro and nanostructure are generating a growing interest due to the higher mobility in germanium and to the fact that a suitable doping level associated to tensile strain can lead to a pseudo-direct bandgap material as it has been recently demonstrated. This property seems to be a promising way to achieve goup IV CMOS compatible Laser whose working wavelength would lie within the telecommunication L band. In that perspective, core-shell nanowire structures can provide a way of shaping, doping and stressing this kind of device.
This presentation will show the elaboration and optical characterization of VLS grown Germanium nanowires. We achieved nanowires growth in a CVD chamber with a good crystalline quality and an excellent shape control. We then show photoluminescence emitted from single Germanium nanowires at room temperature as well as at low temperature. Aiming at straining the wires, we have deposited a Silicon Nitride shell and performed Raman and synchrotron X-ray diffraction characterization to provide information about the strain in the material.
9:00 AM - UU6.02
Broadband Absorptance High Efficiency Silicon Nanowire Array Using Fractal Geometry for Photovoltaic Applications
Omar Alzoubi 1 Husam Abusafe 1 Hameed Naseem 1 Khaled Shurman 2
1University of Arkansas fayetteville USA2University of Arkansas fayetteville USA
Show AbstractNano wires (NW) have been proposed to enhance light trapping, increase efficiencies, and reduce material cost in solar cells. In this work we present a new crystalline silicon nanowire (c-SiNW) array structure, inspired from fractal geometry. The array structure is considered to be an infinite 2-D array composed of vertically aligned SiNW suspended in air. This array is modeled by a periodic unit cell. Sierpinski hexagon fractal geometry is adapted in arranging circular cylinder SiNW in the unit cell. A key element is to optimize the fractal geometry dimensions in the unit cell to achieve broad band impedance matching with the incident AM1.5 solar spectrum wavelengths. Full-wave finite element method (FEM) 3D simulation is used to calculate reflectance, transmittance and absorptance of the array for normal incident plane wave. Our results show that the diversity of the SiNW dimensions, due to fractal geometry, plays a significant role in broadening the absorption spectrum of the array. We use efficiency to quantify the absorption spectrum broadening of the array. The obtained results, array efficiency and volume filing ratio, are compared with c-Si flat film of equivalent thickness. The calculated efficiencies of the proposed structures, with 1100 nm height SiNW, are ~ 19%; which is ~200% enhancement over equivalent thickness flat c-Si film. The filling ratio of the proposed structures is ~ 25%. This filling ratio is ~ 33% better than the best reported filling ratios of NW arrays. Absorptance enhancement was achieved for all wavelengths of interest of the solar spectrum. At the 600 nm wavelength, the absorption is approximately twice that of a flat film, and ~12 times near the band gap wavelengths. The results of the effect of variables, such as, SiNW length, diameter, and unit cell dimensions, on efficiency and its optimization will be presented.
9:00 AM - UU6.03
Effect of Strain on Ni (Ge1minus;xSnx) Contact Formation on GeSn Nanowires
Mohammad Noroozi 1 Mahdi Moeen 2 Ahmad Abedin 2 Muhammet Toprak 1 Henry Radamson 2
1KTH Royal Institute of Technology Stockholm Sweden2KTH Royal Institute of Technology Stockholm Sweden
Show AbstractIn this study, the formation of Ni (Ge1minus;xSnx) on strained and relaxed Ge1minus;xSnx (0.01le;xle; 0.10) nanowires in contact areas has been investigated. The purpose of this study is to reduce contact resistance for GeSn nanowires which are applicable in electronic, bio sensing or thermoelectric devices.
The epi-layers were grown at different temperatures (290 to 380C) by RPCVD technique. In order to tailor the strain in GeSn layers, the growth parameters and virtual substrate were carefully chosen. Compressive-strained samples were deposited on virtual Ge buffer (initially deposited on Si substrate) whereas the relaxed ones were grown directly on Si and tensile- strained layers were created on GeSn layers with higher Sn content.
The nanowires were fabricated through a CMOS compatible process comprising both I-line or E-beam lithography and dry-etching. 15 nm Ni was deposited on the contact areas. The wires went through rapid thermal annealing at intervals of 400 to 600C for 30s in N2 ambient. The phase formation of Ni (Ge1minus;xSnx) layers was examined by x-ray diffraction (XRD) and the residual strain (both parallel and perpendicular to the growth direction) also measured by high-resolution reciprocal lattice mapping (HRRLM). The surface morphology and the layer thickness were revealed by scanning electron microscopy (SEM). The transmission electron microscopy (TEM) was used to observe the defects in the formed layers. The thermal stability and amount of particular phases were strain-dependent. The reaction of Ni (Ge1minus;xSnx) formation was eased in presence of no strain in GeSn matrix. When the Sn content is high (above ~6%) the epi-layers suffer from Sn segregation. The Sn-rich surface impedes remarkably the Ni diffusion. In the last step, thin Pt/Ti electrods were fabricated and electrical conductivity was measured. The results presented in this work provide an understanding of how Ni interacts with GeSn nanowires and how strain can be affected NiGeSn formation and electrical conductivity.
9:00 AM - UU6.04
Surface Defect Suppression in ZnO-ZnMgO Core-Shell Heterostructure Nanowires
Ivan Isakov 1 Paul A Warburton 1
1University College London London United Kingdom
Show AbstractZnO nanowires have attracted much attention due to their advantageous photocatalytic, optical, sensing, electrical, piezoelectric and magnetic properties. ZnO nanowire heterostructures promise a combination of useful nanowire functional properties with possible quantum phenomena observed in heterostructures such as quantum confinement and high-mobility two-dimensional electron gases. In order to be able to control heterostructure properties, to reduce scattering centres, and to increase mobility along the interface, a full understanding of the behaviour of surface electron traps and recombination centres is required. Molecular beam epitaxy (MBE) is arguably the growth technique which provides heterointerfaces of the highest quality.
In this work we present an experimental study of gold-catalyzed MBE-grown ZnO/Zn0.95Mg0.05O single and multiple core-shell nanowire heterostructures. High resolution transmission electron microscopy shows the high quality single-crystalline wurtzite structure of the nanowires. A detailed comparison between the ultra-violet photoluminescence spectra of individual pure ZnO nanowires and ZnO/ZnMgO heterostructure nanowires revealed a substantial decrease of the photoluminescence linewidth after the introduction of the ZnMgO shell. One of the possible outcomes from this finding is that ZnMgO capping layer may be used to effectively suppress defects on the ZnO nanowire surface.
9:00 AM - UU6.05
Dopant Modulated VLS Growth and Etching for Precise Radial Shape Control in Silicon NWs for Optical and Plasmonic Applications
Joseph D Christesen 1 Christopher Pinion 1 James Cahoon 1
1UNC-Chapel Hill Carrboro USA
Show AbstractThe properties of nanowires are largely determined by both their material and physical makeup. Both axial and radial heterostructures have been shown to modulate optical and electric properties. However, there has been little work done on modulating the physical shape of nanowires. Herein, we show a bottom-up method for producing shape variations in silicon nanowires using dopant modulated vapor-liquid-solid (VLS) growth and dopant dependent etching. During the growth of the nanowire, phosphine is introduced at various points to dope the silicon. This prevents etching of the silicon in KOH solution based on the amount of dopant atoms incorporated into the nanowire. Based on calculated growth and etch rates, we are able to systematically produce any radially symmetric structure. This method is able to produce highly tunable structures with features less than 10nm in size over length scales of greater than 50mu;m. Distinct nanowire morphologies, including periodic gratings, non-period gratings, gratings-on-gratings, bow-ties, sinusoids, gaps, and tapers, have already been realized using this method. These new nanowire morphologies can be used in various optical, plasmonic, electrical, and electromechanical applications.
9:00 AM - UU6.06
Transition of Resistive Switching to Bidirectional Diode in Cu2O/Cu Nanowires
Deok-kee Kim 1 Ji-Su Ahn 1 Myung-Soo Park 1 Woo-Young Jang 1 Si-Hong Kim 1 Ho Sun Shin 2 Jae Yong Song 2
1Sejong University Gwangjin-gu Republic of Korea2Korea Research Institute of Standards and Science Daejeon Republic of Korea
Show AbstractTransition metal oxides have attracted much attention as a candidate for the next-generation resistive-switching memory because of their potential characteristics such as low power, high speed, and feasibility of 3-D cross-point memory arrays. 3-D cross-point memory architecture requires a low temperature compatible access device to prevent read disturbance problems. Transition metal oxide based devices with its two-terminal designs are considered to be one of the best options for 3-D cross-point memory since they do not need any annealing or high-temperature deposition. Cuprous oxides have been reported to show resistive switching as well as diode characteristics. Self-assembled oxide nanowire based devices offer an alternative solution not only for reducing the size of the cells beyond the limitation of current lithographic length scales but also for extracting the underlying nanoscale operating mechanisms.
Electrochemically synthesized Cu2O/Cu nanowires were investigated for the access device as well as the resistive switching materials in 3-D cross-point array architecture. Cu2O/Cu nanowires were electrodeposited within anodized aluminum oxide templates in an aqueous acidic solution using template-assisted pulse-reverse electrolysis. Cu2O/Cu nanowires showed both resistive switching and bipolar exponential characteristics. Resistive switching was attributed to the reversible copper filament formation and the bipolar exponential characteristics to mixed ionic and electronic conduction (MIEC). Initially, the resistive switching dominated the electrical characteristics of the Cu2O/Cu nanowires due to the low-resistance reversible copper filaments. After the permanent breakup of the copper filaments under the high current density, the Cu2O/Cu nanowire showed bipolar exponential characteristics.
The results in this study agreed well with the data from symmetric MIEC lateral bridge cells with inert electrodes [3]. It was reported that for symmetric devices where electrolytic filaments were not able to form, bipolar exponential characteristics were observed, similar to the results in this study. In the virgin state, the Cu2O/Cu nanowire where Cu2O and Cu phases coexisted was dominated by the resistive switching due to the reversible copper filaments with much lower resistance. After the permanent breakup of the copper filaments most likely by electromigration and/or agglomeration under the high current density, the remaining Cu2O/Cu nanowire, without the sufficient Cu ions to form reversible copper filaments, showed bipolar exponential characteristics, which was explained on the basis of the MIEC theory.
[1] A. Chen et al, Appl. Phys. Lett. 92 (2008) 013503.
[2] Z. Rosenstock et al, I. J. Electroceramics 14 (2005) 205.
[3] K. Gopalakrishnan et al, Tech. Dig.- Symp. on VLSI Tech., 2010, p. 205.
9:00 AM - UU6.07
Metal-to-Insulator Transition in SnO2 Nanobelts Induced by UV Irradiation
Emilson Ribeiro Viana 1 Juan Carlos Gonzalez 1 Geraldo Mathias Ribeiro 1 Alfredo Gontijo de Oliveira 1
1Universidade Federal de Minas Gerais Belo Horizonte Brazil
Show AbstractAn individual tin oxide (SnO2) nanobelt was connected in a back-gate field-effect transistor configuration. The conductivity of the nanobelt were measured at different temperatures from 400K down to 4K, in darkness, under UV illumination or with gate-voltage modulation. We show that the conductivity of the nanobelt is strongly modified with the UV illumination. The density of photoinduced carriers was high enough to leads a Mott Metal-to-Insulator transition, near the room temperature,TMIT = 240K. The density of photoinduced carriers were estimated 1.02E18 cm-3 at 240K under UV illumination, indicate that even the carriers are non-intentional intrinsic impurities the density in the nanobelt is high enough to lead a MIT when they are UV-activated. Furthermore, the electrostatic gating-effect was performed in order to change the conductivity of the device. The gate-voltage Vg applied results in a band bending, which giving rise to a change in the activation energy of the carriers. The results confirming that the SnO2 nanobelt behaves as a n-type semicondutor with shallow donor states in the range of 20-40meV below the conduction band edge. The results show that the electrical properties of the SnO2 can be drastically modified and easily tuned, from semiconducting to metallic states with different temperature response, which would increase the prospect of using these nanobelts in nano-based photodector devices.
9:00 AM - UU6.08
Observation of Type II Recombination in Single Wurtzite-Zincblende GaAs Heterojunction Nanowire
Neimantas Vainorius 1 Daniel Jacobsson 1 Sebastian Lehmann 1 Anders Gustafsson 1 Kimberley Dick Thelander 1 Lars Samuelson 1 Mats-Erik Pistol 1
1Lund University Lund Sweden
Show AbstractThe interest in III-V semiconductor nanowires has been growing rapidly over the last years. One of the reasons is the possibility to grow nanowires in the wurtzite (wz) crystal phase, despite the fact that only the zincblende (zb) phase is found in bulk. Heterojunctions created by alternating crystal phases along the nanowire have a potential to give rise to a generation of new electronic and optoelectronic devices. We investigated a large set of high quality wz-zb GaAs nanowires with atomically sharp heterojunctions using photoluminescence (PL), cathodoluminescence (CL) and transmission electron microscopy (TEM). In many cases we combined PL and TEM on the same nanowire to study the correlation of PL spectra and stacking defects. We obtained very similar bandgap energies of two crystal phases, both from PL spectra of pure wz-GaAs wires and from spatially resolved CL data of heterojunction wires. PL measurements of wz-zb GaAs single nanowires were done at cryogenic temperatures by varying excitation power density over 8 orders of magnitude. The data show a strong blueshifting sub-bandgap emission with increasing of excitation power density and gives a valence band offset of about 100 meV, which is in excellent agreement with theory. The CL data confirmed the spatially indirect recombination origin of this emission.
9:00 AM - UU6.09
Electrical Transport Properties of Tapered n-Doped Silicon Nanowires
Sven Bader 1 Stefan Jaeger 1 Nicolas Hibst 1 Steffen Strehle 1
1Ulm University Ulm Germany
Show AbstractMaterial properties and growth of silicon nanowires synthesized by the vapor liquid solid method (VLS), introduced in the mid 60s [1], have been studied extensively during the past decade [2]. Doped silicon nanowires were often proposed for various sensor applications by exploiting the distinct field effect created by alterations of the nanowire surface potential [3]. In principle, the silicon nanowire diameter can be precisely controlled by the VLS catalyst size e.g. a gold colloid. However, in dependence on the growth conditions silicon nanowires are often slightly tapered in reality, which influences their electrical properties in axial direction. This is important for reproducibility and accuracy, e.g. of sensor applications, at which silicon nanowires are commonly operated in a field effect transistor configuration. In this case the gate comprises usually of a gas or liquid effecting the nanowire axially at a length scale of up to several microns.
In our studies, we investigated gold catalyzed VLS grown n-doped tapered silicon nanowires. The tapering coefficient was approx. 1 nm per micron related to the diameter. The synthesis was carried out in a flow-thru hot-wall CVD reactor at 500°C using a SiH4/PH3 gas mixture and He and H2 as carrier gases. After growth, silicon nanowires were arranged in a configuration allowing four-point-probe and transmission line measurements (TLM) utilizing a Ti/Al/Ni/Au-stack as contact material. These measurements were used to determine the doping concentration, the nanowire electrical resistivity in dependence on the temperature, the tapering, and the nanowire-contact-interface. TLMs were carried out at line segments from 1 to 32 µm in length with voltages up to +/-1 V enabling a clear separation of the nanowire from the contact resistance. Our studies show that down to 100 nm in diameter, silicon nanowires can still be modeled by means of a simple classical approach. However, the tapering coefficient and the electrical field distribution between contacts must be considering. Furthermore, the charge carrier transport through the contact interface can be discussed based on interfacial oxygen species, defect conductivity, and charge carrier tunneling.
References
[1] R.S. Wagner and W.C. Ellis, Appl. Phys. Lett. 4 (1964) 89
[2] V. Schmidt, J.V. Wittemann, S. Senz, and U. Gösele, Adv. Mat. 21 (2009) 2681
[3] Y. Cui, Q. Wei, H. Park, C.M. Lieber, Science 293 (2001) 1289
9:00 AM - UU6.10
Optical Properties of CdS and CdTe Sensitized ZnO Nanorods
Ricardo Enrique Marotti 1 Carlos Javier Pereyra 1 Florencia Ferrer 1 Carmela Gomez 1 Lucia Campo 1 Lucia Isabel Amy 1 Francisco Martin 2 Dietmar Leinen 2 Jose Ramon Ramos-Barrado 2 Enrique Ariel Dalchiele 1
1Facultad de Ingenieramp;#237;a, Universidad de la Repamp;#250;blica Montevideo Uruguay2Dep. de Famp;#237;sica Aplicada amp; Ing. Quamp;#237;mica, Universidad de Mamp;#225;laga Mamp;#225;laga Spain
Show AbstractThe optical properties of bare ZnO nanorod (NR) arrays (Arr) and CdS and CdTe sensitized (SENS) ZnO NR are studied. ZnO NR Arr were grown onto transparent SnO2:F/glass by potentiostatic electrodeposition in a conventional three-electrode cell from a 1 mM zinc acetate aqueous solution maintained at 70 - 80 oC (initial pH = 6.76). Their morphology was studied by scanning electron microscopy. Resulting NR have diameters on the order of hundreds of nm and length of a few mu;m with defined hexagonal crystal habit. In a second step the ZnO NR Arr is SENS with CdS or CdTe. CdS was deposited by spin coating assisted successive ion layer adsorption and reaction from 50 mM Cd(NO3)2 and 50 mM Na2S aqueous solutions. CdTe was deposited by electrodeposition from a CdSO4+K2TeO3+NTA bath at 75 oC. In either case core-shell nanostructures were obtained: ZnO NR as core and a sensitizer shell surrounding the NR.
The UV visible transmittance was measured mainly with the use of an Oriel 77250 monochromator and exciting with a 1000 W Oriel 6271 lamp. An Ocean Optics (OO) S2000 spectrophotometer was also used for total and diffuse reflectance measurements made with an OO ISP-REF integrating sphere. Another sphere OO FOIS-1 was used for measuring total transmittance. ZnO NR show usual direct bandgap absorption edge between 3.23 and 3.32 eV, with a monotonically increase of transmittance into the red and infrared (as high as 1.6 mu;m). A detailed study confirms this is due to light dispersion in the nanostructured morphology of the NR. This dispersion may result in an increase of light collection in the SENS samples. These samples show an increase in light absorption in the solar spectrum region with the appearing of new absorption edges. Measured spectra correspond with numerical simulations using Bruggeman effective medium theory (and tabulated data for each of the constituting materials). Simulations reproduce the dependencies with the content of each phase but do not depend strongly of the morphology (depolarization factor) of composite. The absorption edges are studied by deconvolution of the spectra with a contribution for light dispersion. For CdS the direct absorption edge shows spectral shifts between 2.34 eV and 2.66 eV which are mainly due to nanometric effects. They are not expected by the sole mixture nature of the composite nanomaterial. The lower values correspond to the larger CdS content. It was suggested that this is due to an increase of the subbandgap absorption due to the increase of the light mean free path in the NR Arr. This was also observed for NR SENS with CdTe: in which, for the same sample the direct absorption edge measured by transmittance is 1.33 eV, while the one measured from reflectance is 1.55 eV. The split-off absorption edge is also observed at 2.5 eV. These results open the possibility of having multijunction solar cells with increased efficiency due to enhanced absorption due to light dispersion.
9:00 AM - UU6.13
Quantum Oscillations in a Topological Insulator Bi1-xSbx
Albina Nikolaeva 1 2 Leonid Konopko 1 2 Tito E Huber 3 Pavel Bodiul 1 Ivan Popov 1
1D. Ghitu Institute of Electronic Engineering and Nanotechnologies Chisinau Moldova, Republic of2International Laboratory of High Magnetic Fields and Low Temperatures, Wroclaw, Poland Wroclaw Poland3Howard University Washington USA
Show AbstractWe have studied transport and thermoelectric properties of 100 nm nanowires of Bi-17at%Sb, a materials which is believed to be a topological insulator — the new state of matter where an insulating bulk supports an intrinsically metallic surface. In these small diameter nanowires where the contribution of the bulk can be neglected, we observed strong quantum oscillations of the resistance and thermopower originating from a Fermi surface which has a clear three-dimensional, rather than two dimensional character. The thermopower indicates that the surface carriers are electrons. The surface states mobility is derived from the Dingle temperature to be 5000 cm2 (V.s).
This work was supported by project Mold-Belarusian 13.820.05.12/BF and T.E.H. acknowledgement support by US NSFPRDMand by the U.S. Army Research Office.
9:00 AM - UU6.14
Effect Negative Transverse Magnetoresistance in Quantum Bi and Bi1-xSbx Nanowires at Semimetal- Semiconductor Transition
Albina Nikolaeva 1 2 Leonid Konopko 1 2 Tito E Huber 3 Anna Tsurkan 1 Ivan Popov 1
1D. Ghitu Institute of Electronic Engineering and Nanotechnologies Chisinau Moldova, Republic of2International Laboratory of High Magnetic Fields and Low Temperatures, Wroclaw, Poland Wroclaw Poland3Howard University Washington USA
Show AbstractWe discuss a new negative transverse magnetoresistance effect that take place at low temperatures in Bi nanowires with d < 80 nm and in semimetal Bi1-xSbx nanowires with d < 300 nm.
The single- crystal Bi and Bi-2at%Sb nanowires in glass capillary with (1011) orientation along the wire axis were prepared by the high frequency liquid phase casting. Our result show that effect has been observed at the semimetal-semiconductor transition due to size quantization effect in Bi-2at%Sb wires at the wires diameters up to five time greater then in pure Bi.
To interpret these anomalous an accurate model of parabolic potentials taken into account and the anisotropy of effective mass of current carriers have been used. The electrical conductivity of quantum Bi wires in the homogeneous magnetic field, directed perpendicular to axis of quantum wire is calculated using the Cubo formula taking into account the scattering process carrier on phonons. The experimental results confirm the existence of the semimetal-semiconductor phase transition seen in the transverse magnetoresistance.
This work was supported by project STCU # 5373 and T.E.H. acknowledgement support by US NSFPRDM.
9:00 AM - UU6.15
Ultrafast Carrier Dynamics in Individual II - VI Nanowires and Nanowire Heterostructures
Ritchie Fesperman 1 Malik Ko 1 Marvin Wu 1
1NC Central University Durham USA
Show AbstractII - VI nanowires have potential applications in a wide variety of optoelectronic devices, including photodetectors, lasers and solar cells. Proposed device heterostructures for these applications feature nanowires with varying dopant types or compositions. Characterizing carrier dynamics in realistic nanowires that exhibit spatially inhomogeneous dopant densities or imperfect interfaces is critically important to understanding device performance. We report here correlated, sub-micron spatial resolution measurements of photoluminescence (PL) and ultrafast transient absorption (TA) spectra of individual n-doped CdS nanowires and CdSe / CdS axial heterostructures. Nanowires were grown through physical vapor deposition in a tube furnace, using colloidal Au nanoparticle catalysts, resulting in diameters ranging from 50 to 250 nm and lengths up to 50 microns. Solid precursors were added during growth to n-dope nanowires, with estimated final dopant densities of up to 2%. Axial heterostructures were produced by removing the CdS source powder with CdSe during growth. Electron backscattered diffraction measurements revealed nearly all nanowires are wurtzite (hexagonal) phase with growth occurring primarily in the 0001 direction. PL measurements showed near band edge emission from both nanowires and nanowire heterostructures, with a slight redshift as dopant levels increased. Heavily doped samples also exhibited increased defect band emission at longer wavelengths. PL intensity along the length of individual nanowires varied significantly, suggesting that traps are not uniformly distributed along the nanowire. Broadband TA spectra of CdS nanowires exhibited a strong bleach feature at the bandgap energies that is well described by a bi-exponential decay function (50 - 100 ps fast component, 500 - 800 ps slow component). Lifetimes were observed to decrease with increasing dopant levels, and spatial regions with shorter lifetimes also tend to exhibit low PL intensities. Heavily doped samples also exhibit features in the TA spectrum below bandgap energies which are attributed to population of trap states. Exciton diffusion measurements, performed by spatially separating the pump and probe beams, revealed significant asymmetries in CdS / CdSe axial heterostructures. These results reveal that compositional variations significantly affect the optical properties and carrier dynamics in II - VI nanowire heterostructures.
9:00 AM - UU6.16
Thermoporometry Characterization of Silica Microparticles and Nanowires
Jia Xin Wu 1 Han Zheng 2 He Cheng 3 Lihan Zhou 4 K. F Leong 5 Raj Rajagopalan 6 Heng-Phon Too 4 Wee Kiong Choi 1 2 3
1National University of Singapore Singapore Singapore2National University of Singapore Singapore Singapore3National University of Singapore Singapore Singapore4National University of Singapore Singapore Singapore5GLOBALFOUNDRIES Singapore Pte. Ltd Singapore Singapore6Skolkovo Institute of Science and Technology Moscow Russian Federation
Show AbstractThe porous nature of nanowires and nanotubes make them attractive candidates for catalysts, molecular adsorption or storage materials and sensors. However, relatively little had been reported on the characterization of the porosity of these nanostructures. The popular Brunauer-Emmett-Teller (BET) and mercury intrusion measurements of porosity of sample are often carried out on samples that are abundant and usually in powder form. Thermoporometry (TPM), on the other and, may be advantageous for measuring porosity of nanowires or nanotubes as it requires only relatively smaller amount of samples (e.g. a few milligrams). This is ideal for the porosity experiments for nanowires due to the scarcity of sample. In this paper, we presented results of a systematic study on the porosity of silica microparticles and nanowires prepared by the glancing angle deposition-metal assisted chemical etching (GLAD-MACE) and interference lithography-metal assisted chemical etching (IL-MACE) techniques using the TPM method. A good agreement was obtained between our TPM results to published data provided by the suppliers of silica microparticles. The TPM characterizations of the GLAD-MACE and IL-MACE nanowires were carried out based on parameters obtained from the TPM experiments of microparticles. Our nanowires showed a similar trend but lower values of pore volume and surface area than nanowires prepared by MACE with AgNO3 solution. We attributed the increase in the pore volume and total surface area of the GLAD-MACE nanowires to the enhanced bio-analytic performance of such device.
9:00 AM - UU6.17
Stable Electron Emission from ZnO Nanoemitters Grown with Pseudo-Catalyst
Su-Hua Yang 1 Yi-Ming Hsu 1 Ming-Wei Tsai 1 Ting-Jen Hsueh 2
1National Kaohsiung University of Applied Sciences Kaohsiung Taiwan2National Nano Devices Laboratories Tainan Taiwan
Show AbstractField effect lamp (FEL) is a well-known illumination technology, which employs a field-emitter cathode and a phosphor screen anode. The emission of electrons is performed when an appropriate electric field is applied to the FEL. In order to achieve high electron emission efficiency, field-emitters with low resistivity, high aspect ratio, and large emission area are preferred. Accordingly, ZnO nanowires, nanorods, and nanoneedles are grown for this purpose.
In this study, catalyst-free vapor phase transport was applied for the growth of ZnO nanoemitters to avoid impurity doping from the catalyst itself. To facilitate the growth of pure ZnO nanoemitters on lattice-mismatched Si substrate, a single-crystalline ZnO:Al seed layer was deposited by sputtering and was used as a pseudo-catalyst. ZnO and graphite powders were selected as source materials. N2 and O2 were used as carrier and reaction gases, respectively. During the growth, graphite reduced ZnO into Zn, which then was transferred to the substrate region, adsorbed on the seeds to develop nucleation sites, and eventually reacted with O2 to form ZnO nanoemitters. The desired morphology of nanoemitters can be achieved by means of modifying the growth rates of crystal planes via adjustment in the growth conditions.
When the growth rates of crystal planes were low, nanoemitters were formed with a tapered body, thread-appearance, and a bead-chain-like shape at the top. The threads had a wedged shape. The estimated angle of the wedge was about 120°. Hexagonal-prismatic tapered nanoemitters appeared as well. High aspect ratio of sheet-like nanoemitters were grown at a high O2/N2 flow ratio of 60:70. This was attributed to the growth of nanoemitters tended to maximize the areas of crystal facets which had lower surface energy. Since the surface energies of ZnO crystal planes are not identical, the growth rate of a specific plane differs from that of others. The formation mechanisms of nanoemitters were investigated.
The high resolution transmission electron microscope analysis showed that the nanoemitters was grown along the [002] direction with a lattice spacing of 0.52 nm. The selected-area electron diffraction pattern confirmed that the nanoemitters was single crystalline. The diameter and length of the sheet-like nanoemitters were approximately 0.4 and 24 mu;m, respectively.
The field emission characteristics of ZnO nanoemitters satisfied the Fowler-Nordheim relationship with a field enhancement factor β of 1385. The high aspect ratio of ZnO nanoemitters had a low turn-on electric field of 0.18 MV/m at emission current density of 0.1 mu;A/cm2. A stable electron emission, with a variation of less than 14%, was measured under an electric field of 5.5×104 V/cm at a high vacuum of 5×10-6 Torr and continuous operation for 5 h.
9:00 AM - UU6.19
Fabrication and Gas Sensing Properties of Ni-Silicide/Si Heterostructure Nanowires
Hsun Feng Hsu 1 Chun An Chen 1 Chun Kai Tang 1
1National Chung Hsing University Taichung Taiwan
Show AbstractNi-silicide/Si heterostructure nanowires were fabricated by atomic force microscopy nano-oxidation on silicon-on-insulator substrates, selective wet etching and reactive deposition epitaxy. Ni-silicide nanocrystals/Si nanowire and multiple Ni-silicide/Si heterostructure nanowire were formed in a low and high coverage deposition of Ni, respectively. Two types of heterostructure nanowires exhibited good gas-sensing properties when exposed to O2 gas at 250 degree C. Comparing with Si nanowire, both of them showed higher response value; Ni-silicide nanocrystals/Si nanowire had faster response-recovery characteristic, and multiple Ni-silicide/Si heterosturcture nanowire had slower response-recovery characteristic because Schottky contact formed at the interface of Ni-silicide/Si. In additional, the possible O2-sensing mechanisms were also discussed.
9:00 AM - UU6.20
Porous Si Nanowires Based Analyte-Specific Spatially Addressable DNA and Protein Microarrays
Han Zheng 1 He Cheng 2 Jia Xin Wu 3 Lihan Zhou 4 Mohammed Khalid Bin Dawood 5 Raj Rajagopalan 6 Heng-Phon Too 4 Wee Kiong Choi 1 2 3
1National University of Singapore Singapore Singapore2National University of Singapore Singapore Singapore3National University of Singapore Singapore Singapore4National University of Singapore Singapore Singapore5GLOBALFOUNDRIES Singapore Pte. Ltd Singapore Singapore6Skolkovo Institute of Science and Technology Moscow Russian Federation
Show AbstractWe demonstrate a novel Si nanowire platform for programmable DNA-directed self-assembled analyte capture microarray. The 3-dimensional Si nanowire structures with high aspect ratio and porosity are fabricated by glancing-angle-deposition and metal-assisted-chemical-etching method. The surface porosity of the nanowires is quantitatively measured by thermoporometry characterization. The nanostructured Si substrate is first oxidized and chemically functionalized and the loading density of the device is evaluated by direct attachment of dye molecules on the functionalized nanowire surface. We show that the total pore volume and total surface area increased due to surface porosity have important contribution to the high loading density. After addressing the sense DNA on the functionalized substrate, the complementary anti-sense DNA or anti-sense DNA-analyte complex is specifically hybridized to the immobilized sense DNA and pre-coupled with dye molecules for fluorescent scanning detection. The signal to noise ratio of the DNA/protein microarray is greatly enhanced by the high probe site density provided by the high surface area of our porous Si nanowire substrate. The performance of the protein microarray is further improved by the strategy of homogeneous phase probe-analyte interaction prior to the hybridization process. Integrating such technology with photolithography, we are capable of producing large amount of testing sites per chip, and the sites can be fabricated over entire wafers, with precise control of size and positioning. The high efficiency, capacity and versatility make this technology promising for wide range of biochemical and medical applications.
9:00 AM - UU6.21
High Performance Broad Spectral Photodetectors Based on Size-Tailored Ternary (Sb1-xBix)2Se3 Nanowires
Rong Huang 1 2 Jie Zhang 1 2 Fenfen Wei 1 2 Tao Kong 1 Guosheng Cheng 1
1Suzhou Institute of Nano-tech and Nano-bionics (SINANO), Chinese Academy of Sciences Suzhou China2University of Chinese Academy of Sciences Beijing China
Show AbstractPhotodetectors transfer the energy of incident light to measurable electrical signals when the energy of light is higher than the band gap of the photoconductive materials. Plenty of semiconducting materials, such as ZnO, In2Se3, and Sb2Se3 have been investigated as photodetectors. Among them, Sb2Se3 nanowires (NWs) with narrow band gap about 1.21 eV were identified as red light photodetectors. However, with low electrical conductivity, Sb2Se3 NW exhibited the spectra responsivity (Rlambda;) and external quantum efficiency (EQE) of only ~8 A/W and ~1650%, respectively, which limits their applications on high-sensitivity photodetection. Ternary compounds have attracted enormous attentions due to their excellent properties, such as band gap manipulation or modulation of intrinsic defects which is critical to the photoelectric transport properties. Note that, ternary (Sb1-xBix)2Se3 alloy with x in the range of 0 to 0.63 was reported to be orthorhombic structure with nearly the same band gap as Sb2Se3, but the photoelectric properties was rarely studied.
In this work, high-quality single-crystalline ternary (Sb1-xBix)2Se3 NWs (xasymp;0-0.9) were grown via chemical vapor deposition process. NWs with x from 0 to 0.70 were measured to be identical orthorhombic structure with nearly the same lattice constant, and identical photoluminescence peaks were obtained suggesting that they possessed photoelectric responses to similar spectrum. Single-NW devices were fabricated by traditional microfabrication route, and all the ternary NW devices with different Bi ratio exhibited marked photoconductivity with illumination of red light. Moreover, the spectra responsivity of (Sb1-xBix)2Se3-NW photodetectors was significantly enhanced by the incorporation of Bi atoms which was elucidated by a hole-trapping mechanism. The incorporated Bi atoms will promote the formation of surface Se vacancies as well as the chemisorptions of oxygen at the surfaces with theoretical calculation. According to hole-trapping mechanism, the augmented chemisorbed oxygen implied increased surface trap states which trapped the photogenerated holes, leaving behind unpaired electrons to enhance the photoconductivity. Furthermore, the NW size was tailored to optimize the photoelectric performance which resulted to increased spectra responsivity with thicker or shorter NWs, which were further revalidated by theoretical calculations. Additionally, broad spectra detection of (Sb1-xBix)2Se3 NW in the UV-visible-NIR light region was observed. Notably, the Rlambda; and EQE of a (Sb0.44Bi0.56)2Se3-NW photodetector reached as high as ~8261.4 A/W and ~1.6E+06%. The fabricated (Sb1-xBix)2Se3-NW photodetectors in this work (funded by the International Science and Technology Corporation Program from the Ministry of Science and Technology of China 2010DFB53890) enables significant developments in next-generation photodetectors and photosensors application.
9:00 AM - UU6.22
Low-Frequency Electrical Noise in Nanowire Pinch-Off FETs
Ming-Pei Lu 1 Ying-Jhe Wang 2 Ming-Yen Lu 2 Chien-Hung Chen 3 Chi-Hung Hwang 3
1National Nano Device Laboratories, National Applied Research Laboratories, Hsinchu Taiwan2Graduate Institute of Opto-Mechatronics, National Chung Cheng University, Chia-Yi Taiwan3Instrument Technology Research Center, National Applied Research Laboratories, Hsinchu Taiwan
Show AbstractBecause of challenges in the semiconductor technologies to achieve abrupt doping profiles in the formation of p-n junctions in conventional inversion-mode nanoFETs, pinch-off type nanoFETs featuring gated resistor behavior, without the need to form p-n junctions, are potential candidates for use as building blocks in future nanoelectronics. In this study, we investigated electrical fluctuations in nanowire (NW) pinch-off FETs, featuring low-frequency 1/f noise characteristics. We found that Hooge&’s constant (αH) remained approximately at constant values of 8x10^-3 in low gate voltage (VG) regime. At higher values of VG, the values of αH increased, implying that the physical mechanism transitioned from bulk- to surface-dominated regimes. This report can provide fundamental insight into the origin of electrical fluctuations of pinch-off nanoFETs.
9:00 AM - UU6.24
Si Nanowires for the Matrix-Free Analysis of Small Molecules: Synthesis, Characterization, and Laser Desorption Ionization Mass Spectrometry Applications
Rosaria Anna Picca 1 Cosima Damiana Calvano 1 Maria Chiara Sportelli 1 Barbara Fazio 2 Francesco Priolo 3 4 Alessia Irrera 2 Nicola Cioffi 1
1University of Bari "Aldo Moro" Bari Italy2CNR Messina Italy3CNR Catania Italy4University of Catania Catania Italy
Show AbstractMatrix-assisted laser desorption ionization mass spectrometry (MALDI-MS) represents a key method for the analysis of biological and synthetic macromolecules. However, it suffers of some limitations in the low molecular weight (LMW) range, e.g. signal suppression, matrix interferences. Therefore, matrix-free approaches based on inorganic nanostructured surfaces have been proposed as suitable alternatives, especially for the detection of LMW compounds, affording several advantages (e.g. easier sample preparation, and low-noise background) [1]. Many surface assisted laser desorption/ionization mass spectrometry (SALDI-MS) applications have been already described, especially regarding Au- and Si-based nanostructures [2, 3]. In particular, Si nanowires (Si NWs) show exceptional optical properties that make them extremely appealing as SALDI-MS substrates [4].
We prepared Si NWs by metal-assisted chemical etching using an ultrathin metallic layer as a catalyst of Si substrates. We show that this method is a powerful technique to obtain nanometer-size, high density and low-cost Si NWs with high and controllable aspect ratio. NWs obtained by this technique have exactly the same structure and doping of the substrate [5].
Moreover, the use of the as-prepared Si NWs as substrates in SALDI-MS experiments (e.g. on amino acids, peptides, sugars, terpenes) is also reported. It has been found that the metal used in the etching preparation of Si NWs and the nominal length of the wires (between 100 and 2000 nm) influence the analytical performance and the specific detection capability. Si NWs having NW length below 1 µm have performed better during the SALDI-MS analysis. Finally, morphological and surface spectroscopic characterizations of the Si NWs are correlated to their behavior in SALDI-MS applications.
Financial support from Italian MIUR Project FIRB Futuro in Ricerca 2008 cod. RBFR088SW7 is gratefully acknowledged.
[1] D.S. Peterson, Mass Spectrom Rev 26 (2007) 19-34.
[2] R. Pilolli, et al., Anal Bioanal Chem 402 (2012) 601-623.
[3] M. Dupré, et al., Anal Chem 84 (2012) 10637minus;10644.
[4] G. Luo, et al., J Phys Chem B 110 (2006) 13381-13386.
[5] A. Irrera, et al., Nano Lett 11 (2011) 4879-4884.
9:00 AM - UU6.25
Manipulating the Electronic Transport Properties of III-V Nanowire Transistors via a Metal-Cluster-Decoration Approach
Fang Ming 1 Ning Han 1 Fengyun Wang 1 Johnny Ho 1
1City University of Hong Kong Kowloon Tong Hong Kong
Show AbstractAbstract:
In recent years, III-V semiconductor nanowires (NWs) have attracted enormous research attention due to their superior physical properties for next-generation electronics, sensors, and photonics. However, most of these III-V NW field-effect transistors (FETs) operate typically in the depletion mode (D-mode), which is unfavorable for energy-efficient circuit design as a gate voltage is needed to achieve the device OFF state. Here, we present a facile and reliable approach to control the device operation by manipulating the threshold voltage (VTH) of n-type III-V NWFETs via a metal cluster decoration approach based on the work function difference between the metal clusters deposited and the NW materials.[1] InAs, InP, and InGaAs NWs grown by a solid source chemical vapor deposition (CVD) method are employed as the representative III-V NWs to demonstrate the controllability of this metal cluster decoration approach. For the low work function metal (i.e., Al) clusters, free carriers are donated from the clusters to the n-type channel such that the VTH is negatively shifted for the D-mode NW transistors, whereas for the high work function metal clusters (i.e., Au), free electrons are withdrawn from the n-type channel to positively move the VTH to obtain enhancement mode (E-mode) NW devices. Furthermore, high-performance E-mode InAs NW parallel array FETs are fabricated and decorated with Au clusters to demonstrate the applicability of this metal cluster decoration scheme to large-scale device processing. Meanwhile, inverters based on both D- and E-mode metal decorated NWFETs are also configured to illustrate the reliable control of device VTH for device integration. All these studies have indicated the great potency of the metal cluster decoration approach for future high performance, energy efficient nanoelectronics, sensors, and their device fabrication.
Reference:
[1] Han N.dagger;, Wang F.Y.dagger;, Hou J.J.dagger;, Yip S.P., Lin H., Xiu F., Fang M., Yang Z., Shi X., Dong G., Hung T.F., Ho J.C. "Tunable Electronic Transport Properties of Metal-Cluster-Decorated III-V Nanowire Transistors", Advanced Materials, 25, 4445-4451, 2013.
9:00 AM - UU6.26
Bridging Electronic Barriers in InAs-Nanowires with Oligo(phenylene vinylene) Molecular Wires
Muhammed Ihab Schukfeh 1 Kristian Storm 2 Ahmed Mahmoud 3 Roar R. Sondergaard 4 Anna Szwajca 1 5 Allan Glargaard Hansen 1 Peter Hinze 6 Thomas Weimann 6 Sofia Fahlvik Svensson 2 Achyut Bora 1 8 Kimberly A. Dick 7 Claes Thelander 2 Frederik C. Krebs 4 Paolo Lugli 3 Lars Samuelson 2 Marc Tornow 1 8
1Technische Universitamp;#228;t Braunschweig Braunschweig Germany2Lund University Lund Sweden3Technische Universitamp;#228;t Mamp;#252;nchen Munich Germany4Technical University of Denmark Roskilde Denmark5A. Mickiewicz University Poznan Poland6Physikalisch-Technische Bundesanstalt Braunschweig Germany7Lund University Lund Sweden8Technische Universitamp;#228;t Mamp;#252;nchen Munich Germany
Show AbstractWe have developed a new nanoelectronic device setup that allows for the measurement of charge transport through oligo(phenylene vinylene) (OPV) molecular wires connected to single-crystalline, all-semiconductor electrodes under the parallel application of a backgate voltage [1]. To achieve this, we have employed 3 µm long InAs nanowires with a diameter of 45 nm, comprising a 5 nm InP segment as electronic barrier. InAs is a particularly interesting material for molecular electronics applications, because its surface Fermi level pinning above the conduction band edge should enable low-resistance contacts for both, the InAs electrodes to the outer metal leads and to the organic molecules bound to their surface. The InAs/InP heterostructure nanowires were functionalized with OPV derivative molecules (length 12 nm) by forming a self-assembled monolayer from solution. After coating, previously insulating nanowires (R > 10 GOhm) displayed pronounced non-linear current-voltage characteristics with currents of up to 1 µA for 1 V bias at a backgate voltage of 3 V - an effect that was not observed in control experiments with InAs nanowires comprising an embedded InP segment of ~7 nm and that were functionalized with analog OPV derivatives of length ~5 nm. The higher the positive applied gate voltage, the smaller the threshold voltage for the onset of conductance. Our model calculations, based on a non-equilibrium Green Function approach, supported the conclusion that the gate-dependent increase in conductance can be attributed to charge transport through surface-bound molecules (mostly through their LUMO level, at higher gate voltages) that were bridging the two InAs regions across the embedded InP barrier.
[1] M. I. Schukfeh, K. Storm, A. Mahmoud, R. R. Soslash;ndergaard, A. Szwajca, A. Hansen, P. Hinze, T. Weimann, S. Fahlvik Svensson, A. Bora, K. A. Dick, C. Thelander, F. C. Krebs, P. Lugli, L. Samuelson, M. Tornow, ACS Nano, 7, 4111-4118 (2013)
9:00 AM - UU6.27
Electrical Characterization of Single Geminus;Doped GaN Nanowires
Markus Schaefer 1 Christian Laenger 1 Marius Guenther 1 Pascal Hille 1 Joerg Schoermann 1 Joerg Teubert 1 Detlev M. Hofmann 1 Torsten Henning 1 Martin Eickhoff 1
1Justus-Liebig-Universitamp;#228;t Giessen Giessen Germany
Show AbstractWe present a systematic study on the electrical properties of individually contacted Geminus;doped GaN nanowires (NWs). While Siminus;doping of GaN NWs has been frequently reported, a systematic study of the effect of Geminus;doping on the electrical properties of GaN NWs has only recently been published [1]. Here, GaN NWs doped with different concentrations of Ge were grown in a selfminus;assembled, catalystminus;free process by plasma assisted molecular beam epitaxy on Si(111) substrates [1,2]. Single NW conductivity measurements were carried out in fourminus;point geometry realized by electron beam lithography and revealed linear increase of the conductivity up to 625 Scmminus;1 with increasing Geminus;flux for averaged Geminus;concentrations between 1.0×1020cmminus;3 and 3.3×1020cmminus;3. Furthermore, the significance of elimination of the contact resistances was clearly revealed. While the conductivity of Geminus;doped samples shows no dependence on NW diameter, we observed a strong dependence for not intentional doped (n.i.d.) NWs; and even NWs with a diameter down to 50 nm have a conductive channel and are not depleted. The residual conductance of n.i.d. NWs was assigned to Siminus;diffusion from the substrate, confirmed by spatially resolved conductivity measurements on single NWs with six individual terminals. The free carrier density in the NWs was determined by temperature dependent thermo voltage measurements to vary between 7.4×1018 cmminus;3 and 4.5×1019 cmminus;3 revealing an electron mobility of up to 78 cm2(Vs)minus;1. Temperature dependent conductivity measurements between 1.6 K and room temperature give rise to metallic behaviour of highly Ge doped samples.
[1] J. Schörmann et al., J. Appl. Phys. 114, (2013), 103505
[2] F. Furtmayr et al., J. Appl. Phys. 104, (2008), 034309
9:00 AM - UU6.29
Forming-Free Resistive Switching Memory Effect in a Single CuOx Nanowire
Kai-De Liang 1 Chi-Hsin Huang 1 Chih-Chung Lai 1 Yi-chung Wang 1 Hung-Wei Tsai 1 Yu-Lun Chueh 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractResistive switching random access memory (ReRAM) is one of the most promising candidates for next generation nonvolatile memory due to its fast operation speed, high scalability, simple metal-insulator-metal (MIM) device configuration and strong potential for fabricating multistate memories. Transition metal oxides, such as NiO, TiO2 , CuO, are the common materials in the resistive switching research based on thin film structures. However, the conventional lithography has met its physical limits while the sizes of the devices scales down to nanoscale. Other than the top-down approaches, nanowire-based technology is a powerful alternative to assemble the devices beyond the limits of lithography. In our research, bipolar resistive switching behavior in a single copper oxide nanowire (CuOx NW) has been investigated and no additional forming process is needed prior to the application of non-volatile random access memory (NVRAM). The CuOx NWs were synthesized by electrodeposition using anodic aluminum oxide template-assisted method and then thermal oxidation. The switching characteristic exhibits low electric-field switching operation with ON/OFF ratio of large than 10000 because of 1-D nanowire structure. By investigating CuOx NW resistive switching phenomena, we can not only explore the underlying switching mechanisms within a confined nanoscale but also have the potential to improve the device characteristics for future nanoelectronics.
9:00 AM - UU6.30
Mechanical Properties of Metal and Metal Oxide Nanowires
Mikk Antsov 1 3 Leonid Dorogin 1 3 Sergei Vlassov 1 3 Boris Polyakov 2 1 3 Magnus Mets 1 3 Ilmar Kink 1 3 Ramp;#252;nno Lamp;#245;hmus 1 3
1University of Tartu Tartu Estonia2University of Latvia Riga Latvia3Estonian Nanotechnology Competence Center Tartu Estonia
Show AbstractNanowires (NWs) are now among most important objects in modern science, as they have demonstrated remarkable properties and have number of promising applications in nanotechnology. Considering that fabrication of NW-based devices requires precise control over positioning and subsequent behaviour of the NWs, it is evident, that deeper understanding of their mechanical properties is crucial from applicative point of view. In present work mechanical properties of NWs are experimentally investigated using nanomanipulation technique inside a scanning electron microscope (SEM). Experiments were carried on Ag (purchased from Blue Nano), ZnO and CuO (home-synthesized) NWs. Measurements were based on visually controllable manipulations (bending) of NWs with a sharp tip inside SEM (Vega-II SBU, TESCAN) using nanomanipulator (SLC-1720-S, SmarAct). Elastic beam theory was applied for interpretation of experimental data. Mechanical characterization (Young modulus, yield point and fatigue) was performed on half-suspended NWs. The applicability of the described method is not limited only by NWs, but can be applied to other 1D nanostructures such as nanotubes, nanorods, and nanofibers.
9:00 AM - UU6.31
CuO Nanowire Electroporation for High-Efficiency and High-Speed Inactivation of Bacteria and Virues in Water
Chong Liu 1 Xing Xie 2 Wenting Zhao 1 Jie Yao 1 Desheng Kong 1 Alexandria Boehm 2 Yi Cui 1 3
1Stanford University Stanford USA2Stanford University Stanford USA3SLAC National Accelerator Laboratory Stanford USA
Show AbstractNew water purification technologies are needed to provide clean drinking water in a cost-effective and energy-efficient way. Nanomaterial has advantage of offering large surface areas and tailorbility in cleaning water, however due to their solution system based or membrane based applications, the material synthesis and recovery as well as fouling is still challenging. Here we present a fast water purification flow device powered by motion generated static electricity that uses 1D copper oxide nanowire (CuONW) assisted electroporation. Instead of relying on chemical reactions between nanomaterials and microorganisms, the electroporation, happening on the timescale of nano second, relies on physical field for microorganism inactivation. The CuONWs were synthesized through a scalable one-step oxidation of copper mesh. The use of static electricity provide an energy efficient power source. This device achieved 6 log (99.9999%) removal of three kinds of model bacteria and 5.7 log (99.9998%) removal of one model virus in both tap water and natural lake water environments with a high flow rate of 3000 L/ h/m2 which is only 1s of contact time.
9:00 AM - UU6.32
Low Contact Resistance through Metal Alloy/ NW Interface
Naiara Yohanna Klein 1 2 Maeva Collet 2 Luiz Carlos Sampaio Lima 1 Guilhem Larrieu 2
1Centro Brasileiro de Pesquisas Famp;#237;sicas Rio de Janeiro Brazil2Universitamp;#233; Paul Sabatier - LAAS/CNRS Toulouse France
Show AbstractEngineering of low resistive contacts on 1D nanostructures is of prime importance to correctly address these kind of structures for both physical/material studies (in order to proper obtain the properties of the nanowires) or for NW based devices (in order the outcome from the nanowire is not hidden by the contacts). Moreover, the manipulation and the measurement of the nanostructures is in the last instance made by a macroscopic observer, and this interface is only possible due to the contacts.
Knowing how to manufacture the contacts in order to obtain the desirable proprieties is present and important in several interdisciplinary areas, besides of a serie of technological applications, as, for example, the study of nanowires in gas sensors, a very precise control of spintronic systems and the detection of biomarkers of carcinogenic cells.
This paper will present an original study of metal-semiconductor nanocontacts, performed on various semiconductor nanowires with low, medium and high bandgap (Si, InAs and ZnO). We selected two CMOS compatible metals, nickel and platinum, because they offer different metal work functions. Starting from the Mott theory, we can estimate the Schottky barrier height of each combination, which is directly proportional to the contact resistance.
Firstly, in each case we developed alloyed metal contacts following the well-known silicidation approach in the silicon case. Pt- and Ni-based diffusive contacts in Si, InAs and ZnO nanowires have been performed. This approach leads to more electrically stable contacts and less dispersion in contacts to contacts resistance.
These contacts have been electrically characterized at room temperature and low temperature. Based on Arrhenius plot, we extracted each Schottky barrier height and compared to the theoretical prediction. Based on low temperature characteristics, we can decorrelate the contact resistance to the nanowire resistance in each system. Finally, we investigate these contacts in transistor-like configuration and compare the NW mobility extracted with the contact resistance.
To precisely control the Schottky barrier height, present at the junction between metallic and semiconductor materials, is essential to increase the accuracy and the precision of the taking data from the nanostructured electronic contacts. The main goal of this work is to be another step towards the knowledge and the characterization of this effect, enabling an enhancement of the nanowires technological based devices.
UU4: Growth II
Session Chairs
Wednesday AM, April 23, 2014
Moscone West, Level 2, Room 2020
9:15 AM - UU4.01
Applications of Silicon Nanowires with Synthetically Encoded High-Resolution Morphology
Christopher W. Pinion 1 Joseph D. Christesen 1 Erik M Grumstrup 1 John M. Papanikolas 1 James F. Cahoon 1
1University of North Carolina Chapel Hill USA
Show AbstractThe concept of ‘shape/structure dictates function&’ has been a driving theme of nanotechnology over the last decade and methods to impart precise morphological control have yielded exciting new phenomena and technologies. However, to date, similar concepts of shape control have yet to be thoroughly developed and explored in semiconductor nanowires. We have developed a bottom-up method to synthetically encode a high-resolution array of arbitrary shapes along the nanowire growth axis. These structures are realized through rapid modulation of dopant precursors during the vapor-liquid-solid growth process followed by a selective wet-chemical etch for lightly doped segments of the nanowire. Utilizing this process, morphological features as small as 10nm can be patterned over length scales exceeding 50 microns. With such precise control, distinct NW morphologies, including nanorods, sinusoids, bow-ties, tapers, nanogaps and gratings, can be realized where each could enable a new class of nanowire-based technology. These shape-controlled nanowires have already been successfully implemented into proof-of-principle electronic and plasmonic structures by utilizing nanorods and nanogaps for resistive memory devices and surface-enhanced spectroscopies, respectively. In addition to the initial examples in electronics and plasmonics, shape control affords a facile route to tune the optical properties of semiconductor nanowires. It is well known the sub-wavelength dimensions of semiconductor nanowires induce leaky-mode resonances that dominate the absorption profile of individual structures. By synthetically encoding a grating into Si nanowires additional optical modes can be generated in the NIR. These new optical resonances correspond to grating modes where normally incident light is coupled into a guided mode along the nanowire axis. The grating modes are highly tunable with the nanowire grating morphology and polarization selective.
9:30 AM - UU4.02
Silicon Nanowire Heterostructured with Gold-Graphene Hybrids
Yuan Li 1 John C Dykes 3 Nitin Chopra 1 2
1The University of Alabama Tuscaloosa USA2The University of Alabama Tuscaloosa USA3The University of Alabama Tuscaloosa USA
Show AbstractSemiconducting nanostructures combined with plasmonic and surface active nanoparticles could be promising nanoarchitectures for applications in optoelectronics. However, the major challenge is to develop a simple and scalable growth strategy combining conventional approaches. In addition, in-situ growth of graphitic carbon on semiconducting or metal nanostructures should not change their phases, structures, and chemical compositions during the processing. If these challenges are overcome then it will be possible to realize fast and high performance sensing device. In this research work, we demonstrate the fabrication of silicon nanowires in a VLS growth method using uniformly dispersed gold nanoparticles as seeds. Furthermore, the gold nanoparticles utilized as selective and sacrificial substrates to grow graphitic shells around them. This resulted in a unique nanoscale heterostructured configuration comprised of silicon nanowires coated with graphene shell encapsulated gold nanoparticles. These heterostructures were thoroughly characterized by high resolution electron microscopy, spectroscopy, and diffraction method to understand their phases, chemical compositions, interfaces, and crystal structures. It showed that high temperature growth of graphitic carbon did not damage the nanowires under the conditions used. In addition, plasmonic modeling of these heterostructures revealed critical information on the formation of hot spots due to the presence of gold nanoparticles. Finally, these heterostructures were studied for surface enhanced Raman scattering effect (SERS) using Raman dyes and toxic molecules and showed high sensitivity, low detection limits, and much improved sample reliability and recyclability.
9:45 AM - UU4.03
On Demand Site-, Shape-, Material-Selective Integration of Semiconductor Nanowires by Localized Laser Irradiation
Sang-gil Ryu 1 Eunpa Kim 1 Jae-hyuck Yoo 1 David J. Hwang 2 Bin Xiang 3 Oscar D. Dubon 3 Andrew M. Minor 3 Costas P. Grigoropoulos 1
1University of California Berkeley USA2State University of New York Stony Brook USA3University of California Berkeley USA
Show AbstractThe vapor-liquid-solid (VLS) mechanism has been adopted as a bottom-up approach in the synthesis of semiconducting nanowires (NWs). However, the NWs synthesized in furnace-based blanket-heating environment are of uniform size and shape. The precise post-assembly and integration of nanostructures is also at the center of practical concerns as a crucial step towards building functional blocks or devices. Our work overcomes these constraints by applying a laser-localized heating scheme. Specifically, spatially confined and temporally modulated continuous wave (CW) laser irradiation can impose a short heating and cooling time scale and hence define the localized growth time and temperature with sufficient precision. By taking advantage of laser-induced local heating, on-demand direct integration of silicon (Si) and germanium (Ge) NWs in a hetero-array configuration on a single substrate is demonstrated by switching the reactant gas precursors. Furthermore, we accomplish site- and shape-selective direct integration of individual vertical GeNWs on a single Si(111) substrate. The excellent control of the local temperature field at the micron-scale level enables synthesis of discrete NWs having distinctly different dimensions and geometric features. Accordingly, the NW shape is dialed on demand, ranging from cylindrical to hexagonal/irregular hexagonal pyramid. Finite difference time domain analysis supports the tunability of the light absorption and scattering spectra via controlling the GeNW shape.
10:00 AM - UU4.04
Indium Phosphide Nanowire Network Grown on Transparent Conductive ZnO: Al Films for Thermoelectric Devices
Min Wei 1 2 Junce Zhang 1 3 David M. Fryauf 1 3 Juan J. Diaz Leon 1 3 Kate J. Norris 1 3 Hong Deng 2 Guangjun Wen 4 Nobuhiko P. Kobayashi 1 3
1University of California Santa Cruz Santa Cruz USA2University of Electronic Science and Technology of China Chengdu China3Advanced Studies Laboratories, Univ. of California Santa Cruz - NASA Ames Research Center Mountain View USA4University of Electronic Science and Technology of China Chengdu China
Show AbstractGrowing semiconductor nanowires on an electrically conducting surface on a non-single crystal substrate enables us to design new device structures. For instance, aluminum doped zinc oxide (ZnO:Al) thin films can be sputtered on virtually any chemically and mechanically stable solid surfaces. Given ZnO:Al, our unique material system for thermoelectric applications can be based on randomly oriented and intersecting indium phosphide (InP) nanowire networks grown on ZnO:Al thin films. This structure can establish reliable electrical contact to InP nanowires and be utilized to fabricate working thermoelectric devices at low process and material costs because no single-crystal substrates are necessary.
In this paper, we studied a material platform consisting of InP nanowire networks on ZnO:Al thin films. ZnO:Al thin films were deposited using radio frequency magnetron sputtering on various non-single crystalline substrates, and then dense InP nanowire networks were grown by plasma enhanced metal organic chemical vapor deposition (PE-MOCVD) with gold catalyst. X-ray diffraction and scanning electron microscope were employed for characterization of the samples. Optical properties of the samples were studied by photoluminescence and Raman spectroscopy at room temperature. The photoluminescence emission shows symmetric shape and redshift in comparison to those grown on Si. Possible Zn diffusion into the nanowires will be discussed.
10:15 AM - UU4.05
Cu2S-CdS Core-Shell Nanowire Arrays Prepared via Reverse Cation Exchange
Andrew Barnabas Wong 1 3 Sarah Brittman 1 3 Peidong Yang 1 2 3
1UC Berkeley Berkeley USA2UC Berkeley Berkeley USA3Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractCore-shell semiconductor nanowire arrays have attracted increasing interest as a unique platform for the development of new systems and technologies for solar energy conversion. This increased interest is because semiconductor nanowire arrays exhibit light trapping and low optical reflectivity for enhanced light absorption, and the radial p-n junction in core-shell nanowires can accommodate light absorbing materials with short minority carrier diffusion lengths by decoupling the length scales required for axial light absorption and radial charge transport. In recent years, cation exchange has been shown to be a versatile tool that enables the synthesis of previously inaccessible nanostructures. Previously, our group has reported the development of core-shell CdS-Cu2S nanowires synthesized through a forward cation exchange reaction in the solution phase. These core-shell nanowires were used to fabricate single nanowire solar cells, whose performance exceeded bulk Cu2S/CdS solar cells in terms of open circuit voltage (0.61V) and fill factor (80.8%). This was achieved because the interface between the CdS core and the Cu2S shell is heteroepitaxial with a low defect density, which was attributed to the fact that the anion sublattice is nearly identical in CdS and Cu2S. This anion sublattice is preserved during cation exchange. As a result of this epitaxial interface, excellent charge separation was achieved in solar devices with reduced recombination as evidenced by high fill factor.
In the current work, a reverse cation exchange reaction has been developed in organic solution at mild temperatures to produce inverted Cu2S-CdS core-shell nanowire arrays as opposed to the previous CdS-Cu2S core-shell nanowires produced by forward cation exchange. These Cu2S-CdS core-shell nanowires were synthesized from Cu2S nanowires that were synthesized from copper foil at room temperature under H2S gas by a diffusion mechanism. This reverse cation exchange synthesis overcomes the extremely small effective equilibrium constant for the conversion of Cu2S to CdS in aqueous solution (3.3e-22) using a phosphine ligand to preferentially bind to copper cations in organic solution. The mechanism of the structural transformation of Cu2S nanowires to Cu2S-CdS core-shell nanowires has been probed with high resolution transmission electron microscopy (HRTEM) and elemental mapping using energy dispersive spectroscopy (EDS). The resulting shell is shown to be single crystalline and epitaxial to the Cu2S core. The single-crystalline and epitaxial shell of CdS forms despite the fact that the initial nucleation of CdS inclusions into the Cu2S nanowire takes place as small separated islands of epitaxial CdS. From a mechanistic standpoint, these separated small islands of CdS merge together to form a complete shell of single crystalline CdS that fully encapsulates the nanowires to form arrays of Cu2S-CdS core-shell nanowires.
10:30 AM - UU4.06
Mass Production of Telluride-Based Nanowires and Nanowire Heterostructures and Investigation of Their Thermoelectric Properties
Yue Wu 1 Scott Finefrock 1
1Purdue University West Lafayette USA
Show AbstractIn this presentation, we will discuss our latest progress on mass production of telluride-based nanowires and nanowire heterostructures using a low-temperature low-cost solution-phase process. Tens of grams of highly uniform nanowires can be produced within hours and they can be hot pressed into bulk nanocomposite. These unique platforms can help to understand the property transition from 1D single nanowire to 3D nanocomposite. Proof-of-concept thermoelectric modules have been manufactured to test their energy conversion efficiency and show significantly enhanced performance compared to the bulk crystals with similar composition due to reduced thermal conductivity and enhanced power factor.
11:15 AM - *UU4.07
Novel Approaches towards Growth and Processing of NW-Arrays for LED and Solar Applications
Lars Samuelson 1
1Lund University Lund Sweden
Show AbstractNanowires open unique opportunities in terms of formation of ideal single-crystal semiconductor materials and devices, also enabling direct integration of III-V and III-nitride nanowires with silicon wafer technology. The fact that nanowires nucleate on a very tiny footprint, and that the diameter is also small, it is possible to combine different semiconductors with different lattice-constant, still without formation of dislocations. I will present a number of novel approaches towards the use of nanowires as a means of forming ideal templates for growth of GaN-related LEDs, in a way that the first-to-grow nanowires function as dislocation-free templates for growth. Similarly, I will describe how ideal III-V nanowire arrays can be grown for solar-cell applications, using either axial or radial structures, with novel methods for selective deposition of the catalytic metal and peel-off techniques enabling transfer of entire solar-cell arrays to cheap surfaces.. Finally, I will describe recent progress in the growth of single-crystal nanowires using a, substrate-free, continuous, non-batch-based, method called Aerotaxy.
11:45 AM - UU4.08
Hybrid Bottom-Up and Top-Down Integration of InGaAs Nanowire p+-i-n+ Junction Arrays on Silicon Substrates
Scott Levin 1 Jie Li 2 Meng-wei Kuo 2 Suman Datta 2 Theresa Mayer 1 2
1Penn State University University Park USA2Penn State University University Park USA
Show AbstractThere is a growing need to reduce the power consumption of digital logic circuits as the demand for mobile devices with long battery-life increases. Compound semiconductor (CS) tunnel field-effect-transistors (TFETs) have shown great promise as steep slope transistors, making them suitable for low power operation to achieve this goal. The nanowire transistor geometry offers the ability to fabricate gate-all-around (GAA) devices, which provides superior electrostatic control for aggressive channel length scaling. Metal-catalyzed vapor-liquid-solid (VLS) growth has been used to deposit CS nanowires on alternative substrates such as Si. However, VLS-synthesized nanowires often exhibit graded axial junction profiles as well as non-uniform radial doping incorporation, which limits their use in TFET devices. In this work, high-density planar arrays of abruptly doped p+-i-n+ In0.53Ga0.47As nanowires were integrated on Si substrates using a hybrid nanofabrication strategy that combines top-down patterning and bottom-up assembly. This approach has the potential to overcome limitations of VLS by enabling GAA TFETs from p+-i-n+ layer structures grown by molecular beam epitaxy (MBE) on lattice-matched substrates. The specific In0.53Ga0.47As device structure studied here consists of 250 nm-thick p+-source and n+-drain layers with 10 nm-thick delta-doped p++ and n++ regions separated by a 100 nm-long nominally undoped channel. The nanowire integration process begins by defining high-aspect-ratio sub-50 nm-wide, 10 mu;m-long fins in the 620 nm-thick structure by inductively coupled plasma (ICP) reactive ion etching (RIE) in a Cl2/H2/Ar mixture. Next, the fins were released from the InP substrate by selective wet etching in a HCl-based solution, and transferred into isopropyl alcohol (IPA). An electric-field-assisted directed self-assembly method was developed and optimized to position individual solution-suspended fins within predefined 800 nm-wide, 15 mu;m-long lithographic features on the Si substrate. The assembled planar p+-i-n+ In0.53Ga0.47As epitaxial structures were converted into arrays of five or more parallel 50 nm-wide nanowires at a 200 nm-pitch using electron-beam lithography and ICP-RIE. Source/drain contacts were defined on the p+- and n+-wire segments by lift-off of evaporated Ti/Pd metal. Current-voltage measurements of the resulting InGaAs p+-i-n+ nanowire junctions were performed as a function of temperature to evaluate their electrical properties in comparison to large-area, wet-etched benchmark devices fabricated from the same epitaxial structure. This work represents an important step in characterizing the performance and channel length scaling of nanowire GAA TFET devices.
12:00 PM - UU4.09
Growth of Vertical GaAs Nanowires on an Amorphous Substrate via a Fiber-Textured Si Platform
Yann Cohin 1 2 Olivia Mauguin 2 Ludovic Largeau 2 Gilles Patriarche 2 Frank Glas 2 Elin Sondergard 1 Jean-Christophe Harmand 2
1Surface du Verre et Interfaces, UMR 125 CNRS/Saint-Gobain Recherche Aubervilliers France2CNRS - Laboratoire de Photonique et de Nanostructures Marcoussis France
Show AbstractNanowire (NW) growth gives much flexibility to integrate semiconductor nanostructures of high crystalline quality on various substrates. One example of strategic importance concerns the integration of III-V compounds on Si substrates. A further step toward the fabrication of low-cost devices consists in replacing the bulk monocrystalline substrates by cheap substrates presenting specific properties (flexible, highly conductive, transparenthellip;).
However, NW growth on amorphous surfaces results in randomly oriented NW ensembles, which are difficult to contact uniformly. This can drastically affect the efficiency of NW-based devices. To solve this problem, we propose to form thin crystalline grains by metal-induced crystallization. With this process, we are able to fabricate flat Si monocrystals presenting a strong [111] fiber texture on top of an arbitrary substrate. The surface of such platforms is an ideal substrate to elaborate vertical semiconductor NWs, since they elongate perpendicularly to the surface.
We present the fabrication of this generic platform using the aluminum-induced crystallization of an amorphous Si, pre-deposited by magnetron sputtering. After the crystallization process, we obtain thin and smooth Si grains. Their lateral size is between 1 and 5 µm and their [111] axis is highly oriented along the substrate normal. This fiber texture was verified by grazing incidence X-Ray diffraction and high-resolution scanning transmission electron microscopy. Then, we demonstrate the oriented molecular beam epitaxy of GaAs NWs using self-catalyzed growth on this platform (1). They emit an intense luminescence signal which is comparable to that of similar GaAs NWs grown on a bulk Si substrate.
This generic method, based on an existing scalable vacuum coating technology paves the way to the use of inexpensive substrates for the fabrication of dense ensembles of vertically standing NWs. This process is also compatible with lithography technology, giving access to original arrays of oriented micro-substrates. In principle, any NW growth demonstrated on bulk Si(111) should be reproduced on this platform.
(1) Y. Cohin, O. Mauguin, L. Largeau, G. Patriarche, F. Glas, E. Soslash;ndergaring;rd, et J.-C. Harmand. Nano Lett.2013, 13, 2743-2747.
12:15 PM - UU4.10
Position Controlled GaAs Nanowires on Si Wafers Using Nanoimprint Lithography
Abdul Mazid Munshi 1 D. L. Dheeraj 1 V. T. Fauske 2 D. C. Kim 1 J. Huh 1 J. F. Reinertsen 1 L. Ahtapodov 1 K. D. Lee 3 B. Heidari 3 A. T. J. van Helvoort 2 B. O. Fimland 1 Helge Weman 1
1Norwegian University of Science and Technology (NTNU) Trondheim Norway2Norwegian University of Science and Technology (NTNU) Trondheim Norway3Obducat AB, Scheelevamp;#228;gen 2 Lund Sweden
Show AbstractIII-V semiconductor nanowires (NWs) have received great interest in recent years for their potential application in future electronic and optoelectronic devices. Due to their small diameter, NWs can relax their strain in the lateral direction, allowing the defect-free hetero-epitaxial growth on lattice-mismatched substrates. Most importantly, the growth on Si substrates integrates the III-V semiconductors, having superior optoelectronics properties, with the established silicon electronics. Normally the NW growth takes place in a random fashion where each NW has a different surrounding during growth. This will result in a variation in the morphological and structural properties of the NWs, which could subsequently affect device performances. Therefore, in order to achieve uniform NWs, that would afterwards ensure a reliable device performance, it is important to precisely control their density and position. The positioning can be achieved by pre-patterning a mask with holes on the substrate.
Here we report the growth of large-area position controlled GaAs NWs on Si(111) wafers using nanoimprint lithography (NIL). The NWs were grown by Ga self-catalyzed molecular beam epitaxy (MBE), which also eliminates any foreign metal (e.g. Au) contamination issues for device applications. Before growth, SiO2 mask covered 2-inch Si wafers were patterned with ~100 nm sized holes using NIL. To optimize the yield of vertical NWs, the entire growth parameter space was tuned, including Ga pre-deposition time, Ga and As fluxes, growth temperature, and annealing treatment before the NW growth. In addition, by growing NWs for different durations, a substantial radial growth is observed with longer growth time which is found to be independent of the As species (i.e. As2 or As4). Cross-sectional transmission electron microscopy analysis of the GaAs NW/Si substrate hetero-interface reveals an interface with no indication of any oxide layer between the NW and the substrate. Finally, position controlled GaAs/AlGaAs core-shell NWs were grown. Photoluminescence studies of these core-shell NWs reveal good optical quality required for device applications. The combination of NIL and MBE thus demonstrates the heterogeneous integration of GaAs NWs directly on a Si platform for fabricating high throughput, large-area position controlled NW arrays for various device applications.
12:30 PM - UU4.11
Ab-Initio Simulation and Analysis of the Growth Mechanisms Enabling Catalyst-Free, Self-Assembling GaN Nanowires
Liverios Lymperakis 1 Jamp;#246;rg Neugebauer 1
1Max-Planck-Institut famp;#252;r Eisenforschung Damp;#252;sseldorf Germany
Show AbstractGaN and related III-Nitride based semiconductors are materials widely used in the optoelectronics industry for short wavelength photonic applications as well as for high frequency high power devices. GaN based 1D-structures such as nanowires (NWs) have emerged as potential candidates for nanodevice applications. GaN NWs constitute a very interesting system for investigation due to their unique and peculiar growth mechanisms. These NWs grow by a bottom-up epitaxial approach but, in contrast to Vapor-Liquid-Solid (VLS) catalyst assisted growth of the counterpart III-V NWs, they can be self-assembled. This finding suggests that the growth mechanism in GaN NWs substantially differs from the well understood VLS growth of traditional III-V NWs. A first step towards achieving full control on the growth and the properties of these nanostructures is to gather a detailed atomic-scale understanding of the underlying growth mechanisms.
In a first step we have determined the potential energy surface for Ga and N adatom on side facets of the NWs. For the Ga adatoms, which are the minority species for typical NW growth conditions, the energy surface reveals a strong anisotropy in the diffusion barriers which promotes the radial over the axial diffusion. Based on this insight and using the ab initio computed kinetic parameters we developed a mesoscale growth model which fully incorporates atomic scale phenomena as well as realistic growth geometries and conditions and provides both a qualitative and a quantitative description of the growth of these nanostructures. This novel approach provides a hitherto not available tool in designing and optimizing such nanostructures. Using it we find that the growth rate of the NWs follows an inverse exponential dependence on the temperature. Moreover, the growth rate decreases with the height of the NWs and converges for NW heights larger than 0.5 µm. A detailed analysis shows that it is due to (i) shading effects which become important for longer NWs and (ii) the limited diffusion length. While the diffusion length is a temperature dependent material parameter, shading effects are solely related to geometric parameters and thus can be modified/controlled if using as templates nano-lithography patterned surfaces. Finally, we show how the approach can be used to identify and model the effect of partial pressures on the growth rate and the NW tapering as well as to identify chemical trends in the growth of group III-N NWs.
12:45 PM - UU4.12
A Buffer Layer Technique for GaAs Nanowire Growth on Si Substrate
Xiaoqing Xu 1 Yang Li 2 Kokab Parizi 1 H.-S. Philip Wong 1
1Stanford Univerisity Palo Alto USA2University of Science and Technology Beijing Beijing China
Show AbstractGold catalyzed VLS method is widely applied to III-V nanowire (NW) growth directly on Si substrate. However, the easy oxidation of Si and high sensitivity of growth conditions largely limit its controllability. Furthermore, Si dissolves into gold particles at high temperature; it will modify the Au/Si/GaAs interface energy and cause horizontal GaAs NW growth. Gold particles also serve as a Si sink which results in an unintentional doping of NWs. Additionally, TEM reveals there are plenty of stacking faults and twins in the NWs grown under these conditions.
To prevent Si contamination, reduce defects in the NW, and improve controllability of GaAs NW growth, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity before gold dispersion. The surface oxide of buffer layers, GaOx or AsOx, is easily removed during in-situ baking, providing more flexibility on buffer layer treatment time and therefore NW density can be well-controlled by the sitting time of gold colloid on buffer surface, as opposed to the stringent conditions of direct NW growth on Si. The growth temperatures for buffer layer growth were investigated. Generally, NWs grown on buffer layers have high yield of vertical NWs. In addition, a lower buffer growth temperature leads to a smoother buffer morphology and a higher yield of vertical NWs as compared to tilted NWs. As high as ~95.5% of vertical NWs were obtained on buffer layer grown at 400°C. Therefore, a smooth buffer layer provides a good template for vertical NW growth. While high temperature buffer has rough morphology with a lower vertical NW yield of ~86.2%, it results in a perfect crystal quality of NWs with no visible defects and sharp lattice flanges. The lattice distance is measured to be ~3.25Å along growth direction, demonstrating (111) orientation. Obvious defects were observed in samples grown on low temperature buffers, comparatively, indicating NW crystal quality is influenced by buffer crystallinity which is determined by growth temperature. Therefore, a high buffer growth temperature is crucial for high quality NW growth. We need to compromise between vertical NW yield and crystal quality according to specific device application.
Usually there are defects in GaAs NW samples regardless of growth methods. The defect-free property we observed here is very promising for GaAs NW based optoelectronic device application. Moreover, the buffer layers effectively eliminate Si contamination by preventing Si diffusion and dissolution, and effectively promote vertical NW growth. The buffer layer technique proposed here could be easily extended to other III-V on Si system for electronic and photonic applications.
Symposium Organizers
Yi Gu, Washington State University
Yong Zhu, North Carolina State University
Jonathan E. Spanier, Drexel University
Silvija Gradecak, Massachusetts Institute of Technology
Symposium Support
Aldrich Materials Science
Angstrom Thin Film Technologies LLC
HORIBA Scientific
M Squared Lasers Inc.
UU9: Devices and Applications
Session Chairs
Thursday PM, April 24, 2014
Moscone West, Level 2, Room 2020
2:45 AM - *UU9.01
Piezotronics and Piezo-Phototronics
Zhong Lin Wang 1
1Georgia Institute of Technolog Atlanta USA
Show AbstractPiezoelectricity, a phenomenon known for centuries, is an effect that is about the production of electrical potential in a substance as the pressure on it changes. Wurtzite structures such as ZnO, GaN, InN and ZnS, due to the polarization of ions in a crystal that has non-central symmetry, a piezoelectric potential (piezopotential) is created in the crystal by applying a stress. The effect of piezopotential to the transport behavior of charge carriers is significant due to their multiple functionalities of piezoelectricity, semiconductor and photon excitation. By utilizing the advantages offered by these properties, a few new fields have been created. Electronics fabricated by using inner-crystal piezopotential as a “gate” voltage to tune/control the charge transport behavior is named piezotronics, with applications in strain/force/pressure triggered/controlled electronic devices, sensors and logic units. Piezo-phototronic effect is a result of three-way coupling among piezoelectricity, photonic excitation and semiconductor transport, which allows tuning and controlling of electro-optical processes by strain induced piezopotential. The objective of this talk is to introduce the fundamentals of piezotronics and piezo-phototronics and to give an updated progress about their applications in energy science (LED, solar) and sensors (photon detector and human-CMOS interfacing).
[1] W.Z. Wu, X.N. Wen, Z.L. Wang “Pixel-addressable matrix of vertical-nanowire piezotronic transistors for active/adaptive tactile imaging”, Science, 340 (2013) 952-957.
[2] C.F. Pan, L. Dong, G. Zhu, S. Niu, R.M. Yu, Q. Yang, Y. Liu, Z.L. Wang* “Micrometer-resolution electroluminescence parallel-imaging of pressure distribution using piezoelectric nanowire-LED array”, Nature Photonics, 7 (2013) 752-758.
[3] Z.L. Wang “Piezopotential Gated Nanowire Devices: Piezotronics and Piezo-phototronics”, Nano Today, 5 (2010) 540-552.
[4] Q. Yang, W.H. Wang, S. Xu and Z.L. Wang* “Enhancing light emission of ZnO microwire-based diodes by piezo-phototronic effect”, Nano Letters, 11 (2011) 4012-4017.
[5] W.Z. Wu, Y.G. Wei and Zhong Lin Wang , Adv. Materials, “Strain-gated piezotronic logic nanodevices “, Adv. Materials, 22 (2010) 4711.
3:15 AM - UU9.02
Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active and Adaptive Tactile Imaging
Xiaonan Wen 1 Wenzhuo Wu 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences Beijing China
Show AbstractDesigning, fabricating, and integrating arrays of nanodevices into a functional system are the key to transferring nanoscale science into applicable nanotechnology. We report large-array three-dimensional (3D) circuitry integration of piezotronic transistors based on vertical zinc oxide nanowires as an active taxel-addressable pressure/force sensor matrix for tactile imaging. Using the piezoelectric polarization charges created at a metal-semiconductor interface under strain to gate/modulate the transport process of local charge carriers, we designed independently addressable two-terminal transistor arrays, which convert mechanical stimuli applied to the devices into local electronic controlling signals. The device matrix can achieve shape-adaptive high-resolution tactile imaging and self-powered, multidimensional active sensing. The 3D piezotronic transistor array may have applications in human-electronics interfacing, smart skin, and micro- and nanoelectromechanical systems.
(Published on Science, Vol. 340 no. 6135 pp. 952-957)
3:30 AM - *UU9.03
Semiconductor Nanostructure Health Monitoring and Self-Healing
Xiaodong Li 1
1University of Virginia Charlottesville USA
Show AbstractNanostructures have significant applications in electronic and optoelectronic devices, sensors, actuators, micro/nanoelectromechanical systems (MEMS/NEMS), energy harvesting, energy conversion, and energy storage systems. The functionality and/or reliability of these nanodevices and nano-enabled energy systems are most often determined by the mechanical properties of the employed nanostructures. These nanostructures are usually fabricated and used in harsh environments. We unveiled that the strain variation induced by local lattice distortion exists in the surface layers of ZnO and SnO2 nanowires. The localized change of surface atomistic configuration is responsible for the observed reduction of elastic modulus and hardness in ZnO and SnO2 nanowires. We found that humidity and electron beam radiation remarkably affect the mechanical behavior and function performance of nanostructures. The mechanical properties such as elastic modulus vary significantly at different humidity levels and electron beam radiation doses, in turn affecting the function performance of the nanostructures which utilize the elastic modulus as the function base. The functions of mechanically damaged nanostructures can be recovered by self-healing in the nanostructures in situ over a period of time. This talk also presents new nanostructure health monitoring and self-healing concepts and new guidelines for designing and fabricating nanostructures and their devices with improved reliability.
UU10: Devices I
Session Chairs
Thursday PM, April 24, 2014
Moscone West, Level 2, Room 2020
4:30 AM - *UU10.01
Vertical Nanowire Electrical and Optical Devices Based on a Clean Si/Ge Nanowire Heterojunction System
Wei Lu 1
1University of Michigan Ann Arbor USA
Show AbstractGermanium has long been regarded as a promising material for transistor channel replacement due to its inherent low effective mass and high mobility. With a smaller band gap and lower effective mass, Ge has also generated significant interest for applications in tunneling transistors (TFETs) and photodetectors that operate at the technically important 1.55um fiber optic telecommunication wavelength. However, direct integration of Ge with Si is challenging due to the 4.2% lattice mismatch, which puts a severe limit on the thickness of the dislocation-free Ge film that can be grown on Si substrate and limits the practical application of Ge. In this talk, we show that Ge nanowires epitaxially grown at low temperatures on (111) Si can offer a sharp and clean interface with the Si substrate. Different types of vertical nanowire heterojunction devices have been fabricated and tested based on the nearly-ideal Si/Ge heterojuctions with controlled and abrupt doping profiles. In the nSi/pGe heterojunction diode, an ideality factor of 1.16, sub-picoampere reverse saturation current and rectifying ratio of 10^6 were obtained; while the n+Si/p+Ge structure leads to Esaki tunnel diodes with high peak tunneling current of 4.57 kA/cm^2 and negative differential resistance at room temperature. The large valence band discontinuity between the Ge and Si in the nanowire heterojunctions was further verified in the p+Si/pGe structure, which shows a rectifying behavior instead of an Ohmic contact and raises an important issue in making Ohmic contacts to heterogeneously integrated materials. A raised Si/Ge structure was further developed using a self-aligned etch process, allowing greater freedom in device design for applications such as TFETs. The Ge/Si heterojunction diode was further tested as a photodetector working in the 1.55 um regime with very low dark current. All measurement data can be well explained and fitted with theoretical models with known bulk properties, further suggesting that the Si/Ge nanowire heterojunction system offers a very clean interface with low defect density, and is suitable as a platform for future high density and high performance electronics and optics applications.
5:00 AM - UU10.02
Lasing from Individual GaAs-AlGaAs Core-Shell Nanowires up to Room Temperature
Benedikt Mayer 1 Daniel Rudoph 1 Joscha Schell 1 Stefanie Morkoetter 1 Julia Winnerl 1 Julian Treu 1 Kai Mueller 1 Gregor Bracher 1 Gerhard Abstreiter 1 2 Gregor Koblmueller 1 Jonathan Finley 1
1Walter Schottky Institute Garching Germany2Institute for Advanced Study Garching Germany
Show AbstractSemiconductor nanowires (NWs) are widely considered to be the next frontier in the drive toward ultra-small, highly efficient coherent light sources . While NW-lasers in the visible and UV have been demonstrated, large surface and Auger recombination have hindered their development in the near infrared. Here, we report infrared lasing up to room temperature from individual core shell GaAs-AlGaAs NWs. Based on simulations we first identify the geometrical parameters necessary to allow for single mode lasing by calculating the effective index and mode confinement factor in the active GaAs region as a function of NW diameter. We find that an increase in NW diameter from 200-400 nm provides a strong increase of the confinement factor up to 0.9. Hence, we have grown GaAs-AlGaAs core-shell NW-laser structures to the desired geometries by using solid source molecular beam epitaxy (MBE) on a Si (111) substrate [1], yielding NW lengths of 11-16 mu;m and diameters of 340-380 nm. To characterize the optical response of individual NWs we performed photoluminescence (PL) spectroscopy on NWs dispersed on a glass substrate, optically excitated with a Ti:sapphire laser tuned to 780nm with ~150fs duration pulses. Importantly, the PL data shows first that the major role of non-radiative surface recombination is obviated by the presence of a thin AlGaAs shell around the GaAs active region [2]. Therefore the AlGaAs shell is a critical ingredient for highest possible optical efficiency of the GaAs NW lasers. Furthermore, the PL emission shows a clear modulated spectrum provided by its natural Fabry-Perot (FP) cavity as expected from the simulations. As the excitation laser power density increases beyond the threshold, the emission intensity from a single FP mode exhibits a dramatic and highly non-linear increase, accompanied by a strong linewidth narrowing down to <0.1meV [3], limited by the spectral resolution of our detection setup. Furthermore at higher power densities a characteristic s-shaped input-output curve is observed, a clear indication for lasing [3]. Monitoring the spontaneous emission spectrum from the midpoint of the NW laser allows us to further estimate the effective carrier densities at threshold and the evolution with lattice temperature. Remarkably low threshold pump power densities as low as ~760W/cm+2 are observed at 10K, and lasing persisted up to room temperature with a characteristic temperature of T(0)=109 K. Our results show that, by carefully designing the axial and radial materials composition profile, high performance infrared NW-lasers can be realised using III/V semiconductors.
[1] D. Rudolph, et al., Nano Lett. 11, 3848 (2011).
[2] D. Rudolph, et al., Nano Lett. 13, 1522 (2013).
[3] B. Mayer, et al., Nature Comm. (2013), accepted.
5:15 AM - *UU10.03
Solution-Grown Silicon Nanowires for Lithium Ion Batteries
Timothy Bogart 1 Xiaotang Lu 1 Brian Korgel 1
1University of Texas at Austin Austin USA
Show AbstractSignificant quantities of silicon (Si) nanowires can be grown by supercritical fluid liquid solid (SFLS) growth. Two different Si reactants are commonly used, either phenylsilane or trisilane. For lithium ion battery anode applications, the Si nanowires can be grown using tin seeds. By tuning the reaction conditions, Si nanowires can be made with a variety of different morphologies, including crystalline, amorphous or crystalline core-amorphous shell nanowires. Si nanowires can be made with a polyphenylsilane shell that can be converted by pyrolysis into a uniform, conformal carbon coating. These nanowires can function with charge storage capacities of greater than 3,000 mA h/g reversibly for over 100 cycles in coin cell batteries cycled against Li metal. Electrical conductivity of the nanowire formulation is found to be very important in governing electrode performance and can be enhanced with the carbon coating or by a high concentration of tin in the nanowire sample. The electrolyte and binder formulation are also very important to cycle stability and electrode capacity. Battery measurements were then correlated with in situ and ex situ TEM experiments of Si nanowires electrochemically cycled against Li, revealing the relationship between battery performance and nanowire morphology.
5:45 AM - UU10.04
InGaN/GaN Core-Shell NanoLEDs
Yen-Ting Lin 1 2 Ting-Wei Yeh 1 3 Ashkan Seyedi 1 Maoqing Yao 1 2 Raymond Sarkissian 1 2 Yoshitake Nakajima 1 2 Paul Daniel Dapkus 1 2 3
1University of Southern California los angeles USA2University of Southern California los angeles USA3University of Southern California los angeles USA
Show AbstractInGaN/GaN based LED structures formed by the growth of InGaN quantum wells (QWs) on the side wall of the nanorod is a promising structure to explore to solve the persistent “efficiency droop” problem observed in most LEDs formed on the c-plane. The large surface and junction area of the active region the can effectively decrease the operating current density hence alleviating the droop effect. In addition, the elimination of piezoelectric field inside the QWs on the non-polar sidewalls allows a thicker quantum well with increased radiative recombination efficiency to be formed and in turn reduces the leakage current. An intuitive method to implement this core-shell nanoLEDs geometry is to embed the n-type nanorods with InGaN multiple quantum wells (MQWs) inside a thick p-type GaN planar layer. Our preliminary result shows that the operation point at maximum efficiency shift to a higher applied current at 60 Amp/cm2, compared to 25 Amp/cm2 for the planar c-plane GaN LED counterpart, though the “efficiency droop” phenomenon is not well improved. Besides, the core-shell LEDs exhibit a large emission color shift up to 120 nm while increasing the injection current which is sometimes observed by other groups as well. This phenomenon is still not been fully understood but could be partly attributed to current-crowding effect due to the resistive p-type GaN overlayer, and inhomogeneous Indium composition within the quantum wells where the semipolar {1-101} planes have higher indium incorporation rate than the nonpolar {1-100} planes under the same growth condition. To solve the current issues, a thin p-type GaN layer will be applied after the MQWs growth instead of a thick p-type GaN overlayer, followed by a conformal ITO deposition in our modified core-shell nanoLEDs structure. To fully eliminate the color shifting effect originated from the pyramid region of the nanoLEDs, we either introduce a current blocking layer by growing a thick p-type GaN layer on top of the pyramid or selectively remove the ITO layer on the pyramid. The electroluminescence and the overall lighting efficiency of this modified nanoLEDs geometry will be presented and its significance will be addressed.
UU7/F6: Joint Session: Photo-Energy Conversion
Session Chairs
Thursday AM, April 24, 2014
Moscone West, Level 2, Room 2020
9:00 AM - *UU7.01/F6.01
GaAs Nanowire-Based Heterostructures for Photonics Applications
Anna Fontcuberta i Morral 1
1EPFL Lausanne Switzerland
Show AbstractNanowires are filamentary crystals with a tailored diameter in the nanoscale range. Their especial morphology and dimensions render them especially interesting for the study of low dimensional phenomena and for opto-electronic and energy harvesting applications. In this presentation, I will explain how to synthetize high quality nanowire based heterostructures with molecular beam epitaxy for photonic applications. In particular, I will show two of our latest results obtained with self-catalyzed GaAs nanowires: 1) the formation of extremely high quality GaAs quantum dots in an AlGaAs shell to be used in quantum information technology and 2) the advantages of nanowires in next generation photovoltaics which constitute a potential way to overcome the Shockley-Queisser limit in efficiency.
9:30 AM - UU7.02/F6.02
GaAs Nanowire Solar Cells with Axial Junction
Maoqing Yao 1 Ningfeng Huang 1 Sen Cong 1 Chun-Yung Chi 1 Ashkan Seyedi 1 Michelle Povinelli 1 Paul Daniel Dapkus 1 Chongwu Zhou 1
1University of Southern California Los Angeles USA
Show AbstractSolar cells based on semiconductor nanowires are under intense research and development. Unique optical and electrical properties make nanowires a versatile candidate for next generation multi-junction solar cells. Here we report GaAs nanowires with axial p-i-n junctions grown by selective area growth. Simulation shows that the axial junction design has great advantages over radial junction counterpart due to smaller junction area and flexibility in control of junction depth and doping concentration. Since nanowires are prone to surface recombination, the diameter also has a significant influence on the device performance. In this study we compared solar cells made from 100nm and 300nm nanowires and revealed large diameter is essential to mitigate effect of surface states while space charge limited transportation was observed in fully depleted thin wires. Effect of junction depth was also investigated. Devices with 150nm deep junction achieved almost one order of magnitude higher Jsc than a 600nm deep junction did. The best device showed 21.21mA/cm2 Jsc, 0.511V Voc, 0.608 FF leading to efficiency of 6.56%. Highest Voc of 0.65V was obtained which is among the highest reported values so far to the best of our knowledge. Cathodoluminescence measurements indicated non-radiative recombination dominates in heavily doped p-type emitter region. Voc as high as 0.72V was obtained when illuminated by 10mW 850nm laser spot. These results demonstrate that GaAs nanowires are good candidate for high-efficiency low-cost thin film solar cells and also open up great opportunities for multi-junction solar cells comprising mismatched materials.
9:45 AM - *UU7.03/F6.03
Engineering of Metal Oxide Nanowires by Flame Synthesis for Efficient Photoelectrochemical Water Splitting
Xiaolin Zheng 1 Lili Cai
1Stanford University Stanford USA
Show AbstractSemiconductor metal oxide nanowires composed of earth abundant metal oxides are technologically important materials for future energy conversion and storage devices, and for physical, chemical and biological sensors. Highly anisotropic materials such as nanowires often outperform the commonly used isotropic polycrystalline or particulate films in applications that place complex and multifunctional demands on the materials because nanowires contain two different length scales (small diameter, large length) that can be independently tailored to match the characteristic lengths of disparate physical processes. Despite these advantages, the applications of metal oxide nanowires are limited because conventional synthesis methods cannot achieve their scalable, rapid, controllable and economical synthesis. Here, we present versatile flame synthesis methods for the growth of various semiconductor metal oxide nanowires, such as W18O49, WO3, γ-Fe2O3, and ZnO nanowires, WO3 nanotubes, and MoO3 nanobelts. The controllability of the flame synthesis methods over the morphology and composition of the metal oxide nanowires are studied, which is of crucial importance for the practical use of flame synthesis in the production of nanowires for diverse applications. For example, the morphology of α-MoO3 can be controlled from single to branched to flower-like nanobelt arrays, by simply varying the flame equivalence ratio, the source temperature, the growth substrate temperature, and the material and morphology of the growth substrate. Moreover, flame synthesis methods offer great controllability over the composition of the metal oxide nanowires, and are capable of growing various ternary oxides, doped oxides, and heterostructures containing several oxides, such as Cu3Mo2O9 nanowires, Co-doped TiO2 nanowires, CuO/MoO3 core/shell nanowires, Co3O4 nanoparticle-decorated CuO nanowires. With the demonstrated good controllability, we have successfully synthesized WO3/W-doped BiVO4 core/shell nanowire arrays on fluorinated tin oxide (FTO) substrate and studied their photoelectrochemical water splitting performance as a photoanode. The WO3/W-doped BiVO4 core/shell NW photoanode generates a photocurrent of 3.1 mA/cm2 at a potential of 1.23 VRHE under simulated sunlight, which is the highest produced by any BiVO4-based photoanode without any oxygen evolution catalysts. The critical advance in this work is the use of a conductive WO3 NW array to overcome the intrinsically poor charge transport of BiVO4 without compromising light absorption. These results highlight the key role that engineering of nanowire morphology and composition will play in future energy conversion materials, and point towards a general strategy for the removal of performance-limiting inefficiencies in other promising materials.
10:15 AM - UU7.04/F6.04
Solution Phase Synthesis and Solar to Fuel Conversion of Compositionally Tunable In(x)Ga(1-x)P Nanowires
Nikolay Kornienko 1 Peidong Yang 1
1UC Berkeley Berkeley USA
Show AbstractOne dimensional (1D) semiconductor nanowires (NW&’s) have garnered much interest due to their promising applications as building blocks for micro-electromechanical devices (MEMS) and miniaturized optoelectronics. Furthermore, they represent platforms for the study of size dependence of thermal conductivity, magnetism, and electronic structure. In particular, the high surface to volume ratio of NW devices is beneficial for solar to fuel conversion due to a higher reactive site density and increased light absorption relative to planar wafers. Semiconductor alloys, such as InxGa1-xP (0le;xle;1), can be compositionally tuned to control their electronic properties such as band gap and band alignment for photoelectrochemical (PEC) applications. However, these materials are typically only accessible through techniques such as metal organic vapor phase epitaxy (MOVPE) and molecular beam epitaxy (MBE) that are too low yield and high cost to be scalable and of industrial importance. Here, we present a facile method for the solution phase synthesis of In(x)Ga(1-x)P NW&’s that can be compositionally tuned across the entire In:Ga stoichiometric range. The In(x)Ga(1-x)P NW&’s are characterized through optical (photoluminescence, absorption), structural (powder X-ray diffraction, high resolution transmission electron microscopy) and spectroscopic (electron energy loss spectroscopy, elemental dispersive spectroscopy) methods. The NW&’s are in-situ doped p-type with zinc. Next, these NW&’s are processed into flexible photocathodes that can harness a range of incident solar photon energies for PEC solar to fuel conversion. The NW photoelectrode quantum efficiencies (QE) are comparable to that of planar wafers yet utilize three orders of magnitude less material.
10:30 AM - UU7.05/F6.05
Quantitative Attachment of Transition Metal Ions to the Surface of Anatase TiO2 Nanorods
Choumini Balasanthiran 1 James D Hoefelmeyer 1
1University of South Dakota Vermillion USA
Show AbstractSolar energy utilization is an attractive option for new energy technology and economic development. Our research is the formulation of catalyst materials for solar production of hydrogen from water. Titanium(IV) oxide has been explored for water splitting; however, a major challenge is that titanium(IV) oxide can only absorb UV light. Visible light absorption can be increased by metal ion or anion doping by creating interband states. Most dopant protocols lead to deposition of dopant ions throughout the solid, and interfacial deposition has received very little attention. We have developed a method to selectively attach transition metal ions to the surface of titanium(IV) oxide nanorods. The present study demonstrates that Cr(III), Mn(II), Fe(II), Co(II), Ni(II), Cu(II) and bimetallic systems such as Cr(III)-Co(II), Fe(II)-Ni(II), Co(II)-Cu(II) etc. were coordinated to the surface of oleic acid capped TiO2 nanorods (NRs) by post-synthesis method without any phase or morphology transformation. Metal ion loading could be carefully controlled, and we show a titration curve for addition of single transition metal ions to the nanorod surface. The materials were characterized with UV-visible spectroscopy, transmission electron microscopy, elemental analysis and powder X-ray diffraction.
UU8/F7: Joint Session: Photovoltaics
Session Chairs
Thursday AM, April 24, 2014
Moscone West, Level 2, Room 2020
11:15 AM - *UU8.01/F7.01
Spatially Resolved Carrier Dynamics in Silicon Nanowires Observed by Femtosecond Pump-Probe Microscopy
Michelle Gabriel 1 Justin Kirschbrown 1 Erik Grumstrup 1 Christopher Pinion 1 Joseph Christesen 1 James Cahoon 1 John Papanikolas 1
1University of North Carolina at Chapel Hill Chapel Hill USA
Show AbstractWe have used femtosecond transient absorption microscopy to investigate the charge carrier dynamics in Si nanowires. The structures, which are 30-50 microns in length and 30-200 nm in diameter, are excited by a femtosecond pump pulse that is focused to a diffraction limited spot by a microscope objective, exciting a localized region of the structure. The charge carrier dynamics are then probed by the change in transmission of a second probe pulse that is spatially overlapped with the excitation pulse, but delayed in time. The pump-probe microscope has a lateral resolution of 400 nm and a time resolution better than 500 fs. Photoexcitation of the nanowires produces a free carrier population of electrons and holes that results in a transient photobleach of the wire. The decay of the photobleach has contributions from both population relaxation, as well as carrier migration away from the excitation spot. We have used this microscope to characterize the surface recombination, and how it is affected by strain and doping. By controlling the lateral position of the probe beam relative to the pump, we can excite a structure in one location and detect the arrival of carriers at new location, thus enabling a direct visualization of the charge carrier motion.
11:45 AM - UU8.02/F7.02
Strain Enabled Photovoltaics
Alois Lugstein 1 Johannes Greil 1 Stefan Birner 2
1Technical University of Vienna Vienna Austria2Nextnano Gmbh Munic Germany
Show AbstractThe use of nanostructured materials in photovoltaics (PV) has been pushed by the demand for 3rd generation i.e. highly efficient and low-cost solar cells. The bottom-up design of nanowire (NW) structures with rational control of key material parameters like chemical composition, morphology and size paved the way towards novel devices and concepts in photovoltaics. Nevertheless, most of these NW solar cell concepts still rely on charge separation in a classical p-n junction, deduced from conventional planar PV technology. Particularly for NW based solar cells, junction formation via doping requires detailed control of the process parameters and remains a major challenge in NW synthesis. Here we report on the application of a new charge separation mechanism beyond the classical junction approach in intrinsic semiconductor nanowires: band gap modulation via mechanical strain.
We focussed on scanning photocurrent microscopy (SPCM) characterization and simulation of the band structure in tapered NWs monolithically integrated into a silicon-on-insulator (SOI) based straining module. NWs are predestined for the exploration of strain-related effects due to the exceptionally high strain values that can be imposed before fracture compared to bulk materials. In particular Ge NWs attracted considerable attention due to their predicted direct band gap nature at high strain levels. Our experimental approach relies on an adjustable strain gradient along a tapered Ge NW, resulting in a gradient in the conduction and valence band edges and thus in effective photoexcited charge carrier separation with an efficiency of ~5%. The fact that no intentional doping is required, possibly enabling high-efficiency solar cells with low Auger recombination rates. The charge separation mechanism, though, is not inherently limited to a distinct material and scalable from single NWs to arrays. Our work establishes a novel class of photovoltaic nano-devices with its opto-electronic properties engineered by size, shape and applied strain.
The possibility of strain-tunable solar cells from cheap and abundant materials which have not been considered for photovoltaic applications so far is believed to foster further research in the field.
12:00 PM - UU8.03/F7.03
Direct Measurement of Charge Separation and Carrier Dynamics at Interfaces, Surfaces, and Junctions in Semiconductors at the Nanoscale
Terrence McGuckin 1 Guannan Chen 1 Christopher J Hawley 1 Oren D Leaffer 1 Jonathan E Spanier 1
1Drexel University Philadelphia USA
Show AbstractAt the nanoscale, interfaces and surfaces of materials dominate the performance of electronic and optoelectronic devices. The optical and electrical properties of these interfaces and their states are strongly coupled to how materials are processed. Electron beam induced current (EBIC) analyses can be used to decouple electrical and optical properties, to measure depth profiling of junctions, and to explore how the carrier dynamics change under voltage bias. Separating the response of electrical and optical properties will allow both to be optimized in structures that are engineered for energy harvesting from light. The work presented will focus on the EBIC characterization of the interfaces and junctions of Si nanowire metal insulator semiconductor (MIS) diodes. The Si nanowires in the MIS diodes are etched using the metal assisted chemical etching (MACE) process from a wafer with known doping concentration making these devices an ideal test case for probing the properties of interfaces and junctions without the complexity of doping profiles in nanowires. Probing the separate components of heterostructures in core/shell AlGaAs/GaAs nanowires with EBIC will be also discussed. The presentation will show how this technique can be adapted to study a wide range of devices with energy applications.
Work supported by NSF (DMR 0907381) and the US Dept of Education under the GAANN-RETAIN program (P200A100117). Research carried out in part at the Center for Functional Nanomaterials, Brookhaven National Laboratory, which is supported by the U.S. Department of Energy, Office of Basic Energy Sciences, under Contract No. DE-AC02-98CH10886.
12:15 PM - UU8.04/F7.04
Three-Dimensional Atomic Layer Epitaxy: GaP Nucleation in MOCVD Growth on Si Microwires for Photovoltaic Applications
Christopher T. Chen 1 Hal Emmer 1 Shaul Aloni 2 Harry A. Atwater 1
1California Institute of Technology Pasadena USA2Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractVapor-liquid-solid grown Cu-catalyzed Si microwire arrays have shown great promise in photovoltaic and photoelectrochemical applications. Integration of GaP and other III-V materials on Si wires is a route to increased performance through the enabling of larger open circuit voltages and tandem or multijunction designs. State of the art work in the field, leveraging careful understanding of Si(001) surface preparation and an atomic layer deposition-like nucleation layer growth, has demonstrated the possibility of metallorganic chemical vapor deposition (MOCVD) of GaP on Si(001) substrates with nearly pristine interfaces free of stacking faults, microtwins and anti-phase domains. In this work, we transfer the atomic layer epitaxy (ALE) nucleation layer optimization to the 3-dimensional Si wire surfaces.
Before growth, planar Si(001), Si(011), Si(112) and Si microwire arrays are chemically cleaned using standard techniques to remove organic and metallic surface contaminants. After a high temperature anneal, ALE nucleation is performed 450°C with alternating pulses of triethylgallium (TEGa) and tertiarybutylphosphine (TBP). After heating the sample to 600°C under TBP overpressure, thicker GaP layers are grown with conventional simultaneous supply of both precursors. Undoped 50 nm thick films grown on planar Si are almost perfectly pseudomorphic (3.7% relaxation) as characterized by high resolution x-ray diffraction. Transmission electron microscopy of cross sections reveal that defects are still present in the GaP layers grown on Si microwires, including twins and antiphase domains.
Thin, n-type GaP:Si layers have been grown on p-type Si microwires as a demonstration of a single wire heterojunction solar cell. Realistic materials parameters are used in conjunction with a coupled optical and electrical device simulation implemented in the Synopsys Sentaurus TCAD to assist in the design and interpretation of experimental results.
12:30 PM - UU8.05/F7.05
Solar Cells Based on Defect-Free <100> InP Nanowires
Alessandro Cavalli 1 Yingchao Cui 1 Jia Wang 1 Sebastien R. Plissard 2 Jos E. M. Haverkort 1 Erik P. A. M. Bakkers 2 1
1Technische Universiteit Eindhoven Eindhoven Netherlands2Delft University of Technology Delft Netherlands
Show AbstractIndium phosphide nanowires (NW) are a promising material for solar cell applications, due to their low recombination velocity [1], large light absorption [2], and excellent solar spectrum suitability. Recently, it has been shown that in a solar cell based on large diameter <111> InP NW (180nm), an efficiency of 13.8% has been achieved due to increased light absorption [3]. A second path to high efficiency lies in the NW side facets cleaning, e.g. by Piranha etching, which yields an efficiency of 11.1%, using <111> NWs with a volume 4 times smaller of those in Ref.3 [4].
In this work a combination of the two methods will be discussed, since in such manner it is expected that a new record efficiency could be achieved. <111> NWs, though, normally exhibit a mixed crystal phase of Zincblende (ZB) and Wurtzite (WZ), producing several planar stacking faults, which could limit the performance of solar cells. Here we discuss the development of growth of NW in the <100> crystal direction, which show a pure zincblende crystal phase [5], and hence allows fabrication of single crystalline solar cells. Nanowire geometry and impurity doping are investigated and optimized for solar cell devices.
[1] H. Joyce et al., Electronic properties of GaAs, InAs and InP nanowires studied by terahertz Spectroscopy, 2013 Nanotechnology 24 214006
[2] S. L. Diedenhofen et al., Strong Geometrical Dependence of the Absorption of Light in Arrays of Semiconductor Nanowires, ACS Nano 2011, 5 (3), 2316minus;2323.
[3] J. Wallentin et al., InP Nanowire Array Solar Cells Achieving 13.8% Efficiency by Exceeding the Ray Optics Limit, Science 1 March 2013: 339 (6123), 1057-1060
[4] Yingchao Cui et al., Efficiency Enhancement of InP Nanowire Solar Cells by Surface Cleaning, Nano Letters 2013 13 (9), 4113-4117
[5] U. Krishnamachari et al., Defect-free InP nanowires grown in [001] direction on InP (001), Appl. Phys. Lett. 85, 2077 (2004)
12:45 PM - UU8.06/F7.06
Silicon Nanowires with Passivated Axial p-i-n Junctions for Photovoltaic Applications
Peng Zhang 1 2 Pei Liu 1 2 Alexander Zaslavsky 1 2 Domenico Pacifici 2 Jong-Yoon Ha 4 3 Sergiy Krylyuk 4 3 Albert Davydov 4
1Brown University Providence USA2Brown University Providence USA3University of Maryland College Park USA4NIST Gaithersburg USA
Show AbstractMetal catalyst-assisted vapor-liquid-solid mechanism can be used to grow large areas of nanowires with compositional and doping control in either axial or core-shell geometries [1]. Here, vertical arrays of Si nanowires (NWs) were grown at 900 °C from SiCl4/H2/N2 mixture using 100 nm Au nanoparticles randomly dispersed on p+-Si(111) substrates. The density of NWs was ~0.1 mu;m-2. The axial p-i-n junctions were formed by the sequential growth of 4 mu;m long B-doped, nominally undoped, and P-doped sections of NWs, for a total length L ~ 12 mu;m. Passivation of SiNW surface was achieved by rapid thermal oxidation at 1000 °C for 1 min to a SiO2 thickness of ~12 nm.
The NW arrays were planarized using SU-8 photoresist, followed by reactive ion etching to expose the NW tips. Top contact electrodes to the n-doped section were realized by sputter deposition of a 200 nm, transparent indium-zinc-oxide layer. The p-contact was made by backside metallization of the Si substrate.
Under AIM 1.5 illumination, the unpassivated Si NW arrays exhibited an open circuit voltage VOC = 170 mV, a short circuit current density JSC > 3.7 mA/cm2 (with uncertainty due to the unknown fraction of properly contacted nanowires), and a fill factor of ~30%. After the passivation, VOC, JSC and FF increased to 250 mV, > 9.2 mA/cm2 and ~36%, respectively. The measured normal reflectance of the planarized NW array was around 6% over the 400-1000 nm spectral range, whereas the diffuse reflectance was ~20% over a broad wavelength range, indicating strong light scattering and absorption by the NWs.
The photovoltaic performance of passivated Si NW arrays and single NW devices was compared using a 532 nm laser with a power density of about 10 W/cm2. Higher values of VOC and FF obtained for the former are explained by reduced reflectance and light trapping in the NW arrays.
[1] Bozhi Tian, Thomas J. Kempa and Charles M. Lieber, "Single nanowire photo- voltaics", Chem. Soc. Rev. , 38, 16-24 (2009).
Symposium Organizers
Yi Gu, Washington State University
Yong Zhu, North Carolina State University
Jonathan E. Spanier, Drexel University
Silvija Gradecak, Massachusetts Institute of Technology
Symposium Support
Aldrich Materials Science
Angstrom Thin Film Technologies LLC
HORIBA Scientific
M Squared Lasers Inc.
UU12: Characterization IV
Session Chairs
Friday PM, April 25, 2014
Moscone West, Level 2, Room 2020
2:30 AM - UU12.01
Ultrafast Carrier Relaxation Dynamics of a Photo-Excited Germanium Nanowire-Air Metamaterial: Bimolecular Auger Kinetics and Surface Recombination
Yanying Li 1 Raphael Clady 2 Junghyun Park 3 Shruti V Thombare 3 Timothy W Schmidt 2 Mark L Brongersma 3 4 Paul C McIntyre 3 4
1Stanford University Stanford USA2The University of Sydney Sydney Australia3Stanford University Stanford USA4Stanford University Stanford USA
Show AbstractSemiconductor nanowires (NWs) have been intensively investigated in recent years due to their unique properties emerging from their anisotropic geometry, large surface-to-volume ratio, and their ability to confine electronic carriers. The dynamics of various quantum particles, such as electrons, photons and phonons, strongly depend on the geometry and size of NW assemblies. A better understanding of ultrafast carrier relaxation dynamics and mechanisms of semiconductor NW assemblies is essential in order to exploit them in the design of nanoscale optoelectronic devices. However, investigations of ultrafast dynamics in semiconductor NWs are limited, and have mainly been focused on a small group of materials.
We report an investigation of carrier relaxation mechanisms of arrays of single crystal and relatively uniform-diameter Ge NWs by ultrafast pump-probe measurements. We observe two co-existing and competing effects, induced absorption and a state-filling bleach, in photo-excited vertical Ge NW arrays. Dynamics of photogenerated holes and electrons are probed separately in the state-filling bleach regime. We have demonstrated that the lifetimes of both electrons and holes have a strong dependence on the diameters of NWs and the pump pulse fluence, which suggests that surface recombination of photo-excited carriers occurs during the relaxation process. However, the decay rate of carriers is about 10 times faster than that expected for surface recombination in the Ge NWs alone. To provide a quantitative understanding of these results, an effective medium model that treats that Ge NW arrays as Ge wire-air metamaterial was used to extract carrier dynamics in the induced absorption regime. This analysis shows that there are at least two different mechanisms in the relaxation process and that a “bimolecular” Auger recombination of one-dimensional (1D) excitons dominates carrier cooling in the first ~50 ps after the pump excitation, consistent with the very fast initial absorption decay rate observed in the experiments.
2:45 AM - UU12.02
Enhanced Luminescence Properties of Passivated InAs-InAsP Core-Shell Nanowires
Julian Pascal Treu 1 Michael Bormann 1 Hannes Schmeiduch 1 Markus Doeblinger 2 Stefanie Morkoetter 1 Sonja Matich 1 Peter Wiecha 1 Kai Saller 1 Benedikt Mayer 1 Max Bichler 1 Markus-Christian Amann 1 Gerhard Abstreiter 1 3 Jonathan Finley 1 Gregor Koblmueller 1
1Technische Universitamp;#228;t Mamp;#252;nchen Garching Germany2Ludwig-Maximilians-Universitamp;#228;t Mamp;#252;nchen Munich Germany3Technische Universitamp;#228;t Mamp;#252;nchen Garching Germany
Show AbstractRadial core-shell nanowires (NW) have been extensively studied over the last few years1,2, since the passivation of the inner core significantly improves the performance of the heterostructure, advancing fundamental research of one-dimensional nanostructures. The major advantages provided by a radial core-shell geometry are an effective carrier confinement along with unique waveguiding capabilities, and a viable surface passivation scheme that is made particularly important by the large surface to volume ratios in NWs.
InAs as the core material holds great promise with its small electron effective mass, high electron mobility and a band gap in the infrared, essential to extend NW based laser structures from near-infrared3 further into the mid-IR spectral region. But so far only few optical emission studies were conducted on InAs and high In-content InGaAs NWs, hampered by well-known difficulties of spectroscopy in the IR spectral region, such as the poor detectivity of available detectors, atmospheric absorption, and very strong non-radiative surface and Auger recombination processes in these material systems4.
InP and InAsP on the other hand can be considered to be the most suitable surface passivating structures since they can be grown in situ and epitaxially around the In(Ga)As NW core generating a desired type-I band alignment. Furthermore, changing the As/P ratio, allows to tune the strain, band-offsets and the carrier confinement accordingly.
Utilizing these benefits we demonstrate in this work up to ~102 higher emission rates of the core luminescence of passivated radial InAs-InAsP core-shell NWs using micro-photoluminescence spectroscopy5. By systematically varying the InAsP shell thickness and phosphorus (P)-content, we are able to tune the emission energy via large strain-induced peak shifts that exceed >100 meV at a comparatively low P-content below 0.4. Increasing P-content is found to cause additional linewidth broadening due to asymmetric shell growth generated by a transition from {110}- to {112}-sidewall growth as confirmed by cross-sectional scanning transmission electron microscopy. Further TEM studies give detailed insight into the crystal quality and core-shell interface. This highlights that enhanced emission efficiencies with effective carrier confinement and suppression of surface states are extremely sensitive on the quality of the InAs-InAsP core-shell interface.
The results are an important step toward realizing efficient room temperature NW-based light emitters at longer wavelengths and further offer the opportunity to probe directly the effects of strain relaxation on the emission characteristics.
1 L.J. Lauhon et al., Nature57, 420 (2002)
2 K. Tomioka et al.,IEEE J. Sel. Top. Quantum Electronics17, 1112 (2011)
3 B. Mayer et al., Nature Comm. 2013 (in review)
4 S. Morkötter et al., Phys. Rev. B87, 205303 (2013)
5 J. Treu et al., submitted (2013)
3:00 AM - UU12.03
A Methodology of Improving the Thermoelectric Figure-of-Merit of SiGe Nanowires
Su-in Yi 1 Liang Yin 1 Choongho Yu 1
1Texas Aamp;M University College Station USA
Show AbstractWe estimated the highest possible thermoelectric figure-of-merit (ZT) of SiGe nanowires at temperatures above 300 K up to 1100K by modifying the Boltzmann transport equation with relaxation time approximation. More importantly, this study suggests a methodology of remarkably improving ZT of SiGe nanowires. Upon optimizing the ionized impurity concentration, the upper bound of ZT&’s of a Si0.73Ge0.27 nanowire were calculated to be 2.5 at 1100 K, 2.0 at 800 K, and 1.2 at 450 K. Furthermore reduction of their diameters down to 10 nm gave rise to large increases in ZT, ~3.3 at 1100 K and ~2.7 at 800 K. All three thermoelectric properties, electrical conductivity, thermopower, and thermal conductivity were calculated, which have good agreement with experimental data of up to 450K for nanowires and high temperatures up to 1100K for bulks. Our comprehensive models and predictions for SiGe nanowire are valuable information in realizing high performance thermoelectrics in practice.
3:15 AM - UU12.04
Direct Observation of Charge-Carrier Heating at WZ-ZB InP Nanowire Heterojunction
Chaw Keong Yong 1 2 Jennifer Wong-Leung 3 Hannah J Joyce 5 2 James Lloyd-Hughes 4 2 Michael Gao 3 Hoe H Tan 3 Jagadish Chennupati 3 Michael B Johnston 2 Laura M Herz 2
1University of Cambridge Cambridge United Kingdom2University of Oxford Oxford United Kingdom3The Australian National University Canberra Australia4University of Warwick Coventry United Kingdom5University of Cambridge Cambridge United Kingdom
Show AbstractWe have investigated the dynamics of hot charge carriers in InP nanowire ensembles containing a range of densities of zinc-blende inclusions along the otherwise wurtzite nanowires. From time-dependent photoluminescence spectra, we extract the temperature of the charge carriers as a function of time after non-resonant excitation. We find that charge-carrier temperature initially decreases rapidly with time in accordance with efficient heat transfer to lattice vibrations. However, cooling rates are subsequently slowed and are significantly lower for nanowires containing a higher density of stacking faults. We conclude that the transfer of charges across the type-II interface is followed by release of additional energy to the lattice, which raises the phonon bath temperature above equilibrium and impedes the carrier cooling occurring through interaction with such phonons. These results demonstrate that type-II hetero-interfaces in semiconductor nanowires can sustain a hot charge-carrier distribution over an extended time period. In photovoltaic applications, such hetero-interfaces may hence both reduce recombination rates, and limit energy losses by allowing hot-carrier harvesting.
3:30 AM - UU12.05
Electrical Characterization of Nanowire-Based Modular and Defect Free GaN micro;-Substrates
Kristian Storm 1 Zhaoxia Bi 1 Bo Monemar 1 Lars Samuelson 1 2 Jonas Ohlsson 2
1Lund University Lund Sweden2Qunano AB Lund Sweden
Show AbstractHigh voltage Schottky devices are bound for increased impact on power electronics systems and markets. Realization of GaN Schottky diode devices is, however, inhibited by poor material quality, in particular threading defects, reducing reliability while being a major source of leakage.
To solve these issues, we have developed a nanowire-based technology of large-scale arrays of GaN µ-substrates, completely free from threading dislocations. These µ-substrates provide epitaxial GaN of low defect densities and production of GaN wafers of superior electrical properties on Si substrates. The presented wafer technology is intended to enable a number of key advantages; the inherent modularity of the µ-substrates, with clearly defined GaN units, gives great flexibility in design of devices and enables basic lateral lithographic post-processing of contacts and insulation layers. In the extension of this technology, and in contrast to commercial GaN substrate alternatives, the GaN modular design further enables GaN and GaN/Si on-chip circuitry fabrication.
Here, we present results on arrays of low doped and dislocation free high quality nanowire-based GaN µ-substrates and the progress in fabrication of Schottky and ohmic contacts. To verify the material quality of the growth templates, we use photoluminescence and cathodoluminescence. Furthermore electrical characterization by Van der Pauw and Hall measurements in devices defined by electron beam lithography is used to evaluate the fundamental electrical properties of the material as well as the properties of the contacts.
3:45 AM - UU12.06
Solar Highways - Photovoltaic Measurements of metal@semiconductor Nanowires
Sebastian Z Oener 1 Beniamino Sciacca 1 Sander Mann 1 Erik Garnett 1
1FOM Institute AMOLF Amsterdam Netherlands
Show AbstractMetallic and dielectric nanostructures have highly tunable resonances that have been used to increase light absorption in a variety of photovoltaic materials and device structures. Metal nanowires have also emerged as a promising candidate for high-performance transparent electrodes for local contacts. An ideal solar cell would combine these optical and electrical functions. We have already demonstrated theoretically extreme absorption in semiconductor thin-films wrapped around metal nanowires. Here we describe a fabrication method for making single nanowire photovoltaic cells consisting of a silver nanowire core with a cuprous oxide shell. Solar conversion has been observed and the most recent photovoltaic measurements will also be presented along with scanning photocurrent measurements on single nanowire devices.
UU13: Devices II
Session Chairs
Friday PM, April 25, 2014
Moscone West, Level 2, Room 2020
4:30 AM - UU13.01
Damage-Free Fabrication of Cantilevers Made from Individual GaN Nanowires Through Micromanipulation and Focused Electron Beam Induced Deposition (FEBID)
Paul Blanchard 1 Joel Weber 1 Kris A. Bertness 1 Pavel Kabos 1 Aric Sanders 1 Norman Sanford 1 John Schlager 1 T. Mitch Wallis 1
1NIST Boulder USA
Show AbstractDue to their excellent optical, electrical, and mechanical properties, GaN nanowires (NWs) grown by molecular beam epitaxy (MBE) offer exciting new opportunities for basic material metrology and nanoscale devices. The intrinsic morphology of an individual NW is particularly well-suited for measurement techniques such as atom probe tomography (APT) and transmission electron microscopy (TEM), and to devices such as nano-resonators and scanning probe tips. However, such measurements and device applications typically require a single free-standing NW in a cantilever configuration, which can be challenging to fabricate reliably. Focused ion beam (FIB) systems are powerful and frequently-used tools for such nanofabrication tasks. Unfortunately, exposure of the sample to the ion beam during FIB imaging, milling, or deposition can cause unwanted structural damage and Ga ion implantation. NWs can be particularly susceptible to such damage, due to their small dimensions and the lack of sacrificial material surrounding the NW. Indeed, we see significant degradation of the photoluminescence (PL) signal from individual NWs after FIB imaging, indicating that defects have been introduced.
To avoid this problem, we employ a damage-free method of fabricating individual-NW cantilever structures by use of micromanipulation and focused electron beam induced deposition (FEBID) techniques. Fabrication was carried out in a dual-beam FIB/SEM system featuring a tungsten-tip micromanipulator. In this process, an individual NW on the growth substrate was first attached to the W tip by a small FEBID Pt bond, and then mechanically broken off at its base from the growth substrate. Next, the base of the NW was carefully inserted into a ~1 µm deep hole on the top surface of the mounting substrate (typically a Si or metal tip), and a large FEBID Pt bond was deposited to attach the NW to the mounting substrate. Finally, the W tip was moved away from the NW, breaking the small Pt bond between the W tip and the NW while leaving the larger bond between the NW and the mounting substrate intact.
Because the NW is never exposed to the FIB in this process, ion-beam-induced damage to the NW is avoided. We show that this fabrication process is highly repeatable, and that the resulting cantilevers can be used for APT, TEM, and spatially-resolved micro-PL with excellent results. In addition, we demonstrate a fully functional GaN NW near-field scanning microwave microscope (NSMM) probe fabricated by this method. The GaN NW NSMM device shows state-of-the-art sensitivity, spatial resolution, and mechanical robustness, and represents a promising step forward in the development of NW-based scanning probe devices. Other potential applications and remaining challenges will also be discussed.
4:45 AM - UU13.02
ZnO Nanowire-Based LEDs on Silicon: Doping and Heterojunction Devices
Jordan Chesin 1 Xiang Zhou 1 Silvija Gradeamp;#269;ak 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractZnO is a semiconductor well-suited for optoelectronic applications due to its wide direct band-gap (3.37 eV) and its large exciton binding energy (60 meV). Furthermore, vertically aligned ZnO nanowires offer unique opportunities for integration on conductive substrates such as ITO or Si for realization of light emitting diodes and other optoelectronic devices. However, the lack of areal density and doping control during the ZnO nanowire growth often limits device fabrication. Broad emission in the green-yellow region is also commonly observed in ZnO and indicates the existence of intrinsic defects, such as oxygen vacancies, which decrease the overall luminescent efficiency. Here, we report on the growth, optical, and electrical properties of ZnO nanowires grown on Si via a vapor-transport and condensation method. We demonstrate control over the nanowire density and growth rate by tuning the oxygen flow during growth. The nanowires have excellent optical properties with the photoluminescence emission centered at the ZnO near band edge and no defect luminescence. We demonstrate control over the presence of intrinsic defects and compare the electrical properties of nanowires with and without intrinsic defects and perform corresponding cathodoluminescence in scanning transmission electron microscopy to spatially resolve luminescence. Furthermore, we investigated both intentional n- and p-type doping with Al and N, respectively, characterized via individual nanowire electrical measurements. Finally, we fabricated and compared the heterojunction devices of as-grown n-type ZnO nanowires and Al-doped ZnO nanowires grown on p-type Si and N-doped nanowires grown on n-type Si. These devices exhibit typical diode-like behavior, with turn on voltages near 5V. This work progresses the understanding of ZnO nanowire growth, defects and doping in ZnO nanowires and devices, and demonstrates heterojunction-based LEDs grown directly on Si.
5:00 AM - UU13.03
III-V Micro-Pillar Quantum Well Laser Grown on Silicon with Silicon-Transparent Wavelength
Fanglu Lu 1 Hao Sun 1 Kar Wei Ng 1 Connie Chang-Hasnain 1
1University of California, Berkeley Berkeley USA
Show AbstractOptical interconnect has great prospect to boost computation speed and reduce energy consumption for integrated circuits. This requires a laser source on silicon that operates at a silicon-transparent wavelength. However, all semiconductor materials that can emit beyond 1.1mu;m are severely lattice-mismatched to silicon, prohibiting high quality epitaxial growth on silicon. Although various semiconductor nanowires have been synthesized on silicon, their small lateral dimension leads to very weak light confinement at long wavelength. Therefore, no long-wavelength nanowire laser on silicon was ever reported.
Here, we demonstrate the first as-grown nanolaser on silicon with silicon-transparent wavelength, by directly synthesizing III-V micro-pillar on silicon-on-insulator (SOI) substrate. The cornerstone of our nanolaser is InP micro-pillar growth by catalyst-free metal-organic chemical vapor deposition. Due to its unique core-shell growth mode, micro-pillar can scale up to micron size in lateral dimension while maintaining superior quality in the bulk.
InGaAs single/multiple quantum wells (QWs) are incorporated into InP micro-pillar as the active gain medium. High-resolution transmission electron microscopy reveals sharp InP-InGaAs-InP heterostructure interface and no defects are observed in QWs. By tuning the indium composition, the emission wavelength can vary from 1.1mu;m to 1.5mu;m. In addition, various QW thicknesses (from 1nm to 5nm) lead to different emission wavelengths, in agreement with theoretical prediction of QW quantization effect.
The micron size footprint of micro-pillar provides strong light confinement in transverse direction. In longitudinal direction, the buried oxide in SOI increases the bottom reflection, building up a vertical high-Q Fabry-Perot cavity on the as-grown micro-pillar. Therefore, under optical pumping, micro-pillar exhibits prominent Fabry-Perot modes with equal wavelength spacing. The mode peak spacing agrees well with the cavity length of micro-pillar. When pumping level reaches threshold, optically pumped laser is obtained with silicon transparent wavelength from 1.1mu;m up to 1.3mu;m, depending on the indium composition of QWs.
In conclusion, we achieve the first monolithic nanolaser on silicon with silicon-transparent wavelength using bottom-up approach. This is a crucial step towards practical integration of nano-photonics with silicon-based electronics.
5:15 AM - UU13.04
a-Si:H Thin-Film - Nanowire Hybrid Structures for Infrared Sensor Applications
Bright C. Iheanacho 1 2 Minoli Pathirane 1 2 Czang-Ho Lee 1 2 William S. Wong 1 2
1University of Waterloo Waterloo Canada2University of Waterloo Waterloo Canada
Show AbstractRecently, light management approaches in solar cells and photodetectors have focused on the use of the nanoscale geometry of nanowires and nanostructured materials to improve optical absorption. These approaches include surface texturing and thin film coated nanowires to improve the absorption of conventional semiconductors such as hydrogenated amorphous silicon (a-Si:H). While these techniques have improved absorption in a-Si:H up to the band edge, no significant absorption has been demonstrated beyond this range. Extending absorption into the infrared frequency is desirable for night imaging and security applications and can enable low-cost detectors fabricated from silicon-based thin films.
A network of disordered zinc oxide (ZnO) nanowires (2-3 mu;m long having 100nm diameter) synthesized within a hydrothermal bath, was coated with a-Si:H (600nm - 900nm thickness) by plasma-enhanced chemical vapor deposition to form a thin-film - nanowire hybrid. Optical measurements showed that the hybrid structures had 25% enhanced absorption over the planar thin-films. The absorption extended into the infrared up to 40% at 2.3 mu;m. Electrical measurements were made to characterize the photoconductivity of the hybrid structures from the visible to infrared frequencies. Under reverse bias conditions, the dark current was measured to be about ~ 1 x 10-9 A/cm2. At an excitation wavelength of 1550 nm, the hybrid device exhibited a 103x increase in photocurrent while the planar a-Si:H p-i-n diodes showed no response to the IR radiation. In the near infrared wavelengths between 800 nm to 1300 nm, the hybrid devices showed at least an order of magnitude higher photocurrent gain compared to the planar devices. Preliminary analysis of the results suggests the enhanced optical absorption from the hybrid 3-D structure is due to increased scattering leading to a prolonged light matter interaction, and as a consequence, an increase in effective thickness compared to the planar structures. Optical absorption and photocurrent gain in infrared wavelengths suggest defect-assisted transitions within the amorphous silicon material. The IR absorption as a function of thickness of a-Si:H coating, the external quantum efficiency, and carrier lifetimes of these 3D structures will also be presented.
5:30 AM - UU13.05
Efficient Sarin Detection Based on Functionalized Silicon Nanowire Transistors
Jean-Pierre Simonato 1
1CEA Grenoble France
Show AbstractThe threat of a chemical attack on homeland and military forces continues to grow and recent examples such as the Syrian issue have clearly shown that organophosphorus agents are powerful neurotoxic molecules that can actually be used as weapons. Some sensors are commercially available to detect warfare gases, however they suffer from some intrinsic defects that reduce significantly their interest in some specific kinds of operation. Up to now, there is still a lack of supersensitive and specific autonomous tiny sensors which can communicate their alert message by wireless means.
In this communication we will present a new sensing technique developed for the detection of Sarin gas based on the recent developments of nanotechnologies. The miniaturized detectors devices are based on electrical detection using hybrid silicon nanowire transistors. [1,2]
The silicon nanowires have been chemically functionalized with tailor-made molecules for detection of traces of toxic gases. In particular, a chemical receptor specific to traces of neurotoxic organophosphorus agents (OPs) like Sarin has been synthesized and grafted to sensitive nanomaterial based electrical devices.
We will present results starting at the nanoscale through fabrication and functionalization of silicon nanowires , up to their integration in a real demonstrator, and we will show its efficiency in complex mixtures of gases, high selectivity with regard to interferents and validation of the devices with real Sarin at ~30 ppm concentration.
[1] S. Clavaguera, A. Carella, L. Caillier, C. Celle, J. Pecaut, S. Lenfant, D. Vuillaume, and J.-P. Simonato, Sub-ppm Nerve Agent Detection by Chemically Functionalized Silicon Nanoribbon Field-Effect Transistors, Angewandte Chemie Int. Ed., 2010, 49,4063.
[2] V. Passi, F. Ravaux, E. Dubois, S. Clavaguera, A. Carella, C. Celle, J.-P. Simonato, L. Silvestri, S. Reggiani, J.-P. Raskin, D. Vuillaume, High Gain and Fast Detection of Warfare Agent using Back-Gated Silicon Nanowires MOSFETs, IEEE Electron Device Letters, 2011, 32(7), 976-78.
[3] S. Clavaguera, N. Raoul, A. Carella, M. Delalande, C. Celle, J.-P. Simonato, Development of an autonomous detector for sensing of nerve agents based on functionalized silicon nanowires. Talanta, 2011, 85, 2542-2545.
[4] Chemically Functionalized Silicon Nanowire Field Effect Transistor Based Nerve Agent Sensors. A. Carella, N. Raoul, S. Clavaguera and J.P. Simonato, 2013, submitted.
5:45 AM - UU13.06
Fully Printable Temperature Sensor Based on Silicon Nanowires
Caiming Sun 1 Cathy XH Chen 1 Jun Du 1
1Nano and Advanced Materials Institute Limited Hong Kong Hong Kong
Show AbstractThe thermistors, i.e. temperature sensitive resistors, are successfully used as temperature sensors relying on the large temperature dependence of resistivity. Traditionally, these devices are made of transition-metal oxide (MnO2, etc.) with the process of ceramic technology (sintering of powders at high temperature, 900°C). With the resistivity decreasing by increasing temperature (negative temperature coefficient, NTC), NTC thermistors show a wide range of opportunities in industrial applications, such as temperature track in cold chain for storage and transportation of food or pharmaceuticals. We developed quite low-cost, large-area NTC thermistors with printed electronics technology. Si nanowires (NWs) are synthesized by solution process of metal assisted chemical etching (MaCE) at room temperature. Afterwards, these Si NWs are formulated into ‘inks&’ and printed on flexible substrates, such as paper, plastics, etc. Eventually, fully printed NTC thermistor is fabricated based on Si NWs with high sensitivity of 8.1%/°C. This high sensitivity by NTC nanomaterial based on Si NWs approaches the reported value of 8.0-9.5%/°C for intrinsic bulk Si near room temperature. Thus the Si NWs NTC materials are superior over traditional NTC metal oxide in many aspects, such as quite low-cost, full printability, flexibility, and much higher sensitivity than traditional NTC with below 5%/°C.
Si NWs were directly released from silicon wafer with length of about 20um and diameter of 50nm-150nm. These Si NWs were then mixed with an acrylic screen printing polymer binder, at weight ratios of 1:2 to 1:1. To meet the rheological requirements for screen printing, some solvent is used as a thinner. For example, 0.1g of commercial polymer binder was first dissolved into 0.7ml solvent of ethylene glycol (EG). After addition of 0.1g Si NW powder, the mixture was homogenized in a rotary mixer (Thinky AR-100 mixer) for two minutes. Eventually, a Si NW screen-printable paste was produced and then a 1cmX1cm temperature sensor was fabricated after overnight drying of the printed Si films. The solid content of Si in printed Si NW films is relatively low, <50%. Well-aligned Si NWs domains were found in printed films under scanning electron microscopy. These close-packed domains significantly contributed to the electrical conductivity of Si NW thin films. The self-assembly of Si NWs is responsible for alignment of NWs in domains and highly correlated with solvents evaporation during drying of the printed films. Some solvents, such as water, polyethylene glycol (PG), or ethylene glycol (EG), dry slowly after printing and this slow evaporation allows Si NWs to well align and assembly together in micro-domains. Meanwhile, if some solvents easy to vaporize are used for ink formulation, such as ethanol, isopropanol (IPA), acetone, etc., drying time is too short to make NWs aligned well. This means that solvent evaporation plays critical roles in forming electrical Si NW networks.
UU11: Characterization III
Session Chairs
Elham Mafi
Sebastien Plissard
Friday AM, April 25, 2014
Moscone West, Level 2, Room 2020
9:00 AM - *UU11.01
InSb Nanowire Rail Tracks for Majoranas
Erik Bakkers 1 2 Sebastien Plissard 3
1TU Eindhoven Eindhoven Netherlands2TU Delft Delft Netherlands3LAAS CNRS Toulouse France
Show AbstractA quantum computer has computational power beyond that of conventional computers. Current technologies to fabricate quantum bits all suffer from decoherence, which destroys the quantum state and information is lost. The promise of topological quantum computation is that the quantum state is protected by the topology, and long coherence times can be expected [1]. The information is carried by quasi particles, which are called Majorana fermions.
Recently, the first signatures of Majorana fermions have been observed in one-dimensional InSb nanowires [2]. In order to demonstrate and exploit their non-Abelian statistics, the position of the Majorana states need to be interchanged [3]. This is not possible in a one-dimensional wire, since when two Majorana&’s meet, they will be annihilated. Therefore more complex systems are needed.
Here, we discuss different approaches to fabricate branched InSb nanowire structures [4]. Important is that the junction is of high crystalline quality. We discuss routes to increase the yield of single crystalline tracks. Electrical contacts have been fabricated on all branches of the InSb nanowire crosses and low-temperature electrical measurements will be discussed. Hall effect measurements at low temperature prove the high quality of these new structures.
[1] S. Frolov et al. MRS Bulletin 2013, 38, 809.
[2] V. Mourik et al. Science 2012, 336, 1003
[3] J. Alicea et al. Nature Physics 2011, 7, 412
[4] S.R. Plissard et al. Nature Nanotechnology 2013. DOI: 10.1038/NNANO.2013.198
9:30 AM - UU11.02
VLS-Grown Topological Insulator Sb2Te3 and Bi2Te3 Nanowires
Johannes Gooth 1 Bacel Hamdou 1 August Dorn 1 Eckhard Pippel 2 Kornelius Nielsch 1
1University of Hamburg Hamburg Germany2Max Planck Institute of Microstructure Physics Halle Germany
Show AbstractTopological insulators (TIs) represent a new state of quantum matter with a bulk band gap and gapless surface states that are protected against backscattering by time- reversal symmetry induced by strong spin-orbit coupling. Since the surface states behave like massless Dirac fermions, which carry electrical as well as spin currents with high mobility, TIs present a new opportunity for novel electronic information devices. Therefore, electrical transport properties of TIs are of considerable current interest. However, studying topological surface states via electrical transport measurements is still very difficult due to large bulk contribution to conductivity.
Our approach is to improve the stoichiometry of the catalytically grown nanowires to achieve more intrinsic material via post-annealing in tellurium atmosphere. We report on low temperature magnetoresistance measurements on single crystalline Sb2Te3 and Bi2Te3 nanowires with different cross sectional areas and high surface-to-volume ratios. The observation of Aharonov-Bohm oscillations, weak antilocalization and Shubnikow-de-Haas effect indicates the presence of topological surface states in our nanowires. Further analyses of the transport effects reveal an extremely low 2D carrier concentration and cyclotron mass of n2D = 1.6 1011 cm-2 and mc = 0.03 m0, respectively, as well as a very high carrier mobility of µ = 2.1 m2V-1s-1 in the surface states of our nanowires. In the optimized nanowires we achieve that the electrical transport is to 60 % dominated by the topological surface states, making them promising candidates for future electronic devices.
This work was supported by the German science foundation (DFG) via the German priority program SPP 1386, “Nanostructured Thermoelectrics” as well as within the Graduiertenkolleg 1286 “Functional Metal-Semiconductor Hybrid Systems.”
9:45 AM - UU11.03
Growth and Characterization of Ternary Bi-Se-Te Nanowires
Christopher J Hawley 1 Guannan Chen 1 Jonathan E Spanier 1
1Drexel University Philadelphia USA
Show AbstractNanoscale thermoelectrics benefit from several finite size effects which constructively enhance their thermoelectric figure of merit (ZT); these commonly include phonon boundary scattering and changes to the electron density of states which affect phonon and electron propagation, respectively. In vapor-liquid-solid growths of chalcogenide wires there is a further advantage as the preferred growth direction of <110> has a higher ZT than thermoelectrics utilized in the <001> orientation. Due to the highly anisotropic crystalline structure of the chalcogenide wires, sidewall deposition is limited in the c-axis and can therefore exhibit extremely small dimensions along that axis, further limiting phonon propagation. We report on the growth and structural characterization of a range of axial and radial nanowire structures in the Bi-Se-Te system and introduce novel bottom-up growth techniques that can be utilized to tailor this thermoelectric system.
Work was supported by the U.S. Army Research Office (W911NF-08-1-0067) and C.J.H. was supported by the GAANN-RETAIN program supported by the U. S. Dept. of Education (P200A100117).
10:00 AM - UU11.04
Fundamental Insight into Control of Thermal Conductivity in Silicon-Germanium Alloy Nanowires
Yongjin Lee 1 Gyeong S. Hwang 1
1The University of Texas at Austin Austin USA
Show AbstractOver the last decade, there has been a continued interest in Si-based thermoelectric (TE) materials because they are cheap and easy to work with and have good modifiable electrical properties. In order to develop Si-based TE materials, much research has been directed towards finding ways to reduce the intrinsic high thermal conductivity of Si to increase the TE efficiency. While heat conduction in Si is mainly governed by phonon transport, many attempts have been made to suppress thermal conductivity by introducing phonon scattering through nanostructuring and alloying. Si nanowires (SiNWs), compared to bulk Si, have been found to exhibit significantly suppressed thermal conductivity due largely to the scattering of phonons arising from the boundary of NWs. The thermoelectric figure of merit (ZT) of SiNWs can be two orders of magnitude larger than that of bulk Si. A further reduction of thermal conductivity can be achieved by allowing SiNWs with Ge; as a result, Si-Ge alloy nanowires (SiGe NWs) have been shown to have much improved TE performance over pure SiNWs. While previous theoretical studies have focused on the diameter and composition effects, the relative contribution of the surface- and alloying-induced scatterings to the significant reduction of thermal conductivity still remains unclear despite its importance in precisely controlling the TE performance SiGe NWs. In this talk, we will present a systematic theoretical analysis of thermal transport in SiGe NWs, particularly comparing the relative role of the alloying and surface effects in thermal conductivity. Nonequilibrium molecular dynamics is adapted to calculate the thermal conductivities of SiGe NWs. In addition, for a detailed understanding of thermal transport on the atomic scale, we carefully examine relevant phonon properties including phonon participation and phonon transmission. The improved understanding provides fundamental insight into how to modify Si-based materials to enhance their TE properties through nanostructuring and alloying.
10:15 AM - UU11.05
Semiconductor Nanowires as Thermoelectric Material
Ilaria Zardo 1 Milo Y. Swinkels 1 Alessandro Cavalli 1 Samp;#233;bastien R. Plissard 1 Rob W. van der Heijden 1 Erik P. A. M. Bakkers 1
1Eindhoven University of Technology Eindhoven Netherlands
Show AbstractThermoelectric applications require the use of materials with a high figure of merit ZT= σS2T/κ, where S is the thermopower, and σ and κ are the electrical and thermal conductivity, respectively. The development of thermoelectric devices necessitates the discovery of materials with high power factor σS2 and low κ, but the two properties are usually dependent. However, the electron confinement and, consequently, the quantization of the carrier energy in one or more directions are predicted to enhance σS2 of 2D and 1D structures1. Furthermore, a decrease of κ with respect to the bulk material is expected due to the increased boundary scattering2,3. This has led to an increase in the research on thermal transport in nanowires2-5.
In this work, we report on our investigations of the thermoelectric properties of single semiconductor nanowires.
The nanowires are grown using the Vapor Liquid Solid method in a Metal Organic Vapor Phase Epitaxy reactor. The dependence of the thermoelectric properties on wire length, crystal symmetry, diameter, radial and axial composition can be studied systematically6,7. The investigation of the thermoelectric properties of single nanowires is done using suspended SiNx membranes with implemented heaters8. The microdevice fabricated for the measurements consists of 20x20µm freestanding SiNx pads suspended above a Si substrate by six 450µm long SiNx beams, with Pt coils (used as heater and thermometer) and four Pt electrodes for thermal and electrical characterization. The nanowire is placed on the device using nanomanipulators to prevent short circuiting.
The thermal and electrical conductivity as well as the Seebeck coefficient of single semiconductor nanowires were investigated.
References
1 L.D. Hicks, and M.S. Dresselhaus, Phys. Rev. B. 47, 16631 (1993)
2 A.I. Hochbaum, et al., Nature 451, 163-167 (2008)
3 A.I. Boukai, et al., Nature 451, 168-171 (2008)
4 P. M. Wu, et al., Nano Lett. 13, 4080-4086 (2013)
5 S. Roddaro et al., Nano Lett. 13, 3638-3642 (2013)
6 S.R. Plissard, et al., Nano Lett 12, 1794-1798 (2012)
7 R.E. Algra, et al., Nature 456, 369-372 (2008)
8 L. Shi, et al., J. Heat Transfer 125, 881 (2003)
10:30 AM - UU11.06
Thermoelectric Properties of Ultra-Long AgPbSbTe (LAST) Hollow Nanofibers
Miluo Zhang 1 Yong-ho Choa 2 Nosang Myung 1
1University of California, Riverside Riverside USA2Hanyang University Ansan Republic of Korea
Show AbstractNanoengineered thermoelectric (TE) materials have received a great attention because of the potential improvements in the thermoelectric figure of merit (ZT), due to the classical and quantum mechanical size effects on electrons and phonons that provide additional mechanisms to enhance TE properties. The achievement of thermoelectric ZT of ~2-3 in painstakingly grown two-dimensional (2-D) nanostructures has been experimentally proved while a ZT exceeding 5 was theoretically predicted in 1-D nanostructures. In the design of TE materials, nanotubes offer an additional degree of freedom compared to other 1-D nanostructures because the wall-thickness can be controlled in addition to length and diameter. Changes in wall-thickness are expected to strongly alter the electrical and phonon transport properties and thereby enhance the overall TE properties.
In this work, we demonstrated high scalability and cost-effective nanofabrication to synthesize ultra-long hollow AgPbSbTe (LAST) nanofibers by combining electrospinning (ES) and a galvanic displacement reaction (GDR). Control over the diameter, wall-thickness, morphology, composition and crystallinity of the nanofibers was achieved by tuning the shape and dimension of the sacrificial material as well as GDR conditions. Electrical and thermoelectric properties of the nanofibers were correlated to dimension, crystallinity and composition.
11:15 AM - UU11.07
Correlative Studies of Structural Phase Transitions and Local Electrical Properties in Phase-Change In2Se3 Nanowires
Elham Mafi 1 Xin Tao 1 Yi Gu 1
1Washington State Universtiy Pullman USA
Show AbstractThe structure-property relation in phase-change materials is the fundamental principle underlying the memory switching process. In2Se3 is a polymorphic material with various crystalline phases that have distinctive electrical properties, which can enable multi-level data storage within a single material system.
Here we report correlative studies of structural phase transition and local electrical properties in In2Se3 nanowires during the phase switching. Specifically, by making devices on thin Si3N4 membranes that are transparent to electron beams, the structure of the phase transition area in a single nanowire was studied by the transmission electron microscopy (TEM), and the scanning Kelvin probe force microscopy (KPFM) was used to obtain the local electric potential variations across the same phase transition area. The correlation between these structural and local electrical properties provides fundamental insights into the phase switching process in this polymorphic phase-change material.
11:30 AM - UU11.08
Morphology and Microstructue of Core-Shell GaAs/GaxAl1-xAs Nanowires Investigated by He-Ion Microscopy and X-Ray Reciprocal Space Mapping
Marilena Re 1 Francesca Di Benedetto 1 Emanuela Pesce 1 Ilio Miccoli 2 Paola Prete 3 Nicola Lovergine 2 Leander Tapfer 1
1Brindisi Research Center Strada Statale 7 amp;#8220;Appiaamp;#8221; km.706, Brindisi Italy2University of Salento via per Arnesano, Lecce Italy3National Research Council (CNR) via per Arnesano, Lecce Italy
Show AbstractIII-V compound semiconductor nanowires (NWs) have shown unique potential in the fabrication of nano-scale devices for energy conversion (photovoltaics), electronic, optoelectronic and sensor applications. However, the optimization and control of the electronic and optoelectronic properties of NWs and NW arrays is a prerequisite to fabricate high quality devices. Therefore, a better comprehension of the growth and a complete characterization of the morphological, geometrical and microstructural properties are fundamental.
In this work we present new results on the morphological and microstructural properties of GaAs-AlxGa1-xAs (x=0.33) core-shell NWs obtained by Helium ion microscopy (HeIM) and X-ray double- and triple-axis measurements and reciprocal space mapping (RSM).
The GaAs-AlxGa1-xAs core-shell NWs were grown epitaxially on (111)B-GaAs substrate wafers by Au-catalyst assisted MOVPE. As reported in our previous works optimized growth conditions allows us to fabricate highly-dense arrays of vertically well-aligned (i.e., along the <111> crystallographic direction) NWs. Due to the particular interaction mechanism of He-ions (accelerated at 25 keV) with the semiconductor sample and to the small size probe, HeIM experiments allow us to observe fine details on the surface morphology, such as atomic-step faceting, tapering and “morphological defects”, and give a much deeper insight into the NW growth, allowing also a well distinct observation of the gold nanoparticles (NP) at the tip of each NW. In particular, the average NW diameter is about 300 nm (NW length is about 1 mu;m) while the average size of the Au NPs is about 60 nm. Assuming that the VLS-grown GaAs core has about the size of the Au NP, hence the AlGaAs shell thickness turns about 120 nm. Furthermore, terracing and faceting occur at the NW tapered ends, i.e. just below the Au NPs; there, a morphological rotation by 30° around the <111> axis of the NW is observed, which is interpreted in terms of alternate faceting of each AlGaAs shell terrace around {112} or {110} planes.
On the same NW array samples, detailed high-resolution X-ray RSMs on different reciprocal lattice points (relps), by using symmetrical (111) and asymmetrical ((331) and (422) relps) and diffraction configurations, allow us to determine the elastic strain status of the core-shell NWs, the average geometrical parameters of core diameters and shell thickness, and their lattice coherence. In addition, double- and triple-axis X-ray measurements in asymmetric diffraction geometry show that some NWs may exhibit twin sections (i.e, volumes of the crystals that are in-plane rotated by 60° around the <111> axis of the NW). A quantitative estimation shows that the total amount in volume of the twins is about 0.02%.
11:45 AM - UU11.09
Allotrope Heterostructured Ge Nanowires
Laetitia Vincent 1 Gilles Patriarche 2 Geraldine Hallais 1 Charles Renard 1 Cyrille Gardes 1 Daniel Bouchier 1
1Institut d'Electronique Fondamentale/CNRS/UPSUD Orsay Orsay Campus Cedex France2Laboratoire de Photonque et Nanostructures/ CNRS Marcoussis France
Show AbstractNanowires are among the most promising nanostructures that offer a multi-functional potential covering a broad range of nanotechnological developments in the strategic sectors of electronics, energy and biology. Due to size-related specific behaviours of nanoscale objects, innovative heterostructures can be formed in nanowires, not only from different materials but also from different polytypes of the same material. In this paper, we report on a stress-induced martensitic phase transformation in Ge nanowires that is believed to result from a nanoscale size effect.
<111>-oriented Ge nanowires with standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope namely the lonsdaleite phase. The phase transformation occurs heterogeneously along the length of the nanowire. It results in an unprecedented heterostructure with embedded Ge-2H domains distributed all along the Ge nanowire. Occasionally, the 4H-allotrope was also identified.
This novel allotropic Ge heterostructure may have very interesting semiconductor and optical properties opening new possibilities of applications of group-IV materials in next-generation devices. Since 3C and 2H phases have different band structures and charge density, the transformation may alter significantly the electrical, thermal and optical properties of the nanowires. The literature suggests a type-I alignment band. Additionally, the 2H-allotrope is expected to present a small direct band-gap. Thus, the 3C/2H heterostructure may be optically active in the infrared region and can be a promising candidate for mid-IR detection. Enhanced optical emission and absorption are also expected from confinement effects in the nanostructures. Finally, the periodic formation of phase boundaries should result in a strong reduction of thermal conductivity while electronic transport could be preserves, what assigns 3C/2H Ge nanowire-based devices as very promising for thermoelectricity.
In the perspective of the mentioned applications, we have studied the thermal stability of the 2H domains. The recrystallisation under annealing was followed in real time by in-situ TEM up to 650°C. Instability appears between 500 and 600°C. Some domains remain stable with the 2H allotropic form up to 650°C while other domains fully recrystalize toward the 3C phase (not depending on the size). This recrystallization can be quite sudden and appears in a small thermal range. This transformation was observed on nanowires with diameter above 20 nm. It is worth noting that for lower diameters the nanowires sublime under vacuum at 600°C.
Electron beam induced current (EBIC) and optical absorption measurements are in progress to investigate electrical and optical properties of these promising allotropic heterostructured Ge nanowires.
12:00 PM - UU11.10
Unusual Effects of Nonlocal Exchange Interactions on Electrons in Core/Shell Nanowires
Bryan M. Wong 1 Andrew W. Long 2
1Drexel University Philadelphia USA2University of Illinois at Urbana Champaign Urbana USA
Show AbstractThe unique electronic properties of semiconducting core-shell nanowires hold great promise for their incorporation in next-generation transistors, circuits, and nanoscale devices. The reduction in dimensionality produced by confining electrons in these heterostructure nanowires results in a dramatic change in their electronic structure, [1-2] leading to novel properties such as ballistic transport and conductance quantization. In order to understand the formation of electron gases in core-shell nanowires, we have developed a new computational methodology for incorporating many-body, nonlocal exact exchange interactions in these nanoscale systems [3]. We highlight several areas where many-body quantum effects play a significant role in both the formation and distribution of electrons in these low-dimensional nanostructures. In particular, we surprisingly find that the simple, conventional Schrödinger-Poisson approaches commonly used in the literature (1) considerably overestimate the number of occupied electron levels, (2) overdelocalize electrons in nanowires, and (3) significantly underestimate the relative energy separation between electronic subbands. Our approach is efficiently implemented in an open-source software package that can calculate electronic energies, densities, wavefunctions, and band-bending diagrams. At the conclusion of this talk, a short demonstration on running this code on a simple laptop will be given to highlight its utility for both experimentalists and theorists to understand the many-body quantum effects in these low-dimensional nanostructures.
[1] B.M. Wong, F. Leonard, Q. Li, and G.T. Wang, Nano Letters, 11, 3074 (2011).
[2] M. Fickenscher, T. Shi, H.E. Jackson, L.M. Smith, J.M. Yarrison-Rice, C. Zheng, P. Miller, J. Etheridge, B.M. Wong, Q. Gao, S. Deshpande, H.H. Tan and C. Jagadish, Nano Letters, 13, 1016 (2013).
[3] A.W. Long and B.M. Wong, AIP Advances, 2, 032173 (2012).
12:15 PM - UU11.11
Inducing a Direct-to-Pseudodirect Bandgap Transition in Wurtzite GaAs Nanowires with Uniaxial Stress
Giorgio Signorello 1 Emanuel Loertscher 1 Petr Khomyakov 1 Siegfried Karg 1 Dasa Dheeraj 2 Bernd Gotsmann 1 Helge Weman 2 Heike Riel 1
1IBM Research - Zurich Zurich Switzerland2Norwegian University of Science and Technology (NTNU) Trondheim Norway
Show AbstractSemiconductors are typically classified either as direct-bandgap materials, known for their efficient light-emission properties, or as indirect-bandgap materials, often used in light-harvesting applications and photo-detectors.[1] The less known pseudodirect bandgap configuration can be found in Wurtzite semiconductors: in this case, electron and hole wavefunctions overlap strongly but optical transitions between these states are impaired by symmetry.[2] Switching a material between bandgap configurations would enable novel photonic applications but large anisotropic strain is needed to induce such band structure transitions.[3-5]
Here we show that Wurtzite GaAs nanowires can be switched reversibly between direct and pseudodirect bandgap configuration under the influence of a small uniaxial stress. When tensile stress is applied, the direct configuration can be obtained and the nanowires emit light efficiently; upon compression, the pseudodirect configuration is achieved and light emission can be reduced by more than three orders of magnitude.[6] We demonstrate a remarkable energy shift of the PL due to transitions between the bright conduction band state and the heavy hole band (345meV) or the light hole band (257meV), by varying the strain over a range of ±2%. The splitting between the dark and bright conduction band states could also be tuned continuously over a range of more than 230meV.[7]
Using Raman scattering spectra as a relative strain gauge and fitting the optical transition energies to a kp model, we were able to determine all bandstructure parameters of the Wurtzite GaAs nanowire in unstrained conditions, i.e. the bandgap (1.41eV±8meV), the crystal field (197meV±50meV) and spin-orbit splitting (293meV±129meV) and, most importantly, the splitting between the bright and the dark conduction bands (33meV±47meV).[7] These results provide, for the first time, a conclusive picture of the energy and symmetry of the valence and conduction band states in Wurtzite GaAs and constitute a solid foundation to the understanding of strain effects on the optical and electronic properties of III-V nanowires.
References
[1] Cardona, M. & Yu, P. Y. Fundamentals of Semiconductors: Physics and Materials Properties (Springer, 2010).
[2] Yeh, C. et al. Physical Review B 46, 10086-10097 (1992).
[3] Süess, M. J. et al. Nature Photonics 7, 466-472 (2013).
[4] Jain, J. R. et al. Nature Photonics 6, 398-405 (2012).
[5] Camacho-Aguilera, R. E. et al. Optics express 20, 11316-20 (2012).
[6] Cheiwchanchamnangij, T. & Lambrecht, W. R. L. Phys. Rev. B 84, 1-8 (2011).
[7] Signorello, G et al. submitted (2013).
12:30 PM - UU11.12
Study of Electron-Phonon Interaction and Surface Optical Phonon in Vertically Aligned ZnTe Nanorods and Nanowires Using Resonance Raman Scattering
Satyaprakash Sahoo 1 Pankaj Misra 1 Jhih-H Peng 2 Hongbin Yu 2 Sandwip K Dey 2 Ram S. Katiyar 1
1University of Puerto Rico San Juan USA2Arizona State University Tempe USA
Show AbstractElectron-phonon interaction is an important phenomenon as it can influence the optical and electronic properties of solids. In polar crystals, the microscopic electric field associated with longitudinal optical (LO) phonons can strongly interact with electrons, holes, or polarons (Frohlich interaction) and influence their properties. In nanostructures, the presence and influence of surface optical (SO) phonons are also expected. Since the properties of cubic ZnTe, a polar semiconductor with a band gap of ~2.3 eV, may be tuned by dimension reduction, it is important to study the electron-phonon interactions in ZnTe nanorods and nanowires.
Here, the results of a resonance Raman scattering (RRS) studies on the electron-phonon interaction in vertically aligned ZnTe nanorods and nanowires are reported. Additionally, the temperature-dependent RRS was carried out to understand the phonon dynamics. The observed enhancement in the intensity of the LO phonon and its overtones (5th-LO) is attributed to a strong Frohlich interaction. Moreover, the presence of additional peaks of lower intensity, both in the low and high frequency wing of the LO phonons, are assigned to SO phonon and to combinational mode of LO and acoustic phonons, respectively. Finally, a theoretical calculation is carried out to estimate the confined SO phonon in nanorod and nanowire and compared with the experimental results.
12:45 PM - UU11.13
Tuning the Physical Surface Properties of Si Nanowires by Controlled Growth of Sub and Full Oxides
Muhammad Bashouti 1 Razieh Talebi 1 Jamp;#252;rgen Ristein 1 Silke Christiansen 1
1Max-Planck for the Science of Light Erlangen Germany
Show AbstractThe talk will focus on the precise control of the physical surface properties of silicon nanowire (Si NWs) by controlled formation of sub (i.e. Si2O, SiO and Si2O3) and full oxides (i.e. SiO2) at the Si NW surface. Here, we report on a well-defined strategy that can be used, to adjust the surface potential (Phi;) and surface electron affinity (chi;) of Si NW. The control is based on charge transfer (CT) and oxide dipole moments within the grown thin (<1.5nm) oxide layers. During the oxidation process, a radial oxide shell is grown on the Si NW forming a core-shell structure. The oxide shell is composed of different ratios between sub and full oxides during the oxidation process. The different oxidic compositions of the surface shell were distinguished by XPS. Sub oxides show a marginal effect (0.07± 0.05eV) on Phi;, chi; and CT, whereas full oxide give rise to increased values of Phi;, chi; and CT by 0.65±0.05 eV, 0.47±0.05 eV and 0.2±0.02 eV, respectively. On the basis of X-ray photoemission spectroscopy (XPS), Kelvin Probe and contact angle measurements, we propose a surface-charge-transfer-model. Here, we present the effect of water molecules on CT using a simple method to calculate dipole moments at different surface water adsorption levels. According to these findings, monitoring and tuning thin oxide growth is an appropriate method to tune the physical surface properties of nanostructures which are essential for the development of nanostructure-based devices.