Symposium Organizers
Swastik Kar, Northeastern University
Cinzia Casiraghi, University of Manchester
Arindam Ghosh, Indian Institute of Science
Saikat Talapatra, Southern Illinois University
Symposium Support
Nano Futures | IOP Publishing
National Science Foundation
NM04.01: Progress in Synthesis and Processing of 2D Materials and Layered Systems
Session Chairs
Srijit Goswami
Philip Kim
Monday PM, November 27, 2017
Hynes, Level 3, Room 312
8:00 AM - *NM04.01.01
Epitaxy of 2D Films—The Route to Large Area Single Crystal Monolayers
Joan Redwing 1 , Xiaotian Zhang 1 , Tanushree Choudhury 1 , Mikhail Chubarov 1 , Azimkhan Kozhakhmetov 1
1 , The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThe spectrum of two-dimensional (2D) materials “beyond graphene” has been continually expanding driven by the compelling properties of monolayer films compared to their bulk counterparts. Device applications, however, require the ability to form single crystal 2D films over large areas with controlled layer number and properties. Our studies have employed conventional gas source chemical vapor deposition (CVD) in a cold-wall reactor for the epitaxial growth of monolayer and few-layer transition metal dichalcogenides (TMDs) designated MX2 where M=Mo, W, Nb and X=S, Se. This approach provides excellent control of the precursor partial pressure and reduced pre-deposition upstream of the substrate thereby enabling control over nucleation density, lateral growth rate and film composition for the growth of 2D heterostructures.
Our studies have focused on the use of c-plane sapphire substrates for epitaxial growth of TMDs as a route to obtaining large area single crystal films. In the gas source CVD process, the metal precursors included metal hexacarbonyls and metal halides in combination with hydride chalcogen sources. A multi-step growth method was developed which employs modulation of the metal precursor partial pressure to control the nucleation density, size and orientation and the lateral growth rate of domains on the substrate. Using this approach, coalesced monolayer and few-layer TMD films were obtained on sapphire substrates up to 2” in diameter at growth rates on the order of ~ 1 monolayer/hour. Glancing incidence x-ray diffraction measurements revealed that the monolayer films are epitaxially oriented with respect to the sapphire resulting from a merging of predominantly 0o and 60o oriented domains. The advantages and challenges of employing gas source CVD for large area growth of TMD alloys and heterostructures will also be discussed.
8:30 AM - NM04.01.02
Low-Temperature Synthesis of Platinum Diselenide for Device Applications
Niall Mc Evoy 1 , Chanyoung Yim 2 , Kangho Lee 1 , Max Lemme 2 , Georg Duesberg 3 1
1 , Trinity College Dublin, Dublin Ireland, 2 , University of Siegen, Siegen Germany, 3 , Bundeswehr University Munich, Munich Germany
Show AbstractTwo-dimensional transition metal dichalcogenides (TMDs) have moved to the foreground of the research community owing to their fascinating properties which make them of great interest for both fundamental studies and emerging applications. While TMDs possess attractive properties, their synthesis in a scalable and reproducible manner remains a challenge. Thermally assisted conversion (TAC) of predeposited transition metal films is a method which shows promise for the industry-compatible synthesis of these materials[1].
While most research efforts to date have focused on group 6 TMDs, such as MoS2, recent reports have outlined the practicality of synthesising and studying group 10 TMDs, such as PtSe2. Interestingly PtSe2 has a layer-dependent electronic structure being semiconducting in its mono- and few-layer form and semimetallic in bulk[2]. Notably, PtSe2 can be grown by TAC at a relatively low temperature (~400 oC) making it potentially useful for a swathe of industrial applications, including back-end-of-line (BEOL) processing. Here, this material is characterised extensively and its Raman characteristics elucidated[3]. Its use as the active component in a variety of devices, which is enabled by the facile and scalable synthesis afforded by TAC, will be outlined[4]. In particular, the use of PtSe2 as the channel material in highly sensitive gas sensors will be detailed.
Additional discussion will focus on optimising the growth of, and devices formed from, PtSe2-based channels. The use of this material for other applications, such as flexible electronics, will also be considered. Finally, the extension of the TAC methodology to synthesise other scarcely-investigated members of the TMD family will be detailed.
[1] "Controlled Synthesis of Transition Metal Dichalcogenide Thin Films for Electronic Applications", R. Gatensby, N. McEvoy, K. Lee, T. Hallam, N. C. Berner, E. Rezvani, S. Winters, M. O'Brien, G. S. Duesberg, Applied Surface Science, 297, 139-146, (2014)
[2] “Monolayer PtSe2, a New Semiconducting Transition-Metal-Dichalcogenide, Epitaxially Grown by Direct Selenization of Pt”, Y. Wang, L. Li, W. Yao, S. Song, J. T. Sun, J. Pan, X. Ren, C. Li, E. Okunishi, Y.-Q. Wang, E. Wang, Y. Shao, Y. Y. Zhang, H.-t. Yang, E. F. Schwier, H. Iwasawa, K. Shimada, M. Taniguchi, Z. Cheng, S. Zhou, S. Du, S. J. Pennycook, S. T. Pantelides, and H-J Gao, Nano Letters, 15(6), 4013-4018, (2015)
[3] “Raman Characterization of Platinum Diselenide Thin Films”, M. O'Brien, N. McEvoy, C. Motta, J-Y. Zheng, N. C. Berner, J. Kotakoski, K. Elibol, T. J. Pennycook, J. C. Meyer, C. Yim, M. Abid, T. Hallam, J. F. Donegan, S. Sanvito, G. S. Duesberg, 2D Materials, 3, 021004, (2016)
[4] "High-Performance Hybrid Electronic Devices from Layered PtSe2 Films Grown at Low Temperature", C. Yim, K. Lee, N. McEvoy, M. O'Brien, S. Riazimehr, N. C. Berner, C. P. Cullen, J. Kotakoski, J. C. Meyer, M. C. Lemme, and G. S. Duesberg, ACS Nano, 10(10), 9550-9558, (2016)
8:45 AM - NM04.01.03
Wafer Scale Pre-Patterned ALD MoS2 FETs
Ioannis Zeimpekis 1 , Nikolaos Aspiotis 1 , Katrina Morgan 1 , Chung Che Huang 1 , Daniel Hewak 1
1 , University of Southampton, Optoelectronics Research Center, Southampton United Kingdom
Show AbstractCurrently, 2D Transition metal dichalchogenides are emerging as the next generation semiconductor materials as they offer a direct bangap and therefore high on/off ratios, relatively high mobility, short-channel effects immunity, and near ideal subthreshold swings.
In this work we present a simplified wafer scale processing of MoS2 transistors that alleviates lithography and etching issues. The first step of the process is to grow a 90 nm dry thermal oxide on 6 inch wafers. The wafers are then immersed in a HCl solution to ensure the hydrophilicity of the surface. Atomic layer deposition (ALD) is used to grow MoO3 on the wafer. For this we use the metal organic precursor Bis(tert-bulylimido)bis(dimethylamido)Mo and Ozone at 250 C. The wafers are then patterned in a conventional lithography process using the positive tone resist S1813. After the resist development the wafers are rinsed in deionised water and washed thoroughly. This step not only removes the remaining developer but also etches away the exposed MoO3. The photoresist is then removed by Acetone and finally rinsed with IPA. The wafers are further cleaned and oxidised in an asher by O2 plasma.
The patterned MoO3 wafers are then transferred in a furnace where they are annealed in H2S in two steps and at a low pressure. The first step is at substantially lower temperature than the melting point of MoO3 at 250C to eliminate vaporization of the material and for 1h whereas the second step is at 900C for 10 minutes to improve the crystallinity of the material. The pressure during the annealing is set at 4 Torr. After the H2S treatment the films are converted to MoS2 and since they are pre - patterned they are ready for metal deposition.
For metal contacts we use sputtering of 5nm of Ti and 150 nm of Au on top. For the top gate dielectric we use 40nm ALD deposited HfO2 which is deposited at the entire wafer. After the deposition of the top dielectric we open metal window contacts to the metal pads of the transistors using traditional lithography and a 20:1 BHF solution. Finally, top metal gate is deposited by sputtering and patterned by lift-off.
The novelty of this process lies within the pattern formation on MoO3 early in the process. This eliminates the issues involved with cross-linking of photoresist during MoS2 etching therefore simplifying and de-risking photoresist removal and reducing contamination. More importantly though as the patterns have already been formed before the high temperature conversion to MoS2 the layer stress has been released prior to the conversion. This results in higher quality films, free of pin holes, with fewer defects and of higher crystallinity, yielding superior electrical properties.
Devices are currently at the electrical characterisation stage from which results will reveal the performance of the MoS2 FETs made by this method. Ultimate goal of this work is to create a robust wafer scale process with high quality transistors for biosensing applications.
9:00 AM - NM04.01.04
2D Selenides and Nitrides Realized through Thermal Evaporation-Based Intercalation
Natalie Briggs 1 , Brian Bersch 1 , Ana De La Fuente 1 , Ke Wang 1 , Joshua Robinson 1
1 , The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThirteen years after the isolation of graphene, the field of two-dimensional materials research continues to grow, and many previously undiscovered or understudied materials continue to emerge. Of these, group III-based (GIII) 2D materials have shown particular promise as candidates for electronic and optoelectronic applications. For example, the group III chalcogenide (GIIIC) indium selenide (InSe) has shown an electron mobility in few-layer form that is nearly 10x greater than other few-layer transition metal dichalcogenides, and has shown much greater stability in comparison to other high mobility 2D materials such as phosphorene. Additionally, the gallium-based GIIIC material gallium selenide (GaSe) exhibits a large bandgap (2-3.3 eV) and has shown promise for photodetecting technologies and field effect transistors. Beyond these GIIICs, a 2D form of indium nitride (InN) (a GIII based material) is expected to exhibit an increase in bandgap from its bulk form, resulting in the tunability of its bandgap from from infrared to ultraviolet ranges. However, significant challenges and obstacles in the synthesis of these materials remain. While most approaches to synthesizing 2D GIII materials rely on inconsistent powder vaporization or involved metal organic chemical vapor deposition techniques, we show that the GIII-based 2D materials GaSe, InSe, and InN can be synthesized through a simple thermal evaporation-based intercalation process.
To form these 2D GIII materials, gallium and indium metals are first intercalated through defective graphene layers. To achieve intercalation, the metals are placed in a crucible below samples of plasma-etched epitaxial graphene and heated to 600 - 800°C in a tube furnace. Scanning electron microscopy allows for identification of the resulting intercalated regions through differences in contrast, and has shown continuous layers of intercalated metals on the scale of tens of microns. Additionally, energy dispersive spectroscopy and x-ray photoelectron spectroscopy have confirmed the presence of the GIII metals, and transmission electron microscopy has shown continuous metal layers from one to five atoms thick beneath the graphene. Following the intercalation of GIII metals, graphene-encapsulated gallium and indium layers are selenized and nitridated through exposure to hydrogen selenide and ammonia gases at temperatures from 500 - 800°C. Ongoing work aims to elucidate the structure of the resulting GaSe, InSe, and InN, and to investigate their electronic and optical properties. Future research will be carried out to assess the relative ease of formation of the 2D GIII materials as a function of the starting, intercalated material (pure metal vs. metal-oxide).
9:15 AM - NM04.01.05
Layered BeO Formed in Graphene Liquid Cell
Lifen Wang 1 , Lei Liu 3 , Ji Chen 4 , Jianguo Wen 1 , Gong Gu 2
1 NST/CNM, Argonne National Laboratory, Argonne, Illinois, United States, 3 , Peking University, Haidian, Beijing, China, 4 , University College London, London United Kingdom, 2 , University of Tennessee, Knoxville, Tennessee, United States
Show AbstractWe address the intriguing question whether sp2-coordinated, layered polymorphs of octet compounds other than BN can exist. While ultra-thin films of such polymorphs are predicted, the possibility of their bulk layered crystals has long been dismissed. Here, using high-resolution transmission electron microscopy and electron energy loss spectroscopy, we show that BeO crystallizes in the sp2-coordinated, layered structure within liquid cells formed by sheets of graphene. We further reveal that the layered crystals can be thicker than the thermodynamically determined ultra-thin limit, beyond which the layered phase is energetically unfavored. Indeed, our calculation shows an insurmountable energy barrier between the layered and wurtzite phases. The discovery calls for a reevaluation of the possible existence of bulk layered polymorphs of all octet compounds, and warrants the investigation into the growth, structure, novel physics, and applications of this new family of layered crystals.
9:30 AM - NM04.01.06
Epitaxial Growth and Characterizations of Two-Dimensional 1H-WSe2/1T’-WTe2 Lateral Heterostructures
Meng-Qiang Zhao 1 , Carl Naylor 1 , Zhaoli Gao 1 , William Parkin 1 , Jinglei Ping 1 , Charlie Johnson 1
1 , University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractTwo-dimensional (2D) transition metal dichalcogenides (TMDs) in 1T’ phase are predicted to be large-gap quantum spin Hall topological insulators, with great promise in future applications such as low dissipation electronics and quantum computing etc. [Qian et al. Science 2014, 346, 1344−1347]. However, the metastable nature of 1T’ TMDs makes their direct growth by chemical vapor deposition (CVD) a great challenge.
Recently, our group has been achieved the successful CVD growth of monolayer, single-crystal flakes of 1T’-MoTe2 and WTe2, through a fast operation process. To fully explore the potential of this new class of materials, it requires on-demand tuning of electronic and optoelectronic properties, which can be enabled by controlled growth of 1T’-TMD involved heterostructures. Here we report the epitaxial growth of 1H-WSe2/1T’-WTe2 lateral heterostructures by a two-step CVD method. The 1H-WSe2was synthesized first, followed by an epitaxial growth of 1T’-WTe2 on the edge. Monolayer thickness of the two flakes was confirmed by atomic force microscopy. Raman mapping studies demonstrated that the resulting heterostructures exhibited clear structure and phase modulation. Transmission electron microscopy revealed a single crystalline structure and a clear transition between the two different phases. Electrical transport studies demonstrated the formation of lateral p-type semiconductor and semi-metal heterojunctions by the combination of WSe2 and WTe2. This work provides an important advance in developing layered semiconductor-semimetal heterostructures and is an essential step towards next-generation nanoelectronic devices.
10:15 AM - *NM04.01.07
Molecular Beam Epitaxy Growth of 2D Materials and Heterostructures
Suresh Vishwanath 1 , Debdeep Jena 1 , Huili Xing 1
1 , Cornell University, Ithaca, New York, United States
Show AbstractTransition metal dichalcogenides (TMD) and layered materials in general, have drawn intense attention lately due to the possibility of realizing highly scalable low power electronic and other novel devices [1]. Although several proof-of-concept devices have been demonstrated recently with exfoliated micron-size flakes, controllable growth of large-area electronic grade material, essential for mass production, is in its infancy. Molecular beam epitaxy (MBE) holds the promise to allow layer controlled uniform growth and precision in doping TMD materials. To this end, we have explored the MBE growth of WSe2, SnSe2, MoSe2 [1], MoTe2 [2], MoSe2-xTex, NbSe2, h-BN, and their heterostructures and superlattices [3].
We have investigated the growth regime for obtaining layer-by-layer growth of phase pure material. Using a plethora of characterization techniques, we elucidated the limitations of various techniques to probe different layered materials. By understanding the effect of growth conditions on grain shape and size, supported by theory, we achieved 10x improvement in grain size and transition from isotropic or dendritic growth to triangular grain growth. Using scanning tunneling microscopy and spectroscopy measurements, the air and thermal stability of the MBE grown materials was studied [4]. Electrical conductivity and work function of MBE grown SnSe2 and WSe2 was probed for tunnel FET application [5]. Further for device applications, rotational alignment, interfacial reaction and strain in heterostructures of 2D materials are of key importance and these were also studied.
Finally, along side the above stated understanding, we will also present our recent ongoing work on metal organic molecular beam epitaxy (MOMBE) of WSe2 using a supersonic molecular beam of W(CO)6 and in-situ characterization using XRF and GI-XRD during growth.
[1] S. Vishwanath et al. 2D Materials 2 (2), 024007 (2015)
[2] S. Vishwanath et al. arXiv preprint arXiv:1705.00651 (2017)
[3] S. Vishwanath et al. Journal of Materials Research 31 (07), 900-910 (2016)
[4] J. Park, S. Vishwanath et al. ACS nano 10 (4), 4258-4267 (2016)
[5] M. Li et al. IEEE J-EDS, 3(3), 200-207 (2015)
10:45 AM - NM04.01.08
Self-Assembly of Rhenium-Selenide Clusters into Layered Platelets Directed by Long-Chain Amines
Andrés Seral-Ascaso 1 , Clive Downing 1 , Hannah Nerl 1 , Anuj Pokle 1 , Sonia Jaskaniec 1 , João Coelho 1 , Nina Berner 1 , Georg Duesberg 1 , Jonathan Coleman 1 , Valeria Nicolosi 1
1 , Trinity College Dublin, Dublin 2 Ireland
Show AbstractDuring the past decades, the versatility of wet chemistry allowed the synthesis of a variety of layered nanomaterials in the form of platelets, single-layer sheets, nanoflowers or nanotubes. In general, the structure of these materials consists in a continuous network of atoms covalently bonded within the layer, which present weaker interactions between layers. The growth of these nanostructures can be templated by amphiphilic molecules (those which present both polar and non-polar regions), which may remain as an stabilizing agent after the synthesis.
In the past years, many research efforts have been devoted to the synthesis of layered materials with a continuous structure, however the development of chemical routes to synthesize novel families of layered materials which incorporate smaller building units such as clusters and chains in their structure still remains a challenge. These materials should present interesting quantum confinement effects and novel functionalities.
In this work, we present a simple wet-chemistry method to synthesize a plate-like hybrid material composed of clusters of rhenium selenide and long chain amines. The amines, which are linked to the clusters as a ligand, act as a templating agent due to their self-assembly ability, thus forming platelets with sizes of several microns composed by 15-20 alternated layers of amines and clusters. Moreover, there materials can be used as a reservoir of clusters which, conveniently released by a simple acid-base reaction, have been successfully incorporated to the surface of graphene.1
References
Seral-Ascaso A., Nicolosi V., et al. npj 2D Mat and Appl. 1 (2017) 1.
11:00 AM - NM04.01.09
2D Molybdenum Nitride and Vanadium Nitride Synthesized by Ammoniation of Transition Metal Carbides (MXenes)
Patrick Urbankowski 1 4 , Babak Anasori 1 4 , Kanit Hantanasirisakul 1 4 , Long Yang 2 , Lihua Zhang 3 , Bernard Haines 1 4 , Steven May 4 , Simon Billinge 2 3 , Yury Gogotsi 1 4
1 , Drexel University, Philadelphia, Pennsylvania, United States, 4 A. J. Drexel Nanomaterials Institute, Drexel University, Philadelphia, Pennsylvania, United States, 2 Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York, United States, 3 Condensed Matter Physics and Materials Science, Brookhaven National Laboratory, Upton, New York, United States
Show AbstractMXenes are a rapidly growing class of 2D carbides and nitrides of transition metals, finding applications in the fields ranging from energy storage to electromagnetic shielding and transparent conductive coatings. However, while more than 20 carbide MXenes have already been synthesized, Ti4N3 is the only nitride MXene reported so far. Here we report on the synthesis of the 2D nitrides, Mo2N and V2N, by ammoniation of Mo2CTx and V2CTx MXenes, respectively.. This ammoniation procedure generates nitridation – C atoms in the precursor MXenes being replaced with N atoms, resulting from the decomposition of ammonia molecules. The crystal structures of the resulting Mo2N and V2N were determined with high-resolution transmission electron microscopy and X-ray pair distribution function analysis. Temperature-dependence resistivity measurements of the nitrides reveal that they exhibit metallic conductivity as opposed to semiconductor-like behavior of their parent carbides. As importantly, room-temperature conductivity of Mo2N and V2N are three and one order of magnitude larger than that of Mo2CTx and V2CTx precursors, respectively. This study shows how ammoniation can transform carbide MXenes into 2D nitrides with higher electrical conductivities and metallic behavior.
11:15 AM - NM04.01.10
Scalable Epitaxial Tungsten Diselenide Atomic Layers Grown via Metal-Organic Chemical Vapor Deposition—Transistor Performance and Outlook
Brian Bersch 1 , Yu-Chuan Lin 1 , Ke Xu 2 , Bhakti Jariwala 1 , Sarah Eichfeld 1 , Tanushree Choudhury 1 , Baoming Wang 3 , Yi Pan 4 , Jun Li 5 , Stefan Fölsch 4 , Randall Feenstra 5 , Rafik Addou 6 , Aman Haque 3 , Robert Wallace 6 , Susan Fullerton 2 , Joan Redwing 1 , Joshua Robinson 1
1 Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Chemical and Petroleum Engineering , University of Pittsburgh, Pittsburgh , Pennsylvania, United States, 3 Mechanical Engineering , The Pennsylvania State University, University Park, Pennsylvania, United States, 4 , Paul-Drude-Institute for Solid State Electronics, Berlin Germany, 5 Physics , Carnegie Mellon University, Pittsburgh , Pennsylvania, United States, 6 Materials Science and Engineering , University of Texas at Dallas , Dallas , Texas, United States
Show AbstractHigh-quality and large-area tungsten diselenide (WSe2) atomically thin films are in demand for high-performance thin film transistor technology (TFT) and next-generation digital electronics, such as low-power tunneling field effect transistors (TFET), due to their favorable band alignments, high charge carrier mobilities and excellent electrostatic control. However, the current growth techniques for WSe2 and most other two-dimensional semiconductors suffer from a lack of scalability in terms of large-area film uniformity, reproducibility, and substrate choice. In addition, particle and defect density quantification, critical for interface-enabled tunneling applications, have largely been ignored to-date. In this study, we present atomically thin epitaxial WSe2 films grown on sapphire via metal-organic chemical vapor deposition (MOCVD) using H2Se and W(CO)6 as precursors. The flow rates and vapor pressures of W and Se precursors are finely controlled to achieve uniform deposition and layer number over the cm-scale. Notably, we demonstrate that substrate surface engineering of sapphire is critical to enhance nucleation density, wetting, and alignment of WSe2 domains during growth to achieve quasi-single crystal films. Low-energy electron diffraction performed on a WSe2 monolayer film confirm the single crystalline nature of the as-grown films over 1 cm2. The density of point defects in as-grown films is within 1011-1012 cm-2 and impurity levels are below 5 parts per billion weight (ppbm), confirmed by low-temperature scanning tunneling microscopy (STM)/scanning transmission electron microscopy (STEM) and inductively coupled plasma mass spectrometry (ICPMS), respectively.
In order to explore the electronic performance of MOCVD-WSe2 films, electric double-layer transistors (EDLT) were fabricated directly on as-grown WSe2/sapphire using a solid polymer electrolyte PEO-CsClO4. Electrolyte-gated transistors predominantly display ambipolar behavior, ON/OFF ratios >107, electron field-effect mobility as high as 30 cm2/Vs, and subthreshold swing (SS) < 200 mV/dec for n-branch operation. Multiple devices were measured over 1 cm2 area and strong uniformity in FET operation is observed. Furthermore, the effect of different source/drain contact metals (Pd vs Ni) and the effect of oxygen plasma cleaning prior to metallization are explored. In particular, we find that a low-power oxygen plasma “descum” treatment on contact regions prior to metallization can boost on-current and mobility by >10x and significantly improve overall device performance. In addition, we explore the impact of growth temperature (650 vs 800°C) and orientation of sapphire step edges relative to source-drain current flow (parallel vs perpendicular) on device performance metrics. Lastly, we explore channel “cleaning” methods to tune threshold voltage and to further decrease SS < 100 mV/dec.
11:30 AM - NM04.01.11
Colloidal Monolayer β-In2Se3 Nanosheets with High Photoresponsivity
Guilherme Almeida 1 , Sedat Dogan 1 , Giovanni Bertoni 1 , Jannika Lauth 2 , Roman Krahne 1 , Liberato Manna 1 , Cinzia Giannini 3
1 , Istituto Italiano di Tecnologia, Genova Italy, 2 , TU Delft, Delft Netherlands, 3 , Istituto di Cristallografia, CNR, Bari Italy
Show AbstractTwo-dimensional (2D) semiconductors of layered metal chalcogenides (LMCs) have been widely investigated in order to complement graphene for ultra-thin and flexible electronic applications and to assess their potential as replacements for silicon-based electronics.1 Many 2D LMCs interact strongly with light leading to high power-density optoelectronic devices,2 especially those with post-transition metals which exhibit photoresponsivities higher than their transition metal counterparts.3 In this context, group (III) metal (In, Ga) chalcogenides are of great interest because they exhibit a rich polytypism and offer several semiconducting layered phases with interesting properties.4–6 Among these, the indium selenide system exhibits a particularly intricate polymorphism and the structure of some of its layered phases is still under debate. In this work,7 we report the bottom-up synthesis, structural characterization and optoelectronic properties of colloidal β-In2Se3 nanosheets with monolayer thickness. In addition, we also present thermal stability studies that can help clarify the polymorphism debate.
We present a low-temperature wet-chemical synthesis of single-layer β-In2Se3 nanosheets with lateral sizes tunable from 300 to 900 nm, using short aminonitriles as shape controlling agents. The phase and the monolayer nature of the nanosheets were ascertained by analysing the intensity ratio between the two main diffraction peaks and comparing it with simulated data from 2D slabs of the various possible phases. These findings were further backed-up by comparing and fitting the experimental X-ray diffraction pattern with simulated patterns and with HRTEM imaging and simulation. The methods presented can be of great usefulness for future studies on In2Se3 ultra-thin flakes. The β-In2Se3 nanosheets exhibit a poor thermal stability and the preferential out-diffusion of Se-atoms was observed. This finding not only sheds some light on recent data regarding thin-flakes of In2Se3 but can also be exploited as simple doping tool.
At the monolayer thickness, the c-axis quantum confinement translates into an increase of the band gap from 1.3 to 1.55 eV and a crossover from direct to indirect. Carrier generation and mobility were studied with THz spectroscopy and single nanosheet photodetectors demonstrated photo-responsivities up to 104 A/W in the visible range (with light powers in the fW range) and response times of 2-3 ms. These values are orders of magnitude better than most photoconductors based on 2D transition metal dichalcogenides and compete with the best devices based on other 2D III-VI materials.3
(1) Wang, Q. H.et al. Nat. Nanotechnol. 2012, 7 (11), 699.
(2) Eda, G. et al. ACS Nano 2013, 7, 5660.
(3) Buscema, M. et al. Chem Soc Rev 2015, 44 (11), 3691.
(4) Zhou, X.et al. J. Am. Chem. Soc. 2015, 137, 7994.
(5) Bandurin, D. A. et al. Nat. Nanotechnol. 2016
(6) Island, J. O. et al. Nano Lett. 2015
(7) Almeida, G.et al. J. Am. Chem. Soc. 2017, 139, 3005.
11:45 AM - NM04.01.12
Investigation of Novel Te Precursor (i-C3H7)2Te for MoTe2 Fabrication
Yusuke Hibino 1 , Seiya Ishihara 1 4 , Naomi Sawamoto 1 , Takumi Ohashi 2 , Kentarou Matsuura 2 , Hideaki Machida 3 , Masato Ishikawa 3 , Hiroshi Sudoh 3 , Hitoshi Wakabayashi 2 , Atsushi Ogura 1
1 , Meiji University, Kawasaki Japan, 4 , Research Fellow of the Japan Society for the Promotion of Science, Chiyoda Japan, 2 , Tokyo Institute of Technology, Yokohama Japan, 3 , Gas-Phase Growth Ltd., Koganei Japan
Show AbstractEffective method to prepare high quality transition metal dichalcogenide (TMD) films is being continuously sought. Investigating the material properties is indispensable for the fabrication of high quality films. As the research in TMD is vigorously progressing, there is higher demand for high quality films. There are several ways to fabricate TMD materials. Top-down methods like mechanical exfoliations and chemical etching still has limitations in, for example, uniformity of the film. A major and widely used bottom-up method is CVD. In CVD, one of the most challenging issues is the development of precursor for chalcogen. Many fabrication processes in the reports involve the use of elemental chalcogens, or hydrides of the chalcogens (H2S, H2Te, etc.). In contrast, our objective is on fabrication using an organic precursor to produce high quality films. So far, we have reported the properties of (t-C4H9)2S2 and its effectiveness as sulfur precursor in fabricating MoS2 [1]. In this study, we report the properties of a novel organic Te precursor (i-C3H7)2Te and its effectiveness in fabricating MoTe2 with 2-step CVD.
The vapor pressure of the precursor was measured in a sealed chamber. The measured vapor pressure of the precursor was 552.1 Pa at 25 °C. This is much greater than Te powder which make this precursor much more effective in transportation with carrier gas in a CVD setup. The precursor shows no toxicity and it is stable in air with no concern of explosion. Such properties shows that (i-C3H7)2Te is much safer to use than H2Te. Also, the decomposition properties of the precursor was investigated with DFT calculations with B3LYP/3-21G using PC GAMESS/Firefly [2, 3]. As a result, it was revealed that the dominant reaction of the precursor decomposition is (i-C3H7)2Te → H2Te + 2C3H8. This shows large amount of the precursor decomposes into H2Te before reaction. Tellurization using (i-C3H7)2Te was achieved and the difference depending on the tellurization parameters are to be discussed in the conference.
This work was partly supported by JSPS KAKENHI Grant Number 16J11377. This work was also partly supported by JST CREST Number JPMJCR16F4, Japan.
NM04.02: Heterostructures and Interfaces in 2D Materials and Layered Systems
Session Chairs
Babak Anasori
Joan Redwing
Monday PM, November 27, 2017
Hynes, Level 3, Room 312
1:30 PM - *NM04.02.01
Induced Superconductivity in Graphene-Based van der Waals Heterostructures
Srijit Goswami 1
1 , QuTech, Delft University of Technology, Delft Netherlands
Show AbstractIn the past few years there has been remarkable progress in the study of graphene-superconductor hybrids. This surge in interest has primarily been driven by the ability to combine high-quality graphene with superconductors via clean interfaces. This talk will focus on our efforts to study proximity induced superconductivity in such ultra-clean graphene Josephson junctions (JJs). We have used h-BN encapsulated graphene JJs and superconducting quantum interference devices (SQUIDs) to study ballistic, phase coherent effects and gain insight into the current-phase relation of these JJs. We demonstrate that such ballistic JJs can also be created in van der Waals heterostructures made with graphene and transition metal dichalcogenides (TMDCs), thus offering the unique opportunity to study the interplay between induced superconductivity and spin-orbit coupling in graphene. Furthermore, we show that the atomically thin nature of graphene makes these JJs extremely resilient to magnetic fields applied parallel to the plane of the graphene. I will finally discuss how all of these remarkable properties of graphene JJs could potentially be used to engineer topological superconductivity in graphene.
2:00 PM - NM04.02.02
Electrical Characteristics and Flexible Devices of Ge/Two-Dimensional Transition Metal Dichalcogenide van der Waals Heterostructures
Jinkyoung Yoo 1 , Towfiq Ahmed 1 , Ismail Bilgin 2 , Renjie Chen 3 , Aiping Chen 1 , Sergiy Krylyuk 4 , Swastik Kar 2 , Albert Davydov 4
1 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 , Northeastern University, Boston, Massachusetts, United States, 3 , University of California, San Diego, La Jolla, California, United States, 4 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show Abstractrn:schemas-microsoft-com:office:office" xmlns:w="urn:schemas-microsoft-com:office:word" xmlns:m="http://schemas.microsoft.com/office/2004/12/omml" xmlns="http://www.w3.org/TR/REC-html40"> Van der Waals (vdW) heterostructures have been considered as the building blocks of electronic and photonic devices for novel functionality, efficient energy consumption, and economical production. A common approach of vdW heterostructures is stacking two-dimensional (2D) transition metal dichalcogenides (TMDCs), graphene, and hexagonal boron nitride. However, preparation of 2D/2D vdW heterostructures has been hindered by difficulty in synthesis/exfoliation of 2D materials with controlled quality. Another vdW heterostructure composed of 2D materials and conventional materials, such as silicon and germanium, provides novel opportunities for controlling physical characteristics of conventional materials and 2D materials. We demonstrated that Ge/MoS2 heterostructures prepared by vdW epitaxy via chemical vapor deposition show charge transfer resulting in highly conducting Ge without doping and conductivity type conversion of monolayer MoS2. The remarkable changes of physical characteristics in vdW heterostructures can be induced by various materials combination.
We studied preparation and electrical characterizations of Ge/2D vdW heterostructures with various materials, such as WS2, NbSe2, MoTe2, MoSe2, etc.. The electrical properties of Ge grown on a 2D material and the monolayer 2D material show either noticeable deviation or no change from the isolated form. Density-functional-theory was employed to elucidate the origin of induced changes of electrical characteristics in Ge/2D vdW heterostructures of various 2D materials. In addition, a general strategy of Ge growth on various 2D materials, on which nucleation is usually suppressed, will be discussed.
2:15 PM - NM04.02.03
Efficient Discovery of Optimal N-Layered TMDC Hetero-Structures
Lindsay Bassman 2 1 , Pankaj Rajak 2 3 , Rajiv Kalia 2 1 4 , Aiichiro Nakano 2 1 4 , Priya Vashishta 2 3 1 , Fei Sha 4 , Muratahan Aykol 5 , Patrick Huck 5 , Kristin Persson 5 , David Singh 6
2 , Collaboratory for Advanced Computing and Simulations, Los Angeles, California, United States, 1 Physics, University of Southern California, Los Angeles, California, United States, 3 Chemical Engineering and Material Science, University of Southern California, Los Angeles, California, United States, 4 Computer Science, University of Southern California, Los Angeles, California, United States, 5 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 6 , University of Missouri, Columbia, Missouri, United States
Show AbstractVertical hetero-structures made from stacked monolayers of transition metal dichalcogenides (TMDC) are promising candidates for the next generation optoelectronic and thermoelectric devices. Identification of optimal layered materials for these applications requires the estimation of several physical properties, including electronic band structure and thermal transport coefficients. However, exhaustive screening of the material structure space using ab initio calculations is currently outside the bounds of existing computational resources. Furthermore, the functional form of how the physical property relates to the structures is unknown, making gradient-based optimization unsuitable. Here, we present a model based on the Bayesian optimization technique to optimize an N-layer hetero-structure, performing a minimal number of structure calculations. We use electronic band gap and a thermoelectric figure of merit, separately, as representative physical properties for optimization. The electronic band structure calculations were performed within the Materials Project framework, while thermoelectric properties were computed with BoltzTrap. With high probability, the Bayesian optimization process is able to discover the optimal hetero-structure after evaluation of only ~20% of all possible 3- or 4-layered structures. We show that this process of finding the optimal structure is more efficient than using a predictive regression model which can predict the band gaps of N-layer hetero-structures, as the later requires calculation of about 60% of all possible N-layered structures in order to make reliable model.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
2:30 PM - NM04.02.04
Synthesis of Hexagonal Boron Nitride/Graphene Heterostructures on Co Substrate with High Carbon Incorporation by Molecular Beam Epitaxy
Alireza Khanaki 1 , Hao Tian 1 , Zhongguang Xu 1 , Renjing Zheng 1 , Zhenjun Cui 1 , Yanwei He 1 , Jingchuan Yang 1 , Jianlin Liu 1
1 , University of California, Riverside, Riverside, California, United States
Show AbstractHexagonal boron nitride/graphene (h-BN/G) vertical heterostructures have attracted considerable attention as they can provide a platform not only to investigate novel phenomena in fundamental physics but also to develop nanodevices with superior performances. Nevertheless, controllable in-situ growth of such layered structures has remained difficult in spite of worldwide effort using various synthesis methods. In this presentation, we show a systematic study of h-BN/G growth on carbon-treated cobalt (Co) substrate by molecular beam epitaxy (MBE). By controlling the incorporation of different carbon (C) amount in Co, we observed three distinct regions of h-BN/G growth system. The h-BN/G system alters from region (1) where the C saturation temperature takes place below the growth temperature (900 °C) and the G network is formed only by precipitation during cooling process underneath the h-BN film; to region (2) where the Co substrate is saturated by C atoms at the growth temperature and a part of G growth occurs isothermally and another part later by precipitation, resulting a non-uniform h-BN/G film; and to region (3) where the growth leads to a continuous G layered structure at the growth temperature and precipitated C atoms add additional G layers to the system, leading to a uniform h-BN/G film. We found that in all three h-BN/G growth regions, the h-BN grows epitaxially up to a thickness of 1~2 nm, regardless of the underneath G layers’ thickness or morphology. In addition, we discuss the h-BN lateral growth rate (1~3 %/minute), crystal size (60~140 µm), electrical properties (breakdown electric field of 6.25~12.5 MV/cm), and growth temperature effect (800~950 °C) in h-BN/G samples.
2:45 PM - NM04.02.05
Study of the Electrical Transport and Optical Properties of 2D MoS2 Monolayer and MoS2-WS2 Monolayer Heterostructure Photodetectors
Sourav Garg 1 , Joseph Waters 1 , Abu Shahab Mollah 1 , Seongsin Kim 1 , Patrick Kung 1
1 , University of Alabama, Tuscaloosa, Alabama, United States
Show AbstractThe successful growth of the atomically-thick transition metal dichalcogenides (TMDs) monolayers has already stimulated a wide scientific and technological interest for high speed electronics and optoelectronic devices based on the exceptional properties of these materials and structures. The ability to also grow 2D heterostructures promises to further research in this area and enable more complex device architectures.
We present here the one-step growth of MoS2 monolayers and that of lattice-matched MoS2-WS2 lateral monolayer heterostructures using low pressure chemical vapor deposition. The MoS2 monolayers have been characterized optically, exhibiting a strong near band edge photoluminescence at room temperature along with the two Raman modes characteristic of 2D MoS2. Transmission electron microscopy confirmed the crystalline structure of the material grown. Lateral MoS2-WS2 heterostructures exhibited clear contrast between the constituent TMDs through both scanning electron and optical microscopy. Micro-photoluminescence and micro-Raman spectroscopy mapping further confirmed the formation and quality of the lateral heterostructures which consisted of a MoS2 core surrounded by WS2.
The electrical transport and spectral photoresponse characteristics (from 400~800 nm) of large area MoS2 based two-terminal photoconductor has been investigated. The spectral response clearly showed both A and B band edge exciton peaks, confirming the high optical quality of the material. A peak responsivity of 11 A/W and specific detectivity of 8x1011 Jones have been achieved. Finally, the electrical and photoresponse characteristics of MoS2-WS2 lateral heterostructures have been studied in order to better understand the transport of charge carriers across the lattice matched MoS2-WS2 heterojunction.
3:30 PM - *NM04.02.06
Optoelectronic Physics in the van der Waals Heterojunctions of Two-Dimensional Materials
Philip Kim 1
1 , Harvard University, Cambridge, Massachusetts, United States
Show AbstractHeterogeneous interfaces between two dissimilar materials are an essential building block for modern semiconductor devices. The 2-dimensional (2D) van der Waals (vdW) materials and their heterostructures provide a new opportunity to realize atomically sharp interfaces in the ultimate quantum limit for the electronic and optoelectronic processes. By assembling atomic layers of vdW materials, such as hexa boronitride, transition metal chalcogenide and graphene, we can construct atomically thin novel quantum structures. Unlike conventional semiconductor heterostructures, charge transport in of the devices is found to critically depend on the interlayer charge transport, electron-hole recombination process mediated by tunneling across the interface. We demonstrate the enhanced electronic optoelectronic performances in the vdW heterostructures, tuned by applying gate voltages, suggesting that these a few atom thick interfaces may provide a fundamental platform to realize novel physical phenomena. In addition, spatially confined quantum structures in TMDC can offer unique valley-spin features, holding the promises for novel mesoscopic systems, such as valley-spin qubits. We report the fabrication of the gate-defined quantum structures formed in atomically thin TMDC heterostructures, exhibiting quantum transport phenomena and optoelectronic processes.
4:00 PM - NM04.02.07
Reconfigurable Electronics and Optics at the Interface between Dissimilar 2D Materials
Zachariah Hennighausen 1 , Ismail Bilgin 1 , Ioana-Gianina Buda 1 , Christopher Lane 1 , Daniel Rubin 1 , Pradeep Waduge 1 , Wentao Liang 3 , Colin Casey 1 , Fangze Liu 2 , Anthony Vargas 1 , Laura Lewis 4 , Meni Wanunu 1 , Arun Bansil 1 , Swastik Kar 1
1 Physics, Northeastern University, Boston, Massachusetts, United States, 3 , Northeastern University, Boston, Massachusetts, United States, 2 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 4 Chemical Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractWe have grown a family of heterocrystals and other heterostructures using Bi2Se3 and different monolayer transition metal dichalcogenides (TMDs), and investigated their properties using photoluminescence (PL) and Raman spectroscopy, AFM, electron-microscopy, as well as first-principles computations. In the heterocrystals, [1,2] the bismuth selenide layers are in perfect rotational alignment with the TMDs, and together, the layered structures have long range super-cell periodicities. The electronic and optical properties of these heterostructures differ significantly from those of their parent crystals, including the transition from a direct to indirect –type band gap, strong suppression of photoluminescence, and a significant evolution of optical spectra. We will present detailed investigations related to the structural and functional attributes of heterocrystals and rotationally misaligned heterostructures, as revealed by TEM selected area electron diffraction (SAED) and photoluminescence (PL) spectroscopy. These investigations reveal the remarkable result that the rotational alignment and interface between the two atomically-thin materials can be controllably disrupted with sub-micron precision using lasers and electron beams, and this disruption can be reversed through annealing. We will show how the disruption and reversal of the heterostructures result in dramatic changes in their electronic and optical properties. For example, the strong PL of monolayer TMDs is almost completely quenched when one layer of Bi2Se3 is grown on top of them. However, the PL can be controllably recovered using a laser treatment, which disrupts the interface and crystallographic alignment between the two layers. Annealing the samples reverses the process by re-quenching the PL and recovering the crystallographic order/alignment. Laser treatment and subsequent annealing of samples appear to reproducibly disrupt and recover the interface integrity – each step being associated with a clear appearance and quenching of the PL peaks – making them highly attractive reconfigurable optical materials. Moreover, since the changes induced are confined to only the local area that was treated, we could demonstrate submicron “writing” of patterns and “bits”, and that the amount of PL recovery can be controllably tuned. Support for this research was received from the National Science Foundation, through grant number NSF ECCS 1351424, and the U.S.D.O.E. grant numbers DE-FG02-07ER46352 and DE-SC0012575.
[1] Vargas et al., Tunable and Laser-reconfigurable 2D heterocrystals obtained by epitaxial stacking of crystallographically incommensurate Bi2Se3 and MoS2 atomic layers, Science Advances (2017)
[2] Hennighausen et al., 2D Heterocrystals: Vertical stacking of rotationally aligned electronically, structurally and chemically dissimilar 2D materials, 2017 APS March Meeting, New Orleans.
4:15 PM - NM04.02.08
Synthesis, Structure, and Properties of In-Plane Monolayer Heterostructures
Akshay Murthy 1 , Jeffrey Cain 1 , Vinayak Dravid 1
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractHeterostructures of two-dimensional (2D) materials serve as an innovative paradigm and new platform in materials science, which present a wide range of opportunities for atomic scale device fabrication which can result in functionalities not seen in bulk materials. In this study, we explore the properties of in-plane, monolayer heterostructures of MoS2 and WS2 synthesized via chemical vapor deposition (CVD) through careful engineering of the time-temperature profiles. These structures are of great interest as both MoS2 (Eg~1.8 eV) and WS2 (Eg ~1.95 eV) exhibit direct band gaps in the monolayer limit. When these materials are joined together, a type II junction is formed, paving the way for design of heterostructures that can be integrated into next-generation light-absorbing and light-emitting devices. Herein, we present a full suite of characterization of the interface of the two materials, including Raman, photoluminescence, and secondary ion mass spectroscopy, in addition to TEM imaging. We also probe the resultant optoelectronic and transport properties, as well. These results indicate rectifying behavior at the interface, and the enhanced current levels under illumination hint at efficient separation of charge carriers at the junction. Through ongoing work correlating transport properties and phenomena with the local atomic and defect structure of the heterostructures, we aim for a more holistic understanding of charge transport in these monolayer TMD heterostructures.
4:30 PM - NM04.02.09
Transition Metal Dichalcogenides with Spatially Controlled Composition
Kevin Bogaert 2 1 , Song Liu 2 , Na Guo 3 , Tao Liu 3 2 , Jordan Chesin 1 , Denis Titow 1 4 , Martial Duchamp 5 , Chun Zhang 3 6 , Silvija Gradecak 1 , Slaven Garaj 2 3 7
2 Centre for Advanced 2D Materials and Graphene Research Centre, National University of Singapore, Singapore Singapore, 1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Department of Physics, National University of Singapore, Singapore Singapore, 4 Department of Biology and Chemistry, Justus-Liebig-University Giessen, Giessen Germany, 5 School of Materials Science and Engineering, Nanyang Technological University, Singapore Singapore, 6 Department of Chemistry, National University of Singapore, Singapore Singapore, 7 Department of Biomedical Engineering, National University of Singapore, Singapore Singapore
Show AbstractTwo-dimensional transition metal dichalcogenides (TMDs) possess a variety of properties that make them attractive for applications in electronic, energy, and sensing applications. In analogy to conventional semiconductors, more complex heterostructures or alloys based on 2D TMDs would expand the realm of possible device architectures. Therefore, controlled synthesis of complex TMD structures with nanometer or atomic precision is required to unlock their full potential.
Here, we present a two-step chemical vapor deposition (CVD) synthesis method to control the spatial composition of the MoS2-WS2 material system and produce structures ranging from an abrupt heterostructure between pure species to a composition-graded or spatially uniform alloy. For the synthesis of each demonstrated structure, the starting material is a CVD-grown WS2 single crystal on its native SiO2 substrate followed by the growth of MoS2. We show that in-plane diffusion of Mo atoms can play a significant role in the synthesis of MoS2/WS2 lateral heterostructures leading to a variety of non-trivial structures whose composition does not necessarily follow the growth order. The diffusion can be controlled by the point defect concentration in the starting WS2 crystals and/or by the growth temperature of MoS2.
Computational analysis indicates that Mo atoms, after adsorbing to edge sites of the WS2 lattice, can diffuse toward the interior of the crystal to displace W atoms and this process is mediated by S vacancies. This pathway is energetically favorable with an activation energy barrier that can be readily overcome at CVD growth temperatures.
Optical, structural, and compositional studies of TMD crystals captured at different growth temperatures and in different diffusion stages suggest that compositional mixing vs. segregation are favored at high and low growth temperatures, respectively. At lower growth temperatures, an enthalpy-dominated equilibrium leads to phase segregation with a MoS2 core surrounded by a WS2 ring. At higher growth temperatures, an entropy-dominated equilibrium leads to homogeneous alloying. However, at sufficiently high and heterogeneous defect densities, the distribution of S vacancies will drive the system to create an alloy such that the MoS2 distribution resembles the original distribution of S vacancies in the WS2 lattice. In-situ transmission electron microscopy investigation of these temperature-driven processes as well as composition-dependent quantum yield properties of the resulting compositionally-tuned TMD structures will be discussed.
4:45 PM - NM04.02.10
Lateral 2D Transition Metal Dichalcogenide Metal-Semiconductor Heterostructures Controlled by Chemical Vapor Deposition
Zachary DeGregorio 1 , Youngdong Yoo 1 , James Johns 1
1 , University of Minnesota Twin Cities, Minneapolis, Minnesota, United States
Show AbstractTwo-dimensional transition metal dichalcogenides have been extensively studied as potential candidates for flexible electronics and optoelectronics due to their promising physical and electronic properties. In order for these materials to be useful as active materials in realistic electronic devices, these materials need to be connected to other materials. In this talk, I will focus on the importance of metal-semiconductor contacts with an eye towards fabricating low resistance, scalable contacts to semiconducting transition metal dichalcogenides. Here, we demonstrate methods for creating in-plane, lateral metal-semiconductor heterostructures by chemical vapor deposition. These methods extend the physical and chemical properties that we have previously used to create atomically abrupt, lateral heterostructures between monolayer MoS2/WS2. In particular, we demonstrate the lateral growth of monolayer WS2 from exfoliated few-layer NbS2. In this heterostructure, metallic NbS2 functions as a high work function, 2D edge contact and seed crystal for the laterally grown, semiconducting WS2. By controlling the shape of the exfoliated NbS2, we can create NbS2/WS2 heterostructure devices.
NM04.03: Poster Session I: 2DLM—Synthesis, Science and Applications I
Session Chairs
Natalie Briggs
Zachariah Hennighausen
Tuesday AM, November 28, 2017
Hynes, Level 1, Hall B
8:00 PM - NM04.03.01
Stable Metallic 1T-MX2 (M=Mo/W; X=S/Se) and Heterostructure for Energy Conversion and Storage Applications
Qin Liu 1
1 , University of Science and Technology of China, Hefei China
Show AbstractMX2 (M=Mo/W; X=S/Se), as typical TMD representatives of the layered material, attracted widespread attention. Recently, phase engineering in MX2 offers opportunities for both fundamental and technological research. Extensive researches are conducted to explore new methods for phase engineering in MX2. We developed bottom-up hydrothermal/solvothermal methods to synthesize 1T-MX2 (M=Mo/W; X=S/Se) nanosheets highly stabilized by intercalated ammonia-ions. This bottom-up method realized in-situ growth of 1T-MX2 heterostructure (1T-MoS2@semiconductor, 1T-MoS2@graphene, 1T-MoSe2@SWCNT and so on). These novel structures display excellent properties as electrocatalyst, photo-cocatalyst, photothermal agent, lithium-ion battery anode and so on.
8:00 PM - NM04.03.02
Electron-Doped 1T-MoS2 via Interface Engineering for Enhanced Electrocatalytic Hydrogen Evolution
Qi Fang 1
1 , University of Science and Technology of China, He Fei China
Show AbstractDue to its high energy density and environment-friendly impact, hydrogen is advocated as an alternative energy carrier in the future. The design of advanced electrocatalysts for hydrogen evolution reaction is of profound significance. Active sites and conductivity play vital roles in such process. Fortunately, the exploitation of MoS2 compounds as potential robust and efficient catalysts for HER has opened up a promising new path for this field. Both theoretical and experimental researches have proved that increasing the number of metallic Mo edge sites (unsaturated sulfur atoms) is a crucial factor to enhance HER activity. Recently, many researches have demonstrated that charge transfer kinetics in metallic 1T-MoS2 in which both edges and basal plane catalytically active is also a key parameter to further improve HER performance. Herein, we demonstrate a heteronanostructure for hydrogen evolution reaction, which consisting of metallic 1T-MoS2 nanopatches grown on the surface of flexible single-walled carbon nanotube (1T-MoS2/SWNT) films. The High angle annular dark field image in a spherical aberration-corrected scanning transmission electron microscope (HAADF-STEM) and high-resolution STEM show that these small MoS2 patches are just about 5 nm with highly exposed edges. Besides, MoS2 nanopatches exhibit zigzag chain patterns with Mo-Mo bond length of 2.75 Å, the characteristic of the distorted 1T phase. The similar geometrical structure was revealed by the EXAFS technique, the XANES spectrum of 1T-MoS2/SWNT at Mo K-edge shows an obvious energy shift at the rising edge with respect to that of pure 1T-MoS2, The simulated deformation charge density of the interface shows that 0.924 electron can be transferred SWNT to 1T-MoS2, which weaken the absorption energy of H-atom on electron doped 1T-MoS2, resulting in superior electrocatalytic performance. The electron doping effect via interface engineering renders this heteronanostructure material outstanding hydrogen evolution reaction (HER) activity with onset overpotential as small as approximate 40 mV, low Tafel slope of 36 mV/dec, small potential of 108 mV for 10 mA/cm2 current density and excellent stability. We propose that interface engineering could be widely employed for the development of new catalysts for energy conversion application.
8:00 PM - NM04.03.03
Structural, Electrical and Optical Characteristics of Monolayer H-Phase RuO2 Nanosheets
Dong-Su Ko 1 , Jong Wook Roh 1 , Jung-Hwa Kim 1 , Woo-Jin Lee 1 , Soohwan Sul 1 , Changhoon Jung 1 , Dong-Jin Yun 1 , Heegoo Kim 1 , Jae Gwan Chung 1 , Doh Won Jung 1 , Se-Yun Kim 1 , Jaikwang Shin 1
1 , Samsung Advanced Institute of Technology, Suwon-si Korea (the Republic of)
Show AbstractSince the discovery of graphene, two-dimensional (2D) materials have attracted tremendous attention as candidates for use in electronic, mechanical, and optoelectronic applications due to their unique and outstanding properties. Recently developed exfoliation techniques have opened up opportunities for finding new 2D materials by manufacturing single-layer sheets from three-dimensional (3D) layered parent materials. Bulk ruthenium oxide (RuO2) has a well-known 3D rutile crystal structure and has been used as a catalyst and in electronic material applications. The exfoliation method for RuO2 NS from bulk rutile RuO2 has been recently reported. RuO2 NS has been used for lithium oxygen battery and lithium-ion battery applications, however, detailed crystal structure and electric/physical properties are hardly known. So far it has only been suggested that RuO2 NS has a different crystal structure from rutile and might have a hexagonal structure. Nevertheless, few details of the characteristics of monolayer RuO2 nanosheets (NSs) have been reported due to the difficulty of manufacturing monolayer NSs of sufficient size and quality.
This paper is the first to report on systematic investigations of the structural, electrical, and optical properties of monolayer RuO2 NSs. Determining a crystal structure of a nanosheet is not so trivial. Here we show that the combination of TEM, XRD and first principle calculation techniques can lead to a unique determination of the crystal structure and indicate that monolayer RuO2 NSs have distorted h-MX2 structures (trigonal prismatic coordination between the M and X atoms), while the parents, NaRuO2 and K0.25RuO2, have RuO2 layers with t-MX2 structures (octahedral phase coordination). Our first-principles calculations and experimental results show that distorted h-MX2 monolayer RuO2 NSs are spin-polarized semiconductors with an average transmittance T in the visible wavelength range that is 1% higher than that of monolayer graphene. In addition, it was observed that the electrical properties of monolayer RuO2 NSs can be adjusted by manipulating their structural distortions. Our results suggest that the possibility of the distorted h-RuO2 NS as a good candidate for the applications in electrical, optical and spintronic devices.
8:00 PM - NM04.03.04
Magnetic Defects in Transitional Metal Di-Chalcogenide Semiconducting Layers
Srinivasa Rao Singamaneni 1 , Luis Martinez 1 , Monica Teran 1 , Russell Chianelli 1 , Felicia Manciu 1 , Sohan Hennadige 1 , Hans van Tol 2
1 , University of Texas at El Paso, El Paso, Texas, United States, 2 , National High Magnetic Field Laboratory, Tallahassee, Florida, United States
Show AbstractTwo-dimensional transition metal dichalcogenides (TMDs) such as MoS2 are an emerging family of layered materials generated a great deal of excitement in recent past for their extraordinary electronic, optical and catalytic properties1. Recent works2-4 have shown that these materials contain a vast number of different intrinsic and extrinsic (impurities) defects in its crystal lattice which may significantly alter their physical and chemical properties. However, the work to precisely identify their atomic nature and surroundings particularly, by making use of spin (defect) sensitive experimental approaches is at nascent stage5. Here, we present and discuss our recent comprehensive experimental findings collected from a series of nanocrystals of MoS2, WS2, and TiS2 using temperature (10-300 K)- and microwave frequency (9.4 -340 GHz)-dependent electron spin resonance (ESR) spectroscopy. These nanocrystals were prepared by hydrothermal and sulfur vapor transport methods. For comparison, we will also present and discuss our data collected from their corresponding bulk micron sized crystals.
1Chhowalla et al., Chem. Soc. Rev., 44, 2584 (2015); 2Addou et al., ACS Nano, 9, 9124 (2015); 3Li et al., Nature Materials 15,48, (2016); 4Hong et al., Nature Comm., 6, 7293 (2015);5Panich et al., J. Phys.: Condens. Matter 21, 395301 (2009)
8:00 PM - NM04.03.06
MXene/PEDOT:PSS Film for High Strength and Outstanding EMI Shielding Performance
Yan-Jun Wan 1 , Peng-Li Zhu 2 , Shu-Hui Yu 2 , Rong Sun 2 , Wei-Hsin Liao 1
1 , The Chinese University of Hong Kong, Hong Kong China, 2 , Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen China
Show AbstractElectromagnetic pollution and electromagnetic interference (EMI) caused by electrical and electronic equipment have increased substantially with the rapid development of information technology. Electronics and their components with higher power, smaller size and faster operative speed emit the unwanted electromagnetic waves, which not only lead to malfunctioning and degradation of electronics but also threaten human health and the surrounding environment. EMI shielding has become the effective method and key technology to protect human and environment from the negative effects, which is of great importance in both fields of the civil and military. In our previous work, super elastic and ultralight graphene/cellulose fiber hybrid aerogel with excellent EMI shielding effectiveness (SE) was developed 1, and the effect of aerogel pore size on EMI SE of epoxy composite was investigated 2. It has been reported that the 2D titanium carbide MXene (Ti3C2Tx, T stands for surface termination) film possesses the highest EMI SE values than that of any known synthetic materials with similar thickness due to its excellent electrical conductivity (4600 S/cm) 3. However, the mechanical properties is usually undesirable when Ti3CTx sheets were assembled to film, which severely impedes the application of Ti3C2Tx. Typically, polymer was employed as additive to improve the strength of Ti3C2Tx paper, such as sodium alginate 3 and polyvinyl alcohol. Unfortunately, these polymer deteriorates the electrical conductivity and EMI shielding performance seriously. Here, we selected PEDOT:PSS as polymer binder to fabricate high strength Ti3C2Tx/ PEDOT:PSS film with exceptional EMI shielding performance. The PEDOT:PSS is very compatible with Ti3C2Tx due to its water-solubility. The PEDOT:PSS polymer binder with excellent electrical conductivity not only leads to high tensile strength but also results in outstanding EMI SE of Ti3C2Tx/ PEDOT:PSS film. The tensile strength of the composites with loading of 10 wt% Ti3C2Tx is up to 76.3 ± 2.52 MPa. More importantly, the electrical conductivity is up to 1.15×104 S/m, leading to exceptional EMI SE of 37.6 dB at 8.2 GHz with the thickness of only 29.6 μm.
References:
1. Wan, Y.-J.; Zhu, P.-L.; Yu, S.-H.; Sun, R.; Wong, C.-P.; Liao, W.-H. Ultralight, super-elastic and volume-preserving cellulose fiber/graphene aerogel for high-performance electromagnetic interference shielding. Carbon 2017, 115, 629-639.
2. Wan, Y.-J.; Yu, S.-H.; Yang, W.-H.; Zhu, P.-L.; Sun, R.; Wong, C.-P.; Liao, W.-H. Tuneable cellular-structured 3D graphene aerogel and its effect on electromagnetic interference shielding performance and mechanical properties of epoxy composites. RSC Advances 2016, 6 (61), 56589-56598.
3. Shahzad, F.; Alhabeb, M.; Hatter, C. B.; Anasori, B.; Hong, S. M.; Koo, C. M.; Gogotsi, Y. Electromagnetic interference shielding with 2D transition metal carbides (MXenes). Science 2016, 353 (6304), 1137-1140.
8:00 PM - NM04.03.07
Oriental Epitaxy of AgCN Microwires on Various Hexagonal Two-Dimensional Crystals
Yangjin Lee 1 , Jahyun Koo 2 , Jun-Yeong Yoon 1 , Kangwon Kim 3 , Jeongheon Choe 1 , Jeongsu Jang 1 , Jun Yeon Hwang 4 , Hu Young Jeong 5 , Yong Soo Kim 7 , Hyeonsik Cheong 3 , Rodney Ruoff 6 , Hoonkyung Lee 2 , Kwanpyo Kim 1
1 Departments of Physics, Ulsan National Institute of Science and Technology, Ulsan Korea (the Republic of), 2 Department of Physics, Konkuk University, Seoul Korea (the Republic of), 3 Department of Physics, Sogang University, Seoul Korea (the Republic of), 4 Institute of Advanced Composite Materials, Korea Institute of Science and Technology, Jeonbuk Korea (the Republic of), 5 UNIST Central Research Facilities (UCRF), Ulsan National Institute of Science and Technology, Ulsan Korea (the Republic of), 7 Department of Physics, University of Ulsan, Ulsan Korea (the Republic of), 6 Department of Chemistry, Ulsan National Institute of Science and Technology, Ulsan Korea (the Republic of)
Show AbstractThe epitaxy process is often utilized to obtain high-quality thin-film crystals as well as nanostructures on various substrates. However, the epitaxial process is strongly influenced by the growth substrate because the atom-substrate interaction as well as the degree of lattice parameter matching are substrate-specific. Here, we show that micro-sized crystals of linear silver cyanide (AgCN) polymer adapt oriental epitaxial growth on various hexagonal two-dimensional crystals (graphene, h-BN, MoS2, MoSe2, MoTe2, WS2, and WSe2). The universal tri-axial epitaxial behavior of AgCN crystals, where the polymer chains are aligned along the zigzag lattice direction of various two-dimensional crystals, is demonstrated regardless of different lattice parameters and chemical surface properties of substrates. By performing the DFT calculations, we find that the AgCN polymer chains are energetically stable at the various hexagonal two-dimensional material’s zigzag direction and which is consistent with the experimentally-observed results. Our study clearly demonstrates that it is possible to obtain the universal oriental epitaxial behavior of nanocrystals over different substrates.
-We acknowledge support from Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2016R1D1A1B03934008).
8:00 PM - NM04.03.08
Engineering 2D Materials via NP@TMD Core-Shell Heterostructures
Jennifer DiStefano 1 , Yuan Li 1 , Vinayak Dravid 1
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractThe atomically thin semiconductors of transition metal dichalcogenides (TMDs) have recently garnered significant interest due to not only their array of attractive properties, but also the tunability of these properties. Several methods exist to tune the optical and electronic properties of these materials such as strain engineering and heterostructuring with different materials; however, these methods are often only employed in isolation. Here, we present a novel nanoparticle-TMD (NP@TMD) core-shell heterostructure to simultaneously utilize curvature-induced strain as well as the functionality of the nanoparticle core to engineer properties. We use chemical vapor deposition to directly grow the TMD on nanoparticle cores. This process leads to complete and conformal encapsulation of several types of nanoparticles, as confirmed by high resolution transmission electron microscopy. This intimate contact enables direct interaction between the core and the shell, which is advantageous to allow for TMD enhancement with plasmonic cores, for example. We have probed the changes in optical properties when using a plasmonic core (Au, Ag), and have demonstrated extraordinary photoluminescence emission, even from multilayer TMDs. By applying this process to different types of functional nanoparticle cores, including both dielectric (SiO2) and plasmonic materials, we have demonstrated similar encapsulation in all systems. This suggests that encapsulation is not dependent on chemical interaction and the core-shell structure can be applied to numerous nanoparticle/TMD combinations. Strategic choice of core material, TMD shell, and NP@TMD structure size can therefore enable a wide array of properties, such as enhanced emission and absorption, with applications in catalysis and optoelectronics.
8:00 PM - NM04.03.09
Long Term Aqueous Stable Phosphorene and Its Hydrophobicity
Peter Matthews 1 , Edward Lewis 1 , Jack Brent 1 , Wisit Hirunpinyopas 1 , Paul O'Brien 1 , David Lewis 1
1 , University of Manchester, Manchester United Kingdom
Show AbstractPhosphorene, the phosphorous analogue of graphene, has become a much admired two-dimensional material in recent years.1 It has a layer dependent band gap (0.3 eV for bulk black phosphorus to 1.5 eV for monolayer phosphorene), an electronic anisotropy caused by a puckered structure and a high p-type carrier mobility.2 These make phosphorene an exceptional candidate for sensing, energy storage, water splitting and high frequency electronics.3–6
The synthesis of phosphorene generally involves the mechanochemical cleavage of crystalline black phosphorus into individual layers, the most successful subset of this method is liquid phase exfoliation (LPE).1 Typical LPE experiments make use of high boiling point organic solvents, such as N-methyl-2-pyrrolidone (NMP), dimethylformamide, dimethyl sulfoxide, and N-cyclohexyl-2-pyrrolidone. However, the use of these solvents is not particularly environmentally friendly and they can be hard to remove ‘downstream’ in an industrial process. Therefore, it is beneficial to consider the use of a solvent such as water. Unfortunately, phosphorene is highly prone to oxidation in an oxygen rich environment and it reacts with H2O to degrade the quality of the flakes.7 Here we present an aqueous fluorinated surfactant, Zonyl® 7950 [MeC(CH2)CO2(CH2)2(CF2)nF], that leads to few-layer phosphorene stability in aqueous solutions that is on par with anhydrous, deoxygenated organic solvents. The surfactant prevents the degradation of nearly 70% of the material in an aqueous solution after 650 hours, with a decay constant of 110 h. We probe the reasons for this through microscopy, EDX mapping and through measuring the contact angle and report that the surfactant/few-layer phosphorene combination gives a near super hydrophobic material.
REFERENCES
1. E. A. Lewis, et al., Chem. Commun., 2017, 53, 1445
2. H. Liu, et al., Chem. Soc. Rev., 2015, 44, 2732
3. J. Sun, et al., Nat. Nanotechnol., 2015, 10, 980
4. M. Z. Rahman, et al., Energy Environ. Sci., 2016, 9, 709
5. M. Buscema, et al., Nano Lett., 2014, 14, 3347
6. V. Kumar, et al., ACS Appl. Mater. Interfaces, 2016, 8, 22860
7. D. Hanlon, et al., Nat. Commun., 2015, 6, 8563
8:00 PM - NM04.03.10
Structural and Optical Properties of Sulfur-Rich GaSxTe1-x Alloy Nanostructures
Edy Cardona 1 2 , Jose Fonseca Vega 1 2 , Maribel Jaquez 1 3 , Petra Specht 2 , Junqiao Wu 1 2 , Wladyslaw Walukiewicz 1 , Oscar Dubon 1 2
1 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 3 Mechanical Engineering, University of California, Berkeley, Berkeley, California, United States
Show AbstractTernary alloys composed of layered gallium-monochalcogenide compounds, namely GaS, GaSe, and GaTe, possess improved and tunable optoelectronic properties compared to their constituent binary compounds. In particular, the compositional dependence of the bandgap has been shown to be linear for GaSexS1-x alloys1 and slightly bowed for GaSexTe1-x alloys2. The difference in the bowing behavior between these two alloys arises from the mismatch in electronegativity, ionization energy, and atomic radius between the chalcogens in each system. Based on this trend, GaSxTe1-x alloys are expected to exhibit a much larger degree of bandgap bowing as a result of the higher mismatch between sulfur and tellurium. However, GaSxTe1-x alloys had not been synthesized thus far due to experimental challenges in attaining compositional control and apparent limitations in the miscibility between GaS and GaTe. Here we present the synthesis of sulfur-rich GaSxTe1-x alloy nanostructures using Au-catalyzed thermal vapor deposition. The morphology of pure GaS nanostructures is comprised of straight, zigzag, and sawtooth-edged wires while the morphology of the alloy nanostructures is predominantly straight wires with trapezoidal cross-section. The distribution of elements is homogeneous throughout the nanostructures, and the gallium-to-chalcogen ratio is near unity. The nucleation and growth mechanisms are consistent with the vapor-liquid-solid and vapor-solid processes characteristic of other layered nanostructure growths. Preliminary photoluminescence measurements at room temperature show the emergence of peaks near 1.5 eV with x ~ 0.10. The drastic difference between the observed PL and the reported 3.0 eV direct bandgap of GaS3 with the addition of several percent Te is consistent with the behavior of highly mismatched semiconductor alloys. Based on our initial analysis using the band anticrossing model4, we hypothesize that the observed PL reflects a reduction of the bandgap due to the restructuring of the valence band that occurs from the anticrossing interaction between the extended states of the GaS valence band and the localized Te impurity level. This system elucidates the principles of band engineering of highly mismatched layered semiconductor alloys.
Acknowledgements
This work was supported by the Director, Office of Science, Office of Basic Energy Sciences, Materials Sciences and Engineering Division, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.
References
1.Jung, C. S. et al. Red-to-Ultraviolet Emission Tuning of Two-Dimensional Gallium Sulfide/Selenide. ACS Nano 9, 9585–9593 (2015).
2.Fonseca, J. J. et al. Growth of Low-Dimensional Layered-Structured GaSe x Te 1-x Alloys. In preparation (2017).
3.Ho, C. H. & Lin, S. L. Optical properties of the interband transitions of layered gallium sulfide. J. Appl. Phys. 100, 083508 (2006).
4.Alberi, K. et al. Valence-band anticrossing in mismatched III-V semiconductor alloys. Phys. Rev. B 75, (2007).
8:00 PM - NM04.03.11
Novel Fabrication Technique for Functionalized Two-Dimensional Semiconducting Nanoparticles Using Ultrafast Laser Pulses
Khaled Ibrahim 1 , Mehrdad Irannejad 1 , Benji Wales 1 , Joe Sanderson 1 , Mustafa Yavuz 1 , Kevin Musselman 1
1 , University of Waterloo, Waterloo, Ontario, Canada
Show AbstractChemical modification and functionalization of 2-dimensional (2D) materials can adversely affect the optoelectronic and electrical properties of these materials, consequently broadening their potential for a wide plethora of applications including imaging, sensing, cancer therapy, optoelectronics, display, catalysis, and energy storage and conversion [1-4]. Research discussing functionalization of 2D semiconductor materials is still in its infancy and experimental reports of successful covalent functionalization of 2D nanoparticles is scarce.
In this study, femtosecond laser pulses (λ=800 nm, τ=30 fs, rep.rate=1kHz and Ep= 2W) were used to irradiate 2D materials (i.e. MoS2, WS2, and BN) dissolved in a 1:1 water:ethanol solvent for 70 mins. The highly energetic laser pulses are sufficient to dissociate the solvent molecules into radical carbon and oxygen functional groups and hydroxyl groups. Simultaneously, the 2D nanosheets were also cleaved into nanoparticles with particle sizes of ∼3nm also as a result of the laser treatment. The edges of the freshly cleaved 2D nanoparticles consequently bond with C and O functional groups (dissociated from the solvent molecules) to satisfy the periphery of the edges of the 2D material and hence successfully functionalize the 2D nanoparticle.
This was confirmed by analyzing high resolution TEM images (lattice spacing analysis) and XPS spectra of the fabricated 2D nanoparticles, where the characterization conclusively revealed the attachment of carbon and oxygen functional groups to the periphery of the 2D nanoparticle. The carbon atoms bonding to the 2D nanoparticle was also evident in the Raman spectra, where a characteristic carbon vibrational D+G peaks emerged after laser treatment at 1300-1600 cm-1[5]. Remarkably, it was found that absorption due to carbon, which is typically present at ∼260 nm [6], as well as the intrinsic absorption due to the 2D nanoparticle typically located at 600-700 nm [7], were both retained in the functionalized 2D semiconductor materials after the laser treatment. Consequently, the resultant nanoparticles have exhibited absorbance and photoluminescence at the intrinsic 2D, carbon, and oxygen wavelengths, making these promising materials for modern sensing and emitting applications.
This innovative and facile technique can be further developed and extended to potentially functionalize and produce different combinational materials of interest, not only for atomically thin and 2D materials, but also for a broader array of materials from other families.
References
[1] Anal. Chem. 2014, 86, 7463−7470
[2] Adv. Energy Mater. 2013, 3, 1262–1268
[3] Materials Today _ Volume 19, Number 3 _ April 2016
[4] Materials Science and Engineering C 70 (2017) 1095–1106
[5] J. Mater. Chem. A, 2016, 4,13563
[6] Nature Nanotechnology 3, 101 - 105 (2008)
[7] RSC Adv., 2015, 5, 95178
8:00 PM - NM04.03.12
Multifunctional Homogeneous Lateral Black Phosphorus Junction Devices
Jingyuan Jia 1 , Jiao Xu 1 , Jin-Hong Park 2 , Euyheon Hwang 1 , Sungjoo Lee 1 2
1 , Sungkyunkwan University Advanced Institute of NanoTechnology, Suwon Korea (the Republic of), 2 School of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractBlack phosphorus (BP) was recently rediscovered as being a layered two-dimensional (2D) semiconductor material that is potentially useful as the active material in future nanoscale devices. The electronic properties of atomically thin BP can be controlled by external gating or by chemical doping. We demonstrate a controllable doping technique of few-layer black phosphorus (BP) via surface charge transfer using an ionic liquid mixture of EMIM(C6H11N2+):TFSI(C2F6NO4S2–). A wide range of hole carrier densities, from 1011 cm–2 (non-degenerate) to 1013 cm–2 (degenerate), can be obtained by controlling the weight percentage of the ionic liquid mixture. The doping method we proposed in this paper can be applied to make a multifunctional homogeneous lateral p-n junction device. By doping a fraction of the BP sample and by applying a gate voltage to the other fraction of the BP, we obtain homogeneous lateral p+-p, p+-n, p+-n+ junction diodes in a single BP channel. The homogeneous lateral BP p+-p and p+-n junctions display ideal rectifying behavior and a much stronger photoresponse due to the built-in potential, which can be controlled by tuning the gate voltage. Furthermore, at high positive gate voltages, the interband tunneling enables the homogeneous lateral p+-n+ junction transistors to provide both a negative differential resistance (NDR) and a negative transconductance (NTC) in the current–voltage characteristics at room temperature. Based on our results, it is possible to build novel devices utilizing the large NDR and NTC in BP such as amplifiers, oscillators, and multivalued logic systems, which is significant for further research into a new class of 2D functional devices.
8:00 PM - NM04.03.13
Two-Dimensional Metal-Organic Frameworks with High Thermoelectric Efficiency through Metal Ion Selection
Yuping He 1 , Catalin Spataru 1 , Francois Leonard 1 , Reese E. Jones 1 , Mark Allendorf 1 , Alec Talin 1
1 , Sandia National Laboratories, Livermore, California, United States
Show AbstractTwo-dimensional (2D) materials have attracted much attention due to their novel properties. An exciting new class of 2D materials based on metal-organic frameworks (MOFs) has recently emerged, displaying high electrical conductivity, a rarity among organic nanoporous materials. The emergence of these materials raises intriguing questions about their fundamental electronic, optical, and thermal properties, but few studies exist in this regard. Here we present an atomistic study of the thermoelectric properties of crystalline 2D MOFs X3(HITP)2 with X = Ni, Pd or Pt, and HITP = 2,3,6,7,10,11-hexaiminotriphenylene, using both ab initio transport models and classical molecular dynamics simulations. We find that these materials have high Seebeck coefficient and low thermal conductivity, making them promising for thermoelectric applications. Furthermore, we explore the dependence of thermoelectric transport properties on the atomic structure by comparing the calculated band structure, band alignment, and electronic density of states of the three 2D MOFs, and find that the thermoelectric transport properties strongly depend on both the interaction between the ligands and the metal ions, and the d orbital splitting of the metal ions induced by the ligands. This demonstrates that selection of the metal ion is a powerful approach to control and enhance the thermoelectric properties. Interestingly we reveal an unexpected effect where, unlike for electrons, the thermal and electrical current may not be equally carried by the holes, leading to a significant deviation from the Wiedemann-Franz law. The results of this work provide fundamental guidance to optimize the existing 2D MOFs, and to design and discover new families of MOF-like materials for thermoelectric applications.
8:00 PM - NM04.03.14
Chemical Stabilization of 1T’ Phase Transition Metal Dichalcogenides
Sherman Tan 1 , Ibrahim Abdelwahab 1 , Zijing Ding 1 , Xiaoxu Zhao 1 , Tieshan Yang 2 , Han Lin 2 , Sock Mui Poh 1 , Ivan Verzhbitskiy 1 , Zhou Wu 3 , Goki Eda 1 , Baohua Jia 2 , Kian Ping Loh 1
1 , National University of Singapore, Singapore Singapore, 2 , Swinburne University of Technology, Melbourne, Victoria, Australia, 3 , University of Chinese Academy of Sciences, Beijing China
Show AbstractThe 2H-to-1T’ phase transition in transition metal dichalcogenides (TMDs) has been exploited to phase-engineer TMDs for applications in which the metallicity of the 1T’ phase is beneficial such as catalysis and nanoelectronics. However, phase-engineered 1T’-TMDs are metastable and reversal to the 2H phase is inevitable ambient exposure, usually resulting in mixed 2H/1T’ domains, decreasing their potential in further applications. Herein, we report an effective phase engineering method which can achieve complete 2H-to-1T’ phase conversion via a two-step intercalation-hydrogenation process. We performed a systematic study of the 2H-to-1T’ phase evolution and discovered that by hydrogenating the intercalated Li to form lithium hydride (LiH), unprecedented long term (> 3 months) air stability of the 1T’ phase can be achieved. Most importantly, we confirmed that this method has wide applicability for other alkali metals and TMDs. Our DFT calculations reveal that LiH is a good electron donor and stabilizes the 1T’ phase against 2H conversion, aided by the formation of a greatly enhanced interlayer dipole-dipole interaction. We found that air stable 1T’-TMDs exhibit much stronger optical Kerr nonlinearity and higher optical transparency than the 2H phase, which is promising for nonlinear photonics and transparent conducting electrode applications. We believe this method can be applied to other layered 2D materials.
8:00 PM - NM04.03.15
Hydrogen Boride Sheets Derived from MgB2 by Cation Exchange
Hiroaki Nishino 1 , Takeshi Fujita 2 , Nguyen Thanh Cuong 3 , Satoshi Tominaka 4 , Masahiro Miyauchi 5 , Soshi Iimura 6 , Akihiko Hirata 2 7 , Naoto Umezawa 4 , Susumu Okada 8 , Eiji Nishibori 8 9 , Asahi Fujino 1 , Tomohiro Fujimori 10 , Shin-ichi Ito 11 , Junji Nakamura 9 12 , Hideo Hosono 6 13 , Takahiro Kondo 9 12 13
1 Institute of Materials Science, Graduate School of Pure and Applied Sciences, University of Tsukuba, Tsukuba Japan, 2 WPI-Advanced Institute for Materials Research, Tohoku University, Sendai Japan, 3 International Center for Young Scientists, National Institute for Materials Science, Tsukuba Japan, 4 International Center for Materials Nanoarchitectonics, National Institute for Materials Science, Tsukuba Japan, 5 Department of Materials Science and Engineering, Tokyo Institute of Technology, Tokyo Japan, 6 Laboratory for Materials and Structures, Tokyo Institute of Technology, Yokohama Japan, 7 Mathematics for Advanced Materials-OIL, AIST-Tohoku University, Sendai Japan, 8 Division of Physics, Faculty of Pure and Applied Sciences, University of Tsukuba, Tsukuba Japan, 9 Tsukuba Research Center for Interdisciplinary Materials Science (TIMS), and Center for Integrated Research in Fundamen-tal Science and Engineering (CiRfSE), University of Tsukuba, Tsukuba Japan, 10 College of Engineering Sciences, University of Tsukuba, Tsukuba Japan, 11 Technical Service Office for Pure and Applied Sciences, Faculty of Pure and Applied Sciences, University of Tsukuba, Tsukuba Japan, 12 Division of Materials Science, Faculty of Pure and Applied Sciences, University of Tsukuba, Tsukuba Japan, 13 Materials Research Center for Element Strategy, Tokyo Institute of Technology, Yokohama Japan
Show AbstractTwo-dimensional (2D) materials are promising for applications in a wide range of fields because of their unique properties. Hydrogen boride sheets, a new 2D material recently predicted from theory, exhibit intriguing electronic and mechanical properties as well as hydrogen storage capacity [1,2]. In this work, we report the experimental realization of 2D hydrogen boride sheets with an empirical formula of B1H1.
To obtain 2D materials at room temperature, liquid exfoliation of 3D layered materials is widely used due to its low cost and simplicity. As the parent material in the liquid exfoliation, we have focused on magnesium diboride (MgB2), a binary compound composed of hexagonal boron sheets alternating with Mg cations. Since MgB2 inherently contain 2D boron sheets, it is of interest to determine whether borophene could be formed by simple exfoliation and deintercalation of Mg. According to recent reports however, ultrasonication of water with MgB2 at room temperature produces Mg-deficient hydroxyl-functionalized boron nanosheets rather than pure boron sheets [3]. The presence of Mg and hydroxyl species in nanosheets can be understood by the instability of charged boron sheets in water derived from MgB2 by exfoliation. In our previous study, we clarified that MgB2 is exfoliated in water not by simple Mg deintercalation, but by cation-exchange reactions between protons and Mg cations in MgB2, where the produced hydrogen boride sheets subsequently react with water to form Mg-deficient hydroxyl-functionalized boron nanosheets as a result of hydrolysis [4].
Here, we report the experimental realization of 2D hydrogen boride sheets with an empirical formula of B1H1, produced by exfoliation and complete ion-exchange between protons and magnesium cations in MgB2 at room temperature. The sheets consist of sp2 bonded boron planar structure and did not have any long-range order. A hexagonal boron network with bridge hydrogens is suggested as the possible local structure, where the absence of the long-range order was ascribed to the presence of three different anisotropic domains originating from the twofold symmetry of the hydrogen positions against the sixfold symmetry of the boron networks based on the various experimental characterizations as well as density functional calculations. The established cation-exchange method for metal diboride opens new avenues for the mass production of several types of boron-based 2D materials by counter cation selection and functionalization [5].
References
[1] Jiao, Y.; Ma, F.; Bell, J.; Bilic, A.; Du, A. Angew. Chem. 2016, 128 (35), 10448.
[2] Abtew, T. A.; Shih, B.; Dev, P.; Crespi, V. H.; Zhang, P. Phys. Rev. B 2011, 83 (9), 094108.
[3] Das, S. K.; Bedar, A.; Kannan, A.; Jasuja, K. Sci. Rep. 2015, 5, 10522.
[4] Nishino, H.; Fujita, T.; Yamamoto, A.; Fujimori, T.; Fujino, A.; Ito, S.; Nakamura, J.; Hosono, H.; Kondo, T. J. Phys. Chem. C 2017, 121 (19), 10587.
[5] Nishino, H, et al., J. Am. Chem. Soc. 2017, in press DOI:10.1021/jacs.7b06153
8:00 PM - NM04.03.16
Theoretical Investigation of Vertical MoS2 p-n Junction and Application as a Hydrogen Evolution Reaction Catalyst
Sungwoo Kang 1 , Seungwu Han 1
1 Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractIn past few decades, there have been many efforts to store solar energy into the form of H2 by water splitting hydrogen evolution reaction (HER) as a replacement of fossil fuels. However, additional bias to the equilibrium voltage, which is called overpotential, should be provided to obtain enough current because of kinetic barrier in the reaction process. Thus, the efficiency of HER critically depends on the catalytic material that can reduce the overpotential. Although noble metals such as Pt and their compounds show state-of-the-art efficiency, their scarcity and high cost are spurring development of alternate catalyst materials. Recently, transition-metal dichalcogenides (TMDs), especially MoS2, have been considered as a promising candidate for HER catalyst due to their low cost, large surface area, and stability in acidic environments. HER activity of the MoS2 is not efficient as Pt based materials because of its higher overpotential. Thus, there have been extensive attempts to improve catalytic activity of MoS2 such as increasing edge sites, transforming phase and inducing defects and strain. Despite these efforts, the overpotential was not reduced sufficiently. Recenly, one attempt was made to reduce the overpotential by transferring n-MoS2 on the p-type Si [1]. The overpotential was reduced by the built-in potential that was developed by charge transfer between Si and MoS2. In addition, it was suggested that the overpotential would be more reduced by constructing vertical TMD p-n junction in which p-type and n-type TMDs are stacked vertically.
In this presentation, we theoretically investigate the electronic structures of vertical MoS2 p-n junction and explore its potential applications as HER catalysts. In particular, we examine the possibility of lowering overpotential by built-in potential developed across the p-n junction. We demonstrate that 1.17 % doped bilayer, 0.29 % doped quadlayer, and 0.13 % doped hexalayer p-n junction result in 0.3 V built-in potential that may significantly increase the catalytic performance by reducing the overpotential. We also find that the band gaps of vertical TMD p-n junctions transform from indirect to direct by doping, which could be utilized for optoelectronic applications.
[1] Kwon, Ki Chang, et al. "Wafer-scale transferable molybdenum disulfide thin-film catalysts for photoelectrochemical hydrogen production." Energy & Environmental Science 9.7 (2016): 2240-2248.
8:00 PM - NM04.03.17
Orientation-Dependent Photocurrent in MoSe2/WSe2 Heterostructure
Woosuk Choi 1 2 , Imtisal Akhtar 1 2 , Yongho Seo 1 2
1 Nanotechnology and Advanced Material Engineering, Sejong University, Seoul Korea (the Republic of), 2 Graphene Research Institute, Sejong University, Seoul Korea (the Republic of)
Show AbstractRecently, transition metal dichalcogenides (TMDs) are further studied as effective 2D material, which can compensate for the lack of bandgap in graphene. In particular, it is noted that hexagonal TMDs (h-TMDs) with large bandgap, such as MoS2, MoSe2, WS2, and WSe2, show strong light–matter interactions in the visible range. In the paper of Heo et al., 2D vertical stacks of different hexagonal monolayers exhibit unusual electronic, photonic and photovoltaic responses arising from substantial interlayer excitations depending on crystal orientation.1 Due to this phenomenon, the orientation-dependent interlayer excitation becomes an important issue, but it is not well-known yet.
We have devised a new way to identify the crystal orientation to investigate this phenomenon in detail. Unlike the existing TEM and second-harmonic generation2, the crystal orientation of WSe2 and MoSe2 in a single crystal was confirmed by high resolution x-ray diffraction (HR-XRD) as a method to identify the crystal orientation. This method is effective because it is simple and non-destructive, unlike other methods.
By using pick-up transfer method, WSe2 and MoSe2 were vertically bonded at different angles by different crystal directions. All of these processes can be applied to the 2D band structure engineering because it is a technique that makes it easier to control the in-plane rotation between the adjacent monolayers. The crystal orientation and thickness were confirmed by using AFM, Raman, TEM and HR-XRD, and the electrical characteristics were confirmed with I-V characteristics and transconductance measurement. Finally, optical properties were determined by photoluminescence.
Reference
1. H. Heo, J. H. Sung, S. Cha, B. G. Jang, J. Y. Kim, G. Jin, D. Lee, J. H. Ahn, M. J. Lee, J. H. Shim, H. Choi and M. H. Jo, Nat Commun, 2015, 6.
2. K. L. Seyler, J. R. Schaibley, P. Gong, P. Rivera, A. M. Jones, S. F. Wu, J. Q. Yan, D. G. Mandrus, W. Yao and X. D. Xu, Nat Nanotechnol, 2015, 10, 407-411.
8:00 PM - NM04.03.18
A Wet-Chemical Method to Prepare Air-Stable Black Phosphorus with Desirable Thickness in a Single-Step
Shuangqing Fan 1 , Jiawei Lai 2 , Haicheng Hei 1 , Sen Wu 1 , Dong Sun 2 , Jing Liu 1
1 , Tianjin University, Tianjin China, 2 School of Physics, Peiking University, Beijing China
Show AbstractBlack phosphorus (BP) has attracted tremendous attentions because of its thickness-dependent direct bandgap and high carrier mobility. These fascinating properties make it a promising semiconducting material to be applied in the fields of consumer electronics, energy storage, optoelectronics, and etc. However, due to the lack of large-area growth method and instability under ambient environment, the applications of BP have been severely obstructed.
So far, many efforts have been made to produce thickness controlled and air-stable BP. The BP flake with desirable thickness is usually mechanically exfoliated from bulk BP followed by additional physical thinning, such as plasma etching1-5, which may introduce considerable amount of defects. On the other hand, the passivation of BP is realized by covering a layer of air-stable material on top of the flake6-12, which may degrade its environmental interactivity. Furthermore, the additional thinning and passivation processes are usually conducted in different equipments/stages, and therefore, during the transition between the two processes the BP may easily get oxidized. Consequently, it is highly desirable to find a method to fabricate thickness-controlled and air-stable BP within a single step and at the same time preserve its lattice integrity and environmental interactivity.
Here we demonstrate a wet-chemical method to produce thickness-controlled and air-stable BP within a single step. The method is conducted by immersing BP flakes in the prepared wet-chemical solution, which is composed of 2,2,6,6-tetramethylpiperidinyl-N-oxyl (TEMPO) and triphenylcarbenium tetrafluorobor in organic solvent. The wet-chemical solution can be tuned to either thin down or passivate BP flakes by using different solvents. The thinning rate can achieve 2 min/layer with improved lattice integrity as compared to physical thinning, while the passivation is implemented through covalent bonding between phosphor atoms and TEMPO molecules. The BP flake treated by the wet-chemical method has demonstrated to possess stable photo response to both visible and near-infrared wavelengths under ambient environment for at least four months with comparable responsivities with bare BP flakes. Our wet-chemical technique also opens up the possibility for industry to mass produce high quality air-stable BP flakes due to its compatibility with liquid phase processing.
8:00 PM - NM04.03.19
Optimizing Photoluminescence Enhancement in Vertical Stacked 2D WS2:hBN:MoS2 through Interlayer Distance and Exciton Generation Rate
Wenshuo Xu 1 , Daichi Kozawa 2 , Yu Liu 3 , Tian Jiang 3 , Michael Strano 2 , Jamie Warner 1
1 Department of Materials, University of Oxford, Oxford United Kingdom, 2 Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 College of Opto-Electronic Science and Engineering, National University of Defense Technology, Changsha, Hunan, China
Show AbstractThe 2D semiconductor monolayer transition metal dichalcogenides, WS2 and MoS2, are grown by chemical vapour deposition (CVD) and assembled by sequential transfer into vertical layered heterostructures (VLHs). Insulating hBN, also synthesized by CVD, is utilized to control the separation between WS2 and MoS2 by adjusting the layer number, leading to fine-scale tuning of the interlayer interactions within the VLHs. The interlayer interactions are studied by photoluminescence spectroscopy (PL) and are demonstrated to be highly sensitive to the input excitation power. For thin hBN separators (1-2 layers), the total PL emission switches from quenching to enhancement by increasing the laser power. The PL signal is further enhanced in cryogenic measurements due to the suppressed non-radiative decay channels. We show that (4±1) layers of hBN are the optimum for obtaining PL enhancement in our VLHs. Increasing thickness beyond this causes the enhancement factor to diminish, with the WS2 and MoS2 then behaving as isolated non-interacting monolayers. These results indicate how controlling the exciton generation rate influences energy transfer and plays an important role in the properties of VLHs.
8:00 PM - NM04.03.21
A Facile Substrate Treatment for Monolayer MoS2 Dendrites Growth
Jingwei Wang 1 2 , Chun Cheng 2 , Ning Wang 1
1 Department of Physics, Hong Kong University of Science and Technology, Hong Kong Hong Kong, 2 Department of Materials Science and Engineering, Southern University of Science and Technology, Shenzhen China
Show AbstractBy modifying the substrate with adhesive tape, we successfully synthesized single-layer dendritic MoS2 via a simple CVD method. The as-grown monolayer MoS2 dendrites are featured with hexagonal backbones which distinct from the morphologies ever reported. With various characterizations, we uncovered the growth mechanism as a result of twin crystal formation which is attributed to the fast growth and heterogeneities on the substrate surface. In addition, further attempts indicate the morphology of MoS2 can be widely tuned from compact six pointed star to fractal dendrite by pre-modifying the substrate with different tapes. Our work not only enlarges the morphology family of monolayer MoS2, but also provides a facile method for tailoring the shape of TMDCs which may facilitate the possible applications in the future.
8:00 PM - NM04.03.22
Salt-Promoted Chemical Vapor Deposition of MoS2
Ethan Kahn 1 2 , Neal Pierce 1 , Mauricio Terrones 3 2 4 , Avetik Harutyunyan 1
1 , Honda Research Institute USA Inc., Columbus, Ohio, United States, 2 Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 3 Physics, The Pennsylvania State University, University Park, Pennsylvania, United States, 4 Chemistry, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractOne of the central challenges facing the field of two-dimensional materials is the synthesis of large, single crystals for optical and electronic applications. A recent advance in chemical vapor deposition (CVD) was the observation that the addition of halide salts to a hot wall reactor could improve the domain size of WS2 and WSe2 monolayers to 200 μm1. We have adapted this technique for the growth of large (250 µm) MoS2 monolayer triangles from MoO2 and sodium salts. Through systematic variation of growth parameters, we find that the size and morphology of MoS2 monolayers can be rationally controlled, to form triangles, hexagons, three-pointed structures, and polycrystalline films, similar to a previous report2. By quenching growth at different times, we observe that coarsening plays a role in the formation of large crystallites. Chemical analysis of precursor powders and as-grown MoS2 has also been performed to elucidate the mechanism by which salts enhance growth.
1. Li, S. et al. Halide-assisted atmospheric pressure growth of large WSe2 and WS2 monolayer crystals. Appl. Mater. Today 1, 60–66 (2015).
2. Wang, S. et al. Shape Evolution of Monolayer MoS2 Crystals Grown by Chemical Vapor Deposition. Chem. Mater. 26, 6371–6379 (2014).
8:00 PM - NM04.03.23
Two Dimensional Based Layer Transfer Enabled by Remote Epitaxy
Yunjo Kim 1 , Kyusang Lee 1 , Babatunde Alawode 1 , Chanyeol Choi 1 , Yi Song 1 , Jared Johnson 2 , Christopher Heidelberger 1 , Wei Kong 1 , Shinhyun Choi 1 , Kuan Qiao 1 , Ibraheem Almansouri 3 , Eugene Fitzgerald 1 , Jing Kong 1 , Alexie Kolpak 1 , Jinwoo Hwang 2 , Jeehwan Kim 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , The Ohio State University, Columbus, Ohio, United States, 3 , Masdar Institute of Science and Technology, Abu Dhabi United Arab Emirates
Show AbstractCompound semiconductors have seen limited adoption in semiconductor industries mainly due to the rarity and high production costs associated with compound semiconductor wafers. There have been many proposals that attempt to reduce the cost of production by offering reusable wafers. In this scheme, the wafer is used as a platform to fabricate devices which is subsequently exfoliated via a layer transfer process allowing for the wafer to be re-used for continuous fabrication of thin-film devices. However, the layer transfer techniques that have been proposed so far often damages the wafer substrate, limiting their reusability and adding additional costs for surface refurbishment processes. In this work we propose a novel layer transfer process, termed two-dimensional material based layer transfer (2DLT), which prepares thin-film semiconductors by facile mechanical exfoliation to yield a clean wafer surface requiring minimal surface treatment. Moreover, this process can be applied to a wide range of material systems, suggesting a universal layer transfer process. The 2DLT process is enabled by a novel concept of semiconductor epitaxy, termed remote epitaxy. This work explores remote epitaxial growth of compound semiconductors on 2D material coated substrates and exfoliation of epitaxial films grown on 2D films. Due to the atomic-thickness and weak van der Waals interaction on the surface of 2D materials, semiconductor adatoms on its surface can be made to register to the substrate for growth of single crystalline semiconductor films. In addition, the weak interactions at the interface of the 2D monolayer provides a well-defined cleavage plane for facile mechanical exfoliation of the epitaxial film. This work investigates the conditions and mechanisms that facilitate remote epitaxy in order to identify the fabrication processes that enable 2DLT for compound semiconductors. The materials grown via remote epitaxy exhibited comparable properties to that of epitaxial films grown by conventional homoepitaxy, this has been demonstrated by fabrication of thin-film light emitting diodes (LEDs). Reusable 2D-coated substrates will have profound impact in the field of non-silicon electronics and photonics by lifting the cost of non-silicon substrates in device fabrication.
8:00 PM - NM04.03.24
Epitaxial Growth of Atomically Thick Multimetallic Pd@PtM (M = Ni, Rh, Ru) Core-Shell Nanosheets Realized by In Situ-Produced CO from Interfacial Catalytic Reactions
Yucong Yan 1
1 , Zhejiang University, Hangzhou China
Show AbstractAtomically thick Pt-based multimetallic core-shell nanosheets have received great attention as advanced catalysts, but the synthesis is still challenging. Here we report the synthesis of ultrathin multimetallic Pd@PtM (M = Ni, Rh, Ru) nanosheets including Pd@Pt nanosheets, in which Pt or Pt alloy shells with controlled thickness (2, 3, and 5 atomically thick) epitaxially grow on ultrathin two dimentional Pd seeds. The key to achieve high-quality Pt-based multimetallic nanosheets is in situ generation of CO through interfacial catalytic reactions associated with Pd nanosheets and benzyl alcohol. In addition, the accurate control in a trace amount of CO is also of great importance for conformal growth of multimetallic core-shell nanosheets. The Pd@PtNi nanosheets exhibit substantially improved activity and stability for methanol oxidation reaction compared to the Pd@Pt nanosheets and commercial Pt catalysts due to the advantages arising from two dimensional core-shell and alloy structures.
8:00 PM - NM04.03.25
Robust Half-Metallic Ferrimagnetism in a Monolayer Double Perovskite Sr2FeMoO6
Masahiko Yamada 1 2 , George Jackeli 2
1 , The Institute for Solid State Physics, Kashiwa Japan, 2 , Max Planck Institute for Solid State Research, Stuttgart Germany
Show AbstractDouble perovskite compounds, such as Sr2FeMoO6, have attracted intense interest as potential spintronics devices because of their room temperature ferrimagnetism (FiM), enhanced magnetoresistance, and possible half-metallicity. Motivated by the recent progress in synthesizing atomic scale slabs by pulsed laser deposition or molecular beam epitaxy, we report our theoretical results on the electronic and magnetic structures of a monolayer Sr2FeMoO6 grown along the [001] direction.
In this confined geometry, we have studied the stability of the half-metallic ground state in the presence of a strong relativistic spin-orbit coupling (SOC) in the molybdenum 4d-shell, based on a microscopic, low-energy tight-binding model and a spin-wave theory,. We have found that SOC gives rise to a drastic reconstruction of the electronic band structure in two dimensions, consisting of Dirac cones/Fermi pockets and Flat bands, leading to a robust half-metallic FiM state stable in a wide range of electron and hole doping. Our results, in turn, suggest that such a thin film of Sr2FeMoO6 hosts physical properties relevant for potential nano-scale spintronics devices.
8:00 PM - NM04.03.26
Preparation of α-ZrP Nanosheets Decorated with Gold/Iron Oxide Nanoparticles and Its Application as Reusable Magnetic Catalysts in the Reduction of 4-nitrophenol
Ying-Hao Pai 1 , Huang Tsao-Cheng 2 , Mei-Hui Tsai 2 , Chun-Hua Chen 1
1 Department of Materials Science and Engineering, National Chiao Tung University, Hsin-Chu Taiwan, 2 Department of Chemical and Materials Engineering, National Chiao Tung University, Taichung Taiwan
Show AbstractNanocomposites simultaneously comprising catalytic and ferric-oxide components have attracted great attention mainly due to their collectable and reusable features via magnetic fields. To achieve such multi-functional nanocomposites, α-zirconium phosphate (ZrP) nanosheets (~100 nm and ~4 nm in width and in thickness, respectively) with extremely high aspect and surface-to-volume ratios were selected and synthesized as the supporters and were then stepwise decorated with Au (~10 nm) and Fe3O4 (~5 nm) nanoparticles by anchoring amine group terminated chains of ZrP for the following catalytic and recycle characterizations. It was found that the resultant Au/Fe3O4@ZrP nanocomposites can be repeatedly applied for effectively and nearly complete reduction of 4-nitrophenol with the presence of NaBH4 for at least ten successive cycles at room temperature, evidently displaying sustainable potential in a variety of applications in catalysis, environment, and new energy fields where separation and recycling are considered to be imperative.
8:00 PM - NM04.03.27
Ohmic Contact Formation of 2H-1T’ MoTe2 Lateral Heterostructures Synthesized by Chemical Vapor Deposition Method
Xiang Zhang 1 , Pulickel Ajayan 1
1 , Rice University, Houston, Texas, United States
Show AbstractMolybdenum ditelluride (MoTe2) is a member of the two-dimensional transition metal dichalcogenides (TMDs) family, which owns unique structures and interesting properties compared to other group VI TMDs. MoTe2 has two different stable structures at room temperature, the semiconducting 2H phase and metallic 1T’ phase. 2H MoTe2 has a small band gap of ~ 1 eV, which is quite similar to that of Si. Besides, due to the energy difference between the two phases is very small, theoretically, it is possible to reversibly switch from 2H phase to 1T’ phase in some conditions.
In this work, controllable synthesis of large-area 2H and 1T’ MoTe2, and their lateral heterostructures by chemical vapor deposition are demonstrated. As-grown samples were characterized by optical microscopy, Raman spectroscopy, scanning electron microscopy, atomic-force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), UV-vis absorption spectroscopy, transmission electron microscopy (TEM), etc. Optical absorption spectra scanning near the interface of 2H-1T’ MoTe2 showed different optical properties between two phases due to their band structures. The grain size of 2H MoTe2 is much larger than 1T’ MoTe2, which was observed by TEM and explained by theoretical simulation. MoTe2 device arrays were fabricated by taking advantage of large-area MoTe2 film. The electrical properties of 2H and 1T’ MoTe2 were measured respectively. Ohmic contacts were formed when using 1T’ MoTe2 as contacts to measure 2H MoTe2 channel.
8:00 PM - NM04.03.28
NaCl-Assisted CVD Synthesis, Transfer and Persistent Photoconductivity Properties of Two-Dimensional Transition Metal Dichalcogenides
Yong Xie 1 3 , Zhan Wang 1 , Ruixue Wu 1 , Tang Nan 1 , Haolin Wang 1 , Yongjie Zhan 2 , Xiaohua Ma 1 , Yue Hao 1
1 , Xidian University, Xi'an China, 3 , Case Western Reserve University, Cleveland, Ohio, United States, 2 , Northwest University, Xi'an, Shaanxi, China
Show AbstractTransition metal dichalcogenides (TMDC), such as MoS2, WS2 have attracted attention due to mechanical and electronic properties in their two dimensional (2D) structures. Here, we report a facile growth of monolayer MoS2, WS2, WSe2 and MoTe2 using oxide source materials with the assistant of micro gram NaCl. The addition of NaCl can enhance the lateral growth and widen the growth window of TMDC. Through carefully controlling the growth parameters, shape control of TMDC can be achieved. Interestingly, the strain of monolayer WS2 can be tuned by the formation of defects. Polymethyl methacrylate (PMMA) with thermal release tape (TRT) or copper with TRT was used to transfer as grown TMDC on another SiO2/Si substrate for the fabrication of devices. μ-Raman and μ-photoluminescence was used to reveal the stain, doping and contamination etc. in as-grown and transferred monolayer TMDC. The phototransistors made from the CVD grown TMDC show strong persistent photoconductivity(PPC). The origin and influence issue of PPC will be discussed.
8:00 PM - NM04.03.29
Electronic and Optical Properties of 2D GaN from First Principles
Nocona Sanders 1 , Dylan Bayerl 1 , Guangsha Shi 1 , Emmanouil Kioupakis 1
1 Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractGaN is an important commercial semiconductor for solid-state lighting applications (2014 Nobel Prize in Physics). Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer 2D GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Our calculations also reveal that light emission from bilayer 2D GaN occurs at similar wavelength to its bulk GaN counterpart, due to the cancellation of the effect of quantum confinement on the carrier and excitons energies by the quantum-confined Stark shift. Furthermore, polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime. This work was supported by the NSF ECCS-CDS&E program under Award No. 1607796. Computational resources were provided by the DOE NERSC facility (DE-AC02-05CH11231).
8:00 PM - NM04.03.30
Effect of Gamma-Ray Irradiation on MoS2 Investigated by Raman and X-Ray Photoelectron Spectroscopy
Burcu Ozden 3 1 , Min Khanal 1 , Juhong Park 2 1 , Sunil Uperty 1 , Vahid Mirkhani 1 , Kosala Yapabandara 1 , Kyunghyuk Kim 1 , Marcelo Kuroda 1 , Michael Bozack 1 , Wonbong Choi 2 , Minseo Park 1
3 Physics, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 1 , Auburn University, Auburn, Alabama, United States, 2 , University of North Texas, Denton, Texas, United States
Show Abstract
Recently, molybdenum disulphide (MoS2) has attracted great attention for diverse applications due to its material properties. It is thought that these material properties make MoS2 attractive for the development of radiation-hard electronics. Therefore, for the first time, we have studied the effect of gamma-ray (γ-ray) irradiation on the crystal quality of a few-layered MoS2 by using Raman and X- ray photoelectron spectroscopy techniques. The γ-ray irradiation dose of 120Mrad was applied to 3.2, 4.5, and 5.2 nm thick MoS2 films which were grown on Si by using a two-step synthesis method (sputtering of Mo, followed by sulphurisation). Before γ-ray irradiation, three active Raman modes (E1g, E12g ,A1g) were detected. After γ-ray irradiation, the Raman peaks attributed to the E1g and A1g modes almost disappeared. In the XPS spectra, a dramatic decrease in the S2p peak accompanied by an increase in the O1s peak and the change in the Mo3d3/2 binding energy from what is known for stoichiometric MoS2 to that for native Mo oxide was observed. In conclusion, dramatic chemical changes in the MoS2 films after irradiation is believed to be related to the fact that S vacancies are formed due to γ-ray irradiation, which subsequently transforms MoS2 to a native MoOx.
8:00 PM - NM04.03.31
Organic Polychalcogenides—A Promising MOCVD Precursor for Large-Scale TMDs
Yi Wan 1 , Jing-Kai Huang 1 , Lain-Jong Li 1
1 , King Abdullah University of Science and Technology, Jeddah Saudi Arabia
Show AbstractAtomically-thin layered semiconducting films, for example, three-atom thick monolayer transition-metal dichalcogenides (TMDs) are promising for next generation electronics and optoelectronics. The chemical vapor deposition (CVD) based on solid-phase precursors, such as MoO3, WO3, S, Se, has shown great ability to control and deposit uniform and large-area TMDs layers. However, due to the high evaporation temperature and low vapor pressure of these solid-state precursors, the controlled growth of large-scale films for industry application remains as a challenge. Here, we explore a metal-organic chemical vapor deposition (MOCVD) technique which yields wafer-scale monolayer TMDs films by evaporating varied organic polychalcogenides compounds at low temperature. The organic polychalcogenides precursors we used can be controllably delivered to the reaction chamber and react with most known metal precursors (Mo(CO)6, W(CO)6). The electronic and photonic properties of as-synthesized films are comparable to those previously reported, demonstrating that our process is promising for realizing the industrialization of TMDs.
8:00 PM - NM04.03.32
Measurement of Adhesion Energy in 2D Material Interfaces Using Liquid-Filled Blisters
Daniel Sanchez 1 , Zhaohe Dai 2 , Peng Wang 2 , Arturo Cantu-Chavez 2 , Rui Huang 2 , Nanshu Lu 2
1 The Materials Science and Engineering Program, The University of Texas at Austin, Austin, Texas, United States, 2 , The University of Texas at Austin, Austin, Texas, United States
Show AbstractLayered systems of van der Waals bonded 2D materials are widely explored for new physics and devices, yet the exact adhesion energy between many interfaces has not been measured. Knowing the strength of interlayer adhesion is important for the manufacture of layered systems and prediction of their mechanical behavior. Recent literature shows that nanometer-scale interfacial blisters form spontaneously when monolayer sheets of 2D materials are transferred onto other surfaces in ambient conditions. There is currently no consensus on whether the blisters contain liquid, solid, or gas, but our time-lapse tapping mode atomic force microscopy (AFM) scans and observations from literature suggest that the substance is liquid. We provide theoretical solutions built upon the Föppl-von Kármán equations for thin, elastic membranes for the mechanics of liquid-filled interfacial blisters. The constitutive equations derived from the model allow us to estimate the adhesion energy of various 2D material interfaces given the aspect ratio of the blisters. To demonstrate the versatility of our model, we use tapping mode AFM to measure the aspect ratio of blisters found between graphene and SiO2. The calculated adhesion energies are in good agreement with values found in literature measured using different methods. We then apply our equations to data found in literature involving blisters that form at the interfaces of layered structures of hBN, graphene and MoS2, and determine their respective adhesions.
8:00 PM - NM04.03.34
MoSi2 Nanofilms with High and Tunable Metallicity
Liangfeng Huang 1 , James Rondinelli 1
1 , Northwestern University, Evanston, Illinois, United States
Show AbstractMo-Si structural alloys are promising for various ultrahigh-temperature applications owing to their excellent thermomechanical properties. Having an outstanding oxidation resistance, the native silicide MoSi2 is used as a coating to protect Mo-Si alloys. In this work, using density-functional theory (DFT) calculations, we propose an alternative novel use of MoSi2 nanofilms for electronics. The favorable thermodynamic and dynamical stabilities of MoSi2 nanofilms are comprehensively assessed using their formation energies, phonon spectra, and surface energies, which indicate their facile synthesis in experiment. The metallicity of MoSi2 nanofilms are sensitive to the type (crystal structure) and thickness. We explain how these dependencies originate from quantum-confinement and surface effects. The high and tunable metallicity of MoSi2 nanofilms found here may be used in a variety of architectures employing two-dimensional materials and functional oxides.
This work is supported by the ONR MURI Understanding Atomic Scale Structure in Four Dimensions to Design and Control Corrosion Resistant Alloys (Grant No. 00014-14-1-0675).
8:00 PM - NM04.03.35
Nafion-Assisted Exfoliation of MoS2 in Water Phase and the Application in Quick-Response NIR Light Controllable Multi-Shape Memory Membrane
Wei Jia 1 , Beibei Tang 1 , Peiyi Wu 1
1 Department of Macromolecular Science, Fudan University, Shanghai China
Show AbstractShape memory polymers (SMP) are materials that can memorize temporary shapes and revert to their permanent shape upon exposure to an external stimulus, showing great potential in various applications such as deployable space devices, smart dry adhesives, biomedicine, and bioinspired devices. Benefiting from a unique molecular structure and broad glass transition temperature range, Nafion can memorize multiple shapes in a single shape memory cycle and exhibits high tunability without material composition changes. Nevertheless, the heating actuation of Nafion is inconvenient in practical applications. MoS2 nanosheets have been reported as excellent near-infrared (NIR) light-thermal transformation agents. This property inspired the use of NIR light to trigger the shape-memory effect of Nafion with the assistance of MoS2 nanosheets, which could largely simplify the operation of shape-memory materials. In the current study, DuPont commercial perfluoro sulfonic acid polymer Nafion is adopted as a dispersant for assisting the water-phase ultrasonic exfoliation of MoS2. Nafion consists of a linear hydrophobic polytetrafluoroethylene backbone with short perfluoroether side chains terminated by highly hydrophilic sulfonic groups. The completely ionized hydrophilic sulfonic groups and hydrophobic polytetrafluoroethylene backbone permit stable non-covalent bonding interactions between Nafion and exfoliated few-layer MoS2 nanosheets for stabilization and functionalization to obtain Nafion–modified MoS2 (N-MoS2) nanocomposites in the water phase. These interactions are stable in different pH environments benefitted from the strong acidity of Nafion. N–MoS2/Nafion composite membranes are prepared by blending N–MoS2 and Nafion. The N-MoS2 nanocomposite exhibits good dispersibility in a Nafion matrix with the functionalization of Nafion. The photothermal response of the N-MoS2/Nafion composite membranes is very fast, requiring less than 30 s to reach the equilibrium temperature under 808-nm laser irradiation. The composite membranes take less than 25 s to cool to ambient temperature. The fast NIR-thermal response performance endows the N-MoS2/Nafion composite membrane with convenient temperature-controlling and shape-memory operation. The N-MoS2/Nafion composite membranes display a quadruple-shape memory effect without apparent chemical change and demonstrate the impressive resilience and repeatability of the composite membrane. The NIR light-controllable multi-shape-memory N-MoS2/Nafion composite membranes present outstanding performances, including quick response, outstanding resilience, excellent reproducibility, convenient operation, and good flexibility. The Nafion-assisted water-phase exfoliation method shows good efficiency, convenient operation, environmental benignity, and broad application potential.
8:00 PM - NM04.03.36
One-Step Synthesis of WS2/MoS2 Heterostructures via Microfabrication with Differing Layers of Transition Metal Oxides
Shichen Fu 1 , Kyungnam Kang 1 , Xiaotian Wang 1 , Anthony Palumbo 1 , Eui-Hyeok Yang 1
1 , Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractTransition metal dichalcogenide (TMD) heterostructures that consist of dissimilar TMDs stacked in the vertical direction have been extensively studied over the past few years, owing to their electronics and optoelectronics properties, which complement graphene. Chemical vapor deposition (CVD) has been widely used to synthesize TMD heterostructures. However, a reliable growth method of such TMD heterostructures needs to be further explored to achieve large crystal size with controlled growth location [1].
In this work, we demonstrate a one-step growth of WS2/MoS2 heterostructures using the contact growth method low pressure chemical vapor deposition (LPCVD). In the contact growth method, we deposit a transition metal thin film on a SiO2/Si wafer (source chip) and places the source chip face-to-face with a bare SiO2/Si substrate (growth chip). We perform microfabrication with precise differing layers of transition metal oxides (i.e., WO3, MoO3) for the source chip to achieve one-step growth of WS2/MoS2 heterostructures. In contrast to two-step method [2], one-step method avoids the risks of contamination between growth layers and resulting in a higher interlayer quality. First, we fabricate 7 nm deep rectangular trenches (20 mm x 900 mm) with a spacing of 20 mm via inductively coupled plasma (ICP), followed by deposition of 5 nm of MoO3 on top of the crests and 5 nm of WO3 inside the trenches via standard photolithography, e-beam evaporation and lift-off processes. This structure ensures adequate segregation between MoO3 and WO3 layers. The LPCVD synthesis is conducted within a 3-inch diameter quartz tube with a mechanical pump at one end to provide a base pressure of 193 mTorr during the growth. The center of the tube rests in a furnace which is ramped to 850 °C within 40 min and then held for 20 min for TMD growth. S powder is put upstream at a lower temperature zone to control time of vaporization. A 30 sccm of Ar is flown into the tube as the carrier and protective gas. Due to the different melting temperatures of MoO3 and WO3, the monolayer MoS2 grows first, followed by the growth of monolayer WS2 on top of MoS2, readily forming WS2/MoS2 vertical heterostructures as large as 20 μm (single crystals of WS2/MoS2 heterostructure). Cross-sectional TEM images and selected area electron diffraction (SAED) pattern confirm an epitaxial stacking of WS2 on MoS2. Furthermore, by tuning the trench patterns’ dimensions and spacing on the source chip during the microfabrication, we can furthermore achieve the localized vertical heterostructure growth. Our location-controlled one-step growth of vertical WS2/MoS2 heterostructures can also be applied for the one-step growth of TMD heterostructures using alternative chalcogenide atoms (i.e., WSe2/MoSe2).
Reference:
[1] K. Kang et al. Adv. Mater. 2017, 1603898.
[2] Y. Gong et al. Nano Lett. 2015, 15, 6135.
8:00 PM - NM04.03.37
Ultrafast Synthesis of Transition Metal Dichalcogenide–Carbon Aerogel Composites
Matthew Lim 1 , Matthew Crane 2 , Xuezhe Zhou 1 , Peter Pauzauskie 1 3
1 Materials Science and Engineering, University of Washington, Seattle, Washington, United States, 2 Chemical Engineering, University of Washington, Seattle, Washington, United States, 3 Fundamental & Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington, United States
Show AbstractTransition metal dichalcogenides (TMDs) have recently demonstrated exceptional supercapacitor properties after exfoliation with organolithium and accompanying conversion from the semiconducting, 2H phase to a metastable metallic, 1T phase, which enhances the electrical conductivity of the material and allows for rapid intercalation of alkali cations. However, freestanding, exfoliated TMD films exhibit surface areas far below their theoretical maximum, can fail during electrochemical operation due to poor mechanical properties, and require pyrophoric chemicals to process, hampering scalability despite their impressive performance. On the other hand, pyrolyzed carbon aerogels exhibit extraordinary specific surface areas for double-layer capacitance, high conductivity, and a mechanically strong network of covalent chemical bonds. Here, we demonstrate the scalable, rapid production of TMD (MoS2 and WS2)–carbon aerogel composites via an acid-catalyzed resorcinol–formaldehyde sol–gel process in acetonitrile at mild temperatures, using liquid-phase exfoliation of the TMDs to avoid pyrophoric chemicals. The aerogel matrix support enhances conductivity of the composite and the synthesis can complete in only 30 minutes after adding the gel precursors—2% of the time required for the typical base-catalyzed, aqueous route to resorcinol–formaldehyde gels. We find that the addition of TMDs does not significantly alter the morphology of the aerogel, which maintains a large specific surface area in excess of 500 m2/g with highly mesoporous structure. In addition, although the TMDs in the composite aerogels remain in the semiconducting, 2H phase, supercapacitor tests yield volumetric capacitances more than double that of unloaded carbon aerogels. Furthermore, the WS2-loaded aerogels show markedly better rate capability and lower operational impedance compared to their MoS2-loaded counterparts. Considering the wide range of applications for TMDs and porous conductive supports, we believe this time-efficient method will accelerate the manufacture of high-performance nanoengineered devices for energy storage, catalysis, and optoelectronics.
8:00 PM - NM04.03.38
Ambient Protection of Black Phosphorus Field-Effect Transistor through Non-Covalent Chemical Barrier
Hyunik Park 1 , Gwangseok Yang 1 , Jihyun Kim 1
1 , Korea University, Seoul Korea (the Republic of)
Show AbstractStarting from the discovery of graphene, 2-dimensional (2-D) materials have been received a considerable attention because they show exceptional properties when the number of atomic layer decreases and expanded into researches of various 2-D materials. Among them, layered black phosphorus (BP), a novel 2-D material recently exfoliated from bulk BP, is being extensively studied for various electronic device applications because of its outstanding properties such as thickness dependent direct bandgap, high carrier mobility and high current on/off ratios. However, serious problems remain that have to be solved. Although bulk BP is thermodynamically stable, layered BP is a very sensitive material and degrades easily in ambient air. This phenomenon is expected to be caused by the electron lone pair at the surface of BP that can react with reactive oxygen species (ROS). The lone pair can produce surface defects, which can chemically react with moisture. While the exact cause and the mechanism is under investigation, lots of researches show a common result that light, oxygen and moisture make synergistic effect and play a key role in the degradation of BP. When the ambient degradation occurs, semiconducting properties of BP is readily lost and cannot be used for electronic device applications such as field-effect transistors (FET).
In our study, we employed antioxidants to protect air sensitive BP devices. These antioxidants can prevent oxidative damages of organic/cellular materials by quenching free radical reactions through their radical scavenging property. BP FET is treated with butylated hydroxytoluene (BHT) antioxidant to form a chemical barrier that hinders the reaction of BP atoms and ROS, then the performance stability of the device was observed.
The quality of BP flakes before and after BHT treatment was maintained as observed using Raman spectroscopy. As-fabricated BP FET shows ambipolar behavior consistent with other researches, and the property was retained after BHT treatment, indicating that there is no chemical reaction between BP and BHT. Moreover, the electrical mobility and the current on/off ratios did not change as a function of time, which shows that BHT acts as a chemical barrier and inhibits the chemical reaction of BP and ROS. In addition, optical microscopy, FT-IR, XPS, AFM and Raman spectroscopy were employed in order to investigate the protection mechanism of BHT. Unlike using physical barriers like Al2O3 and covalently bonded chemical species on BP, this method is advantageous in: (i) protecting the ambient degradation of BP without chemical modification of BP surface, (ii) allowing stacking of various 2-D materials onto the device because capping of BHT is a reversible process. This novel strategy is very attractive as it is applicable in practical semiconductor industries. The details of the experimental results will be presented.
8:00 PM - NM04.03.39
Mechanistic Insight into the Chemical Exfoliation and Functionalization of Ti3C2 MXene
Avanish Mishra 1 , Pooja Srivastava 1 , Hiroshi Mizuseki 2 , Kwang-Ryeol Lee 2 , Abhishek Singh 1
1 Materials Research Centre, Indian Institute of Science Bangalore, Bengaluru, KA, India, 2 Computational Science Research Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of)
Show AbstractMXene, a two-dimensional layer of transition metal carbides/nitrides, showed great promises for energy storage, sensing and electronics applications. MXene are chemically exfoliated from the bulk MAX phase, however, mechanistic understanding of exfoliation and subsequent functionalization of these technologically important materials is still lacking. MXene is exfoliated from the MAX using HF, and its surface is randomly and non-uniformly covered by various F- and O- containing functional groups. To emphasize the presence of the functional group, MXene is often presented as Mn+1CnTx, where T=F, OH, O., In order to scale up these applications to the industrial level, the challenges associated with the isolation of high-quality pristine or uniformly functionalized MXene, need to be addressed. Using density-functional theory we show that exfoliation of Ti3C2 MXene proceeds via HF insertion through edges of Ti3AlC2 MAX phase. Spontaneous dissociation of HF and subsequent termination of edge Ti atoms by H/F weakens Al−MXene bonds. The consequent opening of interlayer gap allows further insertion of HF that leads to the formation of AlF3 and H2, which eventually come out of the MAX, leaving fluorinated MXene behind. The density of states and electron localization function show robust binding between F/OH and Ti, which makes it very difficult to obtain controlled functionalized or pristine MXene. Analysis of the calculated Gibbs free energy (ΔG) shows fully fluorinated MXene to be lowest in energy, whereas the formation of pristine MXene is thermodynamically least favorable. In the presence of water, mixed functionalized Ti3C2Fx(OH)1−x (x ranges from 0 to 1) MXene can be obtained. The ΔG for the mixed functionalized MXenes are very close in energy, indicating the random and non-uniform functionalization of MXene. Furthermore, at high concentration of HF/H2O, the formation of TiF4/TiF3/TiO2 and graphite becomes thermodynamically favorable, indicating the possibility of degradation of MXene under the very high concentration of HF or moist environment. The microscopic understanding gained here unveils the challenges in exfoliation and controlling the functionalization of MXene, which is essential for its practical application.
8:00 PM - NM04.03.40
Simple Method for Synthesis of High-Quality Millimeter-Scale 1T' Transition Metal Telluride and Near-Field Nano-Optical Properties
Kun Chen 1
1 , State Key Lab of Optoelectronic Materials and Technologies, Guangdong Province Key Laboratory of Display Material and Technology, Sun Yat–sen University, Guangzhou China
Show AbstractThe controlled synthesis of large-area and high-quality transitional metal tellurides flakes such as MoTe2 and WTe2 is crucial for their further fundamental research and potential electronic applications. In this work, we developed a simplified APCVD strategy to synthesize high-quality and large-scale monolayer and few-layer 1T′ phase MoTe2 (length~1mm) and WTe2 (length~350μm) crystals by using green and ordinary salts (KCl or NaCl) as the growth promoter combining with low-cost and soluble metal ammonia compounds such as (NH4)6Mo7O24●4H2O and hydrate (NH4)10W12O41●xH2O as the Mo and W sources, respectively. Atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, and transmission electron microscopy confirmed the high-quality nature and the atomic structure of the as-grown monolayer 1T′ MoTe2 and WTe2 flakes. Variable temperature transport measurements exhibited their semimetal properties. Furthermore, near-field Nano-optical imaging studies were performed on the 1T′ MoTe2 and WTe2 flakes for the first time. The sub-wavelength effect of 1T′ phase MoTe2 ( ~140nm) and WTe2 ( ~100nm) were obtained. Our approach paves the way for the growth of special TMDCs materials (beyond telluride compounds), which are difficult to be synthesized by traditional direct CVD process and boosts the future polaritonic research of two-dimensional telluride compounds.
8:00 PM - NM04.03.41
Extraordinary Li Storage Capacity in Atomically Thin 2D Sheets of Non-Layered MoO2
Chuan Xia 1 , Husam Alshareef 1
1 , King Abdullah University of Science and Technology (KAUST), Jeddah Saudi Arabia
Show AbstractSince the exfoliation and identification of graphene in 2004, research on layered ultrathin two-dimensional (2D) nanomaterials has achieved remarkable progress. Owing to the ultrahigh surface-to-volume ratio, extremely short ion-diffusion path, and strong quantum confinement of electrons in two dimensions, these ultrathin 2D materials always display much improved energy storage and conversion properties compared to their bulk counterparts. Herein, we report a systematic study involving theoretical and experimental approaches to evaluate the Li-ion storage capability in 2D atomic sheets of non-layered MoO2. Solution-phase growth of single unit cell and few-unit-cell thick 2D MoO2 sheets with well-defined ribbon shape was achieved, and the details of the 2D-MoO2 growth mechanism elucidated. We show through theoretical and experimental approaches that 2D-MoO2 exhibit excellent electrochemical performance that is suitable for LIB and Microsupercapacitor applications. When used as LIB anodes, these ultrathin 2D MoO2 electrodes demonstrate extraordinary reversible capacity as high as 1516 mAh g-1 after 100 cycles at current rate of 100 mA g-1 and 489 mAh g-1 after 1050 cycles at 1000 mA g-1. Our ex-situ XPS and XRD studies reveal a Li-storage mechanism consisting of an intercalation reaction and the formation metallic Li phase in these 2D electrodes. In addition, the 2D-MoO2 based microsupercapacitors exhibit high areal capacitance (63.1 mF cm-2 at 0.1 mA cm-2), good rate performance (81% retention from 0.1 to 2 mA cm-2) and superior cycle stability (86% retention after 10,000 cycles). Our work identifies a new pathway to make 2D nanostructures from non-layered compounds, which results in extremely enhanced energy storage capability.
8:00 PM - NM04.03.42
Contrasting Properties of Layered Transition Metal Ditellurides for Electrochemical Applications
Jan Luxa 1 , Vlastimil Mazanek 1 , Daniel Bouša 1 , Zdenek Sofer 1
1 Department of Inorganic Chemistry, UCT Prague, Prague Czechia
Show AbstractTransition metal dichalcogenides (TMDs) have been intensively studied over the past few years. Their potential applications range from opto- and microelectronics to batteries and electrocatalysis. Since the discovery of superior catalytical properties of MoS2 for hydrogen evolution, much of the research has been aimed towards the goal of replacing precious metal catalysts. This is highly desirable since current state-of-the-art catalyst – platinum is very expensive and rate. TMDs, on the other hand, are abundant and relatively cheap. Combination of their availability with good hydrogen evolution reaction (HER) electrocatalytical activity makes them an ideal replacement.
Despite their promising properties, most of the TMDs still remain relatively unexplored. Most of the research has been devoted to the well-known MoS2 and WS2. Numerous synthesis routes have been reported for the preparation of effective and stable catalysts. General approach is to increase the amount of active sites – edges. This is usually achieved via chemical or liquid-phase assisted exfoliation. Although there has been some effort to characterize other TMDs such as MoSe2, transition metal tellurides are yet to be properly examined.
In this work we report on the scalable synthesis of MoTe2 and WTe2 which we in turn exfoliated using two common exfoliation agents – n-buthyllithium and sodium naphtalenide. Synthesized materials were thoroughly characterized and a strong tendency towards oxidation was revealed for both materials. Observations made on the inherent redox properties were used for the electrochemical oxidation/reduction. Interestingly, high degree was corrosion was observed even after treatment with reductive potentials. On the other hand, the influence of corrosion products was found to be negligible in terms of HER catalytical activity. This is highly advantageous since corrosion has been shown in other TMDs to hinder their catalytical properties substantially.
8:00 PM - NM04.03.43
Two-Step Contact Growth of Vertical WSe2/MoSe2 Heterostructures
Siwei Chen 1 , KyungNam Kang 1 , Xiaotian Wang 1 , Shichen Fu 1 , Eui-Hyeok Yang 1
1 , Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractTransitional metal dichalcogenide (TMD) monolayers have distinct optoelectronic and electronic properties such as direct band gaps, which enable strong light-matter interaction to facilitate light harvesting. Due to the differences in the energy band between TMDs such as WSe2 and MoSe2, a type-II heterojunction can be formed when stacked together. However, the majority of TMD heterostructure devices to date have been fabricated via mechanical transfer, in which contaminants can be induced at the interface between layers. TMD heterostructures can be directly and epitaxially grown via chemical vapor deposition (CVD) to circumvent interfacial contamination [1]. however, a reliable growth method needs to be further explored to achieve large crystal size with controlled growth location for practical device applications.
In this work, we demonstrate a two-step, van der Waals epitaxial growth of 10 to 15 micron polycrystalline vertical heterostructures of WSe2 /MoSe2 via the contact growth method [2]. In the contact growth method, a SiO2/Si wafer is deposited with a WO3 thin film (source chip) and placed face-to-face with a bare SiO2/Si substrate (growth chip). The sample (i.e., contacted source and growth chips) is loaded into the center of a quartz tube inside of the heating zone of CVD furnace, and a crucible containing 0.5 grams of selenium powder is placed upstream for controlled sublimation. We optimize the timing to supply selenium vapor by precisely controlling the distance of the crucible from the edge of the heating zone of CVD in tube. The furnace is heated to 850 C at a 20 C/min ramping rate to grow WSe2; after 20 min at 850 C, the furnace is cooled down to room temperature. Next, MoSe2 is subsequently grown atop WSe2. The second source substrate (with MoO3 thin film on SiO2) contacts the growth substrate (with WSe2 monolayers this time) face-to-face. The growth process is similar to that of the first growth; the main difference between the two growth steps is that MoSe2 needs a longer duration of hydrogen supply than the case of WSe2 growth for >10 micron crystal growth.
Raman and PL characterization shows that both WSe2 and MoSe2 signatures, and selected area electron diffraction (SAED) patterns confirm an epitaxial stacking of monolayer WSe2 on monolayer MoSe2.
Gong, et al., Nano letters (2015)
X. Wang, et al., 2D Materials (2017).
8:00 PM - NM04.03.44
Resonant Second Harmonic Generation and Kinetics of Photoinduced Carriers in 2D Transition Metal Dichalcogenides
Elena Mishina 1 , Vladimir Morozov 1 , Nikita Ilyin 1 , Kirill Brekhov 1 , Sergey Lavrov 1
1 , Moscow Technological University (MIREA), Moscow Russian Federation
Show AbstractMonolayers of transition metal dichalcogenides (TMD), such as MoS2, WS2, MoSe2, WSe2 are direct bandgap semiconductors. This fact provides their unique properties and makes them very well fitting complements to graphene. Several optoelectronic devices were suggested and assembled using TMD/graphene heterostructures such as phototransistors [1] and field–effect transistors [2]. Carrier dynamics is one of the most important properties in semiconductor devices, such as high electron mobility transistor and field-effect transistor, both of which are capable for realizing terahertz ultrahigh-speed operation. Excitons are already suggested to be used in the excitonic devices [3].
In this paper we report a variation over several orders of magnitude of the nonlinear optical response of monolayer TMDs MoSe2 and WSe2. This is achieved by tuning the optical excitation on and off resonance with respect to the ground (1s) and excited (2s; 2p…) exciton states. For these materials, transient reflectivity is studied as well in an optical two-color pump-probe experiment providing information about carrier dynamics in the spectral range on and off resonance. Spectral dependences of excitation efficiency as well as the relaxation time constant are measured. Experiments are performed at 77K using femtosecond optical parametric amplifier provided 50-fs pulses in the range of 1200-1600 nm.To describe the obtained results we further developed the model suggested in [4] which is based on the method of nonequilibrium statistical operator for the case of strong photoexcitation (two-dimensional degenerated plasma). The model takes account the spin-valley structure of the conduction and valence bands. The evolution equations for the carrier and phonon quasi-temperatures are derived and the carrier-phonon relaxation time is estimated. For carrier density taken from the experiment we obtained the value of relaxation time 1 ps.
[1] W. Zhang, Chih-Piao Chuu, Jing-Kai Huang, et al, Scientific Reports 4, 3826 (2014)
[2] H. Du, T. Kim, S. Shin, et al, Appl. Phys. Lett. 107, 233106 (2015)
[3] P. Andreakou, S. V. Poltavtsev, J. R. Leonard et al, Appl. Phys. Lett.104, 091101 (2014)
[4] V.G. Morozov, C. Dekeyser, N. Ilyin, E. Mishina, Solid State Commun. 251, 32 (2017)
8:00 PM - NM04.03.45
Wafer-Scale van der Waals Epitaxy of 3D GaN on 2D h-BN Using MOCVD
Jaewon Kim 1 , Nam Han 1 , Dong Yeong Kim 1 , Hokyeong Jeong 1 , Kyung Song 2 , Si-Young Choi 2 , Jong Kyu Kim 1
1 , POSTECH, Pohang Korea (the Republic of), 2 Materials Modeling and Characterization Department, Korea Institute of Materials Science (KIMS), Changwon Korea (the Republic of)
Show AbstractRecently, two-dimensional (2D) layered materials such as graphene, hexagonal boron nitride (h-BN), and transitional metal dichalcogenides (TMDs) have emerged as a unique and promising alternative growth substrate for nitride semiconductor epitaxy [1-4]. The atomically-sharp interface free of dangling bonds can enable van der Waals epitaxy (vdWE) that can dramatically loosen the conventional material conditions of lattice and thermal expansion and possibly resolve undesired strain in the epi-layer that limits material performance and large-area scaling of these materials.
h-BN is a promising substrate for high-quality nitride epitaxy due to its nitride compatible crystal structure, high thermal stability, and the availability of nitride bonds that can help facilitate nucleation and crystal growth. Also, as in our previous report [5], h-BN can be grown as highly-crystalline few-layer films with wafer-scale uniformity. However, despite the fact that the growth of GaN on h-BN has been previously demonstrated [2], detailed structural characterization of the epitaxial interface, and studies on the material growth process and dynamics, and the properties of the resulting GaN film have been little elucidated.
In this work, we focus on the following objectives.
i) Atomic structural characterization of the GaN/h-BN/Sapphire hetero-interface
ii) Systematic study of the process parameter space and growth dynamics of GaN on h-BN for high-quality wafer-scale growth
iii) Investigation of the properties of the resulting GaN film
The research methodology and results are as follows. Metal-organic vapor deposition (MOCVD) is used to sequentially grow the h-BN and GaN layers on sapphire in a one-step continuous growth process with wafer-scale uniformity. Structural observation of the hetero-interface by cross-sectional transmission electron microscopy (TEM), reveals the uniform multilayer h-BN intact on the sapphire surface and confirms the epitaxial overgrowth of GaN on h-BN. Systematic study of the growth process emphasizes the importance of optimizing the GaN nucleation condition as the low surface energy of the 2D h-BN reduces nucleation density and wettability of the GaN precursors compared to conventional sapphire substrate. Namely, utilizing lower pressure during the nucleation phase and increasing the precursor V/III ratio promotes better coverage and adhesion of the GaN epi-layers. Moreover, Raman spectroscopy of the GaN E2 optical phonon mode show significant residual strain relaxation (~1.074 GPa) of the GaN grown on h-BN compared to that on sapphire revealing clues to the vdWE character of the semiconductor epi-layer.
References
[1] K. Chung et al. Science 330, 655 (2010).
[2] Y. Kobayashi et al. Nature 484, 223 (2012).
[3] J. Kim et al. Nat. Comm. 5, 4836 (2014).
[4] P. Gupta et al. Sci. Rep. 6, 23708 (2016).
[5] D.Y. Kim et al. Cryst. Growth Des. 17, 2569 (2017).
8:00 PM - NM04.03.46
The Resonant Raman Scattering in Monolayer WS2—The Effect of the Excitonic Charge State on Exciton-Phonon Interactions
Maciej Molas 2 , Karol Nogajewski 2 , Marek Potemski 1 2 , Adam Babinski 1
2 LNCMI, Centre National de la Recherche Scientifique (CNRS), Grenoble France, 1 Faculty of Physics, University of Warsaw, Warszawa Poland
Show AbstractThe Raman scattering spectroscopy is a technique of choice to study lattice dynamics in semiconductor nanostructures. Additionally the resonant excitation of the Raman scattering results in rich spectra, which reflect the coupling of phonon modes to electronic states excited resonantly in a crystal. Several transition metal dichalcogenides (TMDs) were studied with the aid of the technique. The effect of the resonance between the excitation and electronic transitions related to the spin-orbit split conduction and valence band
(VB) extrema at K points of the Brillouin zone (BZ) was demonstrated in several bulk as well as thin TMD materials.
Electron-phonon interactions involved in the processes are however far from being fully understood. Their complexity was revealed in one of the recently published studies in which different exciton–phonon interactions were shown to be involved in resonant Raman scattering exciton-phonon interactions in WS2 and WSe [1]. In particular the Raman scattering features of WS2 ML were enhanced by optical excitation corresponding to A and B excitons related to spin-orbit-split maxima of the VB in the K points of the BZ.
We report on the effect of the charge state of an exciton associated with the lowest energy direct bandgap (A exciton) in monolayer WS2 on the electron-phonon interactions involved in resonant Raman scattering.
We study the Raman scattering in the out-going resonance with energies of two electron-hole (excitonic) complexes associated with the lowest energy bands minima in monolayer WS2: the neutral (X0) and the negative
(X-) excitons. An asymmetric response to excitation in resonance with the X- and neutral X0 excitons is observed at T=5K. The former resonance results in a strong enhancement of the X- emission and the spectrum characteristic of cascade scattering with subsequent emission of optical and acoustic phonons. On the contrary several Raman scattering processes are enhanced while the emission is in out-going resonance with the energy of the X0 exciton. Most of them are usually absent from spectra under non-resonant excitation. No clear effect of the resonance on the background photoluminescence (PL) due to the neutral exciton is observed.
We relate the difference to localization of charged excitons which triggers the cascade Raman scattering process. We argue that our results point to yet another factor, which is of importance in understanding electron-phonon interactions in two-dimensional materials, namely the charge state of the involved exciton.
[1] E. del Corro, et al., Nano Letters 16, 2363 (2016).
[2] M. Molas et al., Scientific Reports 7, 5036 (2017).
8:00 PM - NM04.03.47
Intra-Bubble Strain Texture Controls Nanoscale Localization of Defect-Bound Excitons in Monolayer WSe2 at Room Temperature
Thomas Darlington 1 2 , Nicholas Borys 1 , Demi Ajayi 3 , Jenny Ardelean 3 , Andrey Krayev 4 , James Hone 3 , P James Schuck 1
1 , Lawrence Berkeley National Lab, Berkeley, California, United States, 2 Physics, University of California, Berkeley, Berkeley, California, United States, 3 Mechanical Engineering, Columbia University, New York, New York, United States, 4 , AIST-NT Incorporated, Novato, California, United States
Show AbstractMonolayer WSe2, an atomically thin semiconductor, hosts defect-bound exciton states that behave as nanoscale single-photon sources at cryogenic temperatures. Recent work has shown that these defect-bound exciton states can be preferentially localized to nanoscale strained regions referred to as “nano-bubbles,” suggesting a compelling route towards systematically patterning arrays of single photon emitters in monolayer semiconductors. However, while the correlation between the single-photon emitters and nano-bubbles is firmly established, many pressing questions remain such as to precisely where the defect states localize within the nano-bubble and whether these spatially localized emitters be effectively isolated at room-temperature.
Using nano-optical imaging and spectroscopy[1,2], localization of defect-bound excitons within single nano-bubbles is directly imaged at sub-50 nm length scales in monolayer WSe2 at room temperature. Multiple nano-bubbles are investigated and defect-bound exciton emission is observed in nano-bubbles that have lateral dimensions as small as 40 nm. In the larger nano-bubbles with lateral dimensions of ~150 nm, different spatial regions within the nano-bubbles are found the exhibit defect-bound exciton emission with distinct energies. Combined analysis of nanoscale, intra-bubble variations of the energy of the unbound exciton state as well as the topography reveal that strain is inhomogeneous across the bubble itself. Intra-bubble regions of larger strain are found to localize defect-bound excitons of lower energies and a nonlinear relationship between the energy of the defect-bound exciton and the local strain is uncovered, providing key insight into the underlying origins of the localization process and how strain can both localize the single-photon emitters as well as control their energy. And finally, the enhancement of nanoscale light-matter interactions by a plasmonic metal tip is found to preferentially enhance the defect state, enabling these localized emitters to be detected and imaged at room temperature with significantly higher fidelity than diffraction-limited optical techniques. All together, these results pinpoint important design rules for tailoring the position and energy of single-photon emitters in monolayer WSe2 and as well as the potential critical importance of using plasmonic nano-antennas to utilize these states in photonic and optoelectronic devices at room temperature.
[1] Bao, Borys et al., Nature Commun. 6, 7993 (2015).
[2] Kastl, Chen, Darlington et al., 2D Mater. 4, 021024 (2016).
Symposium Organizers
Swastik Kar, Northeastern University
Cinzia Casiraghi, University of Manchester
Arindam Ghosh, Indian Institute of Science
Saikat Talapatra, Southern Illinois University
Symposium Support
Nano Futures | IOP Publishing
National Science Foundation
NM04.04: Engineering 2D Materials and Systems for Nanoelectronic and Optoelectronic Applications
Session Chairs
Arun Bansil
Michelle Simmons
Tuesday AM, November 28, 2017
Hynes, Level 3, Room 312
8:00 AM - *NM04.04.01
Materials Science with Two-Dimensional Atomic Layers
Pulickel Ajayan 1
1 Department of Materials Science and NanoEngineering, Rice University, Houston, Texas, United States
Show AbstractThere has been tremendous interest in recent years to study two-dimensional atomic layers which form building blocks of many bulk layered materials. This talk will focus on the materials science of the emerging field of 2D atomic layers and their hybrids. Several aspects that include synthesis, characterization and manipulation will be explored with the objective of achieving 2D functional structures. In particular the talk will focus on phase engineering in 2D layers, stacking control in artificially stacked layered structures from 2D atomic layers of different compositions, doping and alloying in 2D layers and the creation of multi-component 2D transition metal dichalcogenide layers. In addition the talk also will address chemical functionalization and controlled chemical etching of 2D layers. The talk will explore the emerging landscape of 2D materials systems that include graphene, boron-nitrogen-carbon systems, and a large number of transition metal dichalcogenide compositions. Some of anticipated applications of these materials will also be discussed.
8:30 AM - NM04.04.02
Monolayer 2D Materials-Molecular Superlattices
Chen Wang 1 , Yu Huang 1 , Xiangfeng Duan 1
1 , University of California, Los Angeles, Los Angeles, California, United States
Show AbstractTwo-dimensional layered materials (2DLMs), such as transition metal dichaolcogenide (TMD) and black phosphorus have recently emerged as a central focus of materials research. The weak van der Waals interactions between each atomic layers in 2DLMs makes it feasible to isolate, mix, match and combine highly disparate atomic layers to create a wide array of van der Waals heterostructures (vdWHs) and artificial superlattices. Here we report electrochemical intercalation of MoS2 and BP with organic molecular to form a monolayer 2D materials-molecular superlattice (M2MMS). Using a home-built system, we conducted systematic investigations of the evolution of its structure and properties. The intercalation using electrochemical methods of 2DLMs with selected molecules or ions can considerably modulate their electronic properties. The organic intercalation induced monolayer photoluminescence and expands the interlayer distance of MoS2 and BP, which is clearly observed in the X-ray diffraction. Further, output characteristics of intercalated MoS2 show much higher conductivity, indicating the phase transition from pristine 2H-MoS2 to metallic 1T-MoS2. The BP device retains p-type properties with a respective mobility, which outperformed the most few-layer BP devices and show comparable mobility but much higher on/off ratio than thin BP devices. The cross sectional TEM images clearly show the distinct superlattice structure with alternating molecular and monolayer 2DLMs. This superlattice transistor can be applied directly to functional electronics devices, further opening up exciting opportunities for creation of a wide variety of integrated functional devices. Our study thus defines a general strategy to preparing 2D superlattices and opens up a new pathway to tailoring and taming the electronic properties of 2D materials for functional electronics and optoelectronics.
8:45 AM - NM04.04.03
Coulomb Engineering of the Bandgap and Excitons in Two-Dimensional Materials
Archana Raja 1 2 , Andrey Chaves 3 , Jaeeun Yu 2 , Ghidewon Arefe 2 , Heather Hill 2 1 , Albert Rigosi 2 1 , Timothy Berkelbach 4 , Philipp Nagler 5 , Christian Schüller 5 , Tobias Korn 5 , Colin Nuckolls 2 , James Hone 2 , Tony Heinz 1 2 , Louis Brus 2 , David Reichman 2 , Alexey Chernikov 5
1 , Stanford University, Stanford, California, United States, 2 , Columbia University , New York, New York, United States, 3 , Universidade Federal do Ceará, Fortaleza Brazil, 4 , The University of Chicago, Chicago, Illinois, United States, 5 , University of Regensburg, Regensburg Germany
Show AbstractA library of two-dimensional (2D) semiconductors is now available in the form of monolayers of a variety of van der Waals crystals. Transition metal dichalcogenides, in particular, exhibit a unique combination of new optical and electronic properties, including strong excitonic interactions. The ability to manipulate the bandgap of a semiconductor and the associated many-body interactions is a crucial aspect not only for investigating fundamental physical properties, but also for the application of these materials in a variety of optoelectronic devices.
We demonstrate a novel approach for bandgap engineering and also tuning the exciton binding energy in 2D semiconductors. The method is based on modification of the dielectric environment, rather than on any change in the material itself. The approach thus preserves the favorable characteristics of the 2D layer while tuning its electronic properties. The unique environmental sensitivity and strength of the Coulomb interaction in the 2D limit make it possible to significantly modify the bandgap and exciton binding energy by tuning the external dielectric media. We have determined the bandgap and exciton binding energy of the semiconductor by measuring ground and excited exciton transitions by optical spectroscopy and extrapolating to the quasi-particle band edge. In this way, we have directly demonstrated tuning of the bandgap and exciton binding energy of monolayer WS2 and WSe2 by 100’s of meV through control of the external dielectric environment. We have furthermore created lateral jumps in the monolayer semiconductor bandgap and exciton binding energy by preparing external dielectric media with abrupt boundaries. This approach should permit flexible nanoscale patterning of electronic properties of a homogeneous 2D material simply by designing an appropriate dielectric environment.
[1] A. Raja et al. Nature Communications, 8, 15251 (2017)
9:00 AM - NM04.04.04
Water-Based 2D-Crystal Inks—From Formulation Engineering to All-Printed Devices
Daryl McManus 1 , Sandra Vranic 1 , Freddie Withers 1 , Veronica Romaguera 1 , Massimo Macucci 2 , Giuseppe Iannaccone 2 , Kostas Kostarelos 1 , Gianluca Fiori 2 , Cinzia Casiraghi 1
1 , University of Manchester, Manchester United Kingdom, 2 , University of Pisa, Pisa Italy
Show AbstractThe isolation of various two-dimensional (2D) materials allows for the possibility to combine them into heterostructures. Such a concept can be used to study particular phenomena such as the metal-insulator transition, Coulomb drag, Hofstadter’s butterfly, or to make functional devices. The range of functionalities and performance are expected to be further improved by increasing the number of components in the heterostructure and by improving their electronic quality. Solution processing of graphene [1] allows simple and low-cost techniques such as inkjet printing [2,3] to be used for fabrication of heterostructure of arbitrary complexity. However, the success of this technology is determined by the nature and quality of the inks used. Available inkjet printable formulations are still far from ideal as they are either based on toxic solvents, have low concentration, or require time-consuming and expensive formulation processing. In addition, none of those formulations are suitable for all inkjet printed heterostructure fabrication due to the re-mixing of different 2D crystals, which gives rise to uncontrolled interfaces, resulting in poor performance and lack of reproducibility.
In this work we show a general formulation engineering approach to achieve highly concentrated, and inkjet printable water-based 2D crystal formulations, which also provide optimal film formation for multi-stack fabrication. Examples of all-inkjet printed heterostructures, such as large area arrays of photosensors on plastic and programmable logic memory devices, will be discussed [4].
References
1. J Coleman et al., Science, 2011, 331, 568.
2. Torrisi et al., ACS Nano, 2012, 6, 2992.
3. Finn et al., J. Mat. Chem. C, 2014, 2, 925.
4. McManus et al., Nature Nanotechnology, 2017 doi:10.1038/nnano.2016.281
9:15 AM - NM04.04.05
Dielectric h-BN Ink for Flexible Inkjet-Printed Thin-Film Transistors
Giovanni Vescio 1 , Lucia Lombardi 2 , Julian López-Vidrier 3 , Gemma Martín 1 , Panagiotis Karagiannidis 2 , Stephen Hodge 2 , Sònia Estradé 1 , Francesca Peiró 1 , Albert Cornet 1 , Albert Cirera 1 , Felice Torrisi 2 , Andrea Ferrari 2
1 Electronic Engineering, MIND, University of Barcelona, Barcelona, Barcelona, Spain, 2 , University of Cambridge , Cambridge, Cambridge, United Kingdom, 3 Faculty of Engineering, IMTEK, Albert-Ludwigs-University Freiburg, Freiburg, Freiburg, Germany
Show AbstractPrinted transistors (TFTs) have garnered significant interest because they offer unique
properties such as flexibility [1], light weight [1], large area [2] and suitability for low cost roll
to roll production [3]. However, their implementation is hindered by the modest mobility of
typical organic (conjugated polymers ~10 cm2 V-1 s-1 [4]) and inorganic semiconductors
(transition metal dichalcogenides ~0.1 cm2 V-1 s-1 [5], carbon nanotubes ~30 cm2 V-1 s-1 [6], and
metal oxides ~30 cm2 V-1 s-1 [7]), and by the low dielectric constant (ε~4)[8] and high thickness
(>1 μm)[8] of the most common printed dielectrics [9]. Graphene based TFTs with mobility up
to 100 cm2 V-1 s-1 were demonstrated on rigid substrates using SiO2 as dielectric [10]. Here,
we formulate an h-BN ink to print a 100 nm flexible dielectric. The ink is produced by
microfluidization in water [11]. A capacitance per unit area up to ~1 nF mm-2 is measured with
a corresponding ε~6.92. This is higher than other h-BN inks (ε~1.5-2.5 [12,13]), graphene
oxide (ε~3) [14] and commercial printable dielectrics (εPMMA~3 [8], εSU-8 ~4[15], εPVP ~5 [16]).
High ε is required to minimize the power consumption and the leakage without compromising
the gate capacitance [17]. Our h-BN dielectric does not require high temperature sintering
(>150 ○C) unlike high-k nanoparticles [15,18] (HfO2, BaTiO3). We print 400 nm h-BN films and
integrate them as insulators in flexible printed graphene TFTs with 40 μm channel. These
show a mobility up to 110 cm2 V-1 s-1, comparable with that reported for high frequency (GHz)
TFTs but based on a single graphene flake [19] and by Ref.[10], but on a flexible substrate.
Flexibility measurements show >90% recovery of the initial mobility after bending to 5 mm
radius.
[1] J. Jian et al, Adv. Mater. 28, 1420 (2016)
[2] C.A. Airas et al, Chem. Rev. 110, 3 (2010)
[3] W. Lee et al, Sci. Rep. 5, 17707 (2015)
[4] K. Fukuda et al, Nat. Commun. 5, 4147 (2014)
[5] Kelly at al, Science 356.6333, 69 (2017)
[6] B. Kim et al, Appl. Phys. Lett. 103, 82119 (2013)
[7] D. Lee et al, J. Mater. Chem.19, 3135, (2009)
[8] M. Mikolajek et al, Adv. Eng. Mater. 17, 1294 (2015)
[9] H.M. Heitzer et al, ACS Nano 8, 12 (2014)
[10] F. Torrisi et al, ASC Nano 6.4, 2992 (2012)
[11] P. Karagiannidis et al, ACS Nano 11, 2742 (2017)
[12] D. McManus et al, Nat. Nanotechnol. 12.4, 343 (2017)
[13] Kelly et al, App. Phy. Lett. 109.2, 23107 (2016)
[14] S. Lee et al, Nano Lett. 12, 3472 (2012)
[15] A. Petritz et al, Org. Electron. Physics Mater. Appl. 14, 3070 (2013)
[16] T. Hassinen et al, J. Appl. Phys. 53, (2014)
[17] R.P. Ortiz et al, Chem. Rev. 110, 205 (2010)
[18] G. Vescio et al, J. Mater. Chem. C 4, 1804 (2016)
[19] C. Sire et al, Nano Lett. 12, 1184 (2012)
9:30 AM - NM04.04.06
Electronic and Transport Properties of Si-S Substituted Phosphorene Bilayer Nano-Junction
Vivekanand Shukla 1 , Anton Grigoriev 1 , Naresh K. Jena 1 , Rajeev Ahuja 1 2
1 Physics and Astronomy, Uppsala Universitet, Uppsala Sweden, 2 Applied Materials Physics, Department of Materials and Engineering, Royal Institute of Technology (KTH), Stockholm Sweden
Show AbstractIn the post-graphene age, a new two-dimensional (2D) material, black phosphorous (BP) has drawn acute interest from scientific community due to its broad application in the field effect transistor and optoelectronic applications. Monolayer of BP is associated with remarkable electronic properties, desirable band gap (0.9 eV) and anisotropic transport in zig-zag and armchair directions. Few layer of BP has been reported to possess even superior tunable electronic properties in comparison to monolayer; such as charge carrier mobility up to 1000 cm2V-1s-1 and layer dependent band gap up to 0.19 eV. Reduction in band gap is attributed to the van der walls interaction within the layers. This layer dependent band gap is also confirmed in experiments, evidenced by layer dependent transport gap. Here in, we model an isolated BP bilayer nano-junction where Si and S atoms substitute phosphorus atoms in bottom and top layers, respectively. High concentration of dopant Si and S atoms have been substituted to make system isoelectric to pristine bilayer BP. In density functional theory (DFT) formalism, we investigated two aspects, firstly the formation of large built in electric field due to the combination of the effect of fermi level mismatch between isolated Si-rich and S-rich layers and the secondly the partial charge transfer between the layers as they are in contact. Transport properties are further studied employing the non-equilibrium Green’s function (NEGF) formalism in conjugation with DFT using TranSiesta code. We see that transport gap remains same in bilayer BP and doped bilayer BP because states due S and Si does behave as scattering centers but they do not contribute to the electronic transport. In order to understand the role of dopant on interlayer current, we consider a nano-junction different from the bilayer junction in the sense that the contact is made by superimposing the terminations of two semi-infinite H-terminated BP layers. Thus the partial overlap of doped BP flakes defines the contact region. Electron transport will occur from one layer to the other by overcoming the energy barrier in this case. Left-right symmetry is broken and it can behave like p-n junction. We also investigated this nano-junction for possible rectification behavior that is independent of the relative sub-lattice occupations.
10:15 AM - *NM04.04.07
2D Semiconductor Electronics—Advances, Challenges and Opportunities
Ali Javey 1
1 , University of California, Berkeley, Berkeley, California, United States
Show AbstractTwo-dimensional (2-D) semiconductors exhibit excellent device characteristics, as well as novel optical, electrical, and optoelectronic characteristics. In this talk, I will present our recent advancements in defect passivation, contact engineering, surface charge transfer doping, ultrashort transistors, and heterostructure devices of layered chalcogenides. We have developed a defect passivation technique that allows for observation of near-unity quantum yield in monolayer MoS2. The work presents the first demonstration of an optoelectronically perfect monolayer. Forming Ohmic contacts for both electrons and holes is necessary in order to exploit the performance limits of enabled devices while shedding light on the intrinsic properties of a material system. In this regard, we have developed different strategies, including the use of surface charge transfer doping at the contacts to thin down the Schottky barriers, thereby, enabling efficient injection of electrons or holes. We have been able to show high performance n- and p-FETs with various 2D materials, including the demonstration of a FET with 1nm physical gate length exhibiting near ideal switching characteristics. Additionally, I will discuss the use of layered chalcogenides for various heterostructure device applications, exploiting charge transfer at the van der Waals heterointerfaces.
10:45 AM - NM04.04.08
Optoelectronic Properties of 2D Transition Metal Dichalcogenides—Photoinduced Charge Transfer
Adalberto Gonzalez 1 , Jong Hyun Choi 1
1 , Purdue University, West Lafayette, Indiana, United States
Show AbstractAtomically thin transition metal dichalcogenides (TMDs) have attracted great interest as a new class of 2D direct band gap semiconducting materials. The controllable modulation of optical and electrical properties of TMDs is of fundamental importance to enable a wide range of future optoelectronic devices. Here we demonstrate a modulation of the optoelectronic properties of 2D TMDs, including MoS2, MoSe2, and WSe2, by interfacing them with two metal-centered phthalocyanine (MPc) molecules: nickel Pc (NiPc) and magnesium Pc (MgPc). We show that the photoluminescence (PL) emission can be selectively and reversibly engineered through energetically favorable electron transfer from photoexcited TMDs to MPcs. NiPc molecules, whose reduction potential is positioned below the conduction band minima (CBM) of monolayer MoSe2 and WSe2, but is higher than that of MoS2, quench the PL signatures of MoSe2 and WSe2, but not MoS2. Similarly, MgPc quenches only WSe2, as its reduction potential is situated below the CBM of WSe2, but above those of MoS2 and MoSe2. The quenched PL emission can be fully recovered when MPc molecules are removed from the TMD surfaces, which may be refunctionalized and recycled multiple times. We also find that photocurrents from TMDs, probed by photoconductive atomic force microscopy, increase over 2-fold only when the PL is quenched by MPcs, further supporting the photoinduced charge transfer mechanism. Our results should benefit design strategies for 2D inorganic−organic optoelectronic devices and systems with tunable properties and improved performances.
11:00 AM - NM04.04.09
Rapid Flame Synthesis of Atomically Thin MoO3 down to Monolayer Thickness for Effective Hole Doping of WSe2
Lili Cai 1 , Connor McClellan 1 , Ai Leen Koh 1 , Hong Li 1 , Eilam Yalon 1 , Eric Pop 1 , Xiaolin Zheng 1
1 , Stanford University, Stanford, California, United States
Show AbstractTwo-dimensional (2D) molybdenum trioxide (MoO3) with mono- or few-layer thickness can potentially advance many applications, ranging from optoelectronics, catalysis, sensors, and batteries to electrochromic devices. Such ultrathin MoO3 sheets can also be integrated with other 2D materials (e.g., as dopants) to realize new or improved electronic devices. However, there is lack of a rapid and scalable method to controllably grow mono- or few-layer MoO3. Here, we report the first demonstration of using a rapid (<2 min) flame synthesis method to deposit mono- and few-layer MoO3 sheets (several microns in lateral dimension) on a wide variety of layered materials, including mica, MoS2, graphene, and WSe2, based on van der Waals epitaxy. The flame-grown ultrathin MoO3 sheet functions as an efficient hole doping layer for WSe2, enabling WSe2 to reach the lowest sheet and contact resistance reported to date among all the p-type 2D materials (∼6.5 kΩ/sq and ∼0.8 kΩ.μm, respectively). These results demonstrate that flame synthesis is a rapid and scalable pathway to growing atomically thin 2D metal oxides, opening up new opportunities for advancing 2D electronics.
11:15 AM - NM04.04.10
Oxide-Mediated Self-Limiting Recovery of Field Effect Mobility in Plasma-Treated MoS2
Jakub Jadwiszczak 1 2 3 , Colin O'Callaghan 1 2 3 , Yangbo Zhou 1 2 5 , Daniel Fox 1 2 3 , Eamonn Weitz 1 , Darragh Keane 2 3 4 , Ian O'Reilly 1 , Clive Downing 2 3 , Aleksey Shmeliov 2 3 4 , Pierce Maguire 1 2 3 , John Gough 1 2 , Cormac McGuinness 1 2 , Mauro Ferreira 1 2 3 , A. Louise Bradley 1 2 , John Boland 2 3 4 , Valeria Nicolosi 2 3 4 , Hongzhou Zhang 1 2 3
1 School of Physics, Trinity College Dublin, Dublin Ireland, 2 , Centre for Research on Adaptive Nanostructures and Nanodevices, Dublin Ireland, 3 , Advanced Materials and BioEngineering Research Centre, Dublin Ireland, 5 School of Material Science and Engineering, Nanchang University, Nanchang, Jiangxi, China, 4 School of Chemistry, Trinity College Dublin, Dublin Ireland
Show AbstractThe precise tunability of electronic properties of 2D nanomaterials is a key goal of current research in this field of materials science. Chemical modification of layered transition metal dichalcogenides can lead to the creation of heterostructures of these low-dimensional materials. In particular, the effect of oxygen-containing plasma treatment on molybdenum disulfide (MoS2) has long been thought to be detrimental to the electrical performance of the material.
Here we show that the field effect mobility and conductivity of mechanically-exfoliated MoS2 transistors can be precisely controlled and improved by the systematic exposure to O2:Ar (1:3) plasma. We further characterise the material utilising atomic force microscopy (AFM), scanning electron microscopy (SEM), electron dispersive X-ray spectroscopy (EDX), Raman spectroscopy, photoluminescence spectroscopy (PL), X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM), aberration-corrected scanning transmission electron microscopy (AC-STEM) and electron energy loss spectroscopy (EELS). Through complementary theoretical modelling of resistive networks, which confirms conductivity enhancement, we examine the role of a two-dimensional phase of molybdenum trioxide (2D-MoO3) in improving the electronic behaviour of the MoS2 devices.
We demonstrate that we can control the inclusion of MoO3 into MoS2 to the degree that a monolayer-thick distribution of MoO3 patches tunes the electrical performance of MoS2 field effect transistors to values exceeding that of the pristine MoS2. We also discover that this previously unstudied MoO3 phase is volatile under ultra-high vacuum. Deduction of the beneficial role of MoO3 will serve to open the field to new approaches with regard to the tunability of 2D semiconductors by their low-dimensional oxides in nano-modified heterostructures.
11:30 AM - NM04.04.11
Single Pixel Black Phosphorus Photodetector for Near-Infrared Imaging
Jinshui Miao 1 , Le Cai 1 , Suoming Zhang 1 , Chuan Wang 1
1 , Michigan State University, East Lansing, Michigan, United States
Show AbstractInfrared imaging systems have wide range of military or civil applications and 2-dimensional (2D) nanomaterials have recently emerged as potential sensing materials that may outperform conventional ones such as HgCdTe, InGaAs, and InSb. As an example, 2D black phosphorus (BP) thin film has a thickness-dependent direct bandgap with low shot noise and non-cryogenic operation for visible to mid-infrared photodetection. In this paper, we demonstrate the use of a single-pixel photodetector made with few-layer BP thin film for near-infrared imaging applications. The imaging is achieved by combining the photodetector with a digital micromirror device to encode and subsequently reconstruct the image based on compressive sensing algorithm. Stationary images of a near-infrared laser spot (λ = 830 nm) with up to 64 × 64 pixels were captured using our single-pixel BP camera with 2000 times of measurements, which is only half of the total number of pixels. The imaging platform demonstrated in this work circumvents the grand challenges of scalable BP material growth for photodetector array fabrication and shows the efficacy of utilizing the outstanding performance of BP photodetector for future high-speed infrared camera applications.
11:45 AM - NM04.04.12
Opto-Electronic Properties of Multilayer Indium Selenide (InSe) Field Effect Transistors
Milinda Wasala 1 , Prasanna Patil 1 , Sujoy Ghosh 1 , Sidong Lei 2 , Robert Vajtai 2 , Pulickel Ajayan 2 , Saikat Talapatra 1
1 , Southern Illinois University, Carbondale, Illinois, United States, 2 Department of Materials Science and Nano Engineering, Rice University, Houston, Texas, United States
Show AbstractGroup III-VI based 2D semiconductor, due to their exotic optical properties, could possibly lead to multifunctional opto-electronic applications such as tunable photo detectors. Here, we report on the study of photoconductivity of InSe based Field Effect Transistors. Phototransistors fabricated using multilayer (~34 nm thick) two dimensional InSe flakes exhibit responsivity (R) values few AW-1 and with external quantum efficiencies reaching beyond 102 % when excited with a 658 nm wavelength laser. Typical response times ~ hundreds of ms were obtained in these devices. Photoconductivity measurements investigated using a continuous laser of λ=658nm (E=1.88eV), over a broad range of illuminating laser intensities, P (22nW < P <19 μW) indicate a power law dependence of steady state photocurrent (Iph) on P (Iγ~with γ~1). The dependence of γ with applied gate voltage was also monitored. From the variation of γ with applied gate voltage indicate the presence of photogating effects in the photoconduction mechanism of these devices. Temperature dependence of some of the key figure of merits of these phototransistors will be presented and will discussed in the light of available models.
NM04.05: Novel States, Phases and Characterizations of 2D Systems
Session Chairs
Pulickel Ajayan
Ali Javey
Tuesday PM, November 28, 2017
Hynes, Level 3, Room 312
1:30 PM - *NM04.05.01
Modeling and Understanding Novel Phases of Layered Materials
Arun Bansil 1
1 Physics Department, Northeastern University, Boston, Massachusetts, United States
Show AbstractI will highlight our recent work [1-7] aimed at modeling novel phases of layered materials and their properties as follows. (1) How a new type of tunable and laser-reconfigurable 2D heterocrystal can be obtained by epitaxial stacking of crystallographically incommensurate Bi2Se3 and MoS2 atomic layers. (2) By considering silicene on Ag (111) surface, we identify the first case of a new type of Dirac Fermion generated through the interaction of two different constituents. (3) We predict half-fluorinated GaBi honeycomb under tensile strain to harbor a quantum anomalous Hall (QAH) insulator phase. (4) Nature of electronic structure changes induced via interlayer coupling in few-layer MoS2 were examined to gain an understanding of how layer thickness can be used to control electronic properties in 2D materials. Work supported by the U.S.D.O.E.
[1] Bansil, Lin and Das, Reviews of Modern Physics 88, 021004 (2016).
[2] Vargas et al., Science Advances (2017).
[3] Xu et al., Science Advances 3, e1603266 (2017).
[4] Feng et al., Proc. National Academy of Sciences 113, 14656 (2016).
[5] Tylus et al., Applied Catalysis B: Environmental 198, 318 (2016).
[6] Chen et al, Nature Scientific Reports 6, 31317 (2016).
[7] Trainer et al, Nature Scientific Reports 7, 40559 (2017).
2:00 PM - NM04.05.02
Manipulating Charge Density Wave (CDW) Phase Transitions in 1T-Tantalum Disulfide (1T-TaS2)—A Substrate Study
Rui Zhao 1 , Yi Wang 1 , Donna Deng 1 , Yu-Ping Sun 2 , Zi-Kui Liu 1 , Long-Qing Chen 1 , Joshua Robinson 1
1 , The Pennsylvania State University, University Park, Pennsylvania, United States, 2 , Chinese Academy of Sciences, Hefei, Anhui, China
Show AbstractPhase transition with electronic property change in two-dimensional (2D) materials demonstrates potentials in novel devices for beyond-CMOS applications. One such material is 1T-Tantalum Sulfide (1T-TaS2), which exhibits a series of charge density waves (CDW) and periodic lattice distortions at different temperatures. One of its phase transitions is from nearly commensurate CDW (NCCDW) phase to commensurate CDW (CCDW) phase with about 10x resistivity increase (metal-insulator transition, MIT). This sharp change could be potentially connected with other 2D field effect transistors (2D-FET) and realize 2D phase-FET with steep slope switching.
When utilizing nanometer thick 1T-TaS2, the underlying substrates can serve as a simple support, but can also provide source of strains, doping or charge screening. In our work, we studied different substrates (SiO2/Si, sapphire, eG, vanadium dioxide and strontium vanadium oxide) and their impacts on 1T-TaS2 MIT phase transition using Raman spectroscopy. Based on Raman feature evolutions, we found that for ~18nm 1T-TaS2 flakes, their MIT phase transition is more affected by substrate’s surface roughness than its electric conductivities. The transition hysteresis is largely reduced on rougher surface. To prove this assumption, we make different patterns on SiO2/Si surface. By comparing 18nm flakes on these patterned substrates, we find that surface texture could provide a series of pinning centers, which induce different levels of energy barriers that either facilitate or limit MIT phase transitions. For high pinning angles, the commensurate CDW phase could be pinned to higher temperatures with a wider transition hysteresis, which indicates an enhanced transition energy barrier.
In summary, our work has demonstrated the impact from substrate surface (both pristine and patterned) on 18nm 1T-TaS2 MIT phase transition. This result could help engineer switching characteristics for next generation device applications.
2:15 PM - NM04.05.03
Alloying-Induced Biaxial Strain in Compositionally-Modulated Two-Dimensional Van der Waals Crystals
Hossein Taghinejad 1 , Ali Eftekhar 1 , Mohammad Taghinejad 1 , Yao Zhou 3 , Philip Campbell 1 , Brian Beatty 1 , Pulickel Ajayan 2 , Evan Reed 3 , Eric Vogel 1 , Ali Adibi 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States, 3 , Stanford University, Stanford, California, United States, 2 , Rice University, Huston, Texas, United States
Show AbstractTwo-dimensional (2D) Transition-metal dichalcogenides (TMDs) with non-vanishing bandgap offer a rich platform for designing optoelectronic devices such as lasers and light-emitting diodes. The variety of transition metals (M = Mo, W, etc.) and chalcogens (X = S, Se, etc.) provides a “digital” portfolio of binary crystals in the form of MX2 with functionalities covering from visible to near infrared spectral range. Analogous to the conventional semiconductors, alloying of binary crystals and formation of ternary compounds enables expanding this portfolio into a “continuum”, where controlling the alloy composition ratio (i.e., x) tunes the bandgap energy between the characteristic bandgaps of constituting binary compounds. Examples of the fine-tuning of the bandgap energy has already been demonstrated in MoxW1-xSe2 (1.5-1.7 eV), WS2xSe2(1-x) (1.7-2.1 eV), MoS2xSe2(1-x) (1.5-1.9 eV), and MoxW1-xS2 (1.9-2.1 eV) ternary alloys. In addition to bandgap engineering, the spatial modulation of the alloy composition allows formation of lateral heterostructures with sharp interfaces and tunable inter-junction band offsets. 2D TMD alloys can be synthesized either directly via simultaneous reaction of multiple precursors or indirectly via incorporation of foreign atoms (M’ or X’) into the lattice of a pre-grown binary compound (MX2). Although the direct approach yields high quality 2D alloys, it offers a poor spatial control over the chemical composition. In contrast, the indirect approach can take advantage of lithography processes to form lateral heterostructures with deterministic dimensions in intended locations. Moreover, the indirect alloying enables post-growth trimming of the optoelectronic properties in 2D TMD crystals.
Here, we report discovery of an unprecedented biaxial strain that phenomenologically stems from the indirect nature of the synthesis process in monolayer TMD alloys and inflicts fracture of the crystals. In a case study of a MoS2xSe2(1-x) alloy, we find that the starting crystal (i.e., MoSe2) fails to adjust its lattice constant as the atoms of the host crystal (i.e., Se) are replaced by foreign atoms (i.e., S) during the alloying process. Thus, the resulting alloy is formed in a stretched lattice and experiences a large biaxial tensile strain. Our experiments show that the biaxial strain is relaxed via formation of cracks in the interior crystal domains or through less constraint bounds at the edge of the monolayer alloys. In addition, we use Griffith’s criterion combined with careful density functional theory calculations to understand the cracking origin. Our results suggest that defects combined with the sulfur-rich environment, during the alloying process, have the potential to significantly reduce the critical strain at which cracking occurs. Our calculations demonstrate a substantial reduction of fracture-inducing critical strain from 11% (in standard TMD crystals) to a range below 4% in as-synthesized alloys.
2:30 PM - NM04.05.04
Control of Coherently Coupled Exciton-Polaritons in Monolayer Tungsten Disulphide
Wei Bao 1 , Xiaoze Liu 1 , Quanwei Li 1 , Chad Ropp 1 , Yuan Wang 1 , Xiang Zhang 1
1 , University of California, Berkeley, Berkeley, California, United States
Show AbstractMonolayer transition metal dichalcogenides (TMD) with confined 2D Wannier-Mott excitons are intriguing for the fundamental study of strong light-matter interactions and the exploration of exciton-polaritons at high temperatures. However, the research of 2D exciton-polaritons has been hindered, because the polaritons in these atomically thin semiconductors discovered so far can hardly support strong nonlinear interactions and quantum coherence due to uncontrollable polariton dynamics and weakened coherent coupling. In this work, we demonstrate, for the first time, precisely controlled hybrid composition with angular dependence and dispersion-correlated polariton emission by tuning the polariton dispersion in TMD over a broad temperature range of 110-230 K in a single cavity. This tamed polariton emission is achieved by the realization of robust coherent exciton-photon coupling in a monolayer tungsten disulphide (WS2) with large splitting-to-linewidth ratios (SLR, >3.3). The unprecedented ability to manipulate the dispersion and correlated properties of TMD exciton-polariton at will offers new possibilities to explore important quantum phenomena such as inversionless lasing, Bose–Einstein condensation (BEC), and superfluidity.
2:45 PM - NM04.05.05
Evidence for an Electron-Hole Liquid Droplet Phase in the Photoresponse of MoTe2
Trevor Arp 1 , Dennis Pleskot 1 , Nathaniel Gabor 1
1 , University of California, Riverside, Riverside, California, United States
Show AbstractHeterostructures composed of atomic layer materials, such as graphene, and bonded through van der Waals (vdW) interactions have been the focus of tremendous recent work in materials science and condensed matter physics. In particular, ultrathin transition metal dichalcogenides (TMDs) have attracted considerable interest due to their unique optoelectronic properties. One such TMD, molybdenum ditelluride (MoTe2), has a band gap energy near 1.0 eV, suggesting that MoTe2 is a promising material for ultrasensitive infrared optical photodetectors and next-generation solar photocells. Like other TMDs, the photoresponse in MoTe2 should give experimental access to strong many-body phenomena, such as exciton-exciton annihilation and the Mott transition. Here, we report on the advanced fabrication and dynamic photoresponse of graphene-MoTe2-graphene heterostructure photocells. We find that the interlayer photocurrent I increases non-linearly with the incident laser power P following conventional power law behavior at low power. Using our newly developed dynamic photoresponse microscopy technique, we observe sharp suppression of conventional power law behavior above a critical power threshold, accompanied with a critical phase transition in the spatial expansion of the suppressed photocurrent region. We present a population dynamics model that includes electron and hole transfer between 3 co-existing phases: the exciton gas, free charge carriers, and an electron-hole liquid droplet. Additional measurements of the dynamic photoresponse support our model, and we use this to fully explain the intrinsic dynamic photoresponse in MoTe2.
3:30 PM - *NM04.05.06
Quantum Computing in Silicon
Michelle Simmons 1 2
1 , University of New South Wales, Kensington, New South Wales, Australia, 2 , Centre of Excellence for Quantum Computation and Communication Technology , Sydney, New South Wales, Australia
Show Abstract
Extremely long electron and nuclear spin coherence times have recently been demonstrated in isotopically pure Si-28 [1,2] making silicon one of the most promising semiconductor materials for spin based quantum information. The two level spin state of single electrons bound to shallow phosphorus donors in silicon in particular provide well defined, reproducible qubits [3] and represent a promising system for a scalable quantum computer in silicon. An important challenge in these systems is the realisation of an architecture, where we can position donors within a crystalline environment with approx. 20-50nm separation, individually address each donor, manipulate the electron spins using ESR techniques and read-out their spin states.
We have developed a unique fabrication strategy for a scalable quantum computer in silicon using scanning tunneling microscope lithography to precisely position individual P donors in Si [4] aligned with nanoscale precision to local control gates [5] necessary to initialize, manipulate, and read-out the spin states [6]. During this talk I will focus on demonstrating single-shot spin read-out [8] and ESR control of precisely-positioned P donors in Si. I will also describe our approaches to scale up using rf reflectometry [9] and the investigation of 3D architectures for implementation of the surface code [10] and highlight that the device produced have the lowest noise characteristics of any silicon device to date [11].
References
[1] K. Saeedi et al., Science 342, 130 (2013).
[2] J. T. Muhonen et al., Nature Nanotechnology 9, 986 (2014).
[3] B.E. Kane, Nature 393, 133 (1998).
[4] M. Fuechsle et al., Nature Nanotechnology 7, 242 (2012).
[5] B. Weber et al., Science 335, 6064 (2012).
[6] H. Buch et al., Nature Communications 4, 2017 (2013).
[7] B. Weber et al., Nature Nanotechnology 9, 430 (2014).
[8] T. F. Watson et al., Science Advances 3, e1602811 (2017).
[9] M.G. House et al., Nature Communications 6, 8848 (2015)
[10] C. Hill et al., Science Advances 1, e1500707 (2015).
[11] S. Shamim et al., Nano Letters 16, 5779 (2016).
4:00 PM - NM04.05.07
Ultrafast Electron-Phonon and Phonon-Phonon Interactions in Multilayer 2H-MoTe2
Ming-Fu Lin 1 , Clemens Weninger 1 , Aravind Krishnamoorthy 2 6 7 , Vidya Kochat 3 , Xiang Zhang 3 , Lindsay Bassman 2 6 7 , Chandra Tiwary 3 , Xiaozhe Shen 4 , Renkai Li 4 , Pulickel Ajayan 3 , Aiichiro Nakano 2 6 7 , Priya Vashishta 2 6 7 , Xijie Wang 4 , David Fritz 1 , Uwe Bergmann 5
1 LCLS, SLAC National Accelerator Laboratory, Menlo Park, California, United States, 2 Collaboratory for Advanced Computing and Simulations, University of Southern California, Los Angeles, California, United States, 6 Department of Physics & Astronomy, University of Southern California, Los, California, United States, 7 Departments of Computer Science, Chemical Engineering & Materials Science, and Biological Sciences, University of Southern California, Los Angeles, California, United States, 3 Department of Materials Science and NanoEngineering, Rice University, Houston, Texas, United States, 4 , SLAC National Accelerator Laboratory, Menlo Park, California, United States, 5 PULSE Institute, SLAC National Accelerator Laboratory, Menlo Park, California, United States
Show AbstractTransition metal dichalcogenides are emerging novel functional materials for many potential applications. However, its fundamental physics at a short time scale is still unclear. Here, we used the ultrafast electron diffraction technique to investigate the nonradiative process such as electron-phonon and phonon-phonon interactions with a temporal resolution of ~200 fs. A 12 nm thick 2H-MoTe2 was photoexcited at 400 nm to create a carrier density of ~8.8E19 cm-3, corresponding to a temperature jump of ~25 K above the room temperature. A strong diffuse scattering intensity appears in the diffraction pattern in a picosecond time scale, implying a fast electron-phonon interaction. We also observed an anisotropic population of phonon branches specifically located at M-point, corresponding to the Mo-Mo dimerization coordinate. The nonadiabatic quantum molecular dynamics (NAQMD) simulation was incorporated to disentangle the complex light-induced structure disorder dynamics, which also sheds new light on light-driven structure phase transition for 2D materials.
4:15 PM - NM04.05.08
Fluorinated h-BN as Magnetic Semiconductor
Sruthi Radhakrishnan 1 , Deya Das 2 , Abhishek Singh 2 , Pulickel Ajayan 1
1 , Rice University, Houston, Texas, United States, 2 , Indian Institute of Science, Bangalore India
Show AbstractIn the midst of a number of ferromagnetic semiconductors such as tellurides and oxides, we explore the possibility of a stable two-dimensional (2D) wide bandgap insulator to be chemically and structurally modified into a magnetic semiconductor. The realm of 2D materials has emerged as promising new set of low-dimensional materials for semiconductor electronic devices. Chemical functionalization has been a known strategy to modulate and change the electronic properties of 2D materials. Here, we demonstrate a new approach to modify a 2D layered material, namely hexagonal boron nitride (h-BN), known for its structural, chemical and thermal stability, to create an unconventional semiconductor magnet, fluorinated h-BN (F-BN).
h-BN, a layered ceramic is an electrical insulator which on fluorination transforms into a wide bandgap semiconductor via introduction of defect levels. The electrophilic nature of fluorine cause changes in the charge distribution around neighboring nitrogen atoms in h-BN leading to room temperature weak ferromagnetism. The observations are supported by theoretical calculations considering various possible configurations of fluorinated h-BN structure and their energy states. This unconventional magnetic semiconductor material could spur studies of stable 2D magnetic semiconductors. While the high thermal and chemical stability of h-BN have found a variety of uses, this chemical functionalization approach expands its functionality to electronic and magnetic devices.
4:30 PM - NM04.05.09
First Principles Study of the Covalently Self-Contained Aluminosilicate Bilayer
Mengen Wang 1 2 , Jianqiang Zhong 1 , J. Boscoboinik 1 , Deyu Lu 1
1 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States, 2 Department of Materials Science and Chemical Engineering, Stony Brook University, Stony Brook, New York, United States
Show AbstractCrystalline self-contained two-dimensional (2D) ultrathin (0.5 nm) (alumino)silicate films, consisting of (alumino)silicate bilayer weakly bound to a Ru(0001) surface, have been synthesized as model systems to study zeolite-based catalysts in industrial processes. Understanding the atomic and electronic structure of the (alumino)silicate/Ru(0001) heterojunction is a fundamental step to unravel the structure-function relationship that is essential to the activity of the model catalyst. We carried out van der Waals density functional theory (vdW-DFT) calculations to study the energy level alignment at the heterojunction, and the noble gas trapping and separation in nano-cages and at the confined interface space. We found that the work function of the Ru substrate can be tuned by surface and interface dipole moments caused by charge rearrangements. The charge rearrangement at the interface is a combined effect of the electron transfer and atomic orbital hybridizations between the O and Ru atoms. The energy levels are strongly affected by aluminum concentrations of the bilayer film and the oxidation of the Ru(0001) surface[1]. Combined experimental and DFT studies of the (alumino)silicate/Ru(0001) heterojunction revealed the preferred noble gas atom adsorption sites, adsorption energies and adsorption/desorption energy barriers[2]. The increasing desorption energy barriers of Ar, Kr and Xe in the (alumino)silicate film make it a promising candidate for noble gas separation.
This research used resources of the Center for Functional Nanomaterials, which is a U.S. DOE Office of Science Facility, at Brookhaven National Laboratory under Contract No. DE-SC0012704. This research used resources of NERSC, a DOE Office of Science User Facility supported by the Office of Science of the U.S. Department of Energy.
[1] Wang, M., Zhong, JQ. et al. Top. Catal., 2016, DOI: 10.1007/s11244-016-0704-x
[2] Zhong, JQ., Wang, M. et al. Nat. Commun., 2017, DOI: 10.1038/ncomms16118
4:45 PM - NM04.05.10
Scalable Preparation of High-Curie-Temperature and Gate-Induced Magnetic Phase Transition in 2D Magnetic Materials
Jiangtan Yuan 1 , Will Hardy 2 , Hua Guo 1 , Panpan Zhou 2 , Haoran Man 2 , Pengcheng Dai 2 , Douglas Natelson 2 , Jun Lou 1
1 Department of Materials Science and NanoEngineering, Rice University, Houston, Texas, United States, 2 Department of Physics and Astronomy, Rice University, Houston, Texas, United States
Show AbstractThe possibility of making 2D magnetic materials has attracted a lot of interests from both the scientific and technological standpoints. 2D magnetic materials will not only provide excellent platforms to study the source of magnetism and spin-orbital interactions, but are key components realizing low energy-consumption information storage media and spintronic devices. Despite the very recent experiments showing 2D ferromagnetic material Cr2Ge2Te6 and CrI3 can exist at room temperature, challenges of how to make scalable, high-Curie-temperature 2D magnetic materials remain. Here we will first discuss our strategies of making antiferromagnetic V5S8 thin crystals approaching 2D limit via scalable chemical vapor deposition method, followed by engineering the composition of monolayer MoS2 with magnetic elements towards achieving high-Curie-temperature 2D diluted magnetic semiconductors. Finally, I will present our experimental results of magnetic phase transition between antiferromagnetism and ferromagnetism in layered 2D magnetic materials through gate-induced carrier density modulation.
NM04.06: Poster Session II: 2DLM—Synthesis, Science and Applications II
Session Chairs
Sruthi Radhakrishnan
Archana Raja
Milinda Wasala
Wednesday AM, November 29, 2017
Hynes, Level 1, Hall B
8:00 PM - NM04.06.01
Programmable Writing of Monolithic Integrated Circuits on a Two-Dimensional Semiconductor
Seung-Young Seo 1 2 , Hoseok Heo 1 , Ji Ho Sung 1 , Cheolmin Park 1 , Jaehyun Park 1 2 , Soonyoung Cha 3 , Si-Young Choi 4 , Hyunyong Choi 3 , Moon-Ho Jo 1 2
1 , Institute for Basic Science, Pohang Korea (the Republic of), 2 , Pohang University of Science and Technology, Pohang Korea (the Republic of), 3 , Yonsei University, Seoul Korea (the Republic of), 4 , Korea Institute of Materials Science, Changwon Korea (the Republic of)
Show AbstractWe demonstrate direct writing of two-dimensional (2D) electrical circuitry by scanning a visible laser over a semiconductor layer at the time scale of a minute. Local light-illumination on patterned top-metal contacts to n-type 2H-MoTe2 2D semiconductor films instantaneously forms on-site Te vacancies to convert them into p-type semiconductors. This progammable writing process is highly controllable, in that doping is spatially local and tunable over a wide range of dopant concentrations, in analogy to ion implantations in Si processing. As a proof of concept, we directly wrote 2D coplanar device arrays of p-n-p (n-p-n) bipolar junction transistor (BJT) amplifiers and radial p-n photovoltaic cells in high performances. Thereby this method can be potentially used to fabricate massively parallel 2D circuitry.
8:00 PM - NM04.06.02
Phase Transformation and Thermal Transport Properties of Monolayer MoS2
MD Zahabul Islam 1 , Baoming Wang 1 , Aman Haque 1
1 , The Pennsylvania State University, State College, Pennsylvania, United States
Show AbstractIn the present study, we investigate strain induced phase transformation and thermal transport properties of monolayer molybdenum disulfide (MoS2) using molecular dynamics simulation. In our simulation, energy minimized structure of MoS2 was equilibrated with canonical ensemble i.e NVT (constant number of atoms, volume and temperature) and isothermal-isobaric ensemble i.e NPT (constant number of atoms, pressure and temperature) respectively using reactive empirical bond order (REBO) potential. We capture the 2H to 1T phase transformation in MoS2 during the tensile loading. In addition to this, we also study the effect of temperatures on tensile properties and effect of tensile strain on thermal conductivity of monolayer MoS2. We apply the tensile strain in both armchair and zigzag direction of MoS2 sheet to perceive the loading direction effect on tensile properties. To comprehend the effect of temperature on tensile properties of MoS2, we apply tensile strain on the 11.0×11.0 nm2 MoS2 sheet at 300, 450 and 600K temperatures. Our calculation shows that tensile strength reduces as the temperature increases. We also study the thermal transport properties of ribbon-like MoS2 with a dimension of 15.0×3.0 nm2 under the tensile strain. We use non-equilibrium molecular dynamics (NEMD) simulations to calculate the thermal conductivity of MoS2. We notice that thermal conductivity of MoS2 reduces due to the application of tensile strain.
8:00 PM - NM04.06.04
Influence of Strain on 2D Heterostructures for Flexible Electronics
Martin Tweedie 1 , Yuewen Sheng 1 , Wenshuo Xu 1 , Jamie Warner 1
1 , University of Oxford, Oxford United Kingdom
Show AbstractThe realisation of two dimensional (2D) materials into practical electronic applications will in many cases require the formation of heterostructures from two or more different 2D materials. With the rapidly expanding number of different 2D materials we now have the opportunity to exploit a range of attractive properties in new device designs, and due to the intrinsic lack of dangling bonds, there is no requirement for lattice parameter matching so almost any configuration of materials is possible. 2D materials are particularly suited for use in flexible and transparent electronics, due to their extreme resilience to strain and high optical transparency. However, before functioning devices can be fabricated, it is necessary to have an understanding of what kinds of changes to the properties we can expect during operation – most importantly from strain.
In this work, we have used photoluminescence (PL) spectroscopy to study the influence of a tensile substrate strain on some of the heterostructure configurations we expect to find in electronic or optoelectronic devices, with particular attention to the effects on the transition metal dichalcogenide component. The heterostructures were composed of three 2D materials: semimetallic graphene, semiconducting tungsten disulphide (WS2), and insulating boron nitride (h-BN). All heterostructures were fabricated on polyethylene naphthalate (PEN) substrates, using materials synthesised by chemical vapour deposition. It was hoped that we could obtain insights into changes to the electronic properties, but this was mostly precluded by the existence of an inhomogeneous strain release mechanism. After studying this mechanism in detail, we believe that this provides evidence for a previously theorised process whereby strain is released periodically and leads to a rippled structure of alternating bonded and debonded regions. We also observed a release of the built-in tensile strain induced by the transfer process – following a period of complete strain transfer – with subsequent stabilisation to a hysteresis loop with further strain cycling, as a result of the incomplete strain transfer. This leads us to the idea of ‘preconditioning’ devices by applying a modest tensile strain before use to ensure consistent behaviour between multiple devices in service. Between strain cycles a gradual relaxation or redistribution of residual compressive strain was observed; this was characterised by time dependent measurements. Possible degradation was also assessed by repeating the strain cycling multiple times to simulate the conditions devices will see in service. These results will have important ramifications for devices fabricated from these materials by highlighting potential pitfalls to be avoided by design considerations, as well as potentially providing insight into new avenues that can be exploited.
8:00 PM - NM04.06.05
Probing Electronic Structure of Atomically-Thin Buckled Honeycomb Mott Oxide NdNiO3 [111]
Arian Arab 1 , Srimanta Middey 2 , Weibing Yang 1 , Ravini Chandrasena 1 , Marius-Adrian Husanu 3 , Vladimir Strocov 3 , Elke Arenholz 4 , Okan Koksal 5 , Rossitza Pentcheva 5 , Jak Chakhalian 2 6 , Alexander Gray 1
1 Department of Physics, Temple University, Philadelphia, Pennsylvania, United States, 2 Department of Physics, University of Arkansas–Fayetteville, Fayetteville, Arkansas, United States, 3 Swiss Light Source, Paul Scherer Institute, Villigen Switzerland, 4 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 5 Faculty of Physics, University Duisburg-Essen, Duisburg Germany, 6 Department of Physics and Astronomy, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States
Show AbstractWe utilized soft x-ray angle-resolved photoemission spectroscopy in conjunction with polarization-dependent x-ray absorption spectroscopy and resonant and non-resonant photoemission measurements to investigate the momentum-resolved valence-band electronic structure of artificial graphenelike Mott crystal NdNiO3 grown along the [111] direction [1]. Our measurements reveal broken six-fold symmetry of the Ni 3d eg states hosted on a buckled honeycomb lattice. This engineered electronic structure is unique to the ultrathin (2 u. c.) quasi-2D crystal, and cannot be realized either in the bulk or in the thin-film Nickelate grown along the conventional [001] direction. Theoretical calculations are compared to the results from experiment and antiferro-orbitally ordered ground state as predicted by theory is consistent with our results from experiment. Our findings open the door for engineering novel polarized Mott-electronic ground states in atomically-thin layers.
8:00 PM - NM04.06.06
Substrate Lattice-Guided Nucleation to Control the Orientation of 2D Transition Metal Dichalcogenides
Areej Aljarb 1 , Zhen Cao 1 , Luigi Cavallo 1 , Lain-Jong Li 1
1 Physical Science and Engineering, King Abdullah University of Science and Technology (KAUST), Thuwal Saudi Arabia
Show AbstractTwo-dimensional transition metal dichalcogenides (2D TMDs) semiconductors have attracted considerable interest in recent years, due to ultra-thin nature and their interesting physical properties. Growing high-quality and large-area 2D TMDs with chemical vapor deposition (CVD) is essential for future development of various 2D electronics. The misorientation in Grain Boundaries (GB) largely degrades the electrical quality of 2D TMDs; thus, highly aligned flakes are needed. In our study, we demonstrate that highly aligned MoS2 seeds on c-plane sapphire can be achieved by controlling the sulfurization of the MoO3. Our high-resolution transmission electron microscopy (HRTEM) and energy dispersive X-ray analysis (EDX) results conclude that the seeds are very small size of MoS2. Such seeds are able to rotate to the energetically favorable direction determined by the lattice structure of the substrate, leading to preferred orientation and alignment of TMDs. Only relative rotation angles of 0° or 60° between MoS2 and c-plane sapphire are present which confirmed by density functional theory (DFT) simulation. Our study offers a fundamental understanding of the seed formation and controlling which can be applied to other TMDs.
8:00 PM - NM04.06.07
Functionalization of Black Phosphorus by Phospholipid
Qiankun Zhang 1 , Yinan Liu 2 , Jiawei Lai 2 , Dong Sun 2 , Jing Liu 1
1 , Tianjin University, Tianjin China, 2 , Peking University, Beijing China
Show AbstractTwo dimensional (2D) layered materials, such as graphene, transition metal dichalcogenides (TMDs), transition metal oxides (TMOs), transition metal sulfides (TMSs), etc., have been predicted to possess great potential in various applications, including flexible electronics, transistor technologies, optical/biological/chemical/ mechanical sensing and energy harvesting, water purifications, etc. Tailoring the physical/chemical properties of 2D materials by mechanical or chemical exfoliation and surface functionalization becomes necessary for achieving desirable performances. In addition, industry is actively seeking methods to massively functionalize 2D materials and one of the most promising means is by the liquid phase processing.
In this work, we performed liquid phase massive functionalization of black phosphorus (BP) by phospholipid to improve its air stability while preserving its conductivity. BP has attracted intensive attentions since its discovery, due to its thickness-dependent direct band gap, mechanical/electrical/optical anisotropic, and relatively high charge carrier mobility. However, mono- and few-layer BP flakes are reported to be degraded rapidly under ambient exposure, which limits its research and applications. The proposed liquid-phase massive functionalization method can produce surface-passivated few-layer BP nanoflakes with controllable size, by liquid exfoliating bulk BP in the solution of phospholipid (1, 2-dipalmitoyl-sn-glycero-3-phosphocholine, DPPC) in chloroform. The BP nanosheets successfully exfoliated and surface functionalized were confirmed by high-resolution transmission electron microscopy, electron energy loss spectroscopy, atomic force microscope and Raman spectroscopy, showing defect-free lattice structure and uniform coverage by DPPC. DPPC covered BP flake presented unchanged morphology for 3 weeks under ambient condition, and stable resistance. Furthermore, DPPC/BP composite showed air-stable and relatively high conductivity, which reveals that DPPC coverage has limited barrier for electron hopping. Finally, we measured the photocurrents of DPPC/BP composite under ambient environment under visible and near-infrared wavelength illumination, both of which were remained stable for 3 months.
Key words: liquid phase exfoliation, stability, photocurrent, electron hopping
[1] Hanlon, D. et al. Liquid exfoliation of solvent-stabilized few-layer black phosphorus for applications beyond electronics. Nat. Commun. 6, 8563 (2015).
[2] Wang, X. et al. Highly anisotropic and robust excitons in monolayer black phosphorus. Nat. Nanotechnol. 10, 517–521 (2015).
[3] Zhao, C. et al. Mass production of two-dimensional oxides by rapid heating of hydrous chlorides. Nat. Commun. 7, 12543 (2016).
8:00 PM - NM04.06.08
Direct Growth of Monolayer MoS2 on Ultrathin Al2O3 for Low-Power Electronic Heterostructures
Hadallia Bergeron 1 , Vinod Sangwan 1 , Julian McMorrow 1 , Gavin Campbell 1 , Itamar Balla 1 , Xiaolong Liu 1 , Michael Bedzyk 1 , Tobin Marks 1 , Mark Hersam 1
1 , Northwestern University, Evanston, Illinois, United States
Show AbstractMonolayer semiconducting MoS2 has recently emerged as a promising candidate material for low-power electronics. To realize scalable low-power field effect transistor (FET) devices, monolayer MoS2 grown via chemical vapor deposition (CVD) must be integrated with ultrathin high-κ dielectrics for enhancement-mode devices with minimal off-current at zero gate bias. However, deposition of high-κ materials onto MoS2 as a top-gate dielectric typically induces large negative shifts in the threshold voltage while transfer of CVD-grown MoS2 films onto high-κ dielectrics introduces unpredictable contaminants and residues. Thus, this integration has proved challenging due to the lack of control over doping during the fabrication of monolayer MoS2/high-κ dielectric heterostructures.
Here we report the direct CVD growth of monolayer MoS2 onto 20 nm Al2O3 grown via atomic layer deposition (ALD), resulting in transfer-free MoS2/Al2O3 heterostructures with superior low-power performance in FET architectures. The integrity of the heterostructure is verified using Raman, photoluminescence, and X-ray photoelectron spectroscopy as well as X-ray reflectivity. Back-gated FETs made from the heterostructures demonstrate enhancement-mode behavior with low threshold voltages of ~2 V, subthreshold swing as low as ~220 mV, and current ION/IOFF ratio as high as ~104 where IOFF is defined as the current at zero gate voltage, as is common for the evaluation of power consumption in complementary logic circuits. Overall, the presented direct-growth method of integrating monolayer MoS2 with ultrathin Al2O3 concurrently optimizes several FET low-power electronic figures of merit in comparison to other transfer-free MoS2 FETs reported in literature, and supports the prospect of scalable low-power two-dimensional transition metal dichalcogenide nanoelectronics.
8:00 PM - NM04.06.09
High Ge Content SiGe Membranes Grown on and Released from III-V Materials for Laser Applications
Abhishek Bhat 1 , Xiaorui Cui 1 , Yingxin Guan 1 , Shelley Scott 1 , Shu Yen Khor 1 , Thomas Kuech 2 , Max Lagally 1
1 Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin, United States
Show AbstractHeterostructures comprising high-Ge-content Si(1-x)Ge(x) alloys (x>0.65) can exhibit large quantum confinement in the electronic L valleys. These structures can further be engineered and exploited for the development of L valley quantum cascade lasers[1], [2]. These features, combined with the ability to make the Ge band structure go to direct by the introduction of biaxial tensile strain could allow for the creation of interesting wavelength tunable devices[3].
A cause for the lack of research effort into the development of such a devices and structures has been the unavailability of high-quality SiGe at the desired thickness and alloy compositions. III-V materials, and in particular GaAs, InGaP and AlAs have a near perfect lattice match with Ge. They also prove to be excellent materials for differential etching and release of Ge NMs. GaAs in conjunction with AlAs or InGaP as release layers can also be used as a growth substrate for sub-critical-thickness growth of high-Ge-content SiGe alloys. These NMs can then be transferred to different rigid or flexible substrates for further growth or external strain introduction. Growth at sub-critical thicknesses ensures that the NM layer is free of added misfit dislocations. The release process allows for elastic strain relaxation in the SiGe NM layer and provides a starting crystal for epitaxial growth of arbitrarily thick single-crystal material.
We present initial results of MOCVD and MBE growth of Ge and high-Ge-content SiGe films on GaAs, as well as the release and further growth of these films. X-ray diffraction structure determinations, AFM imaging of surface roughness, and strain measurements using Raman spectroscopy to quantify the crystal quality. We believe these NMs present a crucial first step towards generating hybrid III-V/IV NM materials.
Research supported by DOE and NSF
References:
[1] K. Driscoll and R. Paiella, “Design of n-type silicon-based quantum cascade lasers for terahertz light emission,” J. Appl. Phys., vol. 102, no. 9, p. 93103, Nov. 2007.
[2] K. Driscoll and R. Paiella, “Silicon-based injection lasers using electronic intersubband transitions in the L valleys,” Appl. Phys. Lett., vol. 89, no. 19, p. 191110, Nov. 2006.
[3] J. R. Sanchez-Perez et al., “Direct-bandgap light-emitting germanium in tensilely strained nanomembranes,” Proc. Natl. Acad. Sci., vol. 108, no. 47, pp. 18893–18898, Nov. 2011.
8:00 PM - NM04.06.10
Tuning the Electronic Properties of Monolayer Blue Phosphorus Adsorbed on Transition Metal Substrates
Pengfei Ou 1 , Jun Song 1
1 , McGill University, Montreal, Quebec, Canada
Show AbstractUsing the first-principles density functional theory (DFT) calculations, we investigate the electronic properties of a monolayer blue phosphorus adsorbed on three representative transition metal substrates, i.e., Pd(111), Ir(111), and Pt(111), having varying work functions but each with minimal lattice mismatch with the blue phosphorus overlayer. The nature of the monolayer-substrate contact is found to be of the Schottky-barrier type (n-type for palladium, and p-type for iridium and platinum, respectively). The dependence of the barrier height on the work function exhibits a partial Fermi-level pinning character. At the metal-blue phosphorus interface, the Fermi-level is partially pinned as a result of two interface behaviors, first by the metal work function modification by interface dipole formation due to the charge redistribution, and second by the production of gap states, mainly of upper layer of phosphorus p-orbitals character, by the structural distortion due to the strong interfacial metal-phosphorus interaction. Our findings may provide critical information to guide the development of approaches to form Ohmic contact to blue phosphorus, and further future designs of blue phosphorus-based electronics.
8:00 PM - NM04.06.11
MXene Oxidation in Aqueous Media Overcome by Solvent Processing
Shayan Seyedin 1 , Jizhen Zhang 1 , Alexey Glushenkov 1 , Jozelito Razal 1
1 Institute for Frontier Materials, Deakin University, Geelong, Victoria, Australia
Show AbstractTwo-dimensional (2D) transition metal carbides and nitrides called “MXene” are recent exciting additions to the 2D nanomaterials family. Because of their unprecedented combination of properties such as high electrical conductivity, specific capacitance, and hydrophilic nature, MXenes have been used in a wide range of applications such as energy storage, sensing, water purification, dye adsorption, and photocatalysis, rivalling many other 2D nanosheets including graphene. However, similar to other 2D nanomaterials e.g. black phosphorous (BP), MXenes degrade relatively quickly in the presence of water, imposing great challenges for their technological applications. In this work, we systematically investigate the degradation of Ti3C2, the most prominent and well-studied MXene, by monitoring the changes in its aqueous suspension and nanosheet properties over a 28-day period and provide insights on how the oxidation of MXene in water takes place. Our studies suggest that MXene sheets degrade primarily as rutile TiO2 in three steps, i.e. nucleation, growth, and aggregation, while the carbon layer is gradually removed. We also present two processing routes, i.e. solvent exchange and direct delamination, by which the unwanted oxidation of MXene is circumvented without the need for drying MXene into powder, allowing for continuous and simple processing of delaminated MXenes. We show that MXene can be processed in a range of organic solvents and high quality, aggregate-free, and few-layer MXene dispersions can be obtained that are resistant towards oxidation. Our facile processing leads to MXene dispersions that are stable for over 28 days. The findings of this work are critical in understanding the chemistry of MXenes and their behaviour in different solution media. The approaches presented here, pave the way for the development of MXene-based devices using a wide range of solution processing technologies such as film casting, fibre spinning, paper and membrane assembly, and inkjet and 3D printing.
8:00 PM - NM04.06.12
Electric Field Induced Reversible Phase Transition in Li Doped Phosphorene—Shape Memory Effect and Superelasticity
Jefferson Zhe Liu 1 , Junkai Deng 2
1 Department of Mechanical and Aerospace Engineering, Monash University, Clayton, Victoria, Australia, 2 State Key Laboratory for Mechanical Behavior of Materials, Xi’an Jiaotong University, Xi'an, Shanxi, China
Show AbstractThe intrinsic nanosized thickness and superior flexibility render 2D materials indispensable candidates for flexible nano-electromechanical system (NEMS) devices, integrating the electrical and mechanical functionality at the nanoscale for applications such as energy conversion, soft robotics, and bioengineering. In most NEMS devices, electromechanical actuators are the key components, which convert electric energy to mechanical motion.
Phosphorene, the single layer form of black phosphorus, as a new member of atomically thin material family, has unique puckered atomistic structure and remarkable physical and chemical properties. In this talk, we report discovery of an unexpected electromechanical energy conversion phenomenon: shape memory effect in Li doped phosphorene P4Li2, using ab initio density functional theory calculations. Two stable phases are found for the two dimensional P4Li2 crystal. Applying external electric fields can turn on or off the unique adatom switches in P4Li2 crystals, leading to reversible structural phase transition and thereby the shape memory effect with a tunable strain output as high as 2.06%. Our results demonstrate that multiple temporary shapes are attainable in one piece of P4Li2 material, offering programmability that is particularly useful for device designs. Additionally, the P4Li2 displays superelasticity that can generate a pseudoelastic tensile strain up to 6.2%. The atomic thickness, superior flexibility, excellent electromechanical strain output, the special shape memory phenomenon, and the programmability feature endow P4Li2 with great application potential in high efficient energy conversion at nanoscale and flexible nanoelectromechanical systems.
8:00 PM - NM04.06.13
Ion Bombardment Free Plasma Thinning of Black Phosphorus for Integration of Phosphorene/ZnO Based Optoelectronics Devices Applications
Sushil Pandey 1 , Nezhueyotl Izquierdo 1 , Stephen Campbell 1
1 , University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractTwo-dimensional materials hold significant promise for future electronic and optoelectronic devices. Of this class of materials, phosphorene which is obtained from black phosphorus (BP), is particularly interesting for its nearly ideal bandgap. Mechanical exfoliation process is the primary technique used to deposit BP for device applications. Generally exfoliation produces thin flakes (~10 nm) with sizes ~10 microns and thick flakes (hundreds of nm) with sizes of ~100 microns. But thin flakes with large size are desired due to their thickness-dependent properties and usefulness for device integration. Therefore thinning of BP flakes without structural damage is necessary for integrating BP-based electronics devices. Unfortunately, most known thinning techniques for BP can lead to structural degradation due to ion bombardment or thermal degeneration. Here we report the preparation of thickness-controlled BP thin films through the modified plasma treatment of BP flakes by changing the sample configuration in a reactive ion etching system. The sample configuration was change in such way that the thinning process was perfectly chemical and appeared to be free of physical damage. We prepared two samples on Al-doped ZnO film/Si substrates: (1) BP exfoliation, (2) BP exfoliation and thinning. Then the samples were passivated by Si3N4 deposited by atomic layer deposition to achieve atmospheric stability. Atomic force microscopy on these two samples found final thicknesses of 16-22 nm. The samples were annealed at different temperatures (100 to 550 oC) and Raman spectroscopy was employed to compare properties of both samples for each annealing temperature. Both samples were demonstrated visible phonon peaks of out-of-plane (A1g) and in-plane (B2g and A2g) modes up to the highest annealing temperature 550 oC. Both samples were found to have a significant red shift in A1g, B2g and A2g phonon peaks up to 200 oC annealing temperatures, indicating presence of tensile strain. At annealing temperatures higher than 200 ◦C, the spectra blue shifted and attained peak positions closer to the bulk values, suggesting strain relaxation. It is found that the full-width at half-maximum (FWHM) reduced at higher annealing temperatures reinforcing the strain relaxation hypothesis. The as-deposited sample and SF6 thinned sample have similar FWHM values at higher annealing temperature. Therefore thinning with the modified plasma will be very useful technique to produce large size and controlled thickness BP films having good crystallinity. This demonstration of a modified plasma treated thickness-controlled BP film and its passivation with Si3N4 will provide a new way to realize the full potential of phosphorene/ZnO heterojunction based optoelectronics devices.
8:00 PM - NM04.06.14
Atomically Flat Two-Dimensional Silicon Crystals with Versatile Electronic Properties
Kisung Chae 1 , Duck Young Kim 2 , Young-Woo Son 1
1 , Korea Institute for Advanced Study, Seoul Korea (the Republic of), 2 , Center for High Pressure Science and Technology Advanced Research (HPSTAR), Shanghai China
Show AbstractSilicon (Si) has been extensively studied owing to its significance to semiconductor science and technology. While some researchers have found a new three-dimensional (3D) Si crystalline phase with unusual properties, its two-dimensional (2D) phases have not yet been explored as much. In this talk, by using a newly developed systematic ab initio materials searching strategy, we present a series of novel 2D Si crystals demonstrating distinctive structural and electronic properties. The new structures feature a perfectly planar outermost hexagonal network of Si atoms with a varying thickness. We also show dramatic changes in electronic properties ranging from semimetal to semiconductor with indirect energy gaps and even to one with direct energy gaps by varying thickness as well as by surface oxidation. The 2D Si crystals with flat surfaces and tunable electronic properties will play an essential role in development of silicon-based two-dimensional electronics technology.
8:00 PM - NM04.06.15
Synthesis of TMDC 2D Materials via Solution Process and Chemical Vapor Deposition Methods at Low Temperature
Woon-Seop Choi 1 , Hun Ho Kim 1
1 , Hoseo University, Asan Korea (the Republic of)
Show AbstractIn nanoelectronics and optoelectronics, two-dimensional transition metal dichalcogenides (2D TMDC) analogous to graphene have drawn considerable attention due to their electrical and innate band gap characteristics. Among them, MoS2 and WS2 show unique properties; direct and indirect band gap of 1.8 eV and 1.2 eV, respectively, depending on the monolayer stacking states. Thin layered WS2 composed of a S-W-S sandwich crystalline with a van der Waals, possessed the coupled spin and valley physics, high photoluminescence efficiency, and composited layered materials with other 2D for many applications. Most studies on 2D TMDC have been focused on the chemical synthesis using chemical vapor deposition (CVD) and mechanical methods like exfoliated flakes by taping or in liquid. In contrast, large scale synthesis of WS2 via solution-process is rare to find.
Here, we have prepared new synthetic route combining solution coating and CVD process together. The solution of sodium tungstate soulution and hydrizene hydrate with sodium thiosulphate was coated on the silicon wafer. The coated films from dip coating and spin coating were treated in the CVD with different paramerters to obtain WS2 crystal structures. The temperature and coating conditions were modified to obtain uniform wafer-scale films. The average of 50 um size WS2 crystals with triangle shapes could be obtained at the low temperatures of 700oC and above with sample positions in the CVD.
8:00 PM - NM04.06.16
Synthesis of Platinum Oxide Nanosheets and Platinum-Group Oxide Nanocrystals Using Layered Materials
Asami Funatsu 1 2 , Ryosuke Morita 1 , Aki Fukumi 3 , Shintaro Ida 1
1 Graduate School of Science and Technology, Kumamoto University, Kumamoto Japan, 2 , PRESTO, JST, Tokyo Japan, 3 Department of Chemistry, Kumamoto University, Kumamoto Japan
Show AbstractPt-based materials have attracted considerable attention due to the superior performance of Pt in applications such as catalysis, hydrogen production, fuel cells, and sensors. Recent efforts to improve the utilization efficiency have been directed toward tuning specific structural features of Pt nanostructures to produce catalysts with high surface area, and consequently, to achieve superior catalytic performance. The synthesis of Pt-based nanomaterials with a controlled structure and morphology or surface area is expected to lead to their use in new applications. However, platinum oxide nanosheets were scarcely maintainable no investigations .Here we report the preparation of new platinum oxide nanosheets with homogeneous thickness by the exfoliation of layered lithium platinate and found the We prepared platinum oxide nanosheets by the exfoliation of layered lithium platinate was obtained via the facile and direct calcination of Pt black and Li2CO3 at 900°C.As a results of XRD and TEM, the layered lithium platinate and nanosheets was about Li2PtO3. The nanosheets has about 1nm thickness. Furthermore, platinum oxide nanosheets was semiconductor and have band-gap by UV-vis spectra and calculation. In addition, we also challenged synthesis of platinum-group oxide nanosheets based on platinum. These Pt-based nanosheets will prove essential for new nanodevices and will further the investigation of the characteristics of electrochemical reactions.
8:00 PM - NM04.06.17
New Member for 2D Nanomaterials—Thin Nanosheets of Acanthite by Liquid Phase Exfoliation
Neerish Revaprasadu 1 2 , David Lewis 2 , Neerish Revaprasadu 1 , Paul Obrien 2 , Malik Khan 1
1 , University of Zululand, Empangeni South Africa, 2 , The University of Manchester, Manchester United Kingdom
Show AbstractAbstract
After the discovery of graphene and the amazing properties associated with it for advanced technological applications, layered 2 dimensional materials have attracted worldwide attention.1,2 The bulk layered crystals are composed of stacked layers which have strong covalent bonding within the layers but interconnected by each other by weak Vander Waals force of attraction. The layers can be separated easily by breaking the Vander Waals interaction between the layers. Transition metal dichalcogenides have sandwich like structure in which the transition metal atom is sandwiched between two chalcogenide atoms.3 Silver sulfide has an interesting structure which is inverse to transition metal dichalcogenides i.e. chalcogen is sandwiched between two silver atoms. It was observed that the Ag-Ag contacts between two adjacent layers is equal to Vander Waals distance and can be exfoliated. Such sheets with anti-MoS2 structure may exhibit interesting unique properties.
Herein, we report the synthesis and characterization of 2D silver sulfide nanosheets. Silver sulfide was synthesized by solventless decomposition of (O-ethyldithiocarbonato)silver(I) complex. The bulk silver sulfide generated was exfoliated using NMP to obtain nanosheets. The size and thickness of the sheets was determined by scanning electron microscopy and atomic force microscopy respectively. We believe that it would be a valuable addition to the family of 2D materials.
References
[1] K. F. Mak, C. Lee, J. Hone, J. Shan and T. F. Heinz, Physical Review Letters, 2010, 105, 136805.
[2] A. Splendiani, L. Sun, Y. Zhang, T. Li, J. Kim, C.-Y. Chim, G. Galli and F. Wang, Nano letters, 2010, 10, 1271-1275.
[3] C. Coleman, H. Goldwhite and W. Tikkanen, Chemistry of materials, 1998, 10, 2794-2800.
8:00 PM - NM04.06.18
Solvent Exfoliation of Ultrathin Two-Dimensional Tin (II) Sulfide (SnS) for Optoelectronic Applications
Abdus Sarkar 1 , Suman Pal 1
1 , Indian Institute of Technology Mandi, Mandi, Himachal Pradesh, India
Show AbstractOver the last few years, the study of two-dimensional (2D) transition metal dichalcogenides (TMDCs) has become one of the most vibrant areas of material research due to their superlative optical and electronic properties. However, recently 2D tin (II) sulfide (SnS) has pave a great attention due to their puckered structure analogous of phosphorene with strong in plane electronic anisotropy.[1,2] Howevrer, most of the preparation method adopted by the bottom up approach, those are generally not few layered materials. Here, we explored solution dispersion of 2D SnS by chemical exfoliation. The SnS dispersions are produced with the various anhydrous low and high boiling point organic solvents (eg. NMP, DMF, IPA and Acetone, etc.). Among conventional solvents, low boiling point solvent is found to be produce large area ultrathin electronic grade 2D sheets of SnS. Atomic force microscopy, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy shows that the structure and chemistry of solvent exfoliated SnS nanosheets are comparable. Further, the SnS nanosheets can use to fabricate organic/inorganic heterostructure to study the interfacial optoelectronic properties for device applications. In addition, less residual low boiling point solvent liquid phase exfoliated SnS pave new path for large scale solution processible electronic and optoelectronic applications.
Reference
1. Z. Tian, C. Guo, R. Li and J. Xi, ACS Nano, 2017, 11, 2219-2226.
2. C. Xin, J. Zheng, Y. Su, S. Li, B. Zhang, Y. Feng,and F. Pan, J. Phys. Chem. C, 2016, 120, 22663-22669
8:00 PM - NM04.06.19
Few-Layer MoS2 P-N Homostructures Enabled by Plasma-Assisted Doping
Xiao-Mei Zhang 1
1 Department of Mechanical Engineering, School of Engineering, Tokyo Institute of Technology, Tokyo Japan
Show AbstractMolybdenum disulfide (MoS2) and other two-dimensional (2D) layered transition metal dichalcogenides (LTMDs) have attracted great interest because of their desirable electronic, photonic, and mechanical properties. [1] A very high light absorption over a broad range of wavelength (350-950 nm) is higher than GaAs and Si which makes it the ideal materials for photonic devices. [2] This potential may be realized through the development of appropriate doping processes because the p-n diodes are particularly useful for optoelectronics. However, the formation of optoelectronic devices exclusively made of MoS2 is hampered by the lack of samples with both transport characteristics, n-type and p-type, since MoS2 exhibits only n-type doping. [1] Moreover, 2D MoS2 automictically thin dimensions limit the use of traditional doping techniques for controlled chemical doping of MoS2. [3] Although plasma treatment emerges as the most effective doping technique for few layer MoS2 due to a wide range of doping that can be achieved with good control and selectivity, but the reported studies lack of precise control over etching rate and dopant concentration and location. This makes it challenging to dope few-layer MoS2 and further apply them for practical devices.
In this work, an effective method of plasma-assisted doping is reported to form few-layer MoS2 p-n homostructures with a built-in potential to separate the photogenerated charges and yield a strong photoresponse. Selected-area doping of MoS2 mono- and few- layer with fluorine (F) contained plasma recipe can create a stable homojunction rectifying diodes working at ambient conditions. Raman spectroscopy, atomic force microscopy (AFM) are used to identify plasma process conditions with a low surface damage. X-ray photoelectron spectra (XPS) is an evidence of plasma-assisted p-doping from the surface analysis, in comparison with the binding energy peaks of Mo 3d5/2 and Mo 3d3/2 electrons in plasma-treated and untreated MoS2 layers. A relevant peak shift toward the lower binding energy values indicates a shift of the Fermi level toward the valence band edge. F-contained plasma CHF3 is applied to treat chosen-area MoS2 layers, with precisely controlling the etching rate and dopants concentration in the layers. Rectification characteristics of the MoS2 p-n homojunction diodes varying in plasma treatment conditions are analyzed and discussed. These results suggest a new route to the application of MoS2 homostructures toward numerous electronics.
References
[1] D. Akinwande, N. Petrone, J. Hone, Nat. Commun. 5:5678 doi: 10.1038/ncomms6678 (2014).
[2] V. Dhyani, S. Das, Sci. Rep. 7:44243 doi: 10.1038/srep44243 (2017).
[3] R. Ganatra, Q. Zhang, ACS NANO, 8, 5, 4074, 2014.
Acknowledegement:
This work was supported by JST in Japan, Research and Education Consortium for Innovation of Advanced Integrated Science (CIAiS).
8:00 PM - NM04.06.20
Selectively Enhanced Raman Scattering by Interface Dipole Reversal in Exceptionally Robust Quasi-2D Assembled Black Phosphorus Quantum Dots-1D ZnO Nanohybrids
Liang Hu 1 , Yu-jia Zeng 1 , Shuangchen Ruan 1
1 , Shenzhen University, Shenzhen China
Show AbstractSemiconductor quantum dots and low-dimensional nanostructures, can often effectively amplify Raman signal of organic molecules in virtue of a classic chemical mechanism. However, a selective Raman enhancement mechanism between two dielectric semiconductors is still highly unexplored mainly due to the lack of suitable study models. Lego-brick-like van der Waals (vdW) assembly using fascinating 2D materials and oxides can create a binary platform to explore light-matter interactions. Here, a challenging study subject, black phosphorus quantum dots (BP-QDs) with three atomic layers are employed to fabricate quasi-2D nanosheets and are further assembled onto a single ZnO nanorod by out-of-plane orientation control, where selective Raman enhancement effect of ZnO or BP can be extracted based on charge transfer doping technique. As theoretical prediction and experimental proof, unique assembled structure of BP-QDs and charge transfer (CT) competition between band bending and chemical-bonding in heterojunctions, together contribute to observed anomalous phenomena, in very analogy to conventional CT enhanced Raman scenario. Furthermore, this combination makes BP-QDs capable of resisting photooxidation and help first reveal temperature- or excitation power-dependent Raman behaviors in such peculiar hetero-structure. Underlying thermal conductivity mechanism has been elucidated, which paves a way for selective surface enhanced Raman scattering (SERS) analytical applications even potential stimulated Raman scattering investigations.
8:00 PM - NM04.06.21
Moisture Effect on the Highly-Repeatable, Large-Area Single-Crystal Monolayer MoS2 Growth and the Role of Defects in Switching the Monolayer and Bilayer Growth Regimes of MoS2
Jiangtan Yuan 1 , Sahil Patel 1 , Fan Wang 1 , Luqing Wang 1 , Boris Yakobson 1 , Ming Tang 1 , Jun Lou 1
1 Department of Materials Science and NanoEngineering, Rice University, Houston, Texas, United States
Show AbstractResearch on monolayer and bilayer MoS2 has opened a lot of possibilities in novel photovoltaic devices, low energy-consumption tunneling transistors, and hydrogen energy generation through various preparation techniques. Regarding its growth, chemical vapor deposition remains an important method for achieving large, high-yield and high-quality MoS2 single crystals. Although there has been much effort to control the synthesis of MoS2 single crystals and films by tuning different parameters in the process, substantial variations in layer thickness, crystal size, monolayer coverage and crystal quality from lab to lab and through literatures indicate the influence of critical parameters which have not been achieved well-controlled. Here, the effect of moisture on MoS2 is identified and minimized during the growth process through boat modification. As a result, the rate-limiting step of the diffusion of Mo species is overcome and the growth kinetics is changed, realizing highly-reproducible, high-yield and large monolayer MoS2 single crystals synthesis. Furthermore, it is demonstrated that sulfur defect density plays a critical role in determining the growth of monolayer and bilayer MoS2. The combination of our experimental results and first-principles simulation clearly indicate that the switch between monolayer-dominant and bilayer-dominant regimes could be achieved by defects engineering during the growth process.
8:00 PM - NM04.06.22
High Device-Device Uniformity Using Inkjet-Printable Transition Metal Dichalcogenide Functional Inks
Zongyin Yang 1 , Guohua Hu 1 , Richard Howe 1 , Tom Albrow-Owen 1 , Shouhu Liu 1 , Tienchun Wu 1 , Leonard Ng 1 , Yubo Wang 2 , Qing Yang 2 , Tawfique Hasan 1
1 , Cambridge Graphene Centre, University of Cambridge, Cambridge CB3 0FA United Kingdom, 2 College of Optical Science and Engineering, Zhejiang University, Hangzhou, Zhejiang, China
Show AbstractSemiconducting transition metal dichalcogenides (s-TMDs) show great promises in the visible to near-infrared photodetection due to their bandgap and high photoconductivity [1]. Most of the device applications have exploited mechanically cleaved and CVD grown s-TMDs. The low yield of mechanical cleavage and high processing temperature of CVD makes in challenging for large-scale and low-cost fabrication of devices. To this end, ultrasound-assisted liquid phase exfoliation (UALPE) has been proposed as an alternative. In particular, inkjet printing of the s-TMD dispersions show promise as a platform towards large-scale, low-cost fabrication of optoelectronics and photonics. However, highly stable ink formulation for wafer-scale fabrication of device arrays with repeatable and reliable performance remains a critical challenge for their further exploitation.
Here, we present an ink formulation of MoS2 flakes produced by UALPE. This ink is based on a mixed solvent carrier system that induces a recirculating Marangoni flow to ensure highly uniform printing (deviation <1%) [2]. We use this functional ink to fabricate photodetectors using interdigitated structures and characterise using a 635 nm laser. The photoresponsivity shows a linear function with the printing repetitions, with values of up to 1 mA/W for 300 printing passes. This allows us to conveniently control the photoresponse of the printed photodetectors. We then fabricate a 5 x 10 photodetector array to demonstrate high repeatability in device-to-device uniformity using our binary solvent ink. We achieve a <10% device-to-device standard deviation in photocurrent and photoresponsivity. Following the same strategy for functional ink formulation, we also show WS2 photodetector array with a similar device-to-device performance variability. This demonstrates the capability of our functional ink to be suitable for large-scale reproducible device fabrications for 2D materials, an important step towards their exploitation for optoelectronics.
[1] Photonics and optoelectronics of 2D semiconductor transition metal dichalcogenides. Nature Photonics 10, 216-226 (2015)
[2] Black phosphorus ink formulation for inkjet printing of optoelectronics and photonics. Nature Communication (Accepted).
8:00 PM - NM04.06.23
Investigating p-Doping of Vacancies in Commercial Black Phosphorus Using STM
Jake Riffle 1 , Ben St. Laurent 1 , Cameron Flynn 1 , Charlie Ayotte 1 , Christine Caputo 1 , Shawna Hollen 1
1 , University of New Hampshire , Durham, New Hampshire, United States
Show AbstractBlack phosphorus (BP) has received significant attention recently because of its direct 0.4-1.5 eV layer dependent band gap and high mobility. Because BP devices rely on exfoliation from bulk crystals, it is important to understand native impurities and defects in the source material. In particular, samples are typically p-doped, but the source of the doping is not completely understood. Here, we use scanning tunneling microscopy and spectroscopy to compare atomic defects of black phosphorus samples from two commercial sources. Despite the fact that the sources produced crystals with an order of magnitude difference in impurity atoms, we found both have a similar defect density and a similar level of p-doping. These defects are consistent with phosphorus vacancies and are likely the source of anomalous p-doping. We also observe effects of charging and discharging these defects with the tip through STS point spectroscopy and mapping. These results indicate that impurities in black phosphorus play a minor role compared to vacancies, which are prevalent in commercially-available materials, and call for better control of vacancy defects.
8:00 PM - NM04.06.24
Computational Modeling of the Epitaxial Growth of WS2-WS2 Homobilayer and WS2-WSe2 Heterobilayer
Kamalika Ghatak 1 , Kyungnam Kang 2 , Shichen Fu 2 , Xiaotian Wang 2 , Siwei Chen 2 , Eui-Hyeok Yang 2 , Dibakar Datta 1
1 , New Jersey Institute of Technology, Newark, New Jersey, United States, 2 , Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractTwo-dimensional Van der Waals (vdW) bilayers are emerging class of materials for their unique opto-electronic and mechanical behavior. Bilayer stacking takes place through the mixing and matching in between semimetals (e.g., Graphene), semiconductors (e.g. transition metal dichalcogenides (TMDs)), and insulators (e.g., hexagonal boron nitride; h-BN). TMD bilayers are most popular due to their practical applications in electronics. TMDs possess a wide variety of tunable opto-electronic properties such as the position of band gap, indirect–direct band gap crossover, harmonic generation, valley pseudospin effects. In addition, lattice mismatch in two different monolayers ensures the possibility of its use as transistors and diodes. Among several existing growth techniques, epitaxial growth mechanism is the most preferred one due to its technological advantages such as reduction of defect density, consistency in the overall growth, growth products with sharper interfaces, the perseverance of in-plane electrical conductivity via the introduction of mirror twin grain boundaries, and simultaneous reduction of tilt grain boundaries. Therefore, it is important to perform computational analysis to model the epitaxial growth mechanism. In this work, we perform Density Functional Theory (DFT) calculations on the structural and electronic properties of WS2 homobilayer and WS2-WSe2 heterobilayer. At first, we compute equilibrium interlayer distances and verified with the experimental data. In order to investigate the nature and strength of the interlayer interactions, various combinations of AA and AB stacking are considered and the most stable combination is chosen based on formation energy. Analysis of charge transfer, Density of States (DOS) provide insight into the nature of bonding. Moreover, possible presence of dangling bonds around the edge is predicted from the charge distribution. Significant in-plane strains and out-of-plane displacements are introduced in WS2-WSe2 heterobilayer due to interlayer interactions. Various alignments of WS2-WS2 and WS2-WSe2 bilayer structures are studied in terms of different degree of relative rotation angle between the layers. Our results provide guidelines for experimental design of the two-step epitaxial growth technique to synthesize TMD homobilayers and heterobilayers.
8:00 PM - NM04.06.25
Tessellated Platelet-Crystal Metal Oxide Films by Graphene Ink Templating
Muchun Liu 1 , Po-Yen Chen 1 , Robert Hurt 1
1 , Brown University, Providence, Rhode Island, United States
Show AbstractThere is great interest in the use of Van der Waals gaps in naturally layered crystals as confinement reaction vessels to template the synthesis of new two-dimensional (2D) structures. Multilayer graphene oxide for example has been used to intercalate metal ions that grow into metal oxide films during high-temperature oxidation. This approach does not usually lead to 2D atomic assembly and anisotropic crystals, however, but rather arrays of simple particles directionally sintered into porous nanosheets with limited mechanical properties. Here we demonstrate a new method to make planar or microtextured 2D metal oxide films based on colloidal engineering a metallized graphene ink. The ink method achieves high metal-carbon ratios not accessible by intercalation methods, and yields fully dense metal oxide nanosheets composed of fused 2D platelet crystals. These tessellated films are sufficiently robust to create free standing papers, complex microtextured films, 3D metal oxide bodies, and metal oxide replicas of natural biotextures.
2D patterning is achieved by casting metallized graphene ink onto a pattern to be replicated, while 3D shaping is achieved by forming dry GO-Mn+ papers that can be folded or shaped prior to template removal. Conditions have been found in which the underlying nanostructure of the metal oxide bodies consists of anisotropic platelet crystals perfectly connected and tiled in 2D to form robust, space-filling nanosheets. Potential applications of these graphene-templated metal oxide structures will be discussed.
8:00 PM - NM04.06.26
Effects of Electric Field on TMD Oxidation
Kyungnam Kang 1 , Xiaotian Wang 1 , Shichen Fu 1 , Siwei Chen 1 , Eui-Hyeok Yang 1
1 , Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractTransition metal dichalcogenides (TMDs) have attracted intense interest as the long awaited 2D semiconductor analogs of graphene. Due to their direct bandgap, TMD monolayers are extremely promising candidates for atomically thin electronic, optical, and photovoltaic applications [1-3]. However, the time-dependent degradation of TMDs via in-air oxidation presents an obstacle, not only in many scientific studies, but also in any practical device applications. The oxidation of TMDs on SiO2 substrate is visible under an optical microscope several weeks after growth, when left in an ambient environment [4-6]. Addressing this issue is scientifically interesting and technologically essential for the practical use of TMDs and the coordinated improvement in their applications.
Here, we present the investigation into the role of electric field on TMD oxidation. We epitaxially grow WS2 on graphene using chemical vapor deposition. We systemically elucidate the effect of the substrate-dependent oxidation of WS2 associated with surface electric-fields and demonstrate anti-oxidation of WS2 on graphene suspended in air. WS2 on a SiO2 substrate is visibly oxidized within several weeks, and severely oxidized in four months. The oxidation of WS2 occurrs at localized areas containing defects. However, polycrystalline WS2 monolayers on a graphene/SiO2 substrate shows a significantly suppressed in-air oxidation due to the screening of surface electric-field by graphene, diminishing potential initiation sites for oxidation. On the other hand, suspended WS2/graphene does not exhibit oxidation for a period of at least 10 months in ambient air, which we attribute to a lack of defects and local electric-fields. Lastly, we induce interior oxidation on a WS2 single crystal via conductive AFM, which artificially oxidize WS2, suggesting the role of localized electric-field effect during WS2 oxidation.
1. T. Georgiou, R. Jalil, B. D. Belle, L. Britnell, R. V. Gorbachev, S. V. Morozov, Y. J. Kim, A. Gholinia, S. J. Haigh, O. Makarovsky, L. Eaves, L. a Ponomarenko, A. K. Geim, K. S. Novoselov, A. Mishchenko,“ Vertical field-effect transistor based on graphene-WS2 heterostructures for flexible and transparent electronics” Nat. Nanotechnol., 8, 100-103, (2013)
2. Z. Wang, D.-K. Ki, H. Chen, H. Berger, A. H. MacDonald, A. F. Morpurgo, “Strong interface-induced spin-orbit interaction in graphene on WS2” Nat. Commun., 6, 8339 (2015)
3. J. Gao, B. Li, J. Tan, P. Chow, T. M. Lu, N. Koratkar, “Aging of Transition Metal Dichalcogenide Monolayers” ACS Nano, 10, 2628–2635 (2016)
4. T. H. Ly, M.-H. Chiu, M.-Y. Li, J. Zhao, D. J. Perello, M. O. Cichocka, H. M. Oh, S. H. Chae, H. Y. Jeong, F. Yao, L. J. Li and Y. H. Lee, “Observing grain boundaries in CVD-grown monolayer transition metal dichalcogenides” ACS Nano 8, 11401–11408 (2014)
8:00 PM - NM04.06.27
Deconvoluting the Photonic and Electronic Response of 2D Materials—The Case of MoS2
Kehao Zhang 1 , Nicholas Borys 2 , Brian Bersch 1 , Ganesh Rahul Bhimanapati 1 , Ke Xu 3 , Baoming Wang 1 , Ke Wang 1 , Michael Labella 1 , Teague Williams 1 , Aman Haque 1 , Susan Fullerton 3 , P James Schuck 2 , Joshua Robinson 1
1 , The Pennsylvania State University, University Park, Pennsylvania, United States, 2 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 , The University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractIn order to make 2D materials technologically relevant, we must understand large-scale synthesis of these materials. However, synthetic 2D materials to-date are highly susceptible to a variety of defects, each of which are predicted to impact the optoelectronic properties of the monolayers. Therefore, evaluating and tuning the optoelectronic properties during synthesis is critical. While many claim that the photonic properties of a 2D layer provides evidence that the material is “high quality”, this may not be true for the electronic performance. In this work, we deconvolute the photonic and electronic response of synthetic monolayer molybdenum disulfide (MoS2) and find that the photonic and electronic properties are not always directly correlated.
We demonstrate that enhanced photoluminescence (PL) often found at domain boundaries of molybdenum disulfide (MoS2) is due to the defect-mediated suppression of the non-radiative relaxation. This enhanced PL can be robustly engineered over the entire film via the proper choice of substrate, where growth on oxygen (O) terminated sapphire (r-plane sapphire) yields >100x enhancement in PL and carrier lifetime due to increased Mo-O bonding compared to that of traditionally grown MoS2 on c-plane sapphire. These dramatic enhancements in optical properties are similar to those of chemically treated MoS2, and suggest that the electronic properties of the MoS2 are also superior, but this is not the case. Direct comparison of the charge transport properties indicates that the enhanced PL due to increased Mo-O bonding leads to a 2x degradation in electronic properties. As a result, this work provides important insights into the cautionary tale of utilizing optical properties of a 2D material to claim high quality electronic performance of semiconducting MoS2, which can be expanded to other 2D materials system.
8:00 PM - NM04.06.28
Tuning the Electron Concentration of Monolayer MoS2 by Rhenium Doping
Kehao Zhang 1 , Ke Wang 2 , Natalie Briggs 1 , Bhaktiben Parekh 1 , Chenxi Zhang 3 , Kyeongjae Cho 3 , Joshua Robinson 1
1 Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Materials Characterization Laboratory, The Pennsylvania State University, University Park, Pennsylvania, United States, 3 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractDoping of two dimensional (2D) semiconductors may provide an efficient route towards tunable electronic properties to achieve the p-n junction, tunneling diode and field effect transistors (FETs). In this study, we demonstrate the substitutional Renium (Re) doping of monolayer Molybdenum disulfide (MoS2) via powder vaporization, and its impact on the electronic properties of monolayer MoS2.
In this work, we achieve the substitutional in-situ Re doping by powder vaporization. The growth parameters of Re-doped MoS2 are optimized for ~1 at% Re doping. The aberration corrected high-resolution transmission electron microscopy (HRSTEM) clearly shows that the Re atoms are at the Mo sites. This doping introduces a ~35meV red shift together with 70% quench of the photoluminescence (PL), indicating the transition from excitons to trions due to the increase of electron concentration. The x-ray photoelectron spectroscopy (XPS) reveals that the Fermi level of the MoS2 monolayers is shift ~0.2eV towards the conduction band, suggesting a higher electron concentration due to the Re doping, and the Fermi level shift is also confirmed by Kelvin probe force microscope (KPFM). The electron concentration of the Re-doped MoS2 is calculated as ~1012 cm-2, while the pristine MoS2 is 1010 cm-2 based on the relative concentration of trions and excitons. The electron concentration from the experiment agrees well with the density functional theory (DFT) calculation with ~1% Re in the MoS2 super lattice.
This work provides an efficient way to n-type dope the monolayer MoS2. It motivates the transport measurements on FETs. Ultimately, the Re-doped MoS2 can be used for the tunneling diode with proper degenerate doping concentration.
8:00 PM - NM04.06.29
Van der Waals Substrates for III-V Growth via Exfoliation of Bulk Single Crystals
Celeste Melamed 1 2 , Brenden Ortiz 1 , Prashun Gorai 2 , Aaron Martinez 1 2 , William McMahon 2 , Elisa Miller-Link 2 , Vladan Stevanovic 2 1 , Adele Tamboli 2 , Andrew Norman 2 , Eric Toberer 2 1
1 , Colorado School of Mines, Golden, Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractVan der Waals epitaxy offers the opportunity to revolutionize semiconductor growth, with applications ranging from fundamental science to commercial technologies such as photovoltaics. At the same time, the widespread deployment of GaAs-based photovoltaics depends critically on reduction of costs, particularly those stemming from the single crystal substrate. In this work, we present a potential path bridging these two fields: low cost substrates obtained via exfoliation of layered, van der Waals bonded single crystals. From a search of known van der Waals crystals, we identified several model materials (e.g. GeS, SnS, In2Se3 and Bi2Se3) that are lattice matched to III-V compounds and considered their exfoliation energies. We grew single crystals of Bi2Se3 and examined them using X-ray reciprocal space maps, Auger spectroscopy, low-energy electron diffraction, and X-ray photoelectron spectroscopy. From these bulk single crystals, atomically flat, macroscopic slabs (>1 cm2) were exfoliated using an indium-bonding technique. Atomic force microscopy (AFM) reveals that the slabs have an average RMS roughness of 0.04 nm across ~400 μm2 scans and an average terrace length of 72 μm between step edges. 2D X-ray diffraction and reciprocal space maps confirm the high crystalline quality of the exfoliated surfaces. This exfoliation method produces substrates fully compatible with high-temperature growth processes used to grow III-V films. Finally, the surface conversion of Bi2Se3 to indium selenide using TMIn is presented, with a 4% lattice constant shift as determined by LEED. Our work provides a foundation for the widespread study and development of exfoliated layered materials as epitaxial substrates for III-V photovoltaic development.
8:00 PM - NM04.06.30
Electronic and Vibrational Properties of the Bismuth (111) Bilayer—An Ab Initio Study
David Hinojosa-Romero 1 , Isaias Rodriguez 2 , Alexander Valladares 2 , Renela Valladares 2 , Ariel Valladares 1
1 , IIM-UNAM, Mexico City Mexico, 2 PHYSICS, FC-UNAM, MEXICO, CDMX, Mexico
Show AbstractBismuth is known to be a semimetal with peculiar electronic and vibrational properties depending on whether it is crystalline or amorphous [1] or on the pressure applied on it [2]. It crystallizes in a structure with rhombohedral symmetry in which each atom has three equidistant nearest-neighbor atoms and three equidistant next-nearest neighbors slightly further away, resulting in a buckled 2D honeycomb bilayer lying perpendicular to the [111] crystallographic direction.
Using a supercell approach with a 220-atom supercell we report ab initio calculations of the electronic and vibrational densities of states for the bismuth (111) bilayers (bi-bismuthene) with periodic boundary conditions and a vacuum of ~ 30 Å. We find that the vibrational density of states shows the expected gap structure due to the bi-dimensionality and an electronic density of states of a metallic character at the Fermi level. This is to be expected from the discussion of Reference [3] where it becomes clear that the surface electronic states are more metallic than the bulk ones. These results shall be analyzed in terms of their relevance for the possible superconducting properties of the layered structures.
[1] Mata-Pinzón Z, Valladares AA, Valladares RM, Valladares A, Superconductivity in Bismuth. A New Look at an Old Problem. PLoS ONE 11(1): e0147645. doi:10.1371/journal.pone.0147645, (2016).
[2] Hinojosa-Romero, D., Rodríguez, I., Mata-Pinzón, Z., Valladares, A., Valladares, R., Valladares, A. Compressed Crystalline Bismuth and Superconductivity . An ab initio computational Simulation, MRS Advances, 2(9), 499-506. doi:10.1557/adv.2017.66, (2017).
[3] Hofmann, Ph., The surfaces of bismuth: structural and electronic properties. Progress in Surface Science 81 (2006) 191-245.
8:00 PM - NM04.06.31
First-Principles Investigations of Extraordinary Nonlinear Optical Properties in 2D Materials
Hua Wang 1 , Xiaofeng Qian 1
1 , Texas A&M University, College Station, Texas, United States
Show AbstractNoncentrosymmetric 2D materials with large nonlinear electric susceptibilities are highly valuable for a variety of applications in 2D nonlinear optics. Large second and third harmonic generation were recently discovered in a number of two-dimensional materials, including 2D MoS2, MoSe2, WS2, WSe2, hexagonal BN, GaSe and InSe, all of which belong to the same noncentrosymmetric point group with only one independent susceptibility element. Here we present our recent discovery on the giant nonlinear susceptibility in monolayer group IV monochalcogenides using first-principles theoretical approaches, and compare with the nonlinear optical properties of various 2D materials. The former is even about one to two orders of magnitude higher than those in other 2D materials. This extraordinary nonlinear responses are dominated by large intraband contributions, and the large optical anisotropy is found to be pertinent to the spontaneous ferroic orders and noncentrosymmetric point group. The theoretical results presented here points to the possibility of enabling direct mechanical switching of lattice orientation and electrical switching of electrical polarization, accompanied with instantaneous changes in the nonlinear susceptibilities. Our present findings provide the important fundamental understandings and design rules of 2D materials with colossal secon order optical responses that are promising for novel 2D nonlinear optoelectronics. (References: 1. Two-dimensional multiferroics in monolayer group IV monochalcogenides. 2D Materials 4, 015042 (2017). 2. Hua Wang and Xiaofeng Qian. Giant Optical Second Harmonic Generation in 2D Multiferroics (2017, submitted).)
8:00 PM - NM04.06.32
Epitaxial Growth of van der Waals Heterostructure by Using an Intermediate 2D Oxide
Jatis Dash 1 , Jong Hun Kim 1 , Jun-Young Kwon 1 , Yinchuan Lv 2 , Pinshane Huang 2 , Gwan-Hyoung Lee 1
1 , Yonsei University, Seoul Korea (the Republic of), 2 , University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractTwo-dimensional (2D) materials, such as graphene and transition metal dichalcogenides (TMDs), have been researched extensively due to their unique physical properties and applications. However, 2D transition metal oxides (TMOs) have been less explored compared to other 2D materials due to lack of production method for layered oxides. It is expected that the TMOs have remarkable properties that are beneficial for electronic applications. Generally, they have wider band gaps and large dielectric constants in their bulk stoichiometric states. Among the TMOs, molybdenum trioxide (MoO3) is one of the promising 2D oxides, which is a chemically stable with a layered structure. Here we demonstrate that layered large area α-MoO3 films can be epitaxially synthesized on other 2D substrates and directly transformed to a large area of MoS2 with controlled thickness. We fabricated highly oriented α-MoO3 layers (ranging from bilayers to few layers) on hexagonal boron nitride (hBN), graphene, mica, and transition metal dichalcogenides (TMDs). The formed oxide layers have a textured structure with single crystallinity and well stitched grain boundaries with no misfit. The stoichiometric composition of α-MoO3-x layers, i.e. modulation of the oxygen vacancies, can be controlled, allowing for modification of their electrical and optical properties. Electrical measurements showed that α-MoO3 layers can be used as tunneling barriers, dielectrics, and channel materials, which are beneficial for high power flexible electronics and electrochromic applications. More interestingly, the grown α-MoO3 layers can be directly converted into large area MoS2 layers with high crystallinity and epitaxial relation with a 2D substrate, which indicates that MoS2 can be epitaxially grown on various 2D substrates to form van der Waals heterostructures. Our work presents a platform for an alternative way toward 2D-oxide-based electronics and van der Waals epitaxial growth by using an intermediate 2D oxide.
8:00 PM - NM04.06.33
Indium Contacts for Single and Few-Layered MoS2 Field Effect Transistors
Yan Wang 1 , Jieun Yang 1 , Xiuju Song 1 , Fang Zhao 2 , Manish Chhowalla 1
1 , Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 2 , Princeton University, Princeton, New Jersey, United States
Show AbstractA major challenge in achieving high-performance short channel field effect transistors (FETs) with two-dimensional molybdenum disulfide (MoS2) is the minimization of resistance at the contact between the semiconducting channel and the metal electrode. A high Schottky barrier between the metal and MoS2 often results in high contact resistance, which leads to low drive currents. Different approaches for reducing contact resistance such as phase engineering1, doping of source and drain electrodes2, interface de-pinning3 and work function engineering4. Here, we present a systematic study of high-performance monolayer and few layered MoS2 FETs with indium metal as the source and drain electrodes. Indium has a work function of 4.1 eV – close to the conduction band energy of MoS2 (4.0 eV). Indium also has high thermal diffusivity, which has been used to dope CdSe quantum dot channels5. We have utilized X-ray photoelectron spectroscopy (XPS) to study the interface between the indium metal and MoS2 and found that deposition of In does not lead to changes in the Mo 3d or the S 2p peaks, suggesting no reaction at the metal-semiconductor interface. However, we do detect the presence of In2O3 at the interface. Despite this, FET devices using In contacts consistently exhibit substantially better performance in terms of the on/off ratio, mobility and drive currents. We have measured the FET characteristics as a function of temperature to distinguish between thermionic emission and tunneling and extract the Schottky barrier height.
Reference:
1. Kappera, R. et al. Phase-engineered low-resistance contacts for ultrathin MoS2 transistors. Nat. Mater. 13, 1128–1134 (2014).
2. Fang, H. et al. Degenerate n-Doping of Few-Layer Transition Metal Dichalcogenides by Potassium. Nano Lett. 13, 1991–1995 (2013).
3. Kaushik, N., Karmakar, D., Nipane, A., Karande, S. & Lodha, S. Interfacial n-Doping Using an Ultrathin TiO2 Layer for Contact Resistance Reduction in MoS2. ACS Appl. Mater. Interfaces 8, 256–263 (2016).
4. Das, S., Chen, H.-Y., Penumatcha, A. V. & Appenzeller, J. High-Performance Multilayer MoS2 Transistors with Scandium Contacts. Nano Lett. 13, 100–105 (2013).
5. Choi, J.-H. et al. Bandlike Transport in Strongly Coupled and Doped Quantum Dot Solids: A Route to High-Performance Thin-Film Electronics. Nano Lett. 12, 2631–2638 (2012).
8:00 PM - NM04.06.34
Electronic Transport Properties of Metallic Few-Layered NbS2
Yan Wang 1 , Fang Zhao 2 , Ibrahim Bozkurt 1 , Jieun Yang 1 , Manish Chhowalla 1
1 , Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 2 , Princeton University, Princeton, New Jersey, United States
Show AbstractTwo-dimensional semiconductors have shown the potential of matching the performance of Silicon based devices. However, the drive current is limited by the contact resistance between the source/drain electrodes and the semiconducting channel. The formation of high energy Schottky barrier at the interface and the inability to tune the Schottky barrier height by choosing different work function metals due to Fermi level pinning has made it challenging to realize high-performance devices. One interesting strategy to de-pin the Fermi level is via formation of van der Waals junctions between thin metals and 2D semiconductors.1 Thus, metallic 2D materials such as NbS2 could be ideal contacts for semiconductors such as MoS2.2 Here, we report a detailed study of electronic transport in ultra-thin ( 2 – 10 nm) crystals of NbS2 grown by chemical vapor deposition. Transport measurements on Hall bar down to 2 K as a function of temperature suggest that NbS2 is metallic with conductivity values of 1000S/cm and large carrier concentrations. Our measurements suggest that 2D metallic NbS2 could be an ideal contact material for 2D semiconductors.
Reference:
1. Liu, Y., Stradins, P. & Wei, S.-H. Van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier. Sci. Adv. 2, e1600069 (2016).
2. Guillamón, I. et al. Superconducting Density of States and Vortex Cores of 2H-NbS2. Phys. Rev. Lett. 101, 166407 (2008).
8:00 PM - NM04.06.36
Long-Range Lattice Engineering of MoTe2 by a 2D Electride Ca2N
Sera Kim 1 2 , Seunghyun Song 2 , Jongho Park 1 2 , Ho Sung Yu 1 2 , Dohyun Kim 1 , Young Hee Lee 1 2 , Sung Wng Kim 1 , Heejun Yang 1
1 Energy Science, Sungkyunkwan University, Suwon 16419, Gyeonggi-do, Korea (the Republic of), 2 Center for Integrated Nanostructure Physics, Institute for Basic Science (IBS), Suwon 16419, Gyeonggi-do, Korea (the Republic of)
Show AbstractIn 2D material researches, doping is a significant issue for various applications such as electric devices, hydrogen evolution reaction (HER), and etc. There have been extensive studies which reduce the contact resistance or increase HER efficiency by the electron doping that induced phase transition in group 6 TMD. Conventional methods to dope electrons would be introducing chemical dopants (such as Li) or making chalcogen vacancies, but these techniques inevitably produced external impurities or critical damages to the sample. Therefore, clean and non-destructive ways for the doping of 2D materials are demanded.
Here, we report a long-range (over 100 nm) phase transition in MoTe2 by contacting the MoTe2 to a 2D electride Ca2N having a low work function of 2.6 eV. Exfoliating 2H-MoTe2 on the Ca2N flakes, we could detect a signature of the structural phase transition from 2H-MoTe2 to 1T’-MoTe2 in Raman spectroscopy, X-ray photoemission spectroscopy, frictional force microscopy and DFT calculations. Up to 1014 cm-2 electrons were introduced in the MoTe2 by the 2D electride Ca2N, which realized a phase transition from 2H- to 1T’-MoTe2. This clean and non-destructive way to effectively dope electrons to 2D materials will be useful for many applications in 2D field.
8:00 PM - NM04.06.37
Effect of Singlelayer Nature of CVD Synthesized MoS2 Domains on the Metal Electrode-MoS2 Junction Properties
Vishakha Kaushik 1 , Deepak Varandani 1 , Pintu Das 1 , Bodh Mehta 1
1 Department of Physics, Indian Institute of Technology Delhi, New Delhi India
Show AbstractIn the present study, chemical vapor deposited two-dimensional (2D) materials are studied for their interesting electrical, optical and mechanical properties, as a function of number of layers. The two-dimensional material, molybdenum disulfide (MoS2), is characterized based on the nanoscale variations in the work function and junction properties using kelvin probe force microscopy (KPFM) and conductive atomic force microscopy (CAFM) techniques. Raman spectroscopy has been employed to obtain the magnitude of difference between E2g (~385cm-1) and A1g (~404cm-1) peaks of MoS2 which has been used as a signature of the number of layers. The surface potential values and its spread remains constant in the presence of adsorbates and defects, for different number of layers of MoS2. Surface potential of MoS2 singlelayer sample exhibits a value of -427 mV (~7.2 mV for multilayer) along with a large spread of about 29 mV (~3 mV for multilayer). For efficient use of 2D materials in device applications, it is necessary to form a good metal-semiconductor contact. The metal-semiconductor junction is studied as a function of applied loading force and number of layers of MoS2. The spread in surface potential is also substantiated with the spread in current values for singlelayer (~10nA) and multilayer (~1nA) samples by taking localized I-V measurement in C-AFM. The I-V characteristics are studied with two different AFM metal tips in contact mode, namely, Cobalt (Co) and Platinum (Pt), forming an Ohmic and Schottky contact with MoS2, theoretically. Experimental investigation of I-V characteristics using CAFM shows the formation of Schottky barrier and hence a rectifying contact due to Fermi level pinning even for the Co metal electrode contact. The study emphasizes the critical influence of singlelayer nature on the metal contacts in novel 2D materials based devices.
8:00 PM - NM04.06.38
High Electric Conductivity and Transparent Properties of Two-Dimensional Electrodes(NiTe2) Manufacturing by PVD Process
Taewon Yuk 1 , Sung Hyuk Lee 1 , Gyutae Jeon 1 , Jeon Taik Lim 1 , Suk Jun Kim 1
1 , Koreatech, Cheonan-si, Chungcheongnam-do, Republic of Korea Korea (the Republic of)
Show AbstractVarious of two-dimensional materials show high electrical conductivity similar to Graphene.
particularly, some of transition metal ditellurides has electrical conductivity as high as pure metals. Also, they can be transparent once they are in the form of a thin layer. Fortunately, they can be easily fabricated in the form of thin layer that consists of a few numbers of atomic layers. We attempt to fabricate thin films of nickel ditelluride in two ways (Intermetallic Target, Co-sputtering). Both of fabricated thin film crystal structure is Ni1Te2, Melonite, 98-004-3293. Our results prove that nickel ditelluride are one of promising candidates for transparent electrodes (existing electrode-200 μΩ*cm, 80%). First, 2 inch-compound targets were prepared by fabricating the intermetallic followed by SPS. Thin film (thickness 9nm) was deposited by Radio frequency sputtering (PVD) using the Intermetallic Target. Second, thin film (11nm) was deposited by co-sputtering a Ni and a Te target at Ni:Te=DC:RF. For two methods, we controlled sputtering power and deposition time and substrate heating conditions to maximize their electrical conductivity. Through TEM analysis proved that more grains with c-axis. Therefore, by after annealing and chemical exfoliation, Nickel ditellurides thin film grain size can be growth coarse and make more thin layer.
8:00 PM - NM04.06.39
Trapping of Charge Carriers and Control of Resistive States of MoS2 with n-Type Conducting Nb:SrTiO3
Woo Young Yoon 1 , Hye-Jin Jin 1 , William Jo 1
1 Department of Physics, Ewha Womans University, Seoul Korea (the Republic of)
Show AbstractMoS2, one of the most important transition metal dichalcogenides, is promising for device applications due to its electrical properties and controllable bandgap. Study on MoS2 on different substrate is critical for its application because through substrate selection, we expect the strain effect and different charge transport behavior caused by the interaction between them. Oxides is one of the interesting material that can be used as a substrate of MoS2. Various physical properties of oxides itself and its device application have been studied for several decades and study on heterostructure of MoS2 with oxides materials is already reported [1,2]. In this study, we used Nb doped SrTiO3 (STO) as a source of free charge carriers and a substrate for growth of MoS2. STO is well known oxide insulator and when Nb is doped on STO, it becomes conductive with n-type. Few layers of MoS2 is grown by a CVD method on Si/SiO2 substrate and transferred onto Nb doped STO. The characteristic of our sample was measured by conductive atomic force microscopy and Kelvin probe force microscopy. Nb doping changes the electrical properties of STO substrate and it changes the electronic doping state and bandgap of MoS2. Through the analysis based on measured work function and I-V characteristic, we can conclude that charges are trapped and detrapped in the MoS2 layers and related to the resistive switching behaviors which was induced by the charges from the conducting Nb:STO.
[1] Y. Li et al., Nanoscale, 6, 15248-15254 (2014)
[2] Y. Zhang et al., Nano Lett., 8, 8617-8624 (2014)
8:00 PM - NM04.06.41
Thermally-Driven Electron Doping in Semimetallic MoTe2 Lifshitz Transition Activated by Phonons in Layered Semimetallic MoTe2
Min Chevalier Kwon 1 , Sungjong Woo 2 , Dohyun Kim 1 , Suyeon Cho 4 , Jun-ho Lee 2 , Dongyeun Won 1 , Byungdo Ji 1 , Dong Hoon Keum 1 3 , Young Hee Lee 1 3 , Young-Woo Son 2 , Heejun Yang 1
1 Department of Energy Science, Sungkyunkwan University, Suwon Korea (the Republic of), 2 , Korea Institute for Advanced Study, Seoul Korea (the Republic of), 4 Division of Chemical Engineering and Materials Science, Ewha Womans University, Seoul Korea (the Republic of), 3 , Center for Integrated Nanostructure Physics, Institute for Basic Science (IBS), Suwon Korea (the Republic of)
Show AbstractElectronic, thermal and mechanical (structural) properties of layered transition metal dichalcogenides (TMDs) have shown an interweaving nature for non-trivial magnetoresistance and quantum states. In particular, colossal magnetoresistance and superconductivity have been correlated to the Fermi level in semimetallic MoTe2, which exhibits a significant temperature variation. Here, we clarify the origin of the temperature-induced non-trivial phenomena by investigating magnetoresistance, thermal expansion, band structure and phonon features of layered semimetallic MoTe2. The carrier concentration was found to affect the thermal expansion properties of the material along with the role of flexural phonon in the layered material with lattice distortion.
8:00 PM - NM04.06.43
The Anisotropic Piezoresistance of ReS2
Chunhua An 1 , Jing Liu 1 , Haicheng Hei 1
1 , The University of Tianjin, Tianjin China
Show Abstract
The excellent electronic and mechanical properties of two-dimensional (2D) materials make them promising candidates for flexible electronic devices1–6, actuators and strain/stress sensors7–10. Consequently, many studies have been focused on investigating the mechanoelectrical properties of 2D materials, among which piezoresistance is one of the most important parameters. Currently, the piezoresistance of 2D material is usually measured by loading force on suspended 2D material flake through the probe of atomic force microscope. This method involves complicated sample preparation process and low sample yield, and thus severely limits the related research.
In this paper, we use rhenium disulfide (ReS2) as an exemplary material, which possesses several outstanding physical properties, to demonstrate a simplified method of measuring the piezoresistance of 2D materials. ReS2 is first transferred on to a flexible polyimide film, followed by depositing two pairs of electrodes along its two lattice directions, respectively. Then, the prepared sample is installed in the middle of a cantilever beam. A commercial strain gauge is also installed at the same position as the ReS2 sample to measure the strain it experienced in real time. The strain is applied along its lattice direction by bending the free end of the cantilever beam. Based on this measurement method, the piezoresistance of ReS2 is calculated to be (4.27±0.67)×10-9 Pa-1 and (12.43±1.1)×10-9 Pa-1 along two lattice directions, respectively.
8:00 PM - NM04.06.44
Layer-by-Layer Photochemical Thinning and Nanopatterning of MoTe2
V. Karthik Nagareddy 1 , Tobias Octon 1 , Nicola Townsend 1 , Saverio Russo 1 , Monica Craciun 1 , C. David Wright 1
1 , University of Exeter, Exeter United Kingdom
Show AbstractSemiconducting transition metal dichalcogenides (TMDCs) have attracted enormous attention due to their fascinating electrical and optical properties. Among the various available TMDCs, molybdenum ditelluride (MoTe2) is one of the most intriguing but relatively less explored materials. Bulk 2H-MoTe2 is an indirect bandgap semiconductor with a bandgap of ~ 0.7 eV, whilst the monolayer and bilayer possess a direct bandgap similar to that of Si (≈ 1.1 eV). The thickness dependent bandgap, strong spin-orbit coupling, along with strong absorption over the solar spectrum makes MoTe2 particularly attractive for applications in electronics, optoelectronics, spintronics, and photovoltaics [1].
Despite its promising properties, the development of MoTe2-based applications has been hindered by its less mature film synthesis process compared to that of other widely studied TMDCs such as MoS2, MoSe2, WS2 and WSe2. Whilst the growth of few-layer 2H-MoTe2 films has been demonstrated, precise control of layer thicknesses down to monolayer has not been achieved to-date [2]. In the absence of effective growth techniques, production of mono-, bi- and tri-layer 2H-MoTe2 has been primarily limited to mechanical exfoliation, which lacks precise control over flake thickness and lateral size.
Here, we demonstrate a novel photochemical thinning approach to accurately control the thickness of MoTe2 layers under laser irradiation. Using this approach, multi-layer MoTe2 can be uniformly thinned layer-by-layer, all the way down to monolayer, without damaging the intrinsic MoTe2 crystal structure. In addition to thinning large areas of MoTe2, we also carried out localized band gap engineering by creating regions with different band gaps on the same sample, enabling the formation of lateral heterostructures with sub-300 nm spatial resolution. The thickness and crystal quality of thinned MoTe2 layers were monitored by atomic force microscopy and Raman, NIR-absorption and PL spectroscopy.
Electrical properties of these thinned layers were are also investigated by fabricating back-gated field-effect transistors (FETs). We report, for the first time, the fabrication of monolayer MoTe2 FETs, displaying an order of magnitude increase in electrical conductivity, along with high ON/OFF-current ratio (>105) and record field-effect mobilities (>5 cm2/V.s).
The proposed photochemical thinning and patterning process is extremely fast and reproducible and can be easily extended to other TMDC materials to achieve deterministically thinned samples for both fundamental studies and device prototyping.
Acknowledgements: VKN and CDW thank the US Naval Research Laboratories for funding by way of ONRG grant #N62909-16-1-2174. TJO acknowledges funding via the UK EPSRC CDT in Metamaterials (EP/L015331/1). NJT acknowledges DSTL grant scheme Sensing and Navigation using quantum 2.0 technologies.
[1] Y. Lin et al., Adv. Mater., 26, 3263–3269 (2014).
[2] L. Yang et al., ACS Nano, 11, 1964−1972 (2017).
8:00 PM - NM04.06.45
Raman Spectra of Chiral Phonons in Two-Dimensional Materials
Riichiro Saito 1 , Yuki Tatsumi 1
1 , Tohoku University, Sendai Japan
Show AbstractRaman spectra of strained graphene and transition metal dicharcogenides (TMDs) are calculated especially for so-called chiral phonon modes that have angular momentum of phonon in the two dimensional material. For a given chiral phonon, the helicity of a photon that is angular momentum of the incident light can be changed after the inelastic (Raman) scattering. The change of the helicity can be observed by the polarized Raman spectroscopy. This means the angular momentum quantum is transferred from a photon to the chiral phonon. In order to understand the phenomena, we discuss not only by using classical Raman tensor but also by quantum mechanical calculation of electron-phonon matrix element by first principles calculations and the EPW package for electron-phonon matrix element. The calculated results show that even non-degenerate the G band phonon mode in strained graphene shows the nature of chiral phonons. We also present the Raman spectra of TMDs as a function of laser excitation energy in which the degree of the valley polarization is essential for the Raman intensity of chiral phonons. The calculated results are directly compared with the recent experimental results.
Symposium Organizers
Swastik Kar, Northeastern University
Cinzia Casiraghi, University of Manchester
Arindam Ghosh, Indian Institute of Science
Saikat Talapatra, Southern Illinois University
Symposium Support
Nano Futures | IOP Publishing
National Science Foundation
NM04.07: Advanced Architectures of 2D Materials for Devices and Applications
Session Chairs
Nathan Guisinger
Humberto Terrones
Wednesday AM, November 29, 2017
Hynes, Level 3, Room 312
8:00 AM - *NM04.07.01
Ultra-Strong Electrochemical Actuators Based on Two-Dimensional MoS2 Nanosheets
Manish Chhowalla 1
1 , Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States
Show AbstractActuators that convert electrical energy to mechanical energy are useful in electromechanical systems, biomedical devices, and robotics. Practical actuation systems could also be useful for steerable catheters for biomedical applications or in shape changing structures such as adaptive wings for aircrafts or for wind turbines to reduce drag. Such applications require materials that can generate high mechanical forces with suitable strain amplitudes, exhibit high work density, and possess high cycle stability. Here, we demonstrate that the dynamic expansion and contraction of metallic two dimensional (2D) 1T phase MoS2 films can generate large mechanical forces that are capable of lifting masses that are > 150 times heavier than the electrode weight over several millimetres and for hundreds of cycles. Specifically, the MoS2 films are able to generate mechanical stress of ~ 17 MPa – much higher than mammalian muscle (~0.3MPa) and comparable to ceramic piezoelectric actuators (~40 MPa) – and strains of up to 0.8% (~ 0.6 ± 0.2%). We also demonstrate that they can operate at frequencies of up to 1 Hz. The corresponding work density at 0.005 Hz is 60 kJ/m3 and at 0.125Hz is 30 kJ/m3. The actuation performance is attributed to the high electrical conductivity of metallic 1T phase of MoS2 nanosheets, elastic modulus of restacked MoS2 layers (2 – 4 GPa) and fast proton diffusion between the nanosheets.
8:30 AM - NM04.07.02
Liquid Phase Exfoliated NbSe2 and NbSe2/Conducting Polymer Based Hybrid Two-Dimensional Nanomaterials for Flexible Energy Storage Devices
Sujoy Ghosh 5 , Alec Maddaus 2 , Bo Si 4 , Shawna Hollen 5 3 , John Tsavalas 6 3 , Edward Song 4 3
5 Physics, University of New Hampshire, Durham, New Hampshire, United States, 2 Chemical Engineering, University of New Hampshire, Durham, New Hampshire, United States, 4 Electrical and Computer Engineering, University of New Hampshire, Durham, New Hampshire, United States, 3 , Center for Advanced Materials and Manufacturing Innovation, Durham, New Hampshire, United States, 6 Chemistry, University of New Hampshire, Durham, New Hampshire, United States
Show AbstractIn recent years, graphene and other layered two-dimensional (2D) materials such as transition metal dichalcogenides (TMDCs) have received immense interest for electrochemical energy storage applications due to their high specific surface area, versatile electronic properties and mechanical flexibility. Liquid phase exfoliation provides a facile route for producing large scale high quality 2D materials. Here, we present our recent progress on the performance of liquid phase exfoliated thin 2D layers of niobium diselenide (NbSe2) as a supercapacitor electrode material. The exfoliated NbSe2 dispersions were first used to build the supercapacitor electrodes by vacuum filtration without the use of any conductive additives or polymeric binders. We have found the gravimetric capacitances of ~ 70 F/gm and ~ 44 F/gm at a constant scan rate of 10 mV/s using 1M H2SO4 and 1-butyl-3-methylimidazolium hexafluorophosphate (BMIMPF6) ionic liquid as electrolytes, respectively. We have also found that the gravimetric capacitance value for 1M H2SO4 can be further improved to ~86 F/gm if conducting polymer polypyrrole was polymerized on the surfaces of the exfoliated NbSe2 flakes. Consequently, the corresponding energy and power densities have increased by an order of magnitude. Constant current charge-discharge exhibits superior cycling stability with ~90% retention after more than 5000 cycles. Further, detailed electrochemical characterizations using electrochemical impedance spectroscopy analyses have been performed. Finally, the performance of NbSe2-based planar supercapacitors made by direct inkjet printing of the liquid exfoliated NbSe2 dispersions on flexible substrates is investigated for potential applications in wearable and portable energy storage devices.
8:45 AM - NM04.07.03
Elastic Properties and Electromechanical Applications of 2D GaS
Basant Chitara 1 , Assaf Ya'akobovitz 1
1 , Ben Gurion University of the Negev, Beer Sheva Israel
Show AbstractRecent research in two dimensional materials (2D) is to push the limits of 2D materials for their integration in next generation wearable and flexible devices. Therefore, it is highly important to study their mechanical properties in addition to their electronic properties. In the present study, we have investigated elastic and electromechanical properties of gallium sulphide (GaS). GaS belongs to III−VI group of layered compounds with each layer consisting of S−Ga−Ga−S sheets. It exhibits an indirect band gap of 2.59 eV and a direct band gap around 3.05 eV. It has already shown its potential in optoelectronics by exhibiting superior photoresponsivity of 19.2 A/W over graphene and MoS2. Thus, it would be interesting to see its elastic properties and its application as high frequency electromechanical resonators. Atomic force microscopy was employed to study the elastic properties of GaS nanosheets by measuring Young’s Moduli of ultrathin and thick GaS nanosheets. We further demonstrated high frequency electromechanical resonators based on layered GaS. The force-deflection measurements on thin GaS (5 nm) and thick GaS (120 nm) nanodrums showed a transition from membrane to plate-like regime. This crossover from membrane to plate-like behavior manifests an increase in Young Moduli values from 40 GPa to 100 GPa for 120 nm thick and 5 nm GaS sheets, respectively. Electromechanical resonators fabricated from thick GaS nanodrums showed response in the high-frequency range (10-25 MHz) as estimated from AFM measurements. The GaS nanodrums showed frequency response comparable to that of single layer molybdenum disulphide (MoS2) and black phosphorous. Therefore, this study suggests a strong impact in many future applications of GaS in stretchable nano-electronics and high frequency optomechanical devices and results will be presented.
9:00 AM - NM04.07.04
Sub-Nanometer Channels Embedded in Two-Dimensional Materials
Yimo Han 1 , Ming-Yang Li 2 , GangSeob Jung 3 , Mark Marsalis 4 , Zhao Qin 3 , Markus Buehler 3 , Lain-Jong Li 2 , David Muller 1
1 , Cornell University, Ithaca, New York, United States, 2 Physical Science, King Abdullah University of Science and Technology, Saudia Arabia (KAUST), Thuwal Saudi Arabia, 3 , MIT, Boston, Massachusetts, United States, 4 , Texas Tech University, Lubbock, Texas, United States
Show AbstractTwo-dimensional (2D) materials are among the most promising candidates for next-generation electronics due to their atomic thinness, allowing for flexible transparent electronics and the ultimate length scaling. Thus far, atomically-thin p-n junctions, metal-semiconductor contacts, and metal-insulator barriers have been demonstrated. While 2D materials achieve the thinnest possible devices, precise nanoscale control over the lateral dimensions are also necessary for reaching the sub-nanometer length scales of future circuitry. Although external one-dimensional (1D) carbon nanotubes can be used to locally gate 2D materials, this adds a non-trivial third dimension, complicating device integration and flexibility. Here, we report the direct synthesis of sub-nanometer 1D MoS2 channels embedded within WSe2 monolayers, using a dislocation-catalyzed approach. The 1D channels form a coherent dislocation-free interface with the embedding 2D matrix. Periodic dislocation arrays produce 2D superlattices of MoS2 1D channels in WSe2. This general approach can potentially apply to other combinations of 2D materials and access the ultimate length scales necessary for future electronic applications.
9:15 AM - NM04.07.05
2D Atomic Sandwiches in Ordered Multi-Elemental MXenes
Babak Anasori 1 2 , Kanit Hantanasirisakul 1 2 , Kathleen Maleski 1 2 , Yury Gogotsi 1 2
1 Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 2 , Drexel Nanomaterials Institute, Philadelphia, Pennsylvania, United States
Show Abstract2D transition metal carbides and nitrides (MXenes) are an emerging family of 2D materials that have been expanding rapidly. About 30 different MXenes have been synthesized to date, such as Ti2C, Ti3C2, Nb2C, V2C, Mo2C, and Nb4C3, and several more theoretically predicted.1 The MXenes reported to date have surface functionalities, such as hydroxyl, fluorine, and oxygen, which add hydrophilicity to their surfaces. The family was expanded in 2015,2 by the discovery of ordered double transition metal MXenes, such as Mo2TiC2 and Mo2Ti2C3, in which one or two layers of a transition metal (e.g., Ti) are sandwiched between the layers of another transition metal (e.g., Mo) in a 2D carbide structure. This tailoring of atomic ordering, where one atomic plane in a MXene can be replaced by another type of transition metal, gives unique control over properties in 2D.3,4 For example, in Mo2TiC2, we can replace the inner Ti atomic sheet with V to form Mo2VC2, or replace the outer Mo atomic planes with Cr and form Cr2TiC2, which can further modify the electronic, magnetic, electrochemical and optical properties of MXenes. Here, we present the synthesis of novel ordered double transition metal MXenes by changing the inner transition metal atomic planes in Mo2MC2 and Mo2M2C3 (M: Ti, V, Nb, Ta) and discuss the influence of these changes on optical, electronic, and magnetic properties of these 2D materials.
References
1. Anasori, B.; Lukatskaya, M. R.; Gogotsi, Y. Nat. Rev. Mater. 2017, 2, 16098.
2. Anasori, B.; Xie, Y.; Beidaghi, M.; Lu, J.; Hosler, B. C.; Hultman, L.; Kent, P. R. C.; Gogotsi, Y.; Barsoum, M. W. ACS Nano 2015, 9, 9507-9516.
3. Tan, T. L.; Jin, H. M.; Sullivan, M. B.; Anasori, B.; Gogotsi, Y. ACS Nano 2017, 11, 4407-4418.
4. Dong, L.; Kumar, H.; Anasori, B.; Gogotsi, Y.; Shenoy, V. B. The Journal of Physical Chemistry Letters 2017, 8, 422-428.
9:30 AM - NM04.07.06
GaN Hot Electron Transistors Based on a van der Waals Base-Collector Barrier
Ahmad Zubair 1 , Amirhasan Nourbakhsh 1 , Jin-Yong Hong 1 , Meng Qi 2 , Jing Kong 1 , Debdeep Jena 3 , Mildred Dresselhaus 1 , Tomas Palacios 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , University of Notre Dame, Notre Dame, Indiana, United States, 3 , Cornell University, Ithaca, New York, United States
Show AbstractHot electron transistors (HET) are promising devices that may enable high frequency (>THz) operation to overcome the limitations of state-of-the-art field effect or bipolar transistors. HETs are unipolar and majority carrier devices, where the base-to-emitter voltage controls the injection of ballistic hot electrons from emitter to collector through a transit layer shorter than the carrier mean free path. Therefore, ultra-thin base electrodes are needed to facilitate ultra-short transit time. In this regard, monolayer graphene is an excellent candidate for the base layer in HETs.
The existing graphene-base HETs with SiO2/Si as emitter stack suffer from low current density, which limits the high frequency potential. In this work, we used an ultra-thin AlN tunnel barrier grown on bulk GaN to achieve record emitter current density. However, the filtering efficiency of injected hot electrons depends on both the quantum mechanical reflection at the collector barrier and tunneling conductance of the barrier. The chemical inertness of graphene and lack of dangling bond at the surface makes the growth of high quality collector barrier challenging. Here, we propose the use of graphene/MX2 (M=Mo,W, and X= S, Se) van der Waals heterostructure as the base-collector barrier of HET. The device with graphene/WSe2 base-collector junction shows record high output current density (>50 A/cm2), current gain (>5) and hot electron injection efficiency above 75% among the graphene-base HETs. These results show that performance parameters in HETs can be improved by taking advantage of the large library of MX2 materials and their alloys for the tunneling barriers in HETs.
10:15 AM - *NM04.07.07
Nanopores in 2D Materials Opportunities and Challenges
Aleksandra Radenovic 1
1 Laboratory of Nanoscale Biology, Institute of Bioengineering, School of Engineering, École Polytechnique Fédérale de Lausanne, Lausanne Switzerland
Show AbstractWe use novel solid-state nanopore platform based on atomically thin nanopore membranes in 2D
materials such as graphene, boron nitride or molybdenum disulfide for DNA detection, sequencing, water
desalination and osmotic power generation. In this talk, I will address several experimental challenges
related to the large area growth of 2D materials, nanofabrication of uniformly sized nanopores and their
integration with other materials. I will conclude with the recent results that further our understanding of
ionic transport through subnanometer holes and importance of the pore geometry.
10:45 AM - NM04.07.08
Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics
Zhenwei Wang 1 , Zhenyu Dai 1 , Xin He 1 , Xixiang Zhang 1 , Husam Alshareef 1
1 , King Abdullah University of Science and Technology (KAUST), Jeddah-Thuwal Saudi Arabia
Show AbstractTwo dimensional (2D) semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein we combine these two types of materials to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process was developed to grow monolayer MoS2 triangular flakes and continuous films, which were, for the first time, combined with transparent conducting oxide (TCO) gate, source, and drain contacts. Transparent conducting aluminum doped zinc oxide (AZO) contacts were deposited by atomic layer deposition at low temperature, with composition tuning to achieve optimal conductivity and band-offsets (Ohmic contacts) with MoS2. Our optimized process gives fully-transparent TCO/MoS2 2D electronics with an average transmittance of 85%. The transparent transistors show high mobility (4.2 cm2 V-1 s-1), fast switching speed (0.114 V dec-1), near zero threshold voltage (0.69 V) and large switching ratio (4×108). To our knowledge, these are the lowest threshold voltage and subthreshold swing reported for monolayer CVD MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage 10 V. Our results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.
11:00 AM - NM04.07.09
Location-Selective and One-Step Growth of P- and N-Type Transition Metal Dichalcogenide Monolayers—A Demonstration of IC-Compatible CMOS Inverter
Ming-Hui Chiu 1 , Hao-Ling Tang 1 , Jing-Kai Huang 1 , Lain-Jong Li 1
1 Physical Science and Engineering, King Abdullah University of Science and Technology (KAUST), Thuwal Saudi Arabia
Show AbstractTransition metal dichalcogenides (TMDs), members of two-dimensional (2D) material family, have been demonstrated as promising candidates for future optoelectronic and electronic devices.[1] The success of TMD synthesis by chemical vapor deposition (CVD) is the key to boost up the development of 2D TMD materials.[2] Various TMDs have been successfully synthesized with the similar CVD approach. The growth and formation of vertical or lateral heterojunctions have also been successfully demonstrated. [3,4] To construct complementary metal oxide semiconductors (CMOS), integrate p- and n- type semiconductors is essentially required. Hence, the concept of using two dissimilar TMDs, one p- and one n-type TMD, has been recently demonstrated for circuit applications.[5] However, the scalable approach to control the location of growth is still lacking. In this work, we firstly developed a novel synthetic method to grow more than one TMDs in a single process; meanwhile, the location of each TMD material can precisely controlled. For example, one step CVD growth of WSe2-MoSe2 PN Junctions along with WSe2 p-channel and MoSe2 n-channel for CMOS applications are successfully achieved. As a result, the first TMD based inverter using bottom-up approaches has been demonstrated with voltage gain over 23. Detailed CVD processes and device fabrication shall be discussed.
[1] H. Li, et al., Nano Energy, 18 (2015), 293
[2] Y.-H. Lee, et al., Adv. Mater., 24 (2012), 2320
[3] X. Duan, et al., Nat. Nanotech., 9 (2014), 1024
[4] M.-Y. Li, et al., Science, 349 (2015), 524
[5] P. Jiang, et al., Adv. Mater., 28 (2016), 4111
11:15 AM - NM04.07.10
Nanoabsorption Mapping—A Novel Technique to Probe Optical Properties of 2D Semiconductors
Marco Negri 2 , Luca Francaviglia 2 , Wang Wei 2 , Dumitru Dumcenco 2 , Andreana Daniil 2 , Filippo Fabbri 1 , Matteo Bosi 1 , Enzo Rotunno 1 , Giancarlo Salviati 1 , Daniel Kaplan 3 , Venkataraman Swaminathan 3 , Andras Kis 4 , Anna Fontcuberta i Morral 2
2 , Laboratoire des Matériaux Semiconducteurs, École Polytechnique Fédérale de Lausanne, Lausanne Switzerland, 1 , IMEM-CNR, Parma Italy, 3 , U.S. Army RDECOM-ARDEC, Fuze Precision Armaments and Technology Directorate, Picatinny, New Jersey, United States, 4 , Electrical Engineering Institute and Interdisciplinary Center for Electron Microscopy (CIME), École Polytechnique Fédérale de Lausanne, Lausanne Switzerland
Show AbstractChemical Vapour Deposition of 2D semiconductors monolayers is now developed on large area, but the study of relevant properties often requires characterization at length scales beyond the diffraction limit.
Optical imaging and spectroscopy at the nanoscale normally is achieved using Near-field Scanning Optical Microscopy (NSOM) to investigate inelastic processes like photoluminescence (PL) [1]. NSOM-PL however faces a series of artefacts and unwanted significant background signals. Other inelastic techniques like optical absorption, can give complementary information to PL, but the spatial resolution is limited by the Rayleigh criterion.
Here we show for the first time an original technique based on the absorption from the 2D semiconductor flakes of the substrate emission excited by an electron probe during Cathodoluminescence (CL) imaging and spectroscopy. The chosen substrates are SiO2 and Al2O3 oxides. The defect related emission of the oxides provide the UV-VIS light source of the technique ranging from 300-370 nm for Al2O3 to 400-700 nm for SiO2. It is shown that the electron probe allows to overcome the light diffraction limits and to observe, in the absorption mode, features of the 2D layers with nanometer spatial resolution. So the absorption maps of 2D TMDCs flakes are expected to reveal details such as adlayer defects, grain boundaries, twins, cracks etc..
The CL spectra from SiO2 substrates reveal three main emissions at 460 nm (oxigen deficiency center-ODC II, ≡Si-Si≡), at 540 nm (self trapped exciton) and at 650 nm (non-bridging oxygen hole centre, NBOHC, ≡Si-O*). A decrease of about 20% of the integrated intensity of all peaks of the spectra is found on the flakes. A further decrease is found to be due to the increasing number of adlayers or to flakes superimposition. As a result, surface features non revealed by SEM-FEG imaging were clearly observed in the absorption map.
CL spectroscopy from Al2O3 substrates show an intense and narrow emission peaked at 330 nm (F+ center emission due to an oxygen vacancy with a single trapped electron) [2]. Also in this case a reduction of about 30% on flakes is found. Grain boundaries, confirmed by HRTEM imaging, have a clear visible effect on the absorption from the flakes. A further absorption at boudary layers, probably due to local doping [3], is also evidenced. No influence of the beam energy (2 keVOur approach also proved to be valuable to study 2D-2D MoS2-MoSe2 heterojunctions in a non-destructive manner. This imaging and spectroscopy technique opens the way to in-situ studies at the nanoscale on working devices based on a wide range of 2D materials.
[1] W. Bao et al. (2015) Nat. Commun. 6, 7993.
[2] G. Pezzotti et al. (2006) Appl. Phys. Lett., 89(4), 041908,
[3] A. M. van der Zande et al. Nat. Materials 12 (2013) 554-561
11:30 AM - NM04.07.11
Salt-Templated Synthesis of Two-Dimensional Transition Metal Nitrides and Their Magnetic and Electrochemical Properties
Xu Xiao 1 , Patrick Urbankowski 1 , Kanit Hantanasirisakul 1 , Chi Chen 1 , Long Yang 2 , Simon Billinge 2 , Yury Gogotsi 1
1 , Drexel University, Philadelphia, Pennsylvania, United States, 2 , Columbia University, New York, New York, United States
Show AbstractTwo-dimensional (2D) transition metal nitrides are in high demand due to their promising physical and electrochemical properties. While more than 20 2D transition metal carbides, such as Ti3C2Tx and other carbide MXenes have been obtained, 2D metal nitrides are limited to only a handful of synthesized materials so far. In terms of their superior conductivity and stability, the field of 2D metal nitrides is of growing significance. The bottleneck is a lack of known synthesis routes. For example, there are few bulk layered transition metal ternary nitrides known and so far only 2D Ti4N3 (nitride MXene) has been successfully exfoliated from Ti4AlN3 MAX phase by our group. Hence we recently developed a salt-templated method, which has already produced 2D MoN, V2N, W2N, Mn3N2 and Cr2N. In this procedure, a metal-containing precursor is mixed with a salt and then treated at high temperatures under ammonia flow. A 2D metal nitride is obtained due to the lattice match between the surface of the salt and the target product. X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and X-ray pair distribution function (PDF) analysis confirmed the various nitrides structures. Importantly, we have proved the antiferromagnetic properties of 2D Cr2N and Mn3N2 theoretically and experimentally, which should be the first experimentally demonstrated 2D magnetic metal nitrides. In addition, when the restacked 2D MoN film was used as a supercapacitor electrode1, a remarkable volumetric capacitance of ∼ 928 F cm−3 was achieved at 2 mV s−1 in H2SO4 electrolyte, and a very high capacitance of 200 F cm−3 was measured at an ultrahigh sweep rate of 20 V s−1, which shows the potential applications in high-rate energy storage.
Reference:
[1] Xiao, X.; Yu, H.; Jin, H.; Wu, M.; Fang, Y.; Sun, J.; Hu, Z.; Li, T.; Wu, J.; Huang, L.; Gogotsi, Y.; Zhou, J. Salt-templated Synthesis of 2D Metallic MoN and Other Nitrides, ACS Nano, 2017, 11, 2180–2186.
11:45 AM - NM04.07.12
Hybrid van der Waals p-n Heterojunctions Based on P-Type Oxide and 2D MoS2
Zhenwei Wang 1 , Xin He 1 , Xixiang Zhang 1 , Husam Alshareef 1
1 , King Abdullah University of Science and Technology (KAUST), Jeddah-Thuwal Saudi Arabia
Show AbstractTwo-dimensional (2D) materials including transition metal dichalcogenides (TMDs), graphene, MXenes, and others have received considerable attention in recent years. The enhancement of the properties of 2D crystals at the atomic scale has opened up many potential applications. A unique feature for novel heterostructures that contain 2D crystals is the formation of van der Waals heterojunction (vdWH) at the interface. The signature for such system includes weak bonding between the stacked layers, atomically abrupt change in lattice structure, and excellent inherited properties from the corresponding 2D crystals (e.g. TMDs). Here, for the first time, we demonstrate p-oxide/n-2D crystal hybrid vdWHs based on tin monoxide (SnO) and molybdenum disulfide (MoS2). The hybrid vdWHs exhibit excellent diode operating performance, including ideality factor ~2 and stable rectification ratio up to ~104. MoS2 with layer numbers of 1, 3 to 7 are used for building vdWHs, the device performance is dependent on the layer numbers. Benefit from the thin 2D crystal layer, the reported hybrid vdWHs are gate-tunable and exhibit typical anti-ambipolar transfer characteristics when tested using three-terminal configuration. Surface potential mapping of the vdWHs was performed as a function of number of MoS2 layers, geometry dependent current flow models for carriers transport inside the 2D MoS2 layer and injection to the vdWH are proposed. The vdWHs were further employed in practical applications, including AC rectifying, thermal sensing, and photovoltaics devices. Our work demonstrates p-SnO/n-MoS2 vdWHs can be robust building blocks in future nano-electronic applications.
NM04.08: New Discoveries in 2D Materials—Experiments and Theory/Simulations
Session Chairs
Manish Chhowalla
Aleksandra Radenovic
Wednesday PM, November 29, 2017
Hynes, Level 3, Room 312
1:30 PM - *NM04.08.01
Vibrational and Nonlinear Optical Properties of Semiconducting Transition Metal Dichalcogenides
Humberto Terrones 1 , Kory Beach 1 , Michael Lucking 1
1 Physics, Applied Physics and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractThe non-invasive characterization of semiconducting transition metal dichalcogenides (STMDs) is crucial to determine their appropriateness for new applications. Among the non-invasive techniques that are becoming very useful are Raman spectroscopy, photoluminiscence and second harmonic generation (SHG). Resonant Raman and SHG can be used to identify with precision monolayers and defective systems. The first part of this talk will be devoted to describe the main features of these characterization techniques and in the second part, theoretical calculations based on first principles methods using density functional theory (DFT) and GW-BSE will be introduced to understand the experimental results in particular those related to resonant Raman spectroscopy and non-linear optical properties of STMDs. The third part of the talk will be about the role of noninvasive characterization in determining strain, different types of defects and curvature (including nanotubes) in STMDs.
2:00 PM - NM04.08.02
Data Mining for New Two- and One-Dimensional Materials and Lattice-Commensurate Heterostructures
Gowoon Cheon 1 , Karel-Alexander Duerloo 1 , Austin Sendek 1 , Chase Porter 1 , Yuan Chen 1 , Evan Reed 1
1 , Stanford University, Stanford, California, United States
Show AbstractLayered materials held together by weak interactions including van der Waals forces, such as graphite, have attracted interest for both technological applications and fundamental physics in their layered form and as an isolated single-layer. Only a few dozen single-layer van der Waals solids have been subject to considerable research focus, although there are likely to be many more and which could have superior properties. To identify a broad spectrum of layered materials, we present a novel data mining algorithm that determines the dimensionality of weakly bonded subcomponents based on the atomic positions of bulk, 3D crystal structures. By applying this algorithm to the Materials Project database of over 50,000 inorganic crystals, we identify 1173 two-dimensional layered materials and 487 materials that consist of weakly bonded one-dimensional molecular chains. This is an order of magnitude increase in the number of identified materials, with most materials not known as two- or one-dimensional materials. Moreover, we discover 98 weakly bonded heterostructures of two-dimensional and one-dimensional subcomponents that are found within bulk materials, opening new possibilities for much-studied assembly of van der Waals heterostructures.
Chemical families of materials, band gaps and point groups for the materials identified in this work are presented. Point group and piezoelectricity in layered materials are also evaluated in single-layer forms. 325 of these materials are expected to have piezoelectric monolayers with a variety of forms of the piezoelectric tensor. This work significantly extends the scope of potential low-dimensional weakly bonded solids to be investigated.
2:15 PM - NM04.08.03
Anomalous Sign Change in the Seebeck Coefficient of 2D MoS2
Jing Wu 1 , Yi Liu 2 , Chi Dongzhi 1 , John Thong 2 , Kedar Hippalgaonkar 1
1 , Institute of Materials Research and Engineering, Singapore Singapore, 2 , National University of Singapore, Singapore Singapore
Show AbstractThe thermoelectric properties of 2D Transition Metal Dichalchogenides (TMDCs) have been studied extensively in the last few years. The Seebeck coefficient or thermopower of a material describes the generation of an open-circuit voltage in response to a temperature gradient, which is a thermodynamic property that is sensitive to the scattering of charge carriers inside a system. In our study, we use the Seebeck coefficient to probe the nature of scattering in exfoliated few-layer MoS2. On a heterostructure of MoS2/h-BN, we find that the presence of disorder or impurity states changes the scattering behavior as a function of temperature, resulting in an unexpected sign change in the Seebeck coeffcient. Intriguingly, we find that charge transport remains n-type (through electrons) even as the Seebeck coefficient becomes positive, thereby unraveling novel physics in this material system. We explain this as a temperature-dependent shift in the energy-dependent scattering time, probed by measuring the field-effect and hall mobility as well as the Nernst Coefficient, supported by Scanning Tunneling Spectroscopy and we postulate that the Kondo effect is the possibly underlying mechanism causing this change in the scattering.
3:30 PM - *NM04.08.04
Atomic-Scale Exploration of Synthetic Low Dimensional Materials
Nathan Guisinger 1
1 , Argonne National Laboratory, Lemont, Illinois, United States
Show AbstractLow-dimensional materials functioning at the nanoscale are a critical component for a variety of current and future technologies. From the optimization of light harvesting solar technologies to large-scale catalytic processes, key physical phenomena are occurring at the nanometer and atomic length-scales and predominately at interfaces. For instance, graphene is a nearly ideal two-dimensional conductor that is comprised of a single sheet of hexagonally packed carbon atoms. In order fully realize the potential of graphene for novel electronic applications, large-scale synthesis of high quality graphene and the ability to control the electronic properties of this material on a nanometer length scale are key challenges. In addition to graphene, we are interested in exploring the synthesis of low-dimensional materials that do not occur in nature. This talk will highlight how scanning probe microscopy presents a series of powerful experimental tools that can overcome several challenges and allow for the direct characterization of several advanced materials. This talk will also cover our most recent discovery and synthesis of new two-dimensional (2D) boron allotropes (borophenes). This discovery of metallic 2D sheets of boron presents almost ideal example of the synergy between predictive modeling resulting in the experimental realization of a designer materials.
4:00 PM - NM04.08.05
Free and Bound Charge Transport in MoS2 Layers on Honey-Comb Domains of Ferroelectric Thin Films
Hye-Jin Jin 1 , Woo Young Yoon 1 , William Jo 1
1 , Ewha Womans University, Seoul Korea (the Republic of)
Show AbstractWe can utilize MoS2 two-dimensional semiconducting materials with a variety of heterostructured systems. In this work, MoS2/(111)PbTiO3 ferroelectric heterostructured system is investigated. (111)PbTiO3 exhibits a honey-comb domain structure. It is desirable to control free and bound charges at the interface between the semiconducting MoS2 and the (111)PbTiO3 ferroelectric thin films by aligning the atomic arrangements. To investigate the charge mobility and transport of the MoS2 layer, we used piezoresponse force microscopy and conductive-atomic force microscopy. Role of depolarization fields is still controversial from the measured data from the MoS2 layer, resulting in a possible reduction or enhancement of the ferroelectric polarizations in the vertical heterostructure. Especially, we can obtain an abrupt change of conducting states at the MoS2 layer when the applied bias exceeds coercive voltage resulting in polarization switching. The transport behavior resemble resistive switching of oxide materials but the set or reset of the conducting states look strongly dependent on the number of MoS2 layers and poling states of the ferroelectrics. The current transport in the MoS2-ferroelectric heterostructures can be controlled by regulating polarization state with poling process in the ferroelectric thin films.
4:15 PM - NM04.08.06
Quilt of Complex Materials Stitched with Layered Thiophosphates
Michael Susner 2 1 , Panchapakesan Ganesh 1 , Michael McGuire 1 , Petro Maksymovych 1
2 , Air Force Research Laboratory (AFRL), Dayton, Ohio, United States, 1 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractIn this talk, we will summarize our recent studies of ferroelectric domains in CuInP2S6, CuInP2Se6 and CuScP2S6. In addition to correlated ground states, we have revealed a rich gamut of properties that explicitly rely on a surprisingly facile diffusion of transition metal ions within the layers. These involve – chemical phase-separation via cation sub-lattice melting [2,3]; existence of intrinsically conducting surfaces of otherwise insulating materials [4] enhancement, rather than suppression, of piezoelectric response at few-nm wide ferroelectric domain walls due to order parameter coupling [5]; stable ferroelectric surfaces and frustrated polar order dominated by enormous density of antiferroelectric domain walls [6]. Most of these properties create substantially regular spatial textures of dipoles and lattice strain, providing new approaches to control van-der-Waals interfaces. Even more importantly, the ionic mobility in these materials enables “stitching” of atomically perfect in-plane heterostructures, where correlated structural and electronic orders can be coupled toward new quantum materials. Research was sponsored by the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory, managed by UT-Battelle, LLC, for the U. S. Department of Energy. Microscopy experiments were conducted at the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility.
1. Susner M. A. et al. Advanced Materials, (2017) 10.1002/adma.201602852.
2. Susner M. A et al., ACS Nano, 12 (2015) 12365.
3. Susner M. A. et al., ACS Nano, 11 (2017) 7060.
4. Chyasnavichyus M. et. al., Appl. Phys. Lett. 109 (2016) 172901.
5,6. Manuscripts in preparation 2017.
4:30 PM - NM04.08.07
Nonpolar Wetting Behavior of Hexagonal Boron Nitride Offers Direct Evidence for the Importance of Dihedral Potentials in Two-Dimensional Materials
Ananth Govind Rajan 1 , Michael Strano 1 , Daniel Blankschtein 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThe use of dihedral potentials (four-body terms) in describing the mechanical properties of two-dimensional materials is prevalent, despite the lack of direct experimental evidence to substantiate their use. Previous studies have established the role of dihedral terms in leading to better agreement with ab initio calculations of the elastic bending modulus of graphene, providing indirect validation for the case of graphene. In this work, we develop a first-principles-based molecular dynamics model to describe the mechanical properties of hexagonal boron nitride (hBN) surfaces, and use it to study the role of the dihedral potential in determining the mechanical and wetting properties of the hBN basal plane. Surprisingly, while the static mechanical properties of hBN are found to be unaffected by the exclusion of dihedral terms, we find that the hBN basal plane is rendered polar, contrary to our experimental results, if dihedral terms are excluded. Through this finding, we demonstrate that dihedral terms can directly influence the wetting properties of few-layered hBN surfaces. Thereby, our study offers a combined experimental and simulations-based proof for the importance of dihedral terms in classical force-field models of 2D materials, and substantiates the role of surface stiffness in rendering heteropolar 2D material surfaces nonpolar to wetting by liquids.
4:45 PM - NM04.08.08
Remote Epitaxy Enables Two-Dimensional Material Based Layer Transfer (2DLT)
Yunjo Kim 1 , Kyusang Lee 1 , Jared Johnson 2 , Chanyeol Choi 1 , Kuan Qiao 1 , Jinwoo Hwang 2 , Jeehwan Kim 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , The Ohio State University, Columbus, Ohio, United States
Show AbstractRemote epitaxy enables two-dimensional material based layer transfer (2DLT)
In recent studies, van der Waals epitaxy (vdWE) was investigated on 2D materials grown or transferred on arbitrary substrates, with the primary notion that the 2D material is the sole epitaxial seed layer in vdWE. However, the underlying substrate may still play a role in determining the orientation of the overlayers since the weak vdW potential field from 2D materials may barely screen the stronger potential field from the substrates. Moreover, previous water contact angle studies on the substrates with a graphene overlayer suggest that substrate contribution may exist. Here, we reveal that the epitaxial registry of adatoms during epitaxy can be assigned by the underlying substrate remotely through 2D materials by modulating the interaction gap between the substrate and the epilayer. Our study shows that remote epitaxial growth can be performed through a single-atom-thick gap defined by 2D material at the substrate-epilayer interface. We demonstrate successful remote homoepitaxy of (001) GaAs on (001) GaAs substrates through monolayer graphene. The concept is extended for remote epitaxy of other semiconductors such as InP and GaP. The grown single-crystalline films are then rapidly released from the vdW surface of graphene. This concept, here termed 2D material based layer transfer (2DLT), suggests a method to copy/paste any type of semiconductors films from the underlying substrates through 2D materials then rapidly released and transferred to the substrates of interest. This works suggest new application space for 2D materials to save the high cost of non-Si substrates for advancing non-Si electronics and photonics .
Reference
Kim et al., Nature, 544, 340–343 (2017) featured as a cover on April
NM04.09: Poster Session III: 2DLM—Synthesis, Science and Applications III
Session Chairs
Babak Anasori
Kedar Hippalgaonkar
Petro Maksymovych
Thursday AM, November 30, 2017
Hynes, Level 1, Hall B
8:00 PM - NM04.09.01
Structure and Composition Dependent Properties of Layered GaSexTe1-x Alloys
Jose Fonseca Vega 1 2 , Matthew Horton 2 , Kyle Tom 1 , Jie Yao 1 , Wladyslaw Walukiewicz 2 , Oscar Dubon 1 2
1 Materials Science Department, University of California, Berkeley, Berkeley, California, United States, 2 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractLayered semiconductors have attracted considerable attention due to their thickness-dependent electronic properties in the few-layer limit. Vapor-deposited mesostructures formed from layered semiconductors offer some advantages over bulk-grown crystals as they can grow with different geometries and dimensionalities and tend to have high-quality as-grown surfaces and edges. The properties of a layered semiconductor can be further enhanced or tuned by alloying the semiconductor with another material. Here we report on the synthesis of GaSexTe1-x mesostructures across the whole composition range. Chemically-uniform crystals of distinct morphologies—zig-zagged, serrated, triangular, elongated and nanowires—are obtained depending on their crystallographic phase and growth orientation. Monoclinic crystals grow preferentially in the Te-rich region—x up to 0.32—while hexagonal crystals grow over the composition range from x ≈ 0.28 to pure GaSe, establishing a small region were both monoclinic and hexagonal crystals grew together. Photoluminescence spectroscopy was used to approximately determine the bandgap energy of the crystals, after the exciton binding energy of the free-exciton transition was confirmed to be around 20 meV. The bandgap of the monoclinic phase increases linearly with Se concentration from 1.65 eV (GaTe) to 1.77 eV (x = 0.32). On the other hand, the addition of Te to hexagonal crystals reduces the bandgap from 2.01 eV for GaSe to 1.38 eV for x = 0.28. Dilute-Se (x ≤ 0.05) hexagonal crystals were grown on GaSe flakes in a process similar to van der Waals epitaxy. Photoluminescence spectroscopy confirmed the bandgap of hexagonal GaTe to be around 1.45 eV. These experimental results suggest a bowing of the direct bandgap for the hexagonal alloy. Density functional theory calculations using the Perdew–Burke–Ernzerhof (PBE) exchange-correlation functional reproduce the observed bandgap bowing and suggest a minimum for the direct bandgap around x = 0.15.
This research project is part of the Electronic Materials Program at the Lawrence Berkeley National Laboratory, supported by the Director, Office of Science, Office of Basic Energy Sciences, Materials Sciences and Engineering Division, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. J.J.F. acknowledges the support from the National Science Foundation Graduate Research Fellowships Program (Grant No. DGE-1106400).
8:00 PM - NM04.09.02
Synthesis and Characterization of Transition Metal Dichalcogenides
Bumho Kim 1 , Daniel Rhodes 1 , Drew Edelberg 2 , Jue Wang 3 , Xiaoyang Zhu 3 , Abhay Pasupathy 2 , Katayun Barmak 4 , James Hone 1
1 Department of Mechanical Engineering, Columbia University, New York, New York, United States, 2 Department of Physics, Columbia University, New York, New York, United States, 3 Department of Chemistry, Columbia University, New York, New York, United States, 4 Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York, United States
Show AbstractFor the synthesis of transition metal dichalcogenide (TMD) bulk single crystals, we employ two different approaches: (a) chemical vapor transport (CVT) and (b) excess chalcogen flux method. CVT is performed by annealing polycrystalline powders of the TMD powder and halogen (I, Cl, Br etc.), sealed in a quartz ampoule sealed under vacuum, in a furnace with a temperature gradient. The CVT method has two advantages: (i) reproducibility, and (ii) the ability to synthesize a large quantity of single crystals. As such, CVT has been widely used for synthesis of TMD crystals, especially by commercial suppliers. However, one major drawback of using CVT is the presence of a high number of defects.
The second method of synthesis is the excess chalcogen flux method. The flux method of synthesis is conducted at higher temperatures than the CVT method and is free from the use of halogens, higher quality crystals with significantly lower point defect densities are obtained. We found that the flux synthesized MoSe2 and WSe2 have ~1 order and ~3 orders of magnitude lesser defect density than commercially available CVT MoSe2 and WSe2 crystals, respectively. Based on Photoluminescence (PL) measurements of MoSe2 and WSe2 bulk crystals, MoSe2 shows 1.86 times (centered at 1.18 eV) higher PL intensity than commercial CVT MoSe2, and WSe2 shows 53 times (centered at 1.27 eV), 25 times (centered at 1.35 eV) higher PL intensity than commercial CVT WSe2.
8:00 PM - NM04.09.03
Controllable Lateral and Vertical Heterostructure Growth of Atomically Thin Semiconductor-Metal
Chang-Soo Lee 2 1 , Seungjae Oh 1 , Ji Ho Sung 2 , Gangtae Jin 2 1 , Jaehyun Park 1 2 , Hoseok Heo 2 , Moon-Ho Jo 2 1
2 , Center for Artificial Low Dimensional Electronic Systems, Institute for Basic Science (IBS), Pohang Korea (the Republic of), 1 Department of Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang Korea (the Republic of)
Show AbstractTwo-dimensional (2D) semiconductor materials have large inevitable contact resistance caused by van der Waals (vdW) gap between metal and layered crystal. However, to observe intrinsic properties of monolayer level transition metal dichalcogenides (TMDCs) which are promising candidates of atomically thin optoelectronics, electrical contact resistance should be decreased. Here, we report gas phase integration of lateral and vertical heterostructure with atomically thin semiconductor (2H-WSe2) - metal (1T’-WTe2), which can be selectively synthesized by temperature modulation. Synthetic heterostructures can have a clean interface without any contaminant and epitaxy relationship that cannot be acquired in manually transferred 2D crystals. Our controllable heterostructures are the first synthetic demonstration with semiconductor-metal combination for direct comparison of diverse metal contact configuration in vdW material system. Both field-effect transistors (FET) based on Monolayer WSe2 with WTe2 coplanar and top contact exhibit high on-off ratio (>106) and mobility (>10 cm2V-1s-1). We also show that our growth strategy is also applicable to large-scale assembly of semiconductor-metal for FET.
8:00 PM - NM04.09.04
Transition-Metal Dichalcogenide van der Waals Epitaxy
Masaki Nakano 1 , Yue Wang 1 , Yuta Kashiwabara 1 , Hideki Matsuoka 1 , Yoshihiro Iwasa 1 2
1 Department of Applied Physics, The University of Tokyo, Tokyo Japan, 2 , RIKEN Center for Emergent Matter Science (CEMS), Wako Japan
Show AbstractSince the discovery of graphene, 'top-down' mechanical exfoliation technique has been playing a major role for fabrication of nano-thick crystals, leading to a number of fundamental discoveries in condensed-matter physics at nanoscale. However, in order to advance the research of 2D materials including heterostructures further, establishing a route to 'bottom-up' synthesis of 2D materials is definitely required. We have been developing a thin film growth process by molecular-beam epitaxy (MBE) including heterostructure fabrication, and recently succeeded in establishing a versatile route to layer-by-layer epitaxial growth of millimeter-scale single-crystalline transition-metal dichalcogenides (TMDC) thin films on insulating substrates by MBE. The growth recipe is broadly applicable to various TMDCs, providing a very powerful route in particular to fabrication of 'van der Waals heterostructures' with different TMDCs. In the presentation, we will introduce our growth recipe in detail, and discuss transport properties of those MBE-grown TMDC epitaxial thin films and heterostructures.
8:00 PM - NM04.09.05
Defect-Related Electrical Conduction in Few-layer Hexagonal Boron Nitride Grown by Metal-Organic Chemical Vapor Deposition
Dong Yeong Kim 1 , Nam Han 1 , Jaewon Kim 1 , Hokyeong Jeong 1 , Jong Kyu Kim 1
1 , POSTECH, Pohang Korea (the Republic of)
Show AbstractAtomically thin Van der Waals epitaxial materials such as graphene, transition metal dichalcogenides, and hexagonal Boron Nitride (h-BN) have been intensively studied for their extraordinary optical and electronic properties and potential device applications. Among those two-dimensional materials, h-BN becomes increasingly attractive due to its excellent insulating nature with very large energy band gap of ~6 eV and clean surface without dangling bonds. Structural and optical properties of h-BN have been extensively studied, however, there is lack of study on the electrical conduction mechanism through h-BN.
In this study, we present defect-related electrical conduction in a few-layer h-BN grown on 2-inch sapphire substrate by metal-organic chemical vapor deposition (MOCVD). Analysis of temperature-dependent electrical conduction from 100 K to 500 K reveals the existence of two kinds of defects with activation energies of approximately 20 and 250 mV. Based on the near-edge X-ray absorption fine structure spectroscopy and previously reported 1st principle calculations, B-B homo-elemental bonding and nitrogen vacancies (VN) are suggested to be the most likely candidate of the defects involved in conduction phenomena. Furthermore, the electrical conductivities of three different few-layer h-BN films grown under different MOCVD conditions vary in 106 orders of magnitude, which is strongly dependent on the amount of VN. Since the VN is known as a donor for h-BN, intentional VN generation by appropriate growth condition can be an alternative approach for obtaining n-type conductivity in h-BN film replacing ineffective conventional Si doping method. In addition, we believe that the understanding on the defect-related electrical properties of h-BN can open up the possibility of utilizing its semiconducting nature as the active layer for various optoelectronic applications.
8:00 PM - NM04.09.06
Nanolayered Clay—A 2D Natural Material
Barbara Pacakova 1 , Jon Fossum 1
1 , NTNU Trondheim, Trondheim Norway
Show AbstractLayered materials1 are in the centre of interest since the discovery of graphene. One of the methods used for producing thin, 2-dimensional (2D) sheets from those materials is liquid exfoliation2, which enables production of thin layers and layered metamaterial composites. Many layered compounds can be exfoliated using ion intercalation, often resulting in structural deformation, thus sonication in organic solvents has become very much used2,3. However; efficient exfoliation by exposure of a layered material to ultrasonic waves usually requires use of long sonication times and relatively high power4, which together with the toxicity of the organic solvents make the method environmentally unfriendly and this triggers interests for procedures adopted for aqueous solutions3.
Here we present an example of a layered material which naturally exfoliates after immersion into water, namely nanolayered clay5,6, which generally does not require any of the procedures described above. We discuss swelling and exfoliation potential7 of several types of clay (hectorite, laponite, natural vermiculite) and the quality of exfoliated 2D sheets that can be obtained. Perspectives for inclusion of 2D clays in Van der Waals heterostructure devices is discussed.
References:
1. Geim, A. K. & Grigorieva, I. V. Van der Waals heterostructures. Nature 499, 419–425 (2014).
2. Nicolosi, V. et al. Liquid Exfoliation of Layered Materials. Science 340, (2013).
3. Smith, R. J. et al. Large-scale exfoliation of inorganic layered compounds in aqueous surfactant solutions. Adv. Mater. 23, 3944–3948 (2011).
4. Kouroupis-Agalou, K. et al. Fragmentation and exfoliation of 2-dimensional materials: a statistical approach. Nanoscale 6, 5926–33 (2014).
5. Mukherjee, S. Classification and Composition of Clay Constituents. The Science of Clays: Applications in Industry, Engineering and Environment (Springer Netherlands, 2013). doi:10.1007/978-94-007-6683-9_2
6. E. L. Hansen, H. Hemmen, D. M. Fonseca, C. Coutant, K. D. Knudsen, T. S. Plivelic, D. Bonn & J. O. Fossum, Swelling transition of a clay induced by heating. Scientific Reports 2, 618 (2012).
7. Rosenfeldt, S. et al. In-Depth Insights into the Key Steps of Delamination of Charged 2D Nanomaterials. Langmuir 32, 10582–10588 (2016).
8:00 PM - NM04.09.07
Van-der-Waals Confinement as a Universal Route for Producing 2D Materials
Ya-Ping Hsieh 1 , Chin-Fu Chen 2
1 IAMS, Academia Sinica, Taipei Taiwan, 2 , National Chung Cheng University, Chiayi Taiwan
Show AbstractTwo-dimensional materials are structures that exhibit a thickness of only one atomic unit cell, i.e. in the case of many single-element materials they are only one single atom thick. Due to this extreme spatial confinement in out-of-plane direction, 2D materials are exhibiting many novel properties. Graphene, a two-dimensional carbon allotrope, for example, has a different band structure than its bulk counterpart where electrons behave like massless Dirac particles. Other 2D materials show thickness dependent band gaps, high reactivity and novel physics phenomena. One of the most promising application area for such 2D materials are atomically precise heterojuctions and stacks that combine 2D materials with different properties into atomically thin devices. These structures show promise for producing improved electronic devices, electrodes, and light emitters. To achieve this goal recent years have seen a significant increase in effort in producing a diverse set of 2D materials that can serve as building blocks for such heterojunctions. An ideal method for this purpose would have to be universal enough to produce many different 2D materials with high quality and large scale to satisfy demands from fundamental research and applications, alike.
We here demonstrate a novel and universal method to produce 2D structures by precipitation under confined conditions. Weak van-der-Waals forces between the host material and an interfacial barrier were found to result in the preferential precipitation of materials in two dimensional morphologies.
Using this approach silicene, an sp2 bonded allotrope of silicon was for the first time produced without the need for ultrahigh vacuum conditions. The properties of the material were analyzed by microscopic and spectroscopic characterization techniques. The resulting material was found to exhibit a novel band structure that lends itself to silicon-based visible light emitters.
Our confined precipitation can be applied to many different two-dimensional materials including those that are usually not stable. To illustrate this ability, germanene and other novel materials were produced and characterized.
8:00 PM - NM04.09.08
Correlation of Atomic Scale Defects Using He Ion Beam in 2D MoS2 with Optical, Electronic and Catalytic Properties
Jieun Yang 1 , Slava Manichev 2 4 , Maureen Joel Lagos 1 , Raymond Fullon 1 , Daniel Kaplan 1 , Yan Wang 1 , Xiuju Song 1 , Ibrahim Bozkurt 1 , Sol Torrel 1 , Leonard Feldman 3 4 , Torgny Gustafsson 3 4 , Manish Chhowalla 1
1 Department of Materials Science and Engineering, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 2 Department of Chemistry and Chemical Biology, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 4 Institute of Advanced Materials, Devices, and Nanotechnology, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 3 Department of Physics and Astronomy, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States
Show AbstractDefects can strongly influence the electrical, optical, and chemical properties of materials. For example, it is now well that known that while the basal plane of 2H-MoS2 is typically chemically inert, it can be made active for hydrogen evolution reaction (HER) by introducing defects in the form of sulfur vacancies.1,2 Furthermore, properties of MoS2 can be tuned from semiconducting to metallic to insulating by controlling the defect concentration.3 However, understanding defect-induced changes in properties at the atomic scale remains a challenge. In this study, we have used the helium ion beam microscope (HIM) to rationally induce atomic scale defects and control their concentrations within the channels of pre-patterned field effect transistors (FETs). We have then characterized the nature of the atomic defects (S vacancies, Mo vacancies) in the scanning transmission electron microscope (STEM). In addition, we have measure the Raman spectra and photoluminescence along with transport in the FET configuration. We are thus able to correlate how the type and number of defects influence PL and mobility in thin MoS2. We found that the properties degenerate with defects, as expected, but there is a transition from semiconducting to metallic behavior at defect concentrations above 20%. Additionallywe were able to correlate HER activity of MoS2-based catalysts with defect concentration and electrical properties..
1. D. voiry et al. The role of electronic coupling between substrate and 2D MoS2 nanosheets in electrocatalytic production of hydrogen, Nature Materials, 2016, DOI: 10.1038/NMAT4660
2. H. Li et al. Activating and optimizing MoS2 basal planes for hydrogen evolution through the formation of strained sulphur vacancies, Nature Materials, 2016, 15, 48
3. D. Fox et al. Nanopatterning and Electrical Tuning of MoS2 Layers with a Subnanometer Helium Ion Beam, Nano Letters, 2015, 15, 5307
8:00 PM - NM04.09.09
2D Transition Metal Carbide/Nitride (MXene) Fillers as Structural Reinforcers in Polymer Nanocomposites
Christine Hatter 1 , Jay Shah 1 , Santosh Yadav 2 , Babak Anasori 1 , Giuseppe Palmese 2 , Yury Gogotsi 1
1 A.J. Drexel Nanomaterials Institute and Department of Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 2 Department of Chemical and Biological Engineering, Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractThe field of polymer nanocomposites has received much attention in recent years. Polymers offer a wide variety of uses in aerospace engineering, drug delivery, additive manufacturing, and energy storage applications. These materials provide both flexibility and durability, however mechanical stability and electrical conductivity can be further improved with the incorporation of two-dimensional (2D) materials. MXenes, 2D transition metal carbides/nitrides, have gained much attention since their discovery in 2011. MXenes have rich chemistries and unique morphologies that offer a combination of metallic conductivity and hydrophilicity coupled with good mechanical properties [1].
Current MXene-polymer hybrid composites have been investigated for potential use in energy storage applications. However, very few studies have explored their use as structural reinforcement. Ideally, for a 2D filler material to be effective there must be 1) uniform dispersion of the filler throughout the polymer matrix and 2) interaction at the polymer-filler interface. In this study, we explored titanium carbide (Ti3C2) and titanium carbonitride (Ti3CN) MXenes embedded in an epoxy resin system. Here we present a nanoindentation study of Ti3CN-Epon828 nanocomposites as well as a study on dispersion of Ti3C2 incorporating ionic liquid into the Epon828 epoxy system.
References:
1. Anasori, B., Lukatskaya, M. R. , Gogotsi, Y. 2D metal carbides and nitrides (MXenes) for energy storage, Nature Reviews Materials, 2, 16098 (2017)
8:00 PM - NM04.09.10
Metallic Few Layered of NbS2 by Chemical Vapor Deposition
Ibrahim Bozkurt 1
1 , Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States
Show AbstractTwo-dimensional (2D) semiconducting transition metal dichalcogenides (TMDs) have attracted attention due to their unique physical and chemical properties. However, chemical vapor deposited ultrathin metallic TMDs such as niobium disulfide (NbS2) have received less attention. In this study, we report alkali mediated high-quality growth of few layered NbS2 (thicknesses ranging from 2 – 10 nm) with lateral dimensions of hundreds of micrometers. Our Raman and transmission electron microscopy analyses suggest that the as-grown material is a mixture of 2H and 3R phases. We also find substantial incorporation of potassium ions from the growth process in the samples, which leads to doping and metallic behavior. We have also developed a method to grow high-quality NbS2 without using alkali promoters. In the absence of alkali growth promoters, the lateral dimensions of the crystals are smaller but they still exhibit metallic behavior. The realization of thin and metallic TMDs is crucial studying potential integration into electronics and catalysis.
8:00 PM - NM04.09.11
A Simple and Versatile Approach to Prepare Exfoliated 2D-MoS2 and MoS2/Polymer Composites
Rebecca Albers 1 , Gabriela Prando 1 , Julio Sczancoski 1 , Yara Gobato 1 , Elson Longo 1 , Edson Leite 1
1 , Federal University of São Carlos, São Carlos Brazil
Show AbstractIn recent years, two-dimensional materials (2D) have attracted much attention from the scientific community because of their unique electrical, optical and mechanical properties. Among the 2D materials, the molybdenum disulfide (MoS2) is the transition metal dichalcogenides most studied in materials science. Many preparation techniques have been developed to obtain this material, such as mechanical exfoliation, chemical vapor deposition and lithium intercalation. On the other hand, the exfoliation process of MoS2 in liquid phase by ultrasonication is advantageous to promote the formation of composites and hybrid materials in a single-step. Recently, MoS2 was exfoliated in the presence of polybutadiene by using an ultrasound bath, with the purpose of enhancing its mechanical properties (Gonçalves et al., 2015). In the present study, a simple approach to produce 2D-MoS2 and MoS2/polymer composites with a high degree of exfoliation in a single step was proposed in details. MoS2 was exfoliated and functionalized with polybutadiene by using ultrasonication in organic solvent. The ultrasonication process was performed in both ultrasound bath (40 kHz) and tip (20 kHz). When XRD patterns of bulk and exfoliated MoS2 were compared, it was possible to infer the exfoliation occurred because only the main peak (002) was still detected in the diffractograms, as a direct consequence of restacking planes during the drying of the samples. With the purpose of not restacking the sheets, a WAXS analysis of the material was performed in solution. The interplanar distance of the bulk material was calculated using a XRD analysis, accordingly to the Bragg’s Law, as being 0,61 Å. And the interplanar distance of the exfoliated material was obtained from the WAXS diffractogram, which revealed a peak in 15,3 degrees in 2θ, corresponding to an interplanar distance of 0,41 nm. Raman spectra indicated the occurrence of the exfoliation by minimizing the frequency difference between A1g and E12g bands. The electron diffraction pattern also showed that the exfoliation occurred. TEM images revealed the existence of polymer in the boundary of the layers. The exfoliation was more efficient in the presence of the polymer, which is dependent on the kind of solvent adopted in this process.
The authors are grateful to FAPESP (2016/14493-7, 2013/07296-2), CNPq and CAPES for the financial support.
References:
GONÇALVES, R. H.; FIEL, R.; SOARES, M. R. S.; SCHREINER, W. H.; SILVA, C. M. P.; LEITE, E. R. Single-Step Exfoliation and Covalent Functionalization of MoS2 Nanosheets by an Organosulfur Reaction. Chemistry – A European Journal, v. 21, p. 15583-15588, 2015.
8:00 PM - NM04.09.12
Anisotropic 2D Palladium Diselenide with High Carrier Mobility for Air-Stable Electronics
Akinola Oyedele 1 2 , Liangbo Liang 2 , Shize Yang 3 , Alexander Puretzky 2 , Christopher Rouleau 2 , Bobby Sumpter 2 , David Geohegan 2 , Kai Xiao 2
1 Bredesen Center for Interdisciplinary Research and Graduate Education, University of Tennessee, Knoxville, Knoxville, Tennessee, United States, 2 Center for Nanophase Materials Science, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractTwo-dimensional crystals are emerging materials for the realization of nanoelectronic devices including transistors, photodetectors, and chemical sensors. These ultra-thin electronics require candidate systems with high carrier mobility, sizeable and tunable bandgaps, and air stability, which are important for high-speed, durable applications. We present a new candidate, palladium diselenide (PdSe2), with a similar puckered structure to black phosphorus, into the growing family of 2D materials. PdSe2 exhibits a strong layer-dependent bandgap variation from ~0.2 eV (bulk) to ~1.3 eV (monolayer), and an electron mobility as high as ~330 cm2V-1s-1 for few-layer systems. Due to their low-symmetry, PdSe2 exhibits very interesting anisotropic behavior and the strong interlayer interaction is revealed from the large thickness-dependent Raman peak shifts, agreeing with first-principles Raman simulations. Unlike, black phosphorus, PdSe2 is air-stable, thus making it a promising candidate that will spark interest for 2D electronics.
8:00 PM - NM04.09.13
First Observation of Triangular Layer Formation of Hexagonal Boron Nitride (h-BN) Using Plasma Enhanced Chemical Vapor Deposition (PECVD) on Silicon Substrates
Daniel Stadler 1 , Yakup Gonullu 1 , Meenal Deo 1 , Sanjay Mathur 1
1 Department of Chemistry, University of Cologne, Cologne Germany
Show AbstractTwo dimensional materials, namely graphene, MoS2 and hexagonal boron nitride went into focus of scientist all over the world during the last years, due to their interesting intrinsic properties (e. g. non-symmetric conductivity) and the possibility of large scale processability. However, the preparation of these materials often requires metal templates, such as Cu, Pt, Ir and Pd. In case of h-BN, theoretical calculations already predicted an applicability as oxygen reduction reaction (ORR) catalyst, emphasized by first experimental results. Unfortunately, sample preparation for these investigations contains complicated exfoliation processes and only give rise to physically adsorbed layers, which are not as stable as chemisorbed structures or need the already mentioned metallic interlayers. However, metal free ORR catalysts are needed to achieve clean and sustainable fuel cells, solving future mobility and energy problems. By this preparation technique, h-BN formation on <111>-Si is achieved and epitaxial problems, like formation of c-BN (preferred orientation is <111>) is hindered, opening a variety of possible post process applications. Using the presented approach, we prepared crystalline films without limitation of epitaxial growth, induced by the surface. The prepared films show a high crystallinity (proven by XRD and C-AFM) and an average roughness (Ra) significantly below 1 nm. Furthermore, the distance between the observed BN triangles is in excellent agreement with reported interlayer distances of 0.3 nm. To the best of our knowledge such appearances are only reported for thermal CVD processes on metallic substrates, limited to epitaxial growth.
8:00 PM - NM04.09.14
Atomic Chalcogen Substitution in Transition Metal Dichalcogenides
Binjie Huang 1 , John Thong 1
1 , National University of Singapore, Singapore Singapore
Show AbstractTwo-dimensional transition metal dichalcogenides (TMD) have received considerable research interests as promising candidates for channel materials in future logic devices. Formation of well-defined lateral heterojunctions between TMDs is required to fabricate various electronic and photonic devices, such as p-n junctions and light-emitting diodes. Currently, chemical vapour growth with alternating precursors is the most popular method to synthesize TMD lateral heterojunctions. Nevertheless, due to the limitation in pattern, this method does not meet the requirement for industrial fabrication. Alternatively, the synthesis of TMD lateral heterojunction with arbitrary patterns could be realized by chalcogen substitution in selective region on TMD. In this work, we demonstrate the conversion from WSe2 to WS2 by plasma sputtering followed by thermal sulfurization. The threshold temperature for conversion could be reduced due to the creation of Se vacancies in the sputtering process. After high temperature sulfurization in a tube furnace, the Se sites are replaced with S atoms in the lattice. The conversion process is characterized with Raman spectroscopy, photoluminescence and scanning transmission electron microscope. Based on this technique, we demostrate patterning of WSe2-WS2 lateral heterojunction in a process which is compatible with traditional planar device fabrication.
8:00 PM - NM04.09.16
Black Phosphorus Synthesis Investigation
Pola Shriber 1 , Gilbert Nessim 1 , Ilya Grinberg 1
1 , Bar Ilan University, Ramat Gan Israel
Show AbstractBlack phosphorus is a layered semiconductor that is considered to be a promising candidate for optoelectronic and other applications due to its many intriguing properties, such as exceptionally high hole mobility and phonon anisotropy. The synthesis of black phosphorus is an intriguing task, largely due to insufficient understanding of the synthesis mechanism. In this work, we use DFT calculations to examine black phosphorus, and its precursor red phosphorus, as they are formed from P4 building blocks. We show that even though black phosphorus is considered to be the more stable allotrope, the red phosphorus precursor is the thermodynamically favored allotrope at the first stages of the synthesis. We show that nuclei of a minimal size must be formed before the black phosphorus structure becomes slightly energetically favored, limiting the efficiency of black phosphorus formation.
8:00 PM - NM04.09.17
Understanding Molybdenum Trioxide Sulfurization in 2D MoS2 Growth
Thierry Tsafack 1 , Stephen Bartolucci 1 , Joshua Maurer 1
1 , U.S. Army ARDEC, Watervliet, New York, United States
Show AbstractPowder vaporization is a common growth method for obtaining large-area, high-quality molybdenum disulfide two-dimensional crystals. The mechanism for sulfurization of molybdenum trioxide by sulfur has not been extensively studied. Ab initio calculations and molecular orbital analyses of the reactions between molybdenum compounds (MoO3, MoO2S, MoOS2) and sulfur allotropes (S2-8) are used to elucidate the steps involved in the sulfurization pathways. Based on this analysis, we believe that sulfurization occurs via formation of oxysulfide rings, which can then proceed to decompose into molybdenum species with a higher degree of sulfurization. This work describes the interaction of different sulfur allotropes with the molybdenum precursors and identifies sulfur allotropes that are capable of carrying forward the reaction to MoS2. As all sulfur allotropes are not likely to give rise to sulfurization and the temperature determines the sulfur allotrope distribution during synthesis, this work provides important insights into powder vaporization growth parameters.
8:00 PM - NM04.09.18
Computational Identification of Potential Quasi-2D Piezoelectric Materials
Sukriti Manna 1 , Prashun Gorai 1 2 , Geoff Brennecka 1 , Cristian Ciobanu 1 , Vladan Stevanovic 1 2 , Anuj Goyal 1 2
1 , Colorado School of Mines, Golden, Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractPiezoelectric materials, which generate charge in response to applied mechanical stress, are used in microelectromechanical devices such as actuators, resonators, and filters. Soft piezoelectric materials are good for large strain applications; quasi-2D structures are typically soft materials owing to their van der Waals (vdW)-bonded layered structure. In this work, we computationally assessed the piezoelectric properties of binary and ternary quasi-2D materials to identify new candidate materials. The quasi-2D structures were identified using an automated algorithm1 .From a pool of 3500 binary and 8000 ternary structures reported in the Inorganic Crystal Structure Database (ICSD), 427 binary and 444 ternary quasi-2D materials were identified using the algorithm. The search was limited to materials not containing rare earth elements and unit cells with less than 50 atoms. Standard density functional theory (DFT) exchange-correlation functionals are ill-suited for describing long-range vdW interactions. To overcome this issue, we employed a vdW-corrected functional (optB86) to calculate the elastic and piezoelectric properties of quasi-2D materials. Predicted elastic properties are found to be in good agreement with experimental values of well-known quasi-2D systems highlighting the importance of including vdW interactions in calculation of elastic as well as piezoelectric properties. Through these calculations, 82 binary and 98 ternary quasi-2D materials were identified, which have a maximum piezoelectric stress constant (dmax) greater than that of AlN (5.5 pC/N), a well known piezoelectric material. Based on the predicted value of dmax, several candidate materials have emerged, including TaSe2, In2Se3, GeTe, Li2Mn2O4, and CuVO3, AsCuLi2 that have not been previously considered for piezoelectric applications.
1Gorai et al , J. Mater. Chem. A, 4, 11110 (2016)
8:00 PM - NM04.09.19
Kinetics and Atomic Mechanisms of Structural Phase Transformations in Photoexcited Monolayer TMDCs
Aravind Krishnamoorthy 1 , Lindsay Bassman 1 , Hiroyuki Kumazoe 2 , Masaaki Misawa 2 , Fuyuki Shimojo 2 , Aiichiro Nakano 1 , Rajiv Kalia 1 , Priya Vashishta 1
1 , University of Southern California, Los Angeles, California, United States, 2 Department of Physics, Kumamoto University, Kumamoto Japan
Show AbstractRapid and controllable transitions between the semiconducting (H, hexagonal) and metallic (T’, monoclinic) phases of monolayer transition-metal dichalcogenides (TMDC) are of interest for two-dimensional (2D) electronics and nano-scale non-volatile memories. However, theoretical studies have been limited to investigating relative thermodynamic stabilities of the H and T’ phases, while experimental investigations have uncovered only relatively slow thermally-activated phase transitions that occur over 103-104 seconds. In this work, we demonstrate, through a combination of ab initio density functional theory and non-adiabatic quantum molecular dynamics, the softening of phonon modes located at the Brillouin zone boundary, thus exposing a hitherto unknown low barrier pathway for the H-T’ phase transformation. We compare the fast kinetics of this new mechanism to previously proposed strategies for improving the H-T’ phase transition. For this purpose, we quantify the activation barrier for the phase transition in strained, charge-doped and donor-doped monolayers using ab initio nudged elastic band calculations. We also discuss the implication of this novel pathway in enabling fast and local phase transitions in TMDC monolayers using electron beam or optical irradiation for use in 2D electronics and non-volatile memories.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
8:00 PM - NM04.09.20
Location-Specific Growth and Aligned Transfer of Arrayed Single Crystalline MoS2 and WS2 Monolayers
Xiaotian Wang 1 , Kyungnam Kang 1 , Grzegorz Hader 1 , Eui-Hyeok Yang 1
1 , Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractTwo-dimensional (2D) materials can be synthesized using chemical vapor deposition (CVD). However, CVD growth of transition metal dichalcogenide (TMD) monolayers typically results in growth from random nucleation sites due to the difficulty of controlling the condensation position of the transition metal based nanoparticles.
In this work, we demonstrate a location-controlled growth of arrayed single crystalline MoS2 and WS2 monolayers and subsequent aligned-transfer of the arrays on predetermined locations. First, a transition metal oxide (e.g., WO3) thin film (5 nm thick) is deposited and patterned on the source substrate via lift-off. The source substrate contacts the growth substrate (i.e., oxidized silicon substrate) face-to-face, where arrays of single crystalline MoS2 and WS2 monolayers are synthesized on both (i.e., source and growth) substrates via CVD. This growth technique enables the growth of single crystalline MoS2 and WS2 monolayers with controlled size, exclusively on predetermined locations on the growth substrates. To further optimize the growth, we investigate the correlation between the distribution and quantity of precursors and the growth results via adjusting the size and thickness of patterned precursor dots. We observe that the localized growth of single crystalline MoS2 and WS2 monolayers with controllable sizes is enhanced by using a thin precursor film (around 5 nm) patterned in small dot arrays (10 - 20 µm).
Furthermore, we demonstrate an aligned-transfer of grown TMD arrays using a combination of water-soluble polymer and thermal tape methods [1]. As reported in literature [2], involvement of PMMA during transfer makes it very difficult to fully remove residue after transfer. Since most optoelectronic applications require high quality TMDs and a clean interface, a residue-free transfer is necessary. Using a bilayer mediator consisting of two water-soluble polymers, polyvinylpyrrolidone (PVP) and poly-vinyl alcohol (PVA), we demonstrate a precisely aligned transfer of single crystalline MoS2 and WS2 monolayer arrays on prefabricated microstructures, utilizing a four degree-of-freedom (x,y,z axis translation with z-axis rotation) transfer station. This unique process combines both the dry and stamping transfer processes, dramatically enhancing the integrity of the transferred TMDs and the underlying microstructures. This growth and transfer process can be readily incorporated into electronic and optoelectronic devices with high-throughput, while maintaining fewer steps and less contamination.
[1] X. Wang, K. Kang, S. Chen, R. Du, and E. H. Yang, "Location-specific growth and transfer of arrayed MoS2 monolayers with controllable size," 2D Materials, 4 (2), 25093 (2017).
[2] Y. Lin, C. Lu, C. Yeh, C. Jin, K. Suenaga, and P. Chiu, "Graphene Annealing, How Clean Can It Be," Nano Letters, 12, 414–419 (2012).
8:00 PM - NM04.09.21
Gate-Tunable In-Plane Optical Anisotropy in Few-Layer Black Phosphorus
Michelle Sherrott 1 , William Whitney 1 , Deep Jariwala 1 , Wei-Hsiang Lin 1 , George Rossman 1 , Hans Bechtel 2 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States, 2 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractBlack phosphorus has emerged in recent years as a promising material for optoelectronics applications, bridging the technology gap between transition metal dichalcogenides and graphene with its intermediate mobility and a band gap that can be varied from ~2 eV in monolayer form to 0.3 eV in bulk. Theoretical predictions have suggested several novel infrared optical phenomena in black phosphorus (BP) such as anisotropic plasmons, the quantum-confined Stark effect, and a gate-tunable Burstein-Moss shift that promise to open new directions for both fundamental nanophotonics research and optoelectronic applications. Here we present experimental results demonstrating for the first time the strongly in-plane anisotropic electro-optic effects in few-layer BP due to carrier concentration modulation and external electric field effects. This modulation corresponds to a field-effect tunable birefringence.
We first present infrared spectroscopy results on black phosphorus flakes that were mechanically exfoliated onto a 285 nm SiO2/Si substrate. We analyzed flakes of 6.5, 7, and 14 nm thickness, and lateral dimensions of approximately 10 μm × 10 μm. We measured in an FTIR microscope the modulation of transmittance due to the application of a gate voltage directly to the material in a field effect transistor (FET)-type geometry, resulting in a combination of carrier density modulation and electric field effects. In order to achieve a diffraction-limited spot size necessary for small area samples, measurements were taken at the Advanced Light Source using synchrotron radiation as the source. We observed a gate-tunable, ambipolar Burstein-Moss shift, where the filling of the conduction or valence band leads to the blocking of optical transitions and therefore an increase in the optical bandgap (as well as the energies of each intersubband transition). In addition to this, we observed evidence of the quantum-confined Franz-Keldysh effect, an oscillatory feature in the optical conductivity that results from the high field strengths modifying the quantum well-like energy levels in the material. We additionally present polarization-dependent results on BP flakes of 5 and 12 nm thickness wherein we used a modified sample geometry to isolate the influence of an external electric field. We applied a top and back gate voltage to the exfoliated BP on a 285 nm SiO2/Si substrate without electrical contact to the flake using 45 nm Al2O3 deposited by atomic layer deposition as a top gate dielectric and 5 nm Pd as a semi-transparent top contact, and measured modulation of transmittance. This modulation depends strongly on crystallographic direction, and arises due to the QCFK effect, as well as the emergence of previously forbidden optical transitions due to the symmetry-breaking effect of the field. This corresponds to a large tunable birefringence from the mid- to near-infrared.
8:00 PM - NM04.09.22
Sensitive Phonon-Based Probe for Structure Identification of 1T’ MoTe2
Shengxi Huang 1 2 3 , Lin Zhou 1 , Yuki Tatsumi 4 , Lijun Wu 5 , Huaihong Guo 6 , Keiji Ueno 7 , Teng Yang 8 , Yimei Zhu 5 , Jing Kong 1 , Riichiro Saito 4 , Mildred Dresselhaus 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Electrical Engineering, The Pennsylvania State University, State College, Pennsylvania, United States, 3 , Stanford University, Stanford, California, United States, 4 , Tohoku University, Sendai Japan, 5 , Brookhaven National Laboratory, Upton, New York, United States, 6 , Liaoning Shihua University, Fushun China, 7 , Saitama University, Saitama Japan, 8 , Institute of Metal Research, Chinese Academy of Sciences, Shenyang China
Show AbstractIn this work, by combining transmission electron microscopy and polarized Raman spectroscopy for the 1T’ MoTe2 flakes with different thicknesses, we found that the polarization dependence of Raman intensity is given as a function of excitation laser wavelength, phonon symmetry and phonon frequency, but has weak dependence on the flake thickness from few-layer to multilayer. In addition, the frequency of Raman peaks and the relative Raman intensity are sensitive to flake thickness, which manifests Raman spectroscopy as an effective probe for thickness of 1T’ MoTe2. Our work demonstrates that polarized Raman spectroscopy is a powerful and nondestructive method to quickly identify the crystal structure and thickness of 1T’ MoTe2 simultaneously, which opens up opportunities for in-situ probing anisotropic properties and broad applications of this novel material.
8:00 PM - NM04.09.23
Atomistic Mechanism of Liquid-Phase Exfoliation in MoS2
Guoqing Zhou 1 , Rajiv Kalia 1 2 3 , Aiichiro Nakano 1 2 3 , Priya Vashishta 1 2 3
1 Department of Physics and Astronomy, University of Southern California, Los Angeles, California, United States, 2 Mork Family Department of Chemical Engineering and Materials Science, University of Southern California, Los Angeles, California, United States, 3 Department of Computer Science, University of Southern California, Los Angeles, California, United States
Show AbstractExfoliation of bulk MoS2 into nanosheets is studied in a mixture of water and isopropanol containing cavitation bubbles. Using force fields optimized with experimental values ofinterfacial energies between MoS2 and the solvent, multimillion-atom molecular dynamics simulations are performed to examine shock-induced structural changes in the solvent and exfoliation of MoS2. Shock induces collapse of cavitation bubbles, which generates high-speed nanojets and secondary shock waves in the solvent. Exfoliation is initiated by shear stresses on MoS2 surfaces due to nanojet impact, and shock waves reflected from free MoS2surfaces enhance exfoliation. Structural correlations in the solvent indicate a shock-induced transformation of water into an ice VII like structure.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
8:00 PM - NM04.09.24
Dynamics of Water on MoS2 Monolayer and Bilayers
Beibei Wang 1 , Rajiv Kalia 1 2 3 , Aiichiro Nakano 1 2 3 , Priya Vashishta 1 2 3
1 Department of Physics and Astronomy, University of Southern California, Los Angeles, California, United States, 2 Mork Family Department of Chemical Engineering and Materials Science, University of Southern California, Los Angeles, California, United States, 3 Department of Computer Science, University of Southern California, Los Angeles, California, United States
Show AbstractWe perform molecular dynamics (MD) simulation to study the dynamics of water nanodroplets on an MoS2 monolayer. The diffusivity of nanodroplets is comparable to the self-diffusion coefficient of water in bulk liquid, and the spatial distribution of water molecules at the droplet-MoS2 interface is akin to the MoS2 lattice. We also study the structure and dynamics of water and water-isopropanol mixture in a bilayer of MoS2 using MD simulations. At low coverage, water molecules trapped between the MoS2 bilayer form a triangular lattice in registry with Mo atoms. At high coverage, water undergoes a dewetting transition and condenses into nanodroplets, even in the presence of isopropanol. Results for the structure and dynamics of water and liquid mixture during dewetting will be reported.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
8:00 PM - NM04.09.25
Electron and Phonon Transport in Folded Single-Layer Molybdenum Disulfide
Jie Peng 1 , Peter Chung 1
1 , University of Maryland, College Park, College Park, Maryland, United States
Show AbstractRecent efforts in flexible electronics technologies have shown that folding of 2D semiconductor materials occurs naturally and such a modification to the structure can be used to tune material properties [1,2]. The folded configuration is merely the same single sheet placed into contact with itself to create a bilayer structure with the fold along one edge, resulting in a 1D nanostructure. The effect of folding on the properties of the folded semiconductor, and approaches for exploiting such relationships, are the subjects of this work. Revealing structure-property relationships at an atomic level would facilitate application of such deformed nanostructures.
We examine a model of molybdenum disulfide (MoS2) and the modifications to the electronic and phonon properties as a result of systematic modifications to the structure. In particular, we will show approaches for modulating features in the band structures by tuning parameters defined for the folded structure. Recent results on the effect of changing the wrap length of the folded sheet and the folding direction will be presented. Using a combination of continuum, classical, and quantum methods, we show that the thermal conductivity is reduced by almost 65% while the electronic band gap is reduced by almost 50%. These insights suggest that low-dimensional structures, like MoS2, can be used in conjunction with structure manipulation techniques to derive desired electron and phonon properties.
References:
[1] Crowne, F. J.; Amani, M.; Birdwell, A. G.; Chin, M. L.; O’Regan, T. P.; Najmaei, S.; Liu, Z.; Ajayan, P. M.; Lou, J.; Dubey, M. Blueshift of The A-Exciton Peak in Folded Monolayer 1H-MoS2. Physical Review B 2013, 88, 235302.
[2] Castellanos-Gomez, A.; van der Zant, H. S.; Steele, G. A. Folded MoS2 Layers with Reduced Interlayer Coupling. Nano Research 2014, 7, 572-578.
8:00 PM - NM04.09.26
Carbon-Assisted Growth of Hexagonal Boron Nitride by Plasma-Assisted Molecular Beam Epitaxy
Hao Tian 1 , Alireza Khanaki 1 , Renjing Zheng 1 , Zhenjun Cui 1 , Yanwei He 1 , Zhongguang Xu 1 , Jianlin Liu 1
1 , University of California, Riverside, Riverside, California, United States
Show AbstractReliable and controllable synthesis of large-area two-dimensional (2D) hexagonal boron nitride (h-BN) is critical to realize the technological potential of h-BN, such as its potential in high-performance 2D integrated electronics and photonics. Alternative to chemical vapor deposition (CVD) of h-BN, molecular beam epitaxy (MBE) has been proved to be a successful method to grow high-quality h-BN and h-BN/graphene heterostructures [1, 2]. In addition, rational engineering of catalytic effect of transition metal substrate by alloying with additional species has been utilized in the growth of both h-BN and graphene to enhance the control over layer number, nucleation density and domain size. In this work, we carefully design Co substrate with carburization process through pretreatment of Co with acetylene prior to the actual growth step of h-BN in a plasma-assisted MBE system. We show that the dissolution of carbon into polycrystalline Co can promote the growth of h-BN and improve the homogeneity. The morphology of h-BN film can be controlled from layer-plus-high-density-islands to homogeneous few-layers by tuning the carbon concentration in Co substrate. Both type of samples are characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, Atomic force microscope (AFM), uv-vis absorption spectroscopy and X-ray photoelectron spectroscopy (XPS). Dielectric property of an as-grown few-layer h-BN is evaluated by characterization of Co(foil)/h-BN/Co(contact) capacitor devices. Growth mechanism has been discussed both experimentally and theoretically. Further DFT calculation reveals that the interstitial carbon atoms could increase the binding energy of B and N atoms on Co (111) surface, in the meantime, lower the surface diffusion barrier seen by both species, and therefore promote lateral growth of homogenous few-layer h-BN.
References:
[1] Xu et al., Sci. Reps. 7, 43100(2017)
[2] Xu et al., Appl. Phys. Lett. 109, 043110(2016)
8:00 PM - NM04.09.27
Observation of Protected Topological States in Ultra-Thin SnTe Films
Stephen Albright 1 2 , Ke Zou 2 3 , Omur Dagdeviren 2 4 , M.D. Morales-Acosta 2 3 , Georg Simon 2 4 , Chao Zhou 2 4 , Subhasish Mandal 2 3 , Sohrab Ismail-Beigi 2 3 , Udo Schwarz 2 4 , Eric Altman 2 5 , Fred Walker 2 3 , Charles Ahn 1 2 3
1 Physics, Yale University, New Haven, Connecticut, United States, 2 Center for Research on Interface Structures and Phenomena, Yale University, New Haven, Connecticut, United States, 3 Applied Physics, Yale University, New Haven, Connecticut, United States, 4 Mechanical Engineering and Materials Science, Yale University, New Haven, Connecticut, United States, 5 Chemical and Environmental Engineering, Yale University, New Haven, Connecticut, United States
Show AbstractAchieving chemically and electrically stable topological surface states in topological insulators (TIs) and topological crystalline insulators (TCIs) is critical to incorporating their unique properties into functional electronic devices. By adapting conventional molecular beam epitaxy techniques, we have achieved controlled ultra-thin film growth of TCI SnTe on SrTiO3. Thin SnTe films (6-25 nm-thick) are uniform and have high crystalline quality. Transport measurements show that conduction in thinner films is dominated by surface states, with bulk conduction common in thicker films being suppressed. Measurements of the surface Fermi level and band alignments of SnTe and SrTiO3 indicate that observed surface transport occurs at the buried SnTe/SrTiO3 interface. Quantum transport in the surface states at the buried interface is consistent with topological behavior.
8:00 PM - NM04.09.28
The Elastic Properties and Intrinsic Strength in Monolayer TM(Fe, Ni, Mn)PS3
Haeri Kim 1 2 , Sungmin Lee 1 2 , Suhan Son 1 2 , Je-Geun Park 1 2
1 Department of Physics, Seoul National University, Seoul Korea (the Republic of), 2 Center for Correlated Electron Systems, Institute of Basic Science, Seoul Korea (the Republic of)
Show AbstractTransition metal (TM) phosphorus trisulfide (TMPS3) is one of very few 2-dimensional magnetic van der Waals materials. The TM site can be occupied by Mn, Ni, Fe and so on. This diversity of different physical properties in these materials will present a huge advantage when it comes to actual application. Among various physical quantities, elastic properties and intrinsic strength are important parts in order to form monolayer and/or to realize practical few layer junction application. However, the intrinsic strength of these materials have still not been clearly measured because of the difficulty of monolayer implementation in macroscopic samples. In addition to that, measuring these quantities has been complex due to various factors such as uncertainty in the sample geometry, indefinite stress concentration at clamping points, and structural defects. Atomic force microscopy (AFM) is a helpful tool for resolving nanoscale surface characteristics allowing it to quantify phenomena as miniscule as the intrinsic strength of atomic bonds. Nanoindentation technique using AFM cantilever makes it possible to measure the mechanical properties of monolayer membranes suspended over open holes. For this study, we measured the elastic properties and intrinsic breaking strength of free standing monolayer TMPS3 membranes through force vs. displacement behavior. We also carried out statistical analysis of breaking stress corresponding to the intrinsic maximum stress of the TMPS3, which means fracture strengths that depend on pre-existing defects in the material indicating the defect sensitivity.
8:00 PM - NM04.09.29
Phenomenological Modeling of Confined Phonon States in TMD Quantum Dots
Lu Fang 1 , Kofi Adu 2 3 , Mauricio Terrones 1 3 , Tamia Williams 1
1 , The Pennsylvania State University, Altoona, Pennsylvania, United States, 2 Physics, The Pennsylvania State University, Altoona, Altoona, Pennsylvania, United States, 3 Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractSeveral reports have shown band-gap tuning in TMDs from indirect in the bulk material to direct in monolayers. The emerging strong photoluminescence in monolayers compare the absence in the bulk, is an indication of the transition from indirect band gap to direct band gap. This unique property results from modified electronic properties. Additionally, the phononic properties are extremely modified due to quantum size effect. However, there are limited reports on the confined phonon states in these structures. Thus, we present systematic studies of the confine phonon states in TMD (WS2 and MoS2) quantum dots. We elucidate on the evolution of the phonon lineshape of the quantum dots with diameter using a phenomenological modeling with envelop function that truncate the phonon wave at the surface of the quantum dots.
8:00 PM - NM04.09.30
Tunneling Photocurrent Assisted by Interlayer Excitons in Staggered van der Waals Heterobilayers
Dinh Luong 1 , Hyun Seok Lee 2 , Young Hee Lee 3
1 Department of Energy Science, Sungkyunkwan University, Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Suwon, Gyeonggy-do, Korea (the Republic of), 2 , Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Suwon, Gyeonggy-do, Korea (the Republic of), 3 , Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Suwon, Gyeonggy-do, Korea (the Republic of)
Show AbstractVertically stacked van der Waals (vdW) heterostructures have been spotlighted as a promising platform for studying interfacial phenomena and related electric/optoelectronic devices. These unique structures can be fabricated via direct growth or artificial transfer method based on mechanical exfoliation methods [1-2]. While interlayer Coulomb interaction mediated by vdW coupling has been extensively studied for carrier recombination processes in diode transport, its correlation with interlayer tunneling transport has not been elucidated [3]. Here, we report a contrast between tunneling and drift photocurrents tailored by the interlayer coupling strength in MoSe2/MoS2 heterobilayers (HBs). The interfacial coupling modulated by thermal annealing was identified by the interlayer phonon coupling in Raman spectra and the emerging interlayer exciton peak in photoluminescence spectra. In strongly coupled HBs, positive photocurrent was observed owing to inelastic band-to-band tunneling assisted by interlayer exciton that prevailed over exciton recombination. By contrast, weakly coupled HBs exhibited a negative photovoltaic diode behavior, manifested as a drift current without interlayer exciton emission. Our study sheds light on tailoring tunneling transport for numerous optoelectronic HB devices.
8:00 PM - NM04.09.31
Nano-Scale Displacement Sensing Based on van der Waals Interaction
Lin Hu 1 2 , Jin Zhao 2 , Jinlong Yang 2
1 , Beijing Computational Science Research Center, Beijing China, 2 Hefei National Laboratory for Physical Sciences at the Microscale, University of Science and Technology of China, Hefei, Anhui, China
Show AbstractWe propose that a nano-scale displacement sensor with high resolution for weak-force systems can be realized based on vertically stacked two-dimensional (2D) atomic corrugated layer materials bound through van der Waals (vdW) interactions. Using first-principles calculations, we found that the electronic structure of bi-layer blue phosphorus (BLBP) vary appreciably with the lateral and vertical interlayer displacements. The variation of the electronic structure due to the lateral displacement is attributed to the change in the interlayer distance dz induced by atomic layer corrugation, which is in a uniform picture with vertical displacement. Despite the different stacking configurations of BLBP, we find that the change of the in-direct band gap is proportional to dz-2. Further, this dz-2 dependence is found to be applicable to other graphene-like corrugated bi-layer materials such as MoS2. BLBP represents a large family of bi-layer 2D atomic corrugated materials for which the electronic structure is sensitive to the interlayer vertical and lateral displacement, and thus could be used for nano-scale displacement sensor. This can be done by monitoring the tunable electronic structure using absorption spectroscopy.
8:00 PM - NM04.09.32
Effective Exfoliation of Highly Fluorescent WSe2 Nanosheets in Aqueous Solution
Sin Lee 1 , Tae Woog Kang 1 , Juhee Han 1 , In-Jun Hwang 1 , Su-Ji Jeon 1 , Jin-Kyoung Yang 1 , Jong-Ho Kim 1
1 , Hanyang University, Ansan Korea (the Republic of)
Show AbstractThin-layered Transition metal dichalcogenides (TMDs) have gained a lot of attention in diverse research fields due to their unique and attractive properties. Among them, thin-layered WSe2 nanosheets exhibit outstanding fluorescent emission at visible range and intense Raman scattering, which can be employed as signal transduction modes for the optical sensing of target molecules of interest in aqueous solution. In order to impart intense fluorescence and Raman scattering to WSe2 in aqueous solution, an effective liquid-exfoliation method for the preparation of thin-layered WSe2 nanosheets from bulk WSe2 is essentially required. Herein, we reported a new polymer-mediated exfoliation method for the effective synthesis of 2H-WSe2 nanosheets in aqueous solution, and investigated their fluorescence and Raman scattering at various conditions. It was found that the fluorescence of thin-layered WSe2 nanosheets was more susceptible to perturbation in environment than their Raman scattering, which could be applied to detection of specific target biomolecules.
8:00 PM - NM04.09.33
Large-Scale WS2 Layer for High-Performing Photoelectric Devices
Hong-Sik Kim 1 2 , Malkeshkumar Patel 1 2 , Joondong Kim 1 2
1 , Incheon National University, Incheon Korea (the Republic of), 2 , Photoelectric and Energy Device Application Lab (PEDAL), Incheon Korea (the Republic of)
Show Abstract
Transition metal dichalcogenides (TMDs) and van der Waals heterostructures are promising entities for the next generation photoelectric devices due to their excellent optical and electrical properties. The representative MoS2 and WS2 materials have an indirect band gap in a bulk structure and a direct band gap in monolayer. In the aspect of thermal stability, WS2 is better than MoS2. Moreover, it is possible to control of the energy bandgap of WS2 according to modulation of the layer structure. However, the growth or production of WS2 layer is quite limited and therefore, the large-scale and uniform production of WS2 film is pivotal for practical devices and applications.
We demonstrate a large-scale and quality WS2 film formation by using a magnetron sputtering method. In addition, the WS2 morphology can be controlled by By modulating temperature and working pressure. In order to form a photodetector, WS2 layers were vertically grown onto a p-type Si substrate, which gave ultrafast photoresponse speeds (rising time = 52.7μs, falling time = 181.4μs) with a high responsivity of 3mA/W, compared to planar shape WS2 device. We may provide a route of large-scale and uniform WS2 film for high-performing photoelectric devices, including photodetectors and photovoltaic cells.
8:00 PM - NM04.09.34
Polarization-Induced Tuning of Work Function of MoS2 on Ferroelectric PbTiO3(111) Thin Films
Hye-Jin Jin 1 , Woo Young Yoon 1 , William Jo 1
1 , Ewha Womans University, Seoul Korea (the Republic of)
Show AbstractLow-dimensional materials such as transition metal dichalcogenides (TMDs) are sensitive to surrounding environments because of their small thicknesses. Ferroelectric, one of high-k dielectrics, can be used as a gating dielectrics to control transport characteristics in TMDs-based heterostructures. Ferroelectrics have a spontaneous polarization states and it is possible to control conductivity of TMDs by regulating polarization states. From this point of view, we investigated effect of polarization of ferroelectric thin films on MoS2 layers. We used Kelvin probe force microscopy to investigate surface potential maps of MoS2 on the ferroelectric thin films. We fabricated the ferroelectric PbTiO3 thin films with epitaxial (111)-orientation growth. In the MoS2/PbTiO3 heterostructures, induced dipole effect is obtained showing change in the surface potential at MoS2 layers dependent on polarization states of the PbTiO3 thin films. From the surface potential maps, work function can be obtained and we compared work function values of MoS2 layer with poling processes. Also, spatial distribution of work function of MoS2 layers is obtained to investigate spatial dependence of work function values. Therefore, control of work function of MoS2 is obtained by regulating polarization states of the PbTiO3 thin films.
8:00 PM - NM04.09.35
Light Shielded MoTe2 and MoS2 Thin-Film Transistors and Their Application to Photosensitive Inverters
Seung Gi Seo 1 , Jae Hyun Ryu 1 , Geun Woo Baek 1 , Sung Hun Jin 1
1 , Incheon National University, Incheon Korea (the Republic of)
Show AbstractTransition metal dichalchogenides have dramatically attracted much attention toward various electronic applications due to their novel electrical, optical, and chemical properties along with ideal two dimensional structure. Among many applications, research activities for MoS2 TFTs based optical sensors ranging from near infra-red to ultra-violet have been significantly reported due to its abundance, nontoxicity, easy preparation of MoS2 films through exfoliation or/and CVD. Furthermore, MoTe2 has just begun to be explored for the application of logic circuits and optical sensing application, particularly due to its moderate energy bandgap (Eg~1.1 eV), suitable for near infrared wavelength and ambipolar electronic behaviors. Moreover, transition metal dichalchogenides based phototransistors could be appealing for the application of light detectors because the absorption of light depending on wavelength can be selectively tailored by changing the number of layers. Also, transparency and mechanical flexibility associated with peculiar characteristics of two-dimensional materials themselves are another merits compared with other conventional channel materials such as a-Si, poly-Si, and III-V semiconductors.
However, interestingly there have not been rarely reported for the direct comparison, among MoS2 (direct bandgap, Eg~1.78 eV), MoTe2 (direct bandgap, Eg~1.1 eV), and a-Si TFT with the same standards, even though a-Si TFTs with maturity in the industry of flat panel displays (FPDs) can be one of barometers for understanding merits or/and demerits for optical sensor application. In this study, we implemented photosensitive inverters based on either MoTe2 or MoS2 thin film transistors by using light shield layers, for the improvement of performance index of inverters associated with mitigation of photo-leakage current generation for a driver TFT. Through a systematic electrical (or/and) optical evaluation, the photo detectivity, level of device integration, and photo sensitive inverter properties for three kinds of TFTs (i.e., MoS2 (or MoTe2) vs a-Si TFTs) are measured and analyzed thoroughly. In summary, one of key merits of MoS2(or MoTe2) based photo detectors are coming from approximate two orders of higher detectivity than that of a-Si TFT. Furthermore, high level device integration for MoS2 (or MoTe2) TFTs, compared with that of a-Si TFT, can be pinpointed as one of outstanding merits for the future optical sensor applications.
8:00 PM - NM04.09.39
Probing Strongly Coupled Quasiparticles at the the Interfaces of 2D TMDCs and Transition Metal Oxides
Soumya Sarkar 1 2 , Sinu Mathew 1 , Sreetosh Goswami 1 2 , Surajit Saha 1 , Mary Scott 3 , Antony George 4 , Majid Panahandeh-Fard 1 , Saurav Prakash 1 2 , Pulickel Ajayan 4 , Andrew Minor 3 , T. Venky Venkatesan 1 2
1 NUS Nanoscience and Nanotechnology Institute, National University of Singapore, Singapore Singapore, 2 NUS Graduate School for Integrative Sciences and Engineering, National University of Singapore, Singapore Singapore, 3 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 , Rice University, Houston, Texas, United States
Show Abstract2D MoS2 is a non-centrosymmetric material with a direct energy gap of 1.9 eV which causes a strong photoluminescence with efficient valley and spin control. Also, the reduced dielectric screening in 2 dimensions along with the heavy effective mass of Mo-centered d-electrons contribute to the formation of the quasiparticles viz. excitons along with trions. These quasiparticles are, in particular, important since they open up promises like many-body interactions which are not just fundamentally significant but also enable optoelectronic tunability. So far, the routes to tune the MoS2 quasiparticles have been via conventional methods like application of electric field or by changing the dielectric environment. Tuning these quasiparticles via many body interactions with the substrate itself has not been investigated. This is mainly due to weak interactions of MoS2 with conventional substrates (like SiO2). In this work, we have grown MoS2 directly on SrTiO3, a transition metal oxide well known in ‘oxide electronics’ for its tunable temperature dependent dielectric constant and rich phonon diagram. Direct CVD growth on these substrates provides us with a strongly coupled system as compared to exfoliation or transfer. Temperature dependent PL measurements show that while the charge neutral exciton does not interact much with SrTiO3, it is possible to selectively tune the lifetime and binding energy of the negatively charged trion by modulating the substrate environment. We are able to observe a strong coupling between the soft phonon modes in SrTiO3 and the trion in MoS2, which gives us a very large tunability of the MoS2 trion binding energy by almost 90 meV. Electric field dependent luminescence studies on MoS2/SrTiO3 devices help us manipulate the phonons in SrTiO3, supporting our hypothesis. Our experiments on various substrates and calculations help us clearly establish the effect of dielectric, temperature and phonon interactions of SrTiO3 on MoS2. Our results present a novel route to tune quasiparticles in a 2D TMDC through interactions with transition metal oxides. Such unprecedented tunability of the MoS2 trion lifetime and binding energy makes this technique extemeley promising for high efficiency valleytronics.
8:00 PM - NM04.09.41
Pulsed Laser Deposition of Two-Dimensional Transition Metal Dichalcogenides
Stela Canulescu 1 , Kévin Affannoukoué 1 , Max Dobeli 2 , Jorgen Schou 1
1 , Technical University of Denmark, Roskilde Denmark, 2 , ETH Zürich, Zurich Switzerland
Show AbstractTwo-dimensional transition metal dichalcogenides (2D-TMDCs), such as MoS2, have emerged as a new class of semiconducting materials with distinct optical and electrical properties. The availability of 2D-TMDCs with distinct band gaps allows for unlimited combinations of TMDC monolayers (MLs) and enables engineering of van der Waals (vdW) heterostructures with characteristics fundamentally different compared to the conventional 3D-covalently bounded heterostructures. Although various 2D materials have been successfully synthesized by mechanical exfoliation or chemical vapor deposition (CVD), a strategy for the fabrication of 2D heterostructures must be developed. Here we demonstrate a novel approach for the bottom-up synthesis of TMDC monolayers, namely Pulsed Laser Deposition (PLD) combined with a sulfur evaporation beam. PLD relies on the use of a pulsed laser (ns pulse duration) to induce material transfer from a solid source (such as a sintered target of MoS2) to a substrate (such as Si or sapphire). The deposition rate in PLD is typically much less than a monolayer per pulse, meaning that the number of MLs can be controlled by a careful selection of the number of laser pulses. In the paper, we will discuss the growth of high-quality MoS2 in the form of one or several MLs over large areas and several substrates, such as sapphire, SiO2/Si and indium tin oxide (ITO). This approach allows the synthesis of 2D-TMDCs without the use of catalyst and with a good control of the sulfur vacancies. We have found that the absorption spectra of the MoS2 films exhibit distinct excitonic peaks at ~1.8 and ~2 eV when grown in the presence of a sulfur evaporation beam as compared to those deposited in vacuum. The structure of the PLD-grown MoS2 films will be further discussed based on Raman spectroscopy analysis, photoluminescence, and composition/thickness determined by Rutherford backscattering (RBS). Some challenging issues and future directions on the use of PLD for the synthesis of complex heterostructures by PLD will be discussed.
8:00 PM - NM04.09.42
Wafer Scale Spatially Selective Transfer of 2D Materials and Heterostructures
Nikolaos Aspiotis 1 , Ioannis Zeimpekis 1 , Omar Abbas 1 , Sakellaris Mailis 1 , Pier Sazio 1 , Chung Che Huang 1 , Daniel Hewak 1
1 Optoelectronics Research Center, University of Southampton, Southampton United Kingdom
Show AbstractThe boom in interest in two dimensional materials has led to intense research, increasingly towards the commercialization of this family of materials. Results to date have proved the viability of wafer scale production of 2D materials, nevertheless no technique for controllable large scale 2D heterostructures, which would seamlessly integrate with existing fabrication lines, has been presented. This is however essential for the production of wafer scale photodiodes, pn-diodes, diode logic gates, and other emerging devices.
There are currently two main approaches for creating heterostructures, i) the sequentially epitaxial growth of 2D materials that results in random spatial growth, rendering this approach non-viable for commercial applications [1] and ii) the mechanical assembly technique, where a 2D flake is transferred and aligned to another flake to form just one heterostructure [2].
Here we report a novel method that can achieve wafer scale fabrication of 2D material-based devices. The method is using a lift-off technique for the micro-patterning of TMDCs and graphene layers that are combined to form heterostructures. The low thermal budget of this process makes this method substrate-agnostic hence suitable for fabrication of devices on temperature sensitive materials such as polymers.
The method uses Atomic Layer Deposition (ALD)-grown metal oxides converted by annealing protocols to 2D TMDCs and copper foil CVD - grown graphene as starting materials. The films are transferred to substrates covered with a pre-patterned photoresist layer. Lift off of the photoresist allows the spatially controllable transfer of the 2D materials allowing for sequential steps to produce aligned heterostructures over large areas.
An overview of the process flow will be presented alongside with a examples of 2D heterostructures such as MoS2 field effect transistors, using graphene source and drain contacts. The deposited microstructures are characterized and furthermore analyzed via Raman mapping, SEM, AFM and XPS measurements.
[1] W. S. Mos, Y. Gong, J. Lin, X. Wang, G. Shi, S. Lei, Z. Lin, X. Zou, G. Ye, R. Vajtai, B. I. Yakobson, H. Terrones, M. Terrones, K. Tay, J. Lou, S. T. Pantelides, Z. Liu, W. Zhou, and P. M. Ajayan, “Vertical and in-plane heterostructures from WS2/MoS2 monolayers,” vol. 13, no. September, p. 8, 2014.
[2] W. J. Yu, Z. Li, H. Zhou, Y. Chen, Y. Wang, Y. Huang, and X. Duan, “Vertically stacked multi-heterostructures of layered materials for logic transistors and complementary inverters.,” Nat. Mater., vol. 12, no. 3, pp. 246–52, 2013
8:00 PM - NM04.09.43
Unravelling the Boron Nitride Flakes Morphology to Enhance Polycarbonate Performances
Emanuele Lago 1 2 , Peter Toth 1 , Silvia Gentiluomo 1 2 , Sanjay Thorat 1 , Vittorio Pellegrini 1 , Francesco Bonaccorso 1
1 , Istituto Italiano di Tecnologia, Genova Italy, 2 Dipartimento di Chimica e Chimica Industriale, Università di Genova, Genoa Italy
Show AbstractThe outstanding improvements of two-dimensional (2D) crystals-based composites in mechanical, electrical and thermal properties compared to pristine polymer matrices [1,2], have boosted the research activity in both 2D-crystals and polymer science fields. A key requirement for the application of 2D-crystals in the composites field relies on their large scale production [1]. In this view, liquid phase exfoliation (LPE) of layered-crystals [3,4] is one of the most promising approaches for the scalable production of high-quality 2D-crystals.
Herein, we design an environmentally friendly approach hBN in a water/surfactant solution [5], based on the exfoliation of its bulk counterpart, with controlled lateral size (L) and thickness (t) by using ultra-centrifugation [6]. Our approach allow us to obtain two population of flakes, labelled as ‘hBN-s’ and ‘hBN-p’ with aspect ratio, i.e. L/t, equal to 400 and 300, respectively.
These flakes are subsequently used as filler in a polycarbonate (PC) matrix by means of solution blending technique, obtaining composite dispersions. The dispersions are then pelletized by pouring water, a solvent that does not dissolve the PC, which precipitates the composite materials. Finally, the pellets of the composite are hot-pressed to form ~100 µm thick films.
We tested the composite mechanical properties and we established a relationship between the polymer reinforcement and the filler morphology. hBN flakes with higher aspect ratio have shown better mechanical properties in terms of Young’s Modulus, Tensile Strength at Yield and Ultimate Tensile Strength. Moreover, The obtained mechanical performances are better if compared with PC composites having graphene flakes of same aspect ratio [7]. As example, with only 0.5% of hBN-s flakes addition is possible to enhance by 27% the Young’s Modulus of pristine PC, whereas the same increment is obtained by a two-fold and three-fold loading increase in the case of graphene and hBN-p flakes, respectively.
References
[1] A. C. Ferrari, et al., Nanoscale, 7 (2015) 4598
[2] J. R. Potts, et al., Polymer, 52 (2011) 5
[3] J. N. Coleman, et al., Science, 331 (2011) 568
[4] F. Bonaccorso, et al., Adv. Mater., 28 (2016) 6136
[5] J. Zhu, et al., Nano Lett., 15 (2015) 7029
[6] C. Backes, et al., ACS Nano, 10 (2016) 1589
[7] E. Lago et al., RSC Adv., 6 (2016) 97931
8:00 PM - NM04.09.44
A Reactive Molecular Dynamics Study of Atomistic Mechanisms During Synthesis of MoS2 Layers by Chemical Vapor Deposition
Sungwook Hong 1 , Aravind Krishnamoorthy 1 , Chunyang Sheng 1 , Rajiv Kalia 1 , Aiichiro Nakano 1 , Priya Vashishta 1
1 , University of Southern California, Los Angeles, California, United States
Show AbstractTransition metal dichalcogenide (TMDC) monolayers like MoS2 are promising materials for future optoelectronic applications.1, 2 Large-area monolayer MoS2 samples for these applications are typically synthesized by chemical vapor deposition (CVD) using MoO3 reactants and gas-phase sulfur precursors.3, 4 Recent experimental studies have greatly improved our understanding of reaction pathways in the CVD growth process.5, 6 However, atomic mechanisms of the sulfidation process and their relation to the structure of reaction intermediates and defects in the grown TMDC remain to be fully elucidated. In this work, we present quantum-mechanically informed and validated reactive molecular dynamics (RMD) simulations for CVD synthesis of MoS2 layers using two different sulfur precursors: S2 and H2S gas molecules. Our goal is to clarify the reaction pathways, intermediate structures, and defect configuration in TMDCs during sulfidation of MoO3 surfaces by S2 and H2S precursors. Our RMD results provide a better understanding of the sulfidation process for the scalable synthesis of defect-free MoS2 and other TMDCs.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
1. Lee, Y. H.; Zhang, X. Q.; Zhang, W.; Chang, M. T.; Lin, C. T.; Chang, K. D.; Yu, Y. C.; Wang, J. T. W.; Chang, C. S.; Li, L. J. Adv. Mater. 2012, 24, (17), 2320-2325.
2. Venkata Subbaiah, Y.; Saji, K.; Tiwari, A. Adv. Funct. Mater. 2016, 26, (13), 2046-2069.
3. Taheri, P.; Wang, J.; Xing, H.; Destino, J. F.; Arik, M. M.; Zhao, C.; Kang, K.; Blizzard, B.; Zhang, L.; Zhao, P. Mater. Res. Express 2016, 3, (7), 075009.
4. Dumcenco, D.; Ovchinnikov, D.; Sanchez, O. L.; Gillet, P.; Alexander, D. T.; Lazar, S.; Radenovic, A.; Kis, A. 2D Materials 2015, 2, (4), 044005.
5. Kumar, P.; Singh, M.; Sharma, R. K.; Reddy, G. Mater. Res. Express 2016, 3, (5), 055021.
6. Lin, Y.-C.; Zhang, W.; Huang, J.-K.; Liu, K.-K.; Lee, Y.-H.; Liang, C.-T.; Chu, C.-W.; Li, L.-J. Nanoscale 2012, 4, (20), 6637-6641.
8:00 PM - NM04.09.45
Large Area High Quality Bi2Se3 Topological Insulator Thin Film Grown Using Physical Vapor Deposition
Taylor Wilde 2 1 , Gauthier Krizmann 3 , Andreas Inhofer 3 , Louis-Anne de Vaulchier 3 , Yves Guldner 3 , Bernard Placais 3 , Badih Assaf 1
2 Chemical Engineering, Northeastern University, Boston, Massachusetts, United States, 1 Physics, Ecole Normale Superieure, CNRS, PSL, Paris France, 3 Laboratoire Pierre Aigrain, Ecole Normale Superieure, Universite Pierre et Marie Curie, Universite Denis Diderot, CNRS, Paris France
Show AbstractTopological insulators such as Bi2Se3 are novel materials that support Dirac electrons on the surface but not in the bulk. Currently, atomically thin films of Bi2Se3 are typically grown using MBE, which requires costly equipment and is difficult to implement. A cheaper method of growing Bi2Se3 films of similar quality will be helpful in accelerating the research and use of these materials. In this study, epitaxial growth of large-area Bi2Se3 thin films on mica substrates is performed by use of physical vapor deposition by evaporating Bi2Se3 source material in a tube furnace under Ar flux. Thin films with thicknesses from 13 to 500nm are produced. The material is analyzed using micro-raman spectroscopy, atomic force microscopy, scanning electron microscopy, and X-ray diffraction. AFM indicates the presence of continuous growth across the substrate. Microraman spectroscopy produces the three Raman-active phonon peaks that are indicative of Bi2Se3. The Hall effect and magnetoresistance are also measured at 4.2K up to 15T. A mobility of 300-900 cm2/Vs is found and Shubnikov-de-Haas oscillations are observed. This is comparable to films grown using MBE. The films are also transferred from the Mica substrates to SiO2/Si substrates using scotch tape, and characterized and compared to the pristine films. The transferable high quality growth procedure of Bi2Se3 films will allow for cheaper and more straightforward synthesis of these materials for future investigation that require high mobility large area samples.
8:00 PM - NM04.09.46
Laser Processing of 2D Materials for Flexible Electronics
Nicholas Glavin 1 , Rafael Vila 2 1 , Richard Kim 4 1 , Elisabeth Bianco 5 1 , Rahul Rao 2 1 , Michael McConney 1 , Christopher Muratore 3
1 , Air Force Research Laboratory, Dayton, Ohio, United States, 2 , UES, Inc., WPAFB, Ohio, United States, 4 , National Research Council Postdoc, WPAFB, Ohio, United States, 5 , Rice University, Houston, Texas, United States, 3 , University of Dayton, Dayton, Ohio, United States
Show AbstractThe development of new processing schemes that enable 2D materials to be incorporated on soft, organic substrates remains a fundamental challenge for future flexible electronics based on the unique layered structures. Local, transient heating and patterning of amorphous precursors by laser processing may be the key to unlocking the unique attributes of flexible 2D material systems. Initial experiments reveal the successful phase transformation of amorphous transition metal dichalcogenides (TMD) including MoS2 and WS2 deposited by physical vapor deposition (PVD) on stretchable polymer substrates to their crystalline van der Waals layered structures. Detailed kinetic studies of crystal formation were accomplished via high throughput in-situ Raman spectroscopy at different surface temperatures and environmental conditions. With this technique, heterostructures were formed incorporating multiple TMD layers that were annealed simultaneously, and insights into the role of surface diffusion and activation energy for crystallization will be discussed. Additionally, large area, wafer-scale crystallization of 2D materials on flexible substrates with the use of a broadband pulsed lamp source demonstrate the potential for optical annealing at multiple length scales for ease of manufacturing directly on soft substrates.
Symposium Organizers
Swastik Kar, Northeastern University
Cinzia Casiraghi, University of Manchester
Arindam Ghosh, Indian Institute of Science
Saikat Talapatra, Southern Illinois University
Symposium Support
Nano Futures | IOP Publishing
National Science Foundation
NM04.10: 2D Materials—Tailoring Defects, Alloys, Structures, Properties and Devices
Session Chairs
Thursday AM, November 30, 2017
Hynes, Level 3, Room 312
8:00 AM - *NM04.10.01
Defect Engineering in 2D Materials and Their Applications for CO2 Conversion to Selective Hydrocarbons
Li-Chyong Chen 1 , He-Yun Du 1 , Indrajit Shown 2 , Kuei-Hsien Chen 2
1 , National Taiwan University, Taipei Taiwan, 2 , Academia Sinica, Taipei Taiwan
Show AbstractThe emerging new research area on solar fuels, specifically, photocatalytic carbon dioxide (CO2) conversion to hydrocarbon fuels, making possible simultaneous solar energy harvesting and CO2 reduction, is considered as a two birds with one stone approach to solving the energy and environmental problems. However, the development of solar fuels has been hampered by the low conversion efficiency and lack of product selectivity of the photocatalysts. Here, we present defect engineering in some novel 2D materials, in particular, the carbon-doped SnS2 (abbreviated as SnS2-C) nanosheets and single layer MoS2, as a viable method towards promising photocatalysts for CO2 reduction reaction (CO2RR).
For the first case, the SnS2-C nanosheets with a typical layer thickness of ~40 nm were synthesized using an L-cysteine-based hydrothermal process. Compared with undoped SnS2, the interstitial carbon doping induced microstrain in the SnS2 lattice, resulting in different photophysical properties. Density functional theory calculations were performed for the formation energy, along with the CO2 adsorption and dissociation on differently configured SnS2-C for CO2RR. Experimentally, the SnS2-C exhibited a highly effective photocatalytic activity in gas phase with a photochemical quantum efficiency exceeding 0.7 % under visible light, which is ~250 times higher than that of its undoped counterpart, and also a world-record high value reported for inorganic catalyst. For the second case, the MoS2 single layers were prepared by chemical vapor deposition, followed by hydrogen plasma post-treatment. With increasing hydrogen plasma treatment time, we observed a trend of blue-shift in the A1g peak and red-shift in E2g peak in their Raman spectra, implying creation of sulfur vacancies, of which the resultant stoichiometry ratio of Mo/S was further investigated by X-ray photoelectron spectroscopy. In addition, scanning tunneling microscopic images clearly supported that there were missing atoms in the MoS2 layers after hydrogen plasma treatment. Both the hydrogen evolution reaction (HER) and CO2RR were measured for the MoS2 single layers with different Mo/S ratios. The role and interplay of the defects and the hosting materials as well as their effects on the HER and CO2RR will be discussed in this presentation.
8:30 AM - NM04.10.02
Two-Dimensional Transition Metal Dichalcogenides—Alloys, Directed Assembly and Defect Identification
Zhong Lin 1 , Donna Deng 1 , Amin Azizi 1 , Yongji Gong 2 , Cristina Giusca 3 , Yuanxi Wang 1 , Bruno Carvalho 4 , Ana Laura Elias 1 , Nestor Perea Lopez 1 , Kazunori Fujisawa 1 , Simin Feng 1 , Yu-Chuan Lin 1 , Ethan Kahn 1 , Victor Carozo 1 , Ivan Rungger 3 , Vishal Panchal 3 , Christos Melios 3 , Olga Kazakova 3 , Robert Vajtai 2 , Jeffrey Mayer 1 , Joshua Robinson 1 , Zheng Liu 5 , Humberto Terrones 6 , M. A. Pimenta 4 , Vincent Crespi 1 , Nasim Alem 1 , Theresa Mayer 7 , Pulickel Ajayan 2 , Mauricio Terrones 1
1 , Pennsylvania State University, University Park, Pennsylvania, United States, 2 , Rice University, Houston, Texas, United States, 3 , National Physical Laboratory, Teddington United Kingdom, 4 , Universidade Federal de Minas Gerais, Belo Horizonte Brazil, 5 , Nanyang Technological University, 50 Nanyang Avenue Singapore, 6 , Rensselaer Polytechnic Institute, Troy, New York, United States, 7 , Virginia Tech, Blacksburg, Virginia, United States
Show AbstractTwo-dimensional transition metal dichalcogenides (2D TMDs) [1-2] have emerged as key components in optoelectronic devices [3-4]. Large-scale synthesis of 2D TMDs with controlled atomic composition and physico-chemical properties have received attention. Chemical vapor deposition (CVD) offers rich opportunities to tune the properties of TMDs by varying precursors and substrates, and by engineering synthetic defects [5-7]. In this work, we show that by using mixed transition metal precursors, alloyed monolayers of MoxW1-xS2 islands can be synthesized exhibiting a compositional gradient and a tunable optical band gap [8-10]. We further demonstrate that by adding tellurium into the transition metal precursors, it is possible to reduce the synthesis temperature of MoS2 and WS2 monolayers by 200 oC [11]. We also report a novel strategy to assemble and align CVD-grown TMD triangular islands on to a patterned substrate using electric-field-assisted assembly [12]. Besides insulating SiO2 substrates, we are also able to grow 2D TMDs on one- and two-layer graphene substrates, forming vertical heterostructures [13]. Integrating WS2 with two-layer graphene leads to a significant photoluminescence enhancement. Defect engineering is another key approach to tailor the performance of TMDs. Finally, I will present our recent effort on introducing, identifying, and eliminating atomic defects in CVD-grown 2D TMDs [14].
References
[1] Lin, Z.; Terrones, M.; et al., 2D Materials, 3 (2016) 042001
[2] Bhimanapati, G. R.; Lin, Z.; Terrones, M.; Robinson, J.; et al., ACS Nano, 9 (2015) 11509
[3] Perea-López, N.; Lin, Z.; Terrones, M.; et al., 2D Materials, 1 (2014) 011004
[4] Janisch, C.; Song, H.; Zhou, C.; Lin, Z.; Terrones, M.; et al., 2D Materials, 3 (2016) 025017
[5] Lin, Y. C.; Lu, N.; Perea-Lopez, N.; Li, J.; Lin, Z.; Terrones, M.; Robinson, J.; et al., ACS Nano, 8 (2014) 3715
[6] Gong, Y.; Lin, J.; Wang, X.; Shi, G.; Lei, S.; Lin, Z.; Terrones M.; Ajayan, P.; et al., Nature Materials, 13 (2014) 1135
[7] Gong, Y.; Liu, Z.; Lupini, A. R.; Shi, G.; Lin, J.; Najmaei, S.; Lin, Z.; Terrones, M.; Ajayan, P.; et al., Nano Letters, 14 (2014) 442
[8] Lin, Z.; Terrones, M.; et al, APL Materials, 2 (2014) 092514
[9] Azizi, A.; Wang, Y.; Lin, Z.; Terrones, M.; Alem, N.; et al., Nano Letters, 16 (2016) 6982
[10] Azizi, A.; Wang, Y.; Stone, G.; Elias, A.; Lin, Z.; Terrones, M.; Crespi, V.; Alem, N. Nano Letters, 17 (2017) 2802
[11] Gong, Y.; Lin, Z.; Terrones, M.; Ajayan, P. M.; et al, ACS Nano, 9 (2015) 11658
[12] Deng, D.; Lin, Z.; Terrones, M.; et al, ACS Nano, 10 (2015) 5006
[13] Giusca, C. E.; Rungger, I.; Panchal, V.; Melios, C.; Lin, Z.; Terrones, M.; et al., ACS Nano, 10 (2016) 7840
[14] Lin, Z.; Terrones, M.; et al, 2D Materials, 3 (2016) 022002
8:45 AM - NM04.10.03
Van der Waals Growth of Wafer-Scale Monolayer MoS2 and Its Heterostructures
Dongxia Shi 1 , Rong Yang 1 , Guangyu Zhang 1
1 , Institute of Physics, CAS, BEJING China
Show AbstractMonolayer molybdenum disulfide (MoS2) is a direct bandgap (Eg=1.8eV) semiconductor 2D materials beyond graphene and has attracted much research interests, which has potential application in the flexible and stretchable electronic and optoelectronic applications. Here, we present our recent progress in the van der Waals (vdW) scalable growth of high quality monolayer MoS2 using chemical vapor deposition (CVD) growth approach and its device properties on flexible substrate. The epitaxial growth of MoS2 on WS2 via a two-step CVD was also reported to create bilayers of vdW heterostructures with clean interface and strong interlayer coupling, which indicates more efficient interlayer charge transfer and spatially separated exciton recombination than the transferred heterostructures. The integrated flexible TFTs based on our CVD MoS2 have been fabricated with the device structure compatible with the traditional semiconductor fabrication process. The uniformity of the continuous MoS2 film leads to the stable performance over a centimeter scale even under uniaxial strain 1% with mobility of 14 cm2v−1s−1 and on/off ratio higher than 105. The excellent performance of those devices suggests that they are promising candidates for flexible and integrable electronics devices in future. We also developed a clean and dry method for the directly transfer of sapphire substrate growth crystalline monolayer MoS2 film to any other substrates, which provides more choice for the flexible MoS2 devices fabrication.
References:
1. Zhao, J.; Chen, W.; Meng, J.; Yu, H.; Liao, M.; Zhu, J.; Yang.; Shi, D.; Zhang, G. Adv. Electron. Mater. 2016, 2: 1500379.
2. Zhang, J.; Wang, J.; Chen, P.; Sun, Y.; Wu, S.; Jia, Z.; Lu, X.; Yu, H.; Chen, W.; Zhu, J.; Xie, G.; Yang, R.; Shi, D.; Xu, X.; Xiang, J.; Liu, K.; Zhang, G. Adv. Mater. 2016, 28: 1950.
3. Chen, W.; Zhao, J.; Zhang, J.; Gu, L.; Yang, Z.; Li, X.; Yu, H.; Zhu, X.; Yang, R.; Shi, D.; Lin, X.; Guo, J.; Bai, X.; Zhang, G. J. Am. Chem. Soc. 2015, 137 (50): 15632.
4. Zhang, J.; Yu, H.; Chen, W.; Tian, X.; Liu, D.; Cheng, M.; Xie, G.; Yang, W.; Yang, R.; Bai, X.; Shi, D.; Zhang, G. ACS Nano 2014, 8: 6024.
9:00 AM - NM04.10.04
A Route to Atomically Precise Hexagonal Boron Nitride Nanopores
Stephen Gilbert 1 2 , Gabe Dunn 1 2 , Thang Pham 1 2 , Amin Azizi 1 , Brian Shevitski 1 3 , Stanley Liu 1 , Shaul Aloni 3 , Alex Zettl 1 2
1 Physics, University of California, Berkeley, Berkeley, California, United States, 2 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractIn this presentation, we discuss the fabrication of individual nanopores in hexagonal boron nitride (h-BN) with atomically precise control of the pore shape and size using only a conventional TEM. By careful tuning of the beam conditions, we show that individual perfect triangular pores are fabricated in h-BN and their size can be controlled with near-atomic precision. While much progress has been made, most previous methods of pore production in other 2D materials still typically create pores with irregular geometry and imprecise diameters. In contrast, other studies have shown that with careful control of electron irradiation, defects in h-BN grow with pristine zig-zag edges at quantized triangular sizes, but they have never before demonstrated production and control of isolated defects. In this work, we combine these techniques to yield a method in which we can create and control individual size-quantized triangular nanopores through multilayer h-BN sheets. We further discuss advances in the CVD synthesis of h-BN that have enabled this work, work towards attaining different precise geometries, and the potential applications of these nanopores.
9:15 AM - NM04.10.05
The Route to Xene Transistors—From Silicene to Phosphorene
Carlo Grazianetti 1 , Li Tao 2 , Deji Akinwande 2 , Alessandro Molle 1
1 , CNR-IMM, Milano Italy, 2 , University of Texas at Austin, Austin, Texas, United States
Show AbstractThe discovery of the Xenes, namely monoelemental two-dimensional (2D) crystals based on elements (X = Si, Ge, Sn, B, P,…) other than carbon and epitaxially grown on substrates, paves the way to new research frontiers of condensed matter and applied physics in materials with a reduced dimensionality [1]. Among Xenes, silicene was the forerunner [2]. Silicene was first synthesized by means of epitaxy on Ag(111) herein presenting a polymorphic structure that is characteristic of the epitaxial Xenes as a whole, and then integrated into a field effect transistor device both in its single-layer [3] and multilayer [4] form. The main challenges in this framework rely on how to handle an individual silicene nanosheet in order to make it stable against environmental degradation, rid of its host substrate, and eventually suitable for ex-situ diagnostic and device integration. This effort was made possible by developing a methodology for the silicene delamination and patterning with native Ag electrodes [3]. The procedure will be here outlined by giving emphasis to the more salient aspects (the use of portable/cleavable substrates, protective encapsulation, Raman spectroscopy monitoring). Furthermore, the electrical output of transistors based on a single-layer and multilayer silicene is reported, and critical aspects for a full technology exploitation are discussed. In detail, a typical feature of the silicene-based devices is the observation of an ambipolar charge conduction, and a thickness-dependent stability under environmental conditions. While the ambipolar transport is related to the Dirac-like character of the silicene electronic bands, solutions for a long-standing stabilization are proposed. As a perspective, the so-developed methodology will be envisioned for other Xenes that are produced under similar boundary conditions as the silicene-on-Ag(111). Recently reported epitaxial phosphorene-on-Au(111) [5] will be considered as a case in point to validate the versatility of the delamination approach for a more general use of a Xene in a device platform.
References
[1] A. Molle, et al., Nat. Mater. 16, 163 (2017).
[2] C. Grazianetti et al., 2D Mater., 3, 012001 (2016).
[3] L. Tao et al., Nature Nanotech., 10, 227 (2015).
[4] C. Grazianetti et al., ACS Nano 11, 3376 (2017).
[5] J. L. Zhang et al., Nano Lett., 16, 4903 (2016).
9:30 AM - NM04.10.06
Engineering Defects and Tuning Schottky Barriers for 2D Devices
Yuanyue Liu 1 2 , Long Cheng 2
1 , California Institute of Technology, Pasadena, California, United States, 2 , University of Texas at Austin, Austin, Texas, United States
Show AbstractEngineering Defects and Tuning Schottky Barriers for 2D Devices
Yuanyue Liu
Materials and Process Simulation Center, and The Resnick Sustainability Institute
California Institute of Technology
Department of Mechanical Engineering, and The Texas Materials Institute,
University of Texas at Austin
Two-dimensional semiconductors show great promise for next-generation (opto)electronics, yet a number of issues have to be solved to realize their full potential. By using first-principles simulations, we show that: (i) the harmful electronic states induced by chalcogen vacancies can be removed by gaseous [1] or electrochemical [2] treatment; In contrast, defects in ionic semiconductors tend to be electronically benign [3]; (ii) The Schottky barrier at the metal–semiconductor contact can be tuned/eliminated by using 2D metals [4,5] as electrodes, which benefits from the weak van der Waals interaction between 2D metal and 2D semiconductor [4].
[1] Y. Liu, P. Stradins, S. Wei, Angew. Chem. Int. Ed. 2015, DOI: 10.1002/anie.201508828
[2] Y. Liu, in preparation
[3] Y. Liu, H. Xiao, W. A. Goddard III, Nano Lett. 2016, 16, 3335
[4] Y. Liu, P. Stradins, S. Wei, Science Advances 2016, 2, e1600069
[5] Y. Liu, H. Xiao, W. A. Goddard III, JACS, 2016, 138, 15853
10:15 AM - *NM04.10.07
The Emergence of Layered 2D Perovskites for Stable and High-Efficiency Optoelectronic Devices
Aditya Mohite 1
1 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractHybrid (inorganic-organic) perovskites have demonstrated an extraordinary potential for clean sustainable energy technologies and low-cost optoelectronic devices such as solar cells; light emitting diodes, detectors, sensors, ionic conductors etc. In spite of the unprecedented progress in the past six years, one of the key challenges that exists in the field today is the large degree of processing dependent variability in the structural and physical properties. This has limited the access to the intrinsic properties of hybrid perovskites and led to to multiple interpretations of experimental data. In addition to this, the stability and reliability of devices has also been strongly affected and remains an open question, which might determine the fate of this remarkable material despite excellent properties.
In this talk, I will describe our recent work on Ruddlesden-Popper halide perovskites as a potential alternative to the bulk hybrid perovskites. I will describe the versatility of this novel system through our efforts on achieving photovoltaic devices, photodetectors and light emitting diodes with technologically relevant stability. At the heart of these high performance devices lies an unusual photo-physical behavior where counterintuitive to classical quantum-confined systems where there exists an internal mechanism for the dissociation of excitons to edges of the perovskite layers. These states provide a direct pathway for dissociating excitons into longer-lived free-carriers, which remain well protected from non-radiative processes.
10:45 AM - NM04.10.08
Dirac-Like Behavior in the Infrared Absorption of Two-Dimensional Silicon on Al2O3(0001)
Carlo Grazianetti 1 , Christian Martella 1 , Stefania De Rosa 2 , Alessandro Molle 1 , Stefano Lupi 3
1 , Laboratorio MDM, CNR-IMM, Agrate Brianza Italy, 2 Dipartimento di Fisica, Università di Roma La Sapienza, Roma Italy, 3 Dipartimento di Fisica, CNR-IOM Università di Roma La Sapienza, Roma Italy
Show AbstractThe renewed interest in silicon, after the disclosure of its properties at the two-dimensional (2D) limit, paved the way to an intriguing scenario where silicon may still be the key player in nanotechnology after all, only thinner. One case in this respect is silicene where most of the experimental survey consisted of the epitaxy on metallic substrates, like Ag(111) [1]. While silicene on Ag(111) proves room temperature operation in a field effect transistor after ad hoc delamination processing [2], metallic substrates are an issue to explore the optical properties of silicene that may be of outstanding interest for photonics [3]. Looking for non-metallic substrate is then needed for this purpose. Al2O3(0001) has been recently proposed as a commensurate substrate either for silicene and germanene epitaxy [4]. Indeed, Al2O3(0001) is predicted to stabilize a monolayer honeycomb structure of silicene without destroying the Dirac states, because the substrate, being a large-gap semiconductor, imposes the Dirac point to lie in the gap and far from the substrate states, at variance with the metallic templates. Here, we report on the synthesis of 2D silicon on the Al-terminated surface of Al2O3(0001) as well as its optical characterization through absorbance spectroscopy. 2D silicon can be epitaxially grown on Al2O3(0001) with precise thickness control. Our findings suggest that the absorbance spectrum is characterized by distinguishing features placed in the infrared range that closely resemble those of freestanding silicene [5] and then vanish by increasing the silicon thickness. The seeming Dirac-like behavior of the absorbance spectrum of the so-grown 2D silicon is discussed in terms of a silicene-like properties that, at variance with the case of metallic substrate, is here endowed with Dirac-like bands. Our results shed light on the synthesis of 2D silicon on non-metallic substrate and also may represent a guideline to engineer 2D silicon-based photonic or optoelectronic devices.
References
[1] C. Grazianetti et al., 2D Mater., 3, 012001 (2016).
[2] L. Tao et al., Nature Nanotech., 10, 227 (2015) and C. Grazianetti et al., ACS Nano 11, 3376 (2017).
[3] E. Cinquanta et al., PRB 92, 165427 (2015).
[4] M. X. Chen et al., PRB 94, 075409 (2016).
[5] F. Bechstedt et al., APL 100, 261906 (2012).
11:00 AM - NM04.10.09
Nanoscale Heterogeneities in Monolayer MoSe2 and WSe2 Revealed by Correlated SPM and TERS
Kirby Smithe 1 , Connor Bailey 1 , Miguel Muñoz-Rojo 1 , Andrey Krayev 2 , Eric Pop 1 4 3
1 Department of Electrical Engineering, Stanford University, Stanford, California, United States, 2 , AIST-NT Incorporated, Novato, California, United States, 4 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States, 3 , Precourt Institute for Energy, Stanford University, Stanford, California, United States
Show AbstractTwo-dimensional semiconductors, specifically the broad class of transition metal dichalcogenides (TMDs) attract significant attention of research community in recent years due to the wealth of interesting and potentially applicable phenomena observed in these materials. In order to control the performance of devices based on TMDs, they must be characterized at the scale relevant to the corresponding application, which in most cases today corresponds to a few tens of nanometers [1].
Here we report on the direct application of scanning probe microscopy (SPM) cross-correlated with tip enhanced Raman scattering (TERS) imaging for characterization of rather unexpected locations of grain boundaries in single layer CVD grown MoSe2, as well as the evolution of these grain boundaries in the course of sample aging and their transfer from the original substrate via wet etching transfer procedures. Detailed analysis of the TERS maps of MoSe2 on Au revealed that there exist two types of nanoscale (few to several tens of nm across) domains, one featuring a resonant response (as should be expected for 638 nm laser), and the other featuring mostly a single peak near 240 cm-1 (typical for non-resonant conditions).
Additionally, TERS maps on WSe2 revealed the presence of small, 100 - 300 nm triangular areas with zero Raman response. Cross-correlation of the TERS data with the topography, surface potential and friction images revealed that large flakes had a significant number of perfectly triangular holes. Such perforations in TMD flakes can be extremely beneficial for hydrogen evolution reactions, as it has been demonstrated that the most efficient way of improving the performance of TMDs in fuel cells is to increase the ratio of edge length to surface area [2]. This ratio in the samples examined here was up to 3 - 4 times higher compared to homogenous continuous flakes. These perforated flakes can be transferred to any surface, including corrugated ones, which should inevitably cause some strain also beneficial for hydrogen catalytic activity.
[1] English, C. D. et al., IEEE Int. Electron Devices Meet. 5.6., 131–134 (2016).
[2] Guoqing Li, et.al., JACS 138, 16632-16638, (2016)
11:15 AM - NM04.10.10
Hydrogen Evolution through Lattice Distortion in Metallic MoTe2
Jinbong Seok 1 , Heejun Yang 1 , Jun-ho Lee 2 , Young Hee Lee 1 , Young-Woo Son 2
1 , Sungkyunkwan University, Suwon Korea (the Republic of), 2 , Korea Institute for Advanced Study, Seoul Korea (the Republic of)
Show AbstractEngineering surface atoms of transition metal dichalcogenides (TMDs) is a promising way to design catalysts for efficient electrochemical reactions including the hydrogen evolution reaction (HER). However, materials processing based on TMDs, such as vacancy creation or edge exposure, for active HER, has resulted in insufficient atomic-precision lattice homogeneity and a lack of clear understanding of HER over 2D materials. Here, we report a durable and effective HER at atomically defined reaction sites in 2D layered semimetallic MoTe2 with intrinsic turnover frequency(TOF), which cannot be explained by the traditional volcano plot analysis. Unlike former electrochemical catalysts, the rate-determining step of the HER on the semimetallic MoTe2, hydrogen adsorption, drives Peierls-type lattice distortion that, together with a surface charge density wave, unexpectedly enhances the HER. The active HER using unique 2D features of layered TMDs enables an optimal design of electrochemical catalysts and paves the way for a hydrogen economy.
11:30 AM - NM04.10.11
Laser Processing of Polycrystalline Substrates for Ultrafast CVD of Aligned Millimetre-Sized Hexagonal Boron Nitride Single Crystal Domains
Ruizhi Wang 1 , Stephan Hofmann 1
1 , University of Cambridge, Cambridge United Kingdom
Show AbstractIn recent years, atomically thin hexagonal boron nitride (h-BN) has emerged as a highly promising material, with a multitude of potential applications, such as an ultra-thin dielectric, tunnel barrier or protective coating. Like most two-dimensional materials (2DMs), despite the overall rapid progress in the field, the wide-spread industrial application is still hindered by the lack of scalable growth of materials of high quality [1].
A promising approach to synthesis has been chemical vapour deposition (CVD), which has been applied to many different 2DMs. However, due to the growth process in CVD, which consists of simultaneous nucleation at multiple locations and merging of the individual domains, the resulting material is often polycrystalline, with accumulations of defects along grain boundaries. The most common approach to reduce these defects, and thus to achieve large single crystalline domains, is to reduce the nucleation density. Controlled doping of iron with very small amounts of silicon (<0.1at%) has been demonstrated as an approach for nucleation reduction for h-BN growth, which yields individual domains of up to 0.3 mm [2]. However, this approach requires specially prepared catalyst structures, which complicates the post growth device integration of the material.
In this talk we will present a process for the growth of h-BN domains with lateral dimensions of 0.5 mm, which are to our knowledge the largest that have been achieved by means of CVD. The whole process can be performed within a few minutes. Furthermore, based on careful tuning of the process it is possible to achieve alignment of the individual domains. Currently the only limitations to the area of aligned h-BN growth is given by the dimensions of the equipment. The growth process does not rely on complex catalyst structures, but can be applied to various polycrystalline foils without special pre-treatment. The ability to choose from a range of catalysts allows the substrate to be selected for compatibility with later process steps. Considering the substrate dependent interaction of catalysts and 2DMs [3], we demonstrate how certain substrates allow for simple peeling based transfer.
The development of this growth method is based on an in-depth understanding of the mechanism of 2DM growth. The key aspect of this novel method is the spatially resolved temperature control of the substrate using direct laser heating, which allows the temperature to be adjusted across a wide range and with extremely rapid ramping. We demonstrate, how CVD growth can be tuned for scalable growth of large domains of h-BN on a variety of substrates, which can be chosen based on the end application.
References
[1] Hofmann, S. et al., J. Phys. Chem. Lett 14, 2714 (2015)
[2] Caneva, S. et al., Nano Lett. 15, 1867 (2015)
[3] Weatherup, R. S. et al., J.A.C.S. 137(45), 14358 (2015)
11:45 AM - NM04.10.12
Improving the Light Harvesting Properties of Atomically Thin Two-Dimensional Metal Dichalcogenides through Coupling with Zero- and One-Dimensional Semiconductors
Mingxing Li 1 , Jia-Shiang Chen 1 , Mathew Maye 2 , Mircea Cotlet 1
1 , Brookhaven National Laboratory, Upton, New York, United States, 2 Chemistry, Syracuse University, Syracuse, New York, United States
Show AbstractAtomically thin two dimensional (2D) nanomaterials such as graphene, layered metal dichalcogenides (LMDs), including transition metal dichalcogenides (TMDC), have spurred intense interest in the past few years as promising candidates for the development of next generation optical, electronic and optoelectronic devices. This is in large part because of their intrigue nanoscale physical properties such as high carrier mobility, high photosensitivity, layer thickness dependent tunable bandgap, high efficiency and mechanical flexibility. Because of their atomically thin thickness, single and few layers LMDs exhibit weak absorption, even though they process strong light-matter interactions. One way to improve the light harvesting properties of LMDs is to combine them with other semiconducting nanomaterials that exhibit strong light absorbing properties. Among such nanomaterials our group has successfully used zero dimensional (0D) semiconductors such as colloidal quantum dots and inorganic perovskite dots as well as one dimensional (1D) semiconductor nanowires to obtain 0D-2D and 1D-2D hybrids with improved light harvesting and optoelectronic properties. In this presentation, I will discuss several examples of 0D-2D hybrids that we successfully assembled from quantum dots and layered 2D semiconductors like MoS2 and SnS2 [1,2]. I will show how the interfacial interaction between such nanomaterials can be engineered to promote either charge transfer or energy transfer between the 0D and 2D components and I will discuss the implications of either of these processes on the optoelectronic performance of devices incorporating such hybrids. I will also present 1D-2D hybrids based on conductive polymer nanowires and layered MoS2 where the polymer nanowires were assembled in mesh-like nanostructures to increase not only light absorption but also to produce light reabsorption in the 2D material through scattering, thus producing a 1D-2D hybrid with improved optoelectronic performance.
1. Zang, H. D.; Routh, P. K.; Huang, Y.; Chen, J. S.; Sutter, E.; Sutter, P.; Cotlet, M., Nonradiative Energy Transfer from Individual CdSe/ZnS Quantum Dots to Single-Layer and Few-Layer Tin Disulfide. Acs Nano 2016, 10 (4), 4790-4796.
2. Huang, Y.; Zang, H. D.; Chen, J. S.; Sutter, E. A.; Sutter, P. W.; Nam, C. Y.; Cotlet, M., Hybrid quantum dot-tin disulfide field-effect transistors with improved photocurrent and spectral responsivity. Applied Physics Letters 2016, 108 (12).
NM04.11: Novel Science, Devices and Applications of 2D Materials and Systems
Session Chairs
Aditya Mohite
Alessandro Molle
Thursday PM, November 30, 2017
Hynes, Level 3, Room 312
1:30 PM - *NM04.11.01
Interfacial Engineering of Two-Dimensional Nanoelectronic Heterostructures
Mark Hersam 1
1 , Northwestern University, Evanston, Illinois, United States
Show AbstractTwo-dimensional materials have emerged as promising candidates for next-generation electronic and optoelectronic applications [1]. With electronic properties spanning the spectrum from insulating (e.g., hexagonal boron nitride and montmorillonite) to semiconducting (e.g., transition metal dichalcogenides and phosphorene) to conducting (e.g., graphene and borophene), nearly any electronic device can be fabricated by stacking two-dimensional materials into heterostructures [2]. However, in the atomically thin limit, the influence of surface chemistry, defects, interfaces, and the surrounding environment play an important if not dominant role, especially in comparison to bulk materials [3]. Consequently, methods for controlling and characterizing heterostructure interfaces with atomic precision are critical steps in the realization of the full technological potential of two-dimensional materials [4]. Towards this end, this talk will outline the latest efforts in our laboratory to engineer surfaces and interfaces in two-dimensional heterostructures. For example, rotationally commensurate growth of MoS2 has been realized on epitaxial graphene on SiC substrates [5], which allows deterministic control over MoS2 grain boundary orientation [6]. For chemically reactive 2D materials (e.g., phosphorene), encapsulation with atomic layer deposition [7] and passivation with covalently tethered organic adlayers [8] minimize ambient degradation and provide charge transfer doping. Finally, this talk will describe emerging efforts concerning the growth [9,10] and chemical functionalization [11] of synthetic two-dimensional materials (e.g., borophene) that do not exist as layered materials in the bulk.
[1] D. Jariwala, et al., ACS Nano, 8, 1102 (2014).
[2] D. Jariwala, et al., Nature Materials, 16, 170 (2017).
[3] C. R. Ryder, et al., ACS Nano, 10, 3900 (2016).
[4] A. J. Mannix, et al., Nature Reviews Chemistry, 1, 0014 (2017).
[5] X. Liu, et al., ACS Nano, 10, 1067 (2016).
[6] X. Liu, et al., Journal of Physical Chemistry C, 120, 20798 (2016).
[7] J. D. Wood, et al., Nano Letters, 14, 6964 (2014).
[8] C. R. Ryder, et al., Nature Chemistry, 8, 597 (2016).
[9] A. J. Mannix, et al., Science, 350, 1513 (2015).
[10] Z. Zhang, et al., Nano Letters, 16, 6622 (2016).
[11] X. Liu, et al., Science Advances, 3, e1602356 (2017).
2:00 PM - NM04.11.02
Impact of Electron Localization at Individual Grain Boundaries in Monolayer MoS2
Kimberly Hsieh 2 , Vidya Kochat 1 , Xiang Zhang 1 , Yongji Gong 1 , Chandra Tiwary 1 , Pulickel Ajayan 1 , Arindam Ghosh 2 3
2 Department of Physics, Indian Institute of Science, Bangalore, Karnataka, India, 1 Department of Material Science and NanoEngineering, Rice University, Houston, Texas, United States, 3 Centre for Nano Science and Engineering, Indian Institute of Science, Bangalore, Karnataka, India
Show AbstractAlthough grain boundaries (GBs) are an inevitable part of the chemical vapour deposition (CVD) growth of 2D materials, their intrinsic electrical properties and role in hindering the device performance of 2D field effect transistors (FETs) remain relatively unquantified. Previous studies on CVD MoS2 FETs have already shown that conventional time averaged conductance measurements do not adequately probe the kinetic description of the transport process or even the electrical performance and quality of the devices because of the highly anisotropic dependence of mobility on the lattice misorientation angle. Our work employs a symbiotic two-pronged approach of conductance and noise measurements to establish the extent to which GBs enhance carrier localisation in these systems, which manifests itself not only in the reduction of the localization length by 30%-70% in the GBs as compared to the single grain (SG) region but also leads to an overall noise enhancement factor of nearly five orders of magnitude. In doing so, our work also serves to extend the range of application of the well-established McWhorter’s noise model to localised systems by explaining the origin of the uncharacteristic exponential dependence of low frequency noise with temperature. This modified McWhorter formulation can be readily used to explain the noise behaviour of other 2D electronic systems where localisation dominates the transport landscape.
2:15 PM - NM04.11.03
Electronic and Optical Properties of Borophene
Jean-Christophe Charlier 1
1 Institute of Condensed Matter and Nanosciences, University of Louvain, Louvain-la-Neuve Belgium
Show AbstractBorophene, a recently synthesized two-dimensional monolayer of boron atoms, is expected to exhibit anisotropic metallic character with relatively high electronic velocities [1]. At the same time, very low optical conductivities in the infrared-visible light region have been reported. Based on its promising electronic transport properties and a priori high transparency, borophene could become a genuine LEGO piece in the 2D materials assembling game. Such early suggested properties demands for an in depth investigation of borophene electronic structure. Moreover, borophene is naturally degraded in ambient conditions and it is therefore important to assess the mechanisms and the effects of oxidation on borophene layers. Optical and electronic properties of pristine and oxidized borophene have been investigated using first-principles techniques [2]. Optical response of the oxidized layer is found to be strongly modified suggesting that optical measurements can serve as an efficient probe for borophene surface contamination.
[1] Synthesis of borophenes: anistropic, two-dimensional boron polymorphs, A.J. Mannix, et al., Science 350, 1513 (2015).
[2] Electronic and optical properties of pristine and oxidized borophene, A. Lherbier, A.R. Botello-Méndez, and J.-C. Charlier, 2D Materials 3, 045006 (2016).
2:30 PM - NM04.11.04
Doping MoS2 by Thermochemical Nanolithography (TCNL)
Annalisa Calo 1 , Edoardo Albisetti 1 2 , Xiaroui Zheng 1 , En Min Shi 3 , Rebeca Ribeiro Palau 3 , Ghidewon Arefe 3 , James Hone 3 , Elisa Riedo 1
1 , ASRC CUNY, New York, New York, United States, 2 Dipartimento di Fisica, Politecnico di Milano, Milan, Milan, Italy, 3 Mechanical Engineering , Columbia University, New York, New York, United States
Show AbstractTransition metal dichalcogenides TMD are layered materials that can be grown or exfoliated up to single atomic layers. In this form, they are semiconductors and they can be employed as nanoscale electronic and optoelectronic devices in applications where a band gap is required. Doping TMD is very challenging and it would permit to fabricate p-n junctions or to produce ohmic contacts at low resistance. So far, doping of TMD has been achieved at the scale of test pattern chips by means of plasma treatments, while selective doping has been obtained through electron beam lithography (EBL) processes, using polymeric masks and then developing specific areas for further exposure to a doping agent. In our group, we employed the thermochemical nanolithography technique (TCNL)1,2 to produce locally doped areas in single and few layer Molybdenum Disulfide (MoS2) flakes down to a size < 100 nm3. The TCNL setup has been integrated with an environmental chamber and experiments were performed in a controlled atmosphere, like in Hydrochloric Acid (HCl) vapors, besides of air doping. Local transformations at the MoS2 surface were followed by Atomic Force Microscopy (AFM), Kelvin Probe Force Microscopy (KPFM) and Raman scattering. Preliminary results in devices are also shown, which indicate the formation of p-type domains in MoS2 layers, which are naturally n-doped. These results open the perspective to fabricate air-stable nanoscale p-n junctions in a versatile and robust way by direct writing of conductive paths, without the use of physical masks and solvents.
1. Garcia et al. Advanced scanning probe lithography, Nat. Nanotechnol. 2014
2. Carroll et al. Fabricating nanoscale chemical gradients with thermochemical nanolithography, Langmuir 2010
3. Wei et al. Nanoscale tunable reduction of Graphene oxide for Graphene electronics, Science 2010
2:45 PM - NM04.11.05
Large-Area Plastic Nanoelectronics Based on 2D Transition Metal Dichalcogenides Enabled via Adhesion Lithography
Emre Yengel 1 , Hendrik Faber 1 , Dimitra Georgiadou 2 , James Semple 2 , Thomas Anthopoulos 1 2
1 Solar Center, King Abdullah University of Science and Technology (KAUST), Thuwal Saudi Arabia, 2 , Imperial College London, London United Kingdom
Show AbstractExploiting the benefits of device downscaling in the field of large-area electronics, represents a major hurdle for the development of next generation plastic nanoelectronics where device, and ultimately system performance, is largely governed by the device geometry rather than by the active material alone. Adhesion lithograph (a-Lith)1 represents one method that has been shown to combine the ability of extreme device downscaling with large-area processing whilst being compatible with temperature sensitive substrate materials such as plastic. Unlike conventional electron-beam lithography methods, a-Lith, can be used to produce sub-20 nm gap between two symmetric or asymmetric metal electrode over large area substrates (>100 cm^2) simply with high throughput. Recent work has shown how this novel method can be adopted for the manufacturing or ultra-high aspect ratio co-planar electrode nanogap devices such as non-volatile semiconductor-free memory devices2 and Schottky diodes for applications in printed radio-frequency (RF) electronics.3 To fully exploit the opportunities that the a-Lith method has to offer, alternative material system that combined processing versatility with even higher performance characteristics, need to be identified and tested. One family of such electronic materials are 2-dimensional (2D) semiconductor transition metal dichalcogenides (TMDs) as they are characterized by a tunable electronic structure, direct bandgap, high mobility and extreme optical transparency due to their low dimensionality.4 Here, we describe the development of ultra-high aspect ratio (>10^6) nanogap devices based on several 2D TMDs such as MoS2, WSe2, and their exploitation in RF diodes, ultra-fast photodetectors and high current-density nano-channel transistor applications. Due to the simplicity of the fabrication procedure, devices can be produced reliably over large areas at low temperatures on arbitrary substrate materials. Furthermore, we show that by simply replacing the active material, functionalities such as light-emission and memristive effects, may also be obtained for practical applications. Our work bridges the gap between large-area manufacturing and nano-scale electronics and can be considered an important step towards next generation opto-/electronic systems.
1 Beesley, D. J. et al. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography. Nat. Commun. 5:3933 2014
2 Semple, J. et al. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography, IEEE Transactions on Electron Devices, 64 (5), 2017
3 Semple, J. et al. Analysis of Schottky Contact Formation in Coplanar Au/ZnO/Al Nanogap Radio Frequency Diodes Processed from Solution at Low Temperature ACS Appl. Mater. Interfaces, 8, 23167-23174 2016
4 Mak, K. F. et. al Photonics and optoelectronics of 2D semiconductor transition metal dichalcogenides. Nature Photonics 10 2016
3:30 PM - *NM04.11.06
Some Counterintuitive Phenomena in 2D Materials Revealed by First Principles Calculations
Su Ying Quek 1
1 , National University of Singapore, Singapore Singapore
Show AbstractFirst principles calculations are often used alongside experiments to probe the electronic structure of materials. These calculations can provide atomic scale insights that are not accessible by existing experimental probes, and can also investigate phenomena that are challenging to set up experimentally, such as the effects of high pressure, which requires diamond anvil cells. In this talk, we discuss some of the counterintuitive phenomena that have been revealed by such calculations in the field of 2D materials. It is generally believed that the weak interlayer interactions in 2D layered materials are of the van der Waals type. However, we show here that the interlayer interactions have some directional character, that results in a clear stacking sequence dependence of the interlayer shear mode observed in Raman experiments.[1] We find that black phosphorus (bP) has particularly large interlayer interactions, that can be observed expeirmentally in Raman experiments.[2] These large interlayer interactions lead to the appearance of a Dirac cone in few-layer bP when the band gap is closed, such as with an applied electric field.[3] The large interlayer interactions also result in a high pressure transition of bulk bP to the simple cubic phase, with superconductivity reported for the simple cubic structure. Interestingly, we predict that for few-layer bP, the transition to simple cubic phase occurs at much lower pressures. On the other hand, the absence of interlayer interactions at a surface can lead to anomalous frequency trends in MoS2 and other transition metal dichalcogenide materials.[4] Finally, we show that despite the large band gap in monolayer hexagonal boron nitride (h-BN), it performs as an excellent dielectric, reducing the electronic gap in adsorbed molecules significantly through image charge effects. This result is consistent with the preferred choice of h-BN as a substrate for screening out charge traps in graphene.
[1] Scientific Reports 5, 14565 (2015)
[2] Nano Letters, 15, 3931 (2015)
[3] Scientific Reports 5, 11699 (2015)
[4] Physical Review B, 88, 075320 (2013)
We gratefully acknowledge funding from the Singapore National Research Foundation, Prime Minister’s Office, under the NRF Research Fellowship (NRF-NRFF2013-07) and under its medium-sized centre program.
4:00 PM - NM04.11.07
Electrical Manipulation of Spin-Valley States in TMDC Quantum Dots
Chitraleema Chakraborty 1 , Liangyu Qiu 2 , Sajal Dhara 2 , Nick Vamivakas 2 1
1 Materials Science, University of Rochester, Rochester, New York, United States, 2 Institute of optics, University of Rochester, Rochester, New York, United States
Show AbstractAtomically thin transition metal dichalcogenides (TMDCs) are novel 2D materials exhibiting a myriad of appealing opto-electronic properties owing to their in-plane quantum confinement. One of the most interesting feature of TMDC photophysics is the robust valley degree of freedom exhibited by the excitons that coherently interfaces with photon polarization and can potentially provide the physical basis of next-generation quantum information processing and communications. We study this spin-valley- photon interface in the recently discovered quantum dot-like defects in monolayer TMDC in an electrically tunable van der Waals heterostructure. Our device consists of monolayer tungsten diselenide, that host the single photon emitters, sandwiched by insulating boron nitride that act as tunnel barriers for current sourced through graphene electrodes placed on the top and bottom of the vertical heterostructure assembly. We have demonstrated the stable formation of quantum confined trions in the TMDC through voltage controlled charging of the neutral excitons in the quantum dots. We confirm the charging process by performing electric field dependent photoluminescence measurements, where we present a distinct spectral jump between the confined neutral exciton and trion with binding energies up to 10 meV. Furthermore, a comparative study of the voltage-dependent valley-polarization of the emitted photon from the different exciton species also provides insight about the charging state of the quantum dot. In conclusion, these van der Waals heterostructure based quantum dot devices not only serve as a source of spin/valley qubits for quantum photonic circuits but also provides new direction for fundamental science in solid-state quantum optics.
4:15 PM - NM04.11.08
Pulsed Laser Deposition and In Situ Scanning Tunneling Microscopy/Spectroscopy of Two-Dimensional Nanocrystals
Francesco Tumino 1 , Valeria Russo 1 , Matteo Passoni 1 , Carlo Casari 1 , Andrea Li Bassi 1
1 Department of Energy, Politecnico di Milano, Milan Italy
Show AbstractThe synthesis and characterization of two-dimensional (2D) materials at the atomic and nano-scale are essential research tasks to be carried out for accessing the fundamental physics of few-atom-thick structures and, thus, addressing their potential application in future electronic and optoelectronic devices.
Surface Science techniques, such as Scanning Tunneling Microscopy (STM) and Spectroscopy (STS), have been proved to be unique tools for the experimental investigation of graphene and non-carbon 2D materials, being capable to provide essential information on the local structure and electronic properties of e.g. MoS2 [1], silicene [2], phosphorene [3]. The Surface Science approach usually relies on Molecular Beam Epitaxy (MBE) on suitable conducting substrates, as a deposition technique capable to offer high levels of purity and crystalline quality.
In this work, we present an alternative approach, based on Pulsed Laser Deposition (PLD), to the preparation of well-ordered 2D nanocrystals of different materials. PLD — which is a deposition technique based on laser ablation of a target material — has been mainly used in applied materials science to produce a wide variety of thin films and nanostructures of different morphologies, but it has been scarcely explored for the synthesis of 2D materials. We focused our work on the growth of 2D zinc oxide and MoS2 on Au(111).
At the 2D limit, ZnO is expected to adopt a graphitic-like structure [4]. After careful tuning of PLD and post-annealing parameters, we obtained the formation of single- and bi-layer ZnO nanocrystals, having well-defined geometrical shape. Increasing the coverage, we could identify up to 5 different ZnO atomic layers, whose morphological ad structural properties have been characterized by high-quality STM measurements. STS showed a striking dependence of the ZnO band gap on the number of layers, as resulting from quantum confinement [5].
We applied the same approach to the study of MoS2 on Au(111). As the post-annealing temperature is optimized in the range 550-600 °C, the deposited structures adopt a well-ordered morphology and show a regular moiré pattern characterized by a 3.2 nm periodicity, in agreement with previous works [1]. By controlling the number of laser ablation pulses on the MoS2 target, it is possible to tune the size of the single-layer MoS2 crystallites.
The presented PLD-STM approach can in perspective be developed and extended to the production and characterization of other 2D materials, e.g. silicene, and vertical or lateral heterostructures, e.g. MoS2/ZnO, on which we are currently working.
References:
[1] Sørensen, S. G., et al. ACS nano, 8(7), 6788-6796 (2014)
[2] Sadeddine, S., et al. Scientific Reports, 7 (2017)
[3] Zhang, J. L., et al. Nano Letters, 16(8), 4903-4908 (2016)
[4] Freeman, C. L., et al. Physical review letters, 96(6), 066102 (2006)
[5] Tumino, F., et al. Nanotechnology, 27(47), 475703 (2016)
4:30 PM - NM04.11.09
Mid IR Photothermal Response of MoS2 Nano Device for Uncooled IR Detector
Ankur Goswami 1 , Ryan McGee 1 , Soupitak Pal 2 , Kalvin Schofield 1 , Syed Asad Manzoor Bukhari 1 , Javix Thomas 1 , Thomas Thundat 1
1 Department of Chemical and Materials Engineering, University of Alberta, Edmonton, Alberta, Canada, 2 , Department of Chemical Engineering, University of California, Santa Barbara, California, United States
Show AbstractPhotothermal response of MoS2 thin film (~20 layers) in the mid IR range has been studied for potential application in uncooled microbolometer. The principle of an uncooled microbolometer depends on the electrical resistance change of the material which is governed by the temperature co-efficient of resistance (TCR). MoS2 has been widely studied for its ultrahigh detectivity in the visible range. However, its application in mid IR range is still under-explored. In our recent work, we showed by controlling the substrate-film interface by disrupting the growth morphology of pulsed laser deposited MoS2 thin films, the mid IR photothermal response can be significantly improved1. It is observed that MoS2 grown on silicon substrates show very high sensitivity (delR/R=5.2 percent) and responsivity (8.7 V/W at 1300 cm-1) compared to films grown on other substrates such as sapphire, thermal oxide (Si/SiO2), and silicon nitride (Si/SiN). A major drawback of such a device is prolonged response time (10 s) due to large thermal mass of the film attached to the substrates. In this work we show by reducing the thermal mass by fabricating suspended MoS2 diaphragm (250 μm ×250 μm × 20 nm) and microstring (500umX 5umX 80~100 nm ) on Si/SiO2/SiN/polysilicon substrates and measuring the electrical resistance at a low input IR power (4×10-3mw/μm2) of quantum cascade laser (QCL), we are able to achieve much higher sensitivity (12.3 percent), higher detectivity (D*=5×108cm Hz1/2W-1 ) of photothermal response at a much shorter time scale which has a large potential as an uncooled microbolometer.
Reference:
1. Goswami et.al., “Effect of interface on mid-infrared photothermal response of MoS2 thin film grown by pulsed laser deposition” Nano Research, in Press, 2017. DOI: 10.1007/s12274-017-1568-5.
4:45 PM - NM04.11.10
Graphene-WSe2 Heterostructures as Contacts for Atomically Thin Electronics
Hao-Ling Tang 1 2 , Ming-Hui Chiu 1 , Kuan-Jhih Hou 2 , Chen-Hsin Lien 2 , Lain-Jong Li 1
1 , King Abdullah University of Science and Technology, Jeddah Saudi Arabia, 2 Institute of Electronics Engineering, National Tsing Hua University, Hsinchu Taiwan
Show AbstractTwo dimensional (2D) materials are attracting growing interest owing to its atomically thin body. However, the bulk (3D) metal contact to 2D materials shall dominate the overall volume and total resistance of the devices. Recently explored lateral MoS2−graphene1−2 and WS2−graphene3 heterostructures shed light to post silicon era owing to their ohmic contact behavior and low intrinsic contact volume. However, currently reported field-effect transistors (FETs) fabricated by graphene-TMD heterostructures exhibit only n-type transport. The lack of p-type transistor limits the application to complementary metal-oxide semiconductor (CMOS) electronics. In this work, we demonstrate p-type FETs based on lateral heterojunctions between graphene and WSe2 over large areas using CVD technique. Multilayer graphene is electrically proven to be better than monolayer graphene. gradually become monolayer channel once it’s away from the junction. We further modulate the graphene-WSe2 junction resistance by applying a self-aligned, air- and thermally stable p-doping process to the graphene and a high Ion/Ioff ratio ~107 is achieved operating under ambient air condition.
[1] X Ling, et al., Parallel Stitching of 2D Materials, Adv. Mater, 2016, 28, 2322−2329
[2] M Zhao, et al., Large-Scale Chemical Assembly of Atomically Thin Transistors and Circuits. Nat. Nanotechnol, 2016, 11, 954−959
[3] M. H. D. Guimaraes, et al., Atomically Thin Ohmic Edge Contacts Between Two-Dimensional Materials, ACS Nano, 2016, 10, 6392−6399
NM04.12: Poster Session IV: 2DLM—Synthesis, Science and Applications IV
Session Chairs
Annalisa Calo
Mircea Cotlet
Zhong Lin
Friday AM, December 01, 2017
Hynes, Level 1, Hall B
8:00 PM - NM04.12.01
The Effect of Boron Nitride on the Shear Induced Crystallization of Poly(lactic) Acid
Yuval Shmueli 1 , Jiaolong Jiang 1 , Taejin Kim 1 , Ellen Wachtel 2 , Gad Marom 3 , Dilip Gersappe 1 , Miriam Rafailovich 1
1 , Stony Brook University, Stony Brook, New York, United States, 2 , Weizmann Institute of Science, Rehovot Israel, 3 , The Hebrew University of Jerusalem, Jerusalem Israel
Show AbstractPolylactic acid (PLA) is biodegradable thermoplastic polyester that is being used in many bio-medical applications. Even though it is a semicrystalline polymer, its crystallinity mechanism is not yet fully clear. Control over the crystallinity mechanism can lead to enhanced products mechanical and bio-degradation properties 1.
Hexagonal boron nitride (hBN) is ceramic material with crystal structure similar to that of graphite. Boron nitride has excellent thermal conductivity, mechanical strength, chemical resistance and can be used as a lubricant agent due to the sliding ability of its layers 2-3.
PLA filaments are being used these days in fused deposition modeling (FDM) additive manufacturing applications. In this study we investigated the PLA\hBN composite properties with focus on the implementation of this composite in FDM printing technology. We measured in-situ small and wide angle x-ray scattering of the extrusion process at beamline 12BM at the Advanced Photons Source at Argonne National Laboratory. We analyzed the thermal profile of the extrusion filament using thermal infra-red camera and made simulation of the thermal profile using Lattice Boltzmann Method. In addition, we did SEM imaging for morphological analysis and measured rheological properties at different temperatures, stresses and nano particles weight ratios. Thermal analysis of the composite was done by differential scanning calorimetry.
We show strong effect of the hBN nano particles on the PLA crystallinity mechanism under induced shear and heating. We discuss the mechanism which lead to this phenomenon of transcrystallinity in which PLA chains crystalize on the surface of BN particles.
1. Saeidlou, S.; Huneault, M. A.; Li, H. B.; Park, C. B., Poly(lactic acid) crystallization. Progress in Polymer Science 2012, 37 (12), 1657-1677.
2. Cho, D. H.; Kim, J. S.; Kwon, S. H.; Lee, C.; Lee, Y. Z., Evaluation of hexagonal boron nitride nano-sheets as a lubricant additive in water. Wear 2013, 302 (1-2), 981-986.
3. Kim, K.; Kim, J., Core-shell structured BN/PPS composite film for high thermal conductivity with low filler concentration. Composites Science and Technology 2016, 134, 209-216.
8:00 PM - NM04.12.03
Langmuir Films and Uniform Large Area Transparent Coatings of Chemically Exfoliated MoS2 Single Layers
Yefeng Zhang 1 , Luzhu Xu 1 , Wesley Walker 1 , Collin Tittle 1 , Christopher Backhouse 1 , Michael Pope 1 , Irene Lau 1
1 , University of Waterloo, Waterloo, Ontario, Canada
Show AbstractThe high yield of single layer MoS2 obtained by chemical exfoliation methods make it a promising approach for various applications in optoelectronics and electrochemical devices. However, it remains a challenge to direct or control the assembly of these exfoliated materials into uniform, dense monolayers by conventional techniques such as spin coating or vacuum casting. In this talk, we demonstrate that by manipulating colloidal dispersions of chemically exfoliated MoS2 into an appropriate spreading solvent the ability to form stable, floating MoS2 Langmuir films without the use of surfactants or significant material loss into the aqueous sub-phase. While the floating sheets can be compressed into a densely tiled film by the barriers of a traditional Langmuir-Blodgett trough, we also report an edge-to-edge aggregation and spreading driven densification phenomena that allows the film to be built up from the outside of the trough inwards during the deposition process. Continued deposition allows us to fill the entire trough with a dense (85-95%) film of discretely tiled 1T MoS2 and to coat substrates as large as 130 cm2. The transfer efficiency is found to be as high as 120 m2 of coated area per gram of deposited MoS2. Comparing the transfer efficiency to the theoretical specific surface area of MoS2 provides a method to estimate film thickness and exfoliation efficiency. Atomic force microscopy and optical absorption measurements are used to corroborate this estimate of 2.8 layers for the traditional n-butyllithium exfoliation method used. We demonstrate that the films can be built up layer-by-layer and investigate the optical and electrical properties of the films before and after conversion from the 1T to 2H polymorph. We further demonstrate that these films are useful for probing the intrinsic electrocatalytic activity and double-layer capacitance of these exfoliated materials when Langmuir films are coated onto atomically flat electrode substrates. This enables the electrochemistry to be assessed in a model 2D system in the absence of porosity.
8:00 PM - NM04.12.04
Tweaking Color Emission from N-Doped Molybdenum Disulfide Quantum Dots for FRET
Bedanga Sapkota 1 , Abdelkrim Benabbas 1 , Paul Champion 1 , Meni Wanunu 1
1 , Northeastern University, Boston, Massachusetts, United States
Show Abstract
We report the tailoring of the optical properties of liquid-phase exfoliated molybdenum disulfide nanosheets (MoS2 NSs) and quantum dots (QDs) by nitrogen doping, which was confirmed by monitoring the surface chemistry of the untreated and chemically-treated NSs as well as QDs. Furthermore, the nitrogen doping in MoS2 significantly enhanced the emission quantum yield (QY), the mean emission QY increased from 0.03 to 0.29. These particles possessed good two-photon fluorescence properties and maintain a high two-photon absorption cross section (31,924 Göppert–Mayer units). We demonstrate peptide binding to these particles by showing fluorescence resonance energy transfer (FRET) efficiency of maxima 29% from MoS2 to fluorescein isothiocyanate (FITC).
Acknowledgement: This work was supported by NSF grant EFMA-1542707.
8:00 PM - NM04.12.05
Modeling Defect Evolution and Island Growth in Two-Dimensional MXENE Structures
Dundar Yilmaz 1 , Roghayyeh Lotfi 1 , Adri van Duin 1
1 , Pennsylvania State University, State College, Pennsylvania, United States
Show AbstractTwo dimensional materials have been a center of attention due to their unique electronic, optical and magnetic properties whic led to a wide range of applications such as transistors, sensors. Recent progress of in situ heating enabled STEM provides extensive imagery of growth of these strcutres up to 1200 oC. In this study we performed reactive molecular dynamics simulations along with force biased monte carlo techniques to investigate defect evolution and island growth of two dimensional titanium carbide (Ti3C2) which belongs to family of materials also known as MXENEs. Reactive molecular dynamics simulations provide atomistic level details as well as realistic representation of chemistry of these structures. ReaxFF is a reactive force field which can simulate chemical reactions as well as transition states, with a level of accuracy comparable to quantum calculations but with less computational cost. In this work the Osti et al. ReaxFF force field, developed for Ti3C2 MXene structures, has been employed. In these structures, migration of adatoms and diffusion of atoms to the surface are the main mechanisms of defect evolution and island formation. In this study we explain these processes using dynamical simulations as well as reaction barrier calculations.
Research supported as part of the Fluid Interface Reactions, Structures and Transport (FIRST) Center, an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences.
8:00 PM - NM04.12.06
Wafer Scale Growth of Epitaxial WS2 and WSe2 Films on Sapphire
Tanushree Choudhury 1 , Mikhail Chubarov 1 , Xiaotian Zhang 1 2 , Joan Redwing 1 2
1 Materials Research Institute - 2DCC, Pennsylvania State University, University Park, Pennsylvania, United States, 2 Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractTransition metal dichalcogenides (TMDCs) have been a focus of interest due to their electronic and optical properties. One major challenge in harnessing the potential of these materials is the growth of high quality monolayer and few-layer films over large areas. Recent literature shows success in uniform growth of MoS2 over 8 inch SiO2/Si wafers1 after a 9 hour growth duration. In spite of this achievement, it is evident that the films are composed of small domains that do not share any orientation relation. Upon coalescence, these random domains would result in high-angle grain boundaries, which typically are scattering centers and reduce device performance. It has, however, been established that growth on C-plane sapphire may lock the domain orientations at 0° and 60°, which can result in epitaxial TMD films with reduced grain boundaries.2
In this work, we demonstrate the growth of coalesced epitaxial films of WS2 and WSe2 on 2” C-plane sapphire wafers. These TMDs are deposited in a cold-wall MOCVD system using tungsten hexacarbonyl (W(CO)6), hydrogen selenide (H2Se) and purified hydrogen sulfide (H2S). A three-step process developed to grow coalesced films over 1 cm X1 cm sapphire substrate has been modified to achieve uniform growth over a 2” wafer. In this process, W(CO)6 is introduced initially into the reactor at a higher flow rate (~2.4×10-3 sccm) to drive nucleation followed by an annealing period in H2Se/H2S to enable W adatom diffusion and ripening to form oriented WSe2/WS2 islands with uniform size. The W(CO)6 flow rate is then reduced (~8.4×10-4 sccm) to limit further nucleation and promote lateral growth and coalescence of domains to form a continuous monolayer film while minimizing secondary layer nucleation. This three step growth was carried out at 800- 900°C for WSe2 and 850-950°C for WS2 to achieve large domains. The growth duration for monolayer coalescence was typically 1 hour long.
The results show that there is a distinct difference in the growth of WSe2 and WS2 films. Though both WSe2 and WS2 have an epitaxial relation with the underlying sapphire substrate, the WSe2 domains are predominantly oriented at 0° and 60°, but the WS2 films show presence of domains at other angles as well . In addition, secondary layer nucleation is higher in case of WS2. An important observation was that the formation of a coalesced WS2 film requires a larger S:W ratio (~2.5x106) than the Se:W ratio for WSe2 (2.5x104). This difference can be attributed to the higher vapor pressure of sulfur, which indicates that a larger amount of sulfur in the reaction chamber is needed to favor incorporation. Additional details about the epitaxial relation, the film characterization and the differences in the growth of WS2 and WSe2 will be presented.
Reference:
1. TaeWan Kim et al, Nanotechnology, 28, 2017, 18LT01.
2. D. Dumcenco et al, ACS Nano, 9(4), 2015, 4611–4620.
8:00 PM - NM04.12.07
Oxidation of Ti3C2 MXene Structures in Different Environments by Using ReaxFF Molecular Dynamics Simulations
Roghayyeh Lotfi 1 , Dundar Yilmaz 1 , Michael Naguib 2 , Adri van Duin 1
1 , Penn State University, State College, Pennsylvania, United States, 2 , Oak Ridge National Laboratory, Nashville, Tennessee, United States
Show AbstractTwo-dimensional (2D) materials have attracted extensive attraction during the past decade due to their unique electronic, optical and chemo-mechanical properties. Recently, a new family of 2D materials, refereed as “MXene”, has found diverse applications especially in energy storage. Oxidation of MXene structure has been recognized as a promising method for the formation of carbon supported TiO2 which has superior performance in lithium ion batteries (LIBs) and photocatalysts. Here, we studied the oxidation of Ti3C2 MXene structure using ReaxFF reactive force field based molecular dynamics simulations. To investigate the effect of oxidation agent, we used three different environments including dry air, wet air and hydrogen peroxide. Oxidation simulations were performed at different temperatures of 1000 to 3000 K. It was revealed that by controlling the temperature, carbon supported TiO2 can be formed through diffusion of Ti atoms to the surface of MXene structure. These results are validated by increase in the average bond orders of C-C and Ti-O and decrease in the average bond orders of Ti-C. Moreover, it was shown that by temperature increase, the rate of MXene oxidation increases and the order of oxidation rate in different environments is: H2O2 > Wet Air > Dry Air. Moreover, MXene structure was heated in the vacuum and it was found that in this case, instead of TiO2, the structure is converted to cubic TiC structure due to the lack of oxidation agents in the environment.
Research supported as part of the Fluid Interface Reactions, Structures and Transport (FIRST) Center, an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences.
8:00 PM - NM04.12.08
Structural, Electrical, and Optical Characteristics of Radio Frequency Magnetron Sputtered Transition Metal Dichalcogenide Tungsten Disulphide Thin Films
Kelsea Yarbrough 1 , Messaoud Bahoura 1 , Sangram Pradhan 1 , Monee Roul 1 , Gilbert Kogo 1
1 , Norfolk State University, Norfolk, Virginia, United States
Show AbstractGraphene has become one of the utmost studied two dimensional (2D) materials, due to its noteworthy properties which has attracted the attention for semiconductor device applications. The lack of bandgap in pristine graphene limits the fabrication of devices, which has led researchers to the renewed interest of Transition Metal Dichalcogenides with unique electrical and optical attributes. Among the 2D materials MoS2, MoSe2, WS2, and WSe2 all have a tunable bandgap that can be altered from direct bandgap to indirect bandgap. This tunable bandgap is extraordinary in monolayer for the development of applications such as transistors, solar cells, photodetectors, and electroluminescent devices where graphene would falter when it comes to device performances. In this work, we report successful growth parameters for WS2 thin film grown by radio frequency magnetron sputtering on silicon, glass, and sapphire for the review of unique properties. Radio frequency magnetron sputtering provided uniform coating, and electrical properties demonstrating a resistance of ~ 1- 2 kΩ which promising for device application. Optical properties measured by Raman Spectroscopy and UV/visible spectroscopy will provide the optical bandgap value and the materials ability to transmit light. Surface morphologies and structural properties of the WS2 thin film are characterized by scanning electron microscopy (SEM), Atomic Force Microscopy (AFM), X-ray diffraction (XRD) and energy dispersive X-ray analysis (EDX). These properties will optimized for the future advancements in modern day electronics.
This work is supported by the NSF-CREST Grant number HRD 1547771.
8:00 PM - NM04.12.09
Functionalization and Characterization of Tungsten Disulfide with 2-Aminoethanethiol
Amisha Jinandra 1 , Ethan Kahn 1 , Mauricio Terrones 1
1 , Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractTwo-dimensional (2D) layered transition-metal dichalcogenides (TMDs) are an emerging, fascinating class of nanomaterials with a wide range of potential applications including catalysis, electronics, photonics, energy storage, and sensing. However, highly crystalline TMDs are considered challenging to functionalize, owing to their inert nature. This work demonstrates that mono-layered or few-layered CVD grown Tungsten Disulfide (H phase-WS2) can be functionalized via aerosolized thiolated ligands such as 2-Aminoethanethiol (NH2CH2CH2SH). The TMDs were characterized before and after exposure to these ligands using Optical Microscopy, Fluorescence Microscopy, Raman Spectroscopy, Atomic Force Microscopy (AFM), X-ray Photoelectron Spectroscopy (XPS), and Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS). Adsorption on the surface of the 2H-WS2, with some selectivity relative to defective regions, was noted. This method of surface functionalization of WS2 may provide an ideal platform upon which the interaction between the ligand and the 2D-TMDs may be probed to determine the selectivity and bonding strength for further manipulation into functional materials.
8:00 PM - NM04.12.10
In Situ Observation of Homoepitaxial Growth in MXene Ti3C2
Xiahan Sang 1 , Yu Xie 1 , Dundar Yilmaz 2 , Mohamed Alhabeb 3 , Roghayyeh Lotfi 2 , Alireza Ostadhossein 2 , Babak Anasori 3 , Weiwei Sun 1 , Xufan Li 1 , Kai Xiao 1 , Paul Kent 1 , Adri van Duin 2 , Yury Gogotsi 3 , Raymond Unocic 1
1 , Oak Ridge National Laboratory, Raleigh, North Carolina, United States, 2 , The Pennsylvania State University, State College, Pennsylvania, United States, 3 , Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractMXenes are two-dimensional transition metal carbides or nitrides that have recently gained interest with applications geared towards energy storage, catalysis, and electronic devices. However, until now, bottom-up synthesis of MXenes have not been reported. In this work, using in situ aberration-corrected scanning transmission electron microscopy (STEM), we observed homoepitaxial growth of an additional hexagonal TiC (h-TiC) layer on both surfaces of 2D MXene (Ti3C2) monolayer flakes, forming new 2D MXenes Ti4C3 and Ti5C4, at temperature above 500 °C. The growth of single-layer h-TiC is controlled by a small diffusion barrier and a large step-edge barrier as revealed by density functional theory (DFT) and ReaxFF molecular dynamics simulations. The in situ heating experiments also reveal the edge structure of the MXene and the h-TiC add-layer, and the unique properties of the edges are understood using DFT. These findings thus provide insights on MXenes growth and pave the way to fabricate MXenes with controlled morphology for tailored functionality.
8:00 PM - NM04.12.11
Glancing Incidence X-Ray Diffraction for Characterization of 2D Monolayers
Mikhail Chubarov 1 , Tanushree Choudhury 1 , Xiaotian Zhang 1 , Joan Redwing 1
1 , The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractTwo dimensional (2D) materials have significant potential for future applications in a wide range of fields. Efforts are underway to develop scalable synthesis routes for preparation of large area 2D monolayer and few-layer films with tailored properties but characterization of the crystallographic properties of the films is challenging. Monolayer deposition starts with the formation of nucleation islands and proceeds through their growth followed by coalescence and continues into further development of the microstructure. Thus, knowledge on the size of the islands and their orientation with respect to each other are critical for understanding the transport properties of resulting layers. The size of the islands can be assessed through microscopic approaches such as atomic force microscopy and scanning electron microscopy. Electron diffraction techniques are used for the determination of the 2D materials crystallinity, however, require complicated instrumentation, sample preparation and some are destructive.
In this work we highlight the potential of using non-synchrotron X-ray diffraction (XRD) equipment for crystallinity analysis of 2D films. For this purpose we employ well known but rarely used Glancing Incidence XRD (GIXRD), sometimes called in-plane XRD. In this case, the X-ray incidence plane is put close to the sample surface plane (just above total external reflection angle). In this configuration, lattice planes perpendicular to the surface can be accessed. The periodicity of the 2D crystal is still present but only in the (0001) plane and {11-20}, {10-10} and {hki0} planes (lines in 2D) can still be probed. Since the film is one to few monolayers thick, information from the underlying structures can be easily obtained. This makes it possible to determine if the film has a preferred orientation in-plane and the epitaxial relation of the film with respect to the substrate. In case of a vertical 2D heterostructures, the orientation of its component layers relative to each other and the substrate can be derived. The later approach would also be capable of identifying which edges merge in the case of lateral heterostructures.
We show the possibility for epitaxial relation determination of WSe2 on c-plane α-Al2O3 and extend the technique to evaluation of crystal structure evolution of WS2 deposited on c-plane α-Al2O3 at different growth temperatures and to determination of materials orientation within WSe2/Graphene/SiC heterostructure. For all the films and underlying substrates, θ-2θ scans and φ scans were performed to establish the presence of materials peaks and to determine epitaxial relations of the films with respect to the substrate. In case of WSe2, this resulted in the observation of 10-10 peaks of both a-Al2O3 and WSe2 in θ-2θ scan and distinct, well confined peaks in φ at the same angle. This suggests in plane epitaxial relation to be (10-10) WSe2 ∥ (10-10) α-Al2O3. Similar measurements were performed for other materials systems.
8:00 PM - NM04.12.12
Photoresponsivity Enhancements of GeS by AuCl3 Doping
Young-Sun Moon 2 , Min-Kyu Joo 3 , Min-Yeul Ryu 1 , KookJin Lee 1 , Gyu-Tae Kim 1
2 Micro/Nano Systems, Korea University, Seoul Korea (the Republic of), 3 Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon Korea (the Republic of), 1 Electrical Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractTwo-dimensional (2D) materials exemplified by graphene and transition metal dichalcogenides (TMDCs) have been extensively investigated based on high mobility, tunable optical band-gap, large surface-to-volume ratio, flexibility, and transparency. Most recently, another type of layered chalcogenides of group IV-VI such as GeS, GeSe, SnS, SnSe has been suggested as a potential next optoelectronic devices based on their interesting anisotropic optical/electrical properties as well as high absorption coefficients. In this work, the enhancement of photoresponsibility of germanium monosulfide (GeS) via gold chloride (AuCl3) doping is presented. This chemical p-doping process enables us to reduce both channel and contact resistance of multilayered GeS transistors, resulting in ~1 mA/W photoresponsivity and microsecond response time upon different wavelength illumination from 500 nm to 700 nm.
8:00 PM - NM04.12.14
Solution-Processed Doping of Transition-Metal Dichalcogenides (TMDs) with Redox-Active Molecules
Siyuan Zhang 2 1 , Stephen Barlow 3 , Seth Marder 3 , Christina Hacker 1 , Sujitra Pookpanratana 1
2 , Theiss Research, La Jolla, California, United States, 1 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 3 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractTwo-dimensional, atomically thin semiconductors are a promising class of materials for nanoelectronics and next generation electronic applications. Developing processes to controllably dope these materials is critical to achieve commercial integration for specific applications, but traditional bulk semiconductors doping methods are not applicable to atomically thin materials. In a previous study, introduction of molecular reductants and oxidants onto few layer MoS2 field-effect transistors (FETs) demonstrated the ability to vary the threshold voltage and surface work function [1]. The sign and magnitude of doping can be conveniently controlled by the redox potential of the (metal-)organic molecules, the concentration of dopant solutions, and treatment time. In this study, we examine the universal nature of n- and p-doping by extending molecular reductant/oxidant doping to four transition metal dichalcogenides MX2 (MoS2, MoSe2, WS2 and WSe2) materials.
Sub-hundred-micron size (ca. 50 μm to 100 μm) of MX2 were exfoliated from bulk crystals via a gold-mediated transfer technique [2]. The high quality of the MX2 films was confirmed by detailed physical characterizations including Raman and photoemission spectroscopies. MX2 devices were fabricated by using CMOS-compatible photolithography processes with a bottom-gate, top-contact FET configuration. Doping was performed by using solutions of a rhodium-based organometallic dimer as the molecular reductant or “magic blue” ((4-BrC6H4)3N]SbCl6) as the molecular oxidant. Current-voltage characteristics of MX2 FET devices treated with both p- and n-dopants were measured and the charge carrier densities were estimated to deduce the impact of the chemical dopants on the electrical performance. Detailed characterization by ultraviolet and X-ray photoelectron (UPS and XPS), Raman, and photoluminescence (PL) spectroscopies provides insight on the underlying physical mechanism of the molecular reductants and oxidants on the thin MX2 materials. The likely doping mechanisms include electron-transfer reactions between molecular dopants and 2D semiconductors and the large surface dipoles between the dopant ions and the oppositely charged MX2. Overall, the solution-based electron-transfer doping approach provides a simple yet effective route to tailor the electronic properties of the 2D materials, and which impact the resulting electrical and optical properties.
[1] Tarasov, A.; Zhang, S.; Tsai, M. Y.; Campbell, P. M.; Graham, S.; Barlow, S.; Marder, S. R.; Vogel, E. M. Adv. Mater. 2015, 27, 1175.
[2] Desai, S. B.; Madhvapathy, S. R.; Amani, M.; Kiriya, D.; Hettick, M.; Tosun, M.; Zhou, Y.; Dubey, M.; Ager, J. W.; Chrzan, D. Adv. Mater. 2016, 28, 4053.
8:00 PM - NM04.12.15
Heterostructures with Embedded Transition-Metal Dichalcogenide Layers for Light Energy Harvesting Applications
German Kolmakov 1 , Pierre Darancet 2 , Stephen Gray 2
1 , NYC College of Technology CUNY, Brooklyn, New York, United States, 2 Center for Nanoscale Materials, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractTransition-metal dichalcogenide (TMD) heterostructures composed of multiple MX2 layers [M=molybdenum (Mo) or tungsten (W), and X= sulfur (S), selenium (Se), or tellurium (Te)] demonstrate a) the record-high light-matter interactions strength, b) high exciton binding energy, which is a few tens time larger than that in conventional semiconducting materials like gallium arsenide (GaAs) or cadmium telluride (CdTe), and c) strong spin-orbit coupling making possible controls of spin and valley dynamics. Based on the kinetic equation for charges and excitons in TMD heterostructures, we develop a predictive theoretical model of light and energy transfer in heterogeneous TMD structures and validate of the model based on experimental results. By using the developed model we study the composition and heterostructure parameters that optimize the light-matter interaction strength for light energy harvesting applications.
8:00 PM - NM04.12.16
Highly Tunable Nano-Electro-Mechanical Systems from 2D Material Bimorphs
SunPhil Kim 1 , Arend van der Zande 1
1 Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractBimorphs and bimetallics are an ubiquitous technology in sensors and actuators. A classic example comes from temperature sensors made by laminating different metal strips with different thermal expansion coefficients. In the last few decades, this technology has been adapted to micro/nanoelectromechanical systems (M/NEMS) as small motion actuators and sensors. As the size of the electronic devices has continued to decrease, there has also been a significant effort to reduce the size of M/NEMS while maintaining high performance. However, just as fundamental physical limits have threatened the breakdown of Moore's Law in nanoelectronic devices in recent years, there are fundamental limits for the scaling of M/NEMS as well. This is where 2D materials play an important role since they are atomically thin, mechanically strong, and stable under ambient conditions. Exploring the properties of bimorphs made from 2D materials will directly result in an understanding of the ultimate scaling limits of N/MEMS.
In this talk we will explore a new class of NEMS made from highly-tunable bimorphs fabricated by stacking monolayer graphene and monolayer MoS2 to form a heterostructure. These Graphene/MoS2 structures were then transferred over etched holes with diameters of 5 and 6µm in a substrate. These suspended Graphene/MoS2 structures are fully clamped and electrically contacted with electrodes fabricated by evaporating metals through a shadow mask. The membranes were then electrostatically actuated and mechanical resonances were measured with optical interferometry. The resonant frequencies we have obtained are ranging from 8 to 11 MHz with quality factors of ~ 150. Similar to Graphene resonators, the bimorph devices display Duffing nonlinear behavior and electrostatic frequency tuning of > 20%. In addition, the bimorph membranes display strong wavelength dependent optomechanical tuning of the resonance. These findings demonstrate the potential for these asymetric membranes in nonlinear optomechanical lasing and cooling as well as in highly sensitive nanoscale bimorph sensors.
8:00 PM - NM04.12.17
Electronic and Optical Properties of Two-Dimensional In2Se3
Wei Li 1 , Fernando Sabino 1 , Anderson Janotti 1
1 , University of Delaware, Newark, Delaware, United States
Show AbstractIndium selenide, with formula unit In2Se3, has been found in a variety of phases, including van der Waals bonded 2D structures. The 2D β-phase of In2Se3 share the same crystal structure as the topological insulator Bi2Se3, and has been used in (In,Bi)2Se3 alloys for band gap engineering. In2Se3 is a semiconductor material that is promising for a series of high technological applications such as for phase-change memory, thermoelectrics, and photodetectors. Recently, it has been reported that few layers of In2Se3 have strong photoconductive response into ultraviolet, visible, and near-infrared spectral regions. However, basic properties of the different phases of In2Se3 have yet to be resolved, such as the precise value of the band gap and the band alignment with other semiconductor materials. Using density functional theory with the Heyd-Scuseria-Ernzerhof hybrid functional (HSE), we investigate the electronic structure and optical properties of the various phases of In2Se3, paying special attention to the differences between the fundamental and optical band gaps. We also discuss the position of the band edges with respect to other semiconductors, and compare our results to available experimental data and previous calculations.
8:00 PM - NM04.12.19
Ultrafast Structural Dynamics in Photoexcited Monolayer MoSe2
Lindsay Bassman 2 1 , Aravind Krishnamoorthy 2 , Rajiv Kalia 2 1 3 , Aiichiro Nakano 2 1 3 , Priya Vashishta 2 1 3 , Hiroyuki Kumazoe 4 , Masaaki Misawa 4 , Fuyuki Shimojo 4
2 , Collaboratory for Advanced Computing and Simulations, Los Angeles, California, United States, 1 Physics, University of Southern California, Los Angeles, California, United States, 3 Computer Science, University of Southern California, Los Angeles, California, United States, 4 Physics, Kumamoto University, Kumamoto Japan
Show AbstractAtomically thin, two-dimensional monolayers of semiconducting transitional metal dichalcogenides (TMDCs) are emerging as candidate materials for next generation electronic and optoelectronic devices, with applications in field-effect transistors, valleytronics, and sensors. Understanding their structural response to electronic excitation is key to the continued development of such devices. In this work, we use nonadiabatic molecular dynamics simulations based on time-dependent density functional theory to study lattice dynamics upon electronic excitation on a nanometer-scale crystal of a model TMDC system of monolayer MoSe2. We observe an anisotropic response of diffraction peaks of the crystal consistent with the activation of low energy normal mode vibrations. Analysis of the crystal’s band structure and charge density throughout excitation provides an explanation for the excitation of these vibrational modes. Our observations are validated by ultrafast electron diffraction experiments performed on mono- and few-layer MoSe2 samples. Consideration and implications of simulation system size effect are also discussed.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
8:00 PM - NM04.12.20
Manipulating the Form of 2D Materials Using Solution Forces
Stanley Chou 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractIntermolecular forces provide a facile mechanism for deforming 2D material in solution, enabling the imprinting of forces operating on the material as a colloid. Using these forces as a tool suite, we exert sub-nanoscale mechanical control over the morphology of 2D materials to yield conformal patterns, chaotic layers, and frustrated geometries that result only from transient forces. These various morphologies offer opportunities to fine tune molecular and charge transport on and among them, thus permitting improved energy storage, catalysis and chemical absorption. In this poster, we describe our efforts in manipulating 2D material using forces in droplets and advanced manufacturing, specifically, transition metal dichalcogenides in reduced and oxidized forms and their deposited morphologies for hydrogen evolution and psuedocapacitive energy storage. Notably, we report an interplay between atomic defects, as resolved by aberration corrected transmission electron microscopy, and the deposition morphology to awaken electrochemical activities that lay dormant in conventional forms. In this manner, we achieve significant increase in active sites as well as reduce electrochemical resistance for a generally improved behavior in operation.
8:00 PM - NM04.12.21
Confining Transition Metal Dichalcogenide Growth to Patterned Graphene
Fei Lu 1 , Arka Karmakar 1 , Simran Shahi 1 , Erik Einarsson 1
1 Electrical Engineering, SUNY-Buffalo, Buffalo, New York, United States
Show AbstractWe demonstrate CVD growth of MoS2 confined to patterned graphene. Raman mapping and spectral analysis show that few-layer MoS2 grew only on graphene regions, but not on the surrounding silicon dioxide. We also show WS2 growth was localized to graphene, and the thickness was primarily monolayer. Similar results for both MoS2 and WS2 suggest this approach may apply to transition metal dichalcogenides in general, thus has the potential to significantly advance fabrication of vertical 2D heterostructures and related devices.
8:00 PM - NM04.12.22
Electrical Reliability and Robustness of Chemical Vapor Deposited Hexagonal Boron Nitride
Wei Sun Leong 1 2 , Shubhakar Kalya 2 1 , Fei Hui 3 1 , Haozhe Wang 1 , Mario Lanza 3 , Hui Ying Yang 2 , Kin Leong Pey 2 , Jing Kong 1
1 Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Engineering Product Development, Singapore University of Technology and Design, Singapore Singapore, 3 Institute of Functional Nano & Soft Materials, Soochow University, Suzhou China
Show AbstractHexagonal boron nitride (h-BN), a two-dimensional (2D) insulator, has recently received increasing attention for emerging electronic applications, due to its exceptional chemical, thermal, mechanical and optical properties. Various techniques have been explored to synthesize large-area multilayer h-BN films, and ostensibly, chemical vapor deposition (CVD)-grown on Pt substrate using borazine precursor provides h-BN films with the best quality, in terms of optical and insulating properties. Nevertheless, the reliability and failure of large-area h-BN film as a dielectric have not been studied in detailed so far. In this work, we report a comprehensive study of the electrical reliability and robustness of multilayer CVD-grown h-BN thin films as a function of its thickness. Our synthesized h-BN films on Pt substrate exhibit good properties as confirmed by Raman, AFM, TEM and EELS analyses. We observe that the thickness and grain size of the synthesized h-BN follows the crystallographic orientations of the Pt substrate. For device level characterization, we have fabricated and tested more than 200 Au/Ti/h-BN/Pt metal-insulator-metal (MIM) devices with an area size of 2500 um2. Through current-time (I-t) characterization under 100 mV of constant voltage stressing (CVS), we observed that the leakage current density of thicker h-BN films is about 3 orders of magnitude smaller than that of thinner h-BN films, although both films were grown on the same Pt substrate under the same conditions. More interestingly, the thicker h-BN films shows step by step current increment under CVS (e.g. 200 mV and 300 mV) for 300 seconds, while that of thinner h-BN films remain unchanged. In addition, current-voltage (I-V) studies clearly indicate that thicker h-BN shows much slower degradation compared to thinner h-BN after stressing at different constant voltages. In brief, the crystalline nature of the growth substrate plays a significant role in the electrical reliability and robustness of the CVD-grown large-area h-BN.
8:00 PM - NM04.12.24
Differences in the Mechanical Properties of Monolayer and Multilayer WSe2/MoSe2
Ygor Jaques 1 2 , Praveena Manimunda 3 , Yusuke Nakanishi 2 , S. Susarla 2 , Cristiano Woellner 1 2 , Sanjit Bhowmick 3 , S. A. Syed Asif 3 , Douglas Galvao 1 , Chandra Tiwary 2 , Pulickel Ajayan 2
1 , University of Campinas, Campinas Brazil, 2 , Rice University, Houston, Texas, United States, 3 , Bruker Nano Surfaces, Minneapolis, Minnesota, United States
Show Abstract2D transition metal dichalcogenides (TMD) structures are attracting a lot of interest due to their electronic, chemical, optical and mechanical properties [1]. Although TMD monolayer and crystal properties are well studied, their few-layers heterostructures are not yet fully investigated [2]. In this work, we investigated the mechanical differences between monolayer and multilayer WSe2 and MoSe2, through fully atomistic molecular dynamics simulations. The results are contrasted against experimental data obtained from in situ nanoscratch and Raman measurements [3]. Our results show that monolayered WSe2/MoSe2 have higher friction coefficient than 2, 3 and 4 layered structures on silicon substrate, suggesting that the interaction between the first layer and the substrate is stronger than interlayer interactions. Similar findings have been reported for other nanomaterials, and it has been speculated that this is a universal-like behavior for 2D layered materials [4].
[1] Q. H. Wang, K. Kalantar-Zadeh, A. Kis, J. N. Coleman, M. S. Strano, Nature Nanotech. v7, 699 (2012).
[2] S. Manzeli, D. Ovchinnikov, D. Pasquier, O. V. Yazyev and A. Kis, Nature Rev. Mater. v2, 17033 (2017).
[3] P. Manimunda, Y. Nakanishi, Y. M. Jaques, S. Susarla, C. F. Woellner, S. Bhowmick, S. A. S. Asif, D. S. Galvão, C. S. Tiwary, P. M. Ajayan - submitted.
[4] C. Lee, Q. Li, W. Kalb, X. Liu, H. Berger, R. W. Carpick, J. Hone, Science v328, 76 (2010).
8:00 PM - NM04.12.25
Dynamic Mechanical Response of Metal-Insulator Transition of VO2 Coated Microcantilevers
Ryan McGee 1 , Ankur Goswami 1 , Kalvin Schofield 1 , Javix Thomas 1 , Thomas Thundat 1
1 , University of Alberta, Edmonton, Alberta, Canada
Show AbstractThe mechanical resonance of the metal-to-insulator (MIT) transition of VO2 coated microcantilever devices was investigated by laser Doppler vibrometry (LDV) and photothermal cantilever deflection spectroscopy (PCDS). The MIT of VO2 is recognized by a reversible phase transition from the room temperature, insulating monoclinic (M1) phase, to a high temperature (Tc = 340 K for bulk) metallic rutile (R) phase, which is accompanied by a drastic change in the optical, electrical, and mechanical properties. VO2 was deposited onto the cantilevers via pulsed laser deposition, and were characterized with X-ray diffraction, SEM, and AFM. Si-based cantilevers coated with ultrathin (20-25 nm) layers of VO2 were exited to resonance by a piezoelectric actuator, and the frequency was monitored by LDV. Upon heating through the MIT there was a frequency increase of ~3% over a temperature range of ~42-52 °C, which was attributed to the massive atomic rearrangement in the thin film. This large of a frequency shift is unprecedented for such a thin layer. Additionally, we are able to detect a smaller transition from the monoclinic (M1) phase to monoclinic (M2) phase at a temperature just below the MIT temperature. This transition is manifested as a decrease in the resonance frequency resulting from a decrease in the Young’s modulus from the M1 to the M2 phase. The characteristics of the frequency change due to the phase transition was shown to be dependent on film thickness, as films with a thickness of ~15 nm showed an increase in resonance frequency of only ~0.5%. In addition to thermally triggering the MIT, the application of either an electric field or light source may also induce the phase transition. Due to the miniscule thermal mass of the device, the energy input requirement to induce the phase transformation is really low (~10’s of µJ), which ideal for applications such as optical switches and thermal sensors.
8:00 PM - NM04.12.26
Tantalum Disulfide (TaS2)—Controlling Structure and Property Through Direct Synthesis
Rui Zhao 1 , Benjamin Grisafe 2 , Ram Krishna Ghosh 2 , Baoming Wang 1 , Ke Wang 1 , Aman Haque 1 , Suman Datta 2 , Joshua Robinson 1
1 , The Pennsylvania State University, University Park, Pennsylvania, United States, 2 , University of Notre Dame, South Bend, Indiana, United States
Show AbstractTantalum disulfide (TaS2) is a member of transition metal dichalcogenides (TMD). It is a charge density wave (CDW) material that undergoes periodic structural and electronic changes. There are many polymorphs in TaS2 family (1T, 2H, 3R, 6Ha, etc.) and one must begin with the proper phase to apply their unique characteristics. For instance, 1T-TaS2 is special for its metal-insulator transition (MIT) which is of interest for the next generation electronic applications. In this work, we demonstrate one way to directly synthesize TaS2 flakes via powder vapor deposition. The growth has been successfully achieved on different kinds of substrates (sapphire, SiO2/Si, and epitaxial graphene). This process results in single crystalline flakes of 10-20μm laterally and 20-100nm vertically. A series of characterization tools (atomic force microscope, AFM; scanning electron microscope, SEM; Raman spectroscopy; x-ray photoelectron spectroscopy, XPS; transmission electron microscopy, TEM) are conducted to study their physical and chemical properties. The synthesis results (1T, 2H-TaS2 or Ta nanowires) can be controlled by either temperature or additional intercalate agent. Via electric means, we have demonstrated MIT in pure 1T-TaS2 flakes. Based on resistivity change, we have also shown that the intercalated 1T-TaS2 has dramatic changes in their phase transitions.
In summary, we believe this work has provided a way to directly synthesize ultra-thin layers of 2D CDW phase materials (TaS2). Through synthesis, we are able to manipulate their phases, which opens up possibilities to integrate phase transition materials into novel devices for beyond-CMOS applications.
8:00 PM - NM04.12.27
MOCVD of Monolayer MoS2 Using Novel Molybdenum Precursor i-Pr2DADMo(CO)3
Seiya Ishihara 1 2 , Yusuke Hibino 1 , Naomi Sawamoto 1 , Hideaki Machida 3 , Masato Ishikawa 3 , Hiroshi Sudoh 3 , Hitoshi Wakabayashi 4 , Atsushi Ogura 1
1 , Meiji University, Kawasaki-shi Japan, 2 , Research Fellow of the Japan Society for the Promotion of Science, Chiyoda-ku Japan, 3 , Gas-phase Growth Ltd., Koganei-shi Japan, 4 , Tokyo Institute of Technology, Yokohama-shi Japan
Show AbstractTwo-dimensional molybdenum disulfide (MoS2) has attracted great research attention owing to its superior device performances. Various fabrication techniques of MoS2 thin film are previously introduced, and especially chemical vapor deposition (CVD) can produce high-quality thin film. CVD is mainly classified into two types. The first is regarded as a 2 step CVD, in which metal Mo precursor is initially deposited by e-beam evaporation and then sulfurized into MoS2. The second is regarded as a 1 step CVD, wherein gaseous Mo and S precursors are simultaneously introduced and react to form MoS2. Among them, 1 step CVD is suitable for fabrication of large grain size MoS2 thin film. In general, solid powder precursors, such as MoO3 and elemental S, are mainly used for CVD; however, their low vapor pressure largely limit the reaction rate. Contrastingly, metal organic precursor has a sufficiently high vapor pressure at low temperature, contributing high-speed low-temperature MoS2 film formation. In this study, we fabricated monolayer MoS2 by 1 step cold-wall MOCVD using di-isopropyl-diazadiene-molybdenum tricarbonyl [i-Pr2DADMo(CO)3] and di-tertiary-butyl disulfide [(t-C4H9)2S2].
In this study, we used novel molybdenum compound i-Pr2DADMo(CO)3 as a molybdenum precursor. This precursor is able to be vaporized using bubbling system and deposited at low temperature. Gas phase molybdenum and sulfur precursors were carried into the cold-wall reaction chamber by N2 bubbling and deposited on the SiO2/Si substrate at 250°C. The deposition duration was 30 min. The MoS2 reaction rate was investigated by S/Mo ratio, which was calculated by Mo 3d and S 2p XPS peak area ratio. From the XPS investigations, Mo-S bonding peaks were observed and S/Mo ratio was calculated as 2.1, suggesting formation of MoS2. Moreover, molybdenum carbides and nitrogen impurities were not observed which was confirmed by XPS and EDX. From the results of Raman spectroscopy, AFM height distribution, and spectroscopic ellipsometry, it was determined that the film thickness is 0.64 nm which is corresponding to monolayer MoS2, the lateral grain size is approximately 100 nm, and the bandgap energy is 1.8 eV.
This work was partly supported by JSPS KAKENHI Grant Number 16J11377 and JST CREST Grant Number JPMJCR16F4, Japan.
8:00 PM - NM04.12.28
“Bulk” 2D Hybrid Metal-Chalcogenide-Organic Material Hosts Tightly Bound Excitons
Kaiyuan Yao 1 2 , Sivan Refaely-Abramson 1 , Mary Collins 1 , Angel Fernandez-Bravo 1 , Nicholas Borys 1 , Edward Barnard 1 , Jeffrey Neaton 1 2 , J. Nathan Hohman 1 , P James Schuck 1
1 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 , University of California, Berkeley, Berkeley, California, United States
Show AbstractThe strong excitonic effects in monolayer transition metal dichalcogenides (TMDs) greatly enhance light-matter interaction [1]. Many applications, including efficient LED and laser development, would benefit from creating non-interacting stacks of these layers, effectively resulting in multi quantum well structures with extremely large optical cross-sections. However, the bottleneck to producing such structures is the (in)ability to stack in a simple fashion more than just a few TMDs on top of each other, over a large area, in a reasonable amount of time, for any real device implementation.
To overcome these challenges, a new direct band gap “bulk” 2D semiconductor, silver benzeneselenolate ([AgSePh]∞), has recently been reported, where an organic spacer layer is inserted between neighboring layers of metal chalcogenides (AgSe) to effectively cut off interlayer interactions [2]. In this work, we show that the optical properties of silver benzeneselenolate are dominated by strongly bound 2D excitons even in the bulk form with sub-micrometer crystal thickness. In addition to providing a new platform for exploration of novel 2D exciton physics, silver benzeneselenolate has a high internal quantum yield approaching 40% and a fast photoluminescence lifetime of ~20 picoseconds at room temperature. Temperature dependent photoluminescence and reflectivity measurements were performed showing two major exciton states which are robust up to room temperature. The change of lineshape and oscillator strength in photoluminescence and reflectivity indicates that these two excitons may interact strongly with phonons. In reflectivity measurements at 5K, we clearly resolved a series of non-hydrogenic exciton excited states, up to the 4s state. The energy difference between the ground state and the 4s exciton state approaches the exciton binding energy of ~250 meV, as predicted by GW-BSE calculations. Such a large exciton binding energy in silver benzeneselenolate is already close to that of monolayer TMDs [3, 4]. The 2p dark exciton state in the Rydberg series can also be identified using nonlinear two-photon photoluminescence excitation (PLE) spectroscopy. Finally, an exotic family of interlayer charge transfer excitons are theoretically predicted and experimentally observed, which preferably couple to electric field polarized in the out-of-plane direction. We expect that the strong excitonic effects as well as the impressive optical properties of silver benzeneselenolate will stimulate new explorations in many-body condensed matter physics as well as exciton-based optoelectronic devices.
[1] Bernardi et al., Nano Letters 13, 3664, 2013
[2] Collins, Hohman, et al., in preparation.
[3] Borys, Yao, Schuck, et al., ACS Nano 11, 2115, 2017
[4] Yao, Borys, Schuck, et al., submitted.
8:00 PM - NM04.12.29
Unveiling the Individual Atomic Layers of Homo-and Hetero-Structures of Two-Dimensional Layered Materials
Irfan Abidi 1 , Zhengtang Luo 1
1 , Hong Kong University of Science and Technology, Hong Kong Hong Kong
Show AbstractTwo-dimensional (2D) layered materials and their heterostructures become the fascinating area of research at the present decade, owing to their phenomenal characteristics and potential applications. To realize the highly efficient atomically thin layered based devices, we need to probe the quality of the integrated 2D materials at a large area with atomic level efficiency. In contrast to the conventional characterization tool which are limited to microns scale, we featured time-of-flight secondary ion mass spectrometry (ToF-SIMS) to analyze the single-crystals of 2D materials including graphene, hexagonal boron nitride (h-BN), and transition metal dichalcogenides (TMDs) such as MoS2 and WS2 synthesized by chemical vapor deposition (CVD). Ultrahigh in-depth chemical sensitivity and high spatial resolution of this technique allow us to reveal layer-by-layer planar stacks of homo- or hetero-structures built by a variety of 2D materials. For instance, we identify and visualize multilayer stacks of CVD grew graphene directly on the growth substrate (Cu) with the accuracy of 4-6 individual adlayers through depth profiling and chemical mapping. Nevertheless, this technique is advantageous over the other techniques as it does not require any pretreatment of the growth substrate and also circumvent the time-consuming transfer process to other specific substrates. Moreover, we explored the CVD grown lateral heterostructure of monolayer graphene and h-BN with ToF-SIMS to large scale investigating the interfaces. This work provides a broad scope of this technique to the fundamental understanding and development of 2D layered materials for constructing their lateral as well as vertical heterostructures.
8:00 PM - NM04.12.30
Photo-Induced Contraction of Layered Materials
Hiroyuki Kumazoe 1 2 , Aravind Krishnamoorthy 2 , Lindsay Bassman 2 , Fuyuki Shimojo 1 , Rajiv Kalia 2 , Aiichiro Nakano 2 , Priya Vashishta 2
1 , Kumamoto University, Kumamoto Japan, 2 , University of Southern California, Los Angeles, California, United States
Show AbstractRecent advances in ultrafast pump-probe experiments using X-ray laser and electron beam have enabled an unprecedented capability to observe photo-induced dynamics of atomically thin layered materials (LMs) at the femtosecond resolution. A prototypical semiconducting LM is a transitional metal dichalcogenide, MoS2. We perform nonadiabatic quantum molecular dynamics (NAQMD) simulations to study photo-induced dynamics in MoS2 bilayer. We observe photo-induced contraction of the interlayer distance in a MoS2 bilayer within 100 femtoseconds before subsequent thermal expansion. We identify the underlying mechanism to be the interlayer bonding character of the excited electronic states.
This work was supported as part of the Computational Materials Sciences Program funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Award Number DE-SC00014607.
8:00 PM - NM04.12.31
Oxidative Control of Edge and Surface Chemistry in 2D Black Phosphorus
Kaci Kuntz 1 , Rebekah Wells 1 , Jun Hu 1 , Teng Yang 2 , Baojuan Dong 2 , Huaihong Guo 3 , Adam Woomer 1 , David Tomanek 4 , Scott Warren 1 5
1 Department of Chemistry, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States, 2 Shenyang National Laboratory for Materials Science, Chinese Academy of Sciences, Institute of Metal Research, Shenyang China, 3 College of Sciences, Liaoning Shihua University, Fushun China, 4 Physics and Astronomy Department, Michigan State University, East Lansing, Michigan, United States, 5 Department of Applied Physical Sciences, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States
Show AbstractBlack phosphorus, also known as phosphorene, is a semiconductor with interesting optoelectronic properties. The broadly tunable band gap, spanning the infrared (0.3 eV in bulk) to visible (~2.1 eV as a monolayer) spectra, suggest this material is applicable to optoelectronics. However, black phosphorus oxidizes in air and its properties subsequently degrade. Control of the surface and edge chemistry of black phosphorus can open routes to passivation and functionalization. Here, we investigate the composition and spatial distribution of oxides of two-dimensional (2D) black phosphorus resulting from exposure to high-purity, dry O2 (99.9999%), high-purity H2O/N2 (99.998%), or H2O/O2. All samples are illuminated and at room temperature. We present evidence that surface and edge chemistry can be controlled by the choice of oxidant.
Through density functional theory (DFT), we calculated the binding energy of phosphorus oxides at non-defect (e.g. basal surface) and defect sites (e.g. steps, edges, grain boundaries) with oxidation states from +1 to +5 and 3 or 5 bonds (ACS Appl. Mater. Interfaces, 9, 9126-9135 (2017)). The oxides were experimentally characterized by X-ray photoemission spectroscopy (XPS) and the spectra were deconvoluted, affording insight into the composition. With exposure to any oxidant(s), we find that the oxidation progresses from low (+1, +2) to high (+3, +5) oxidation states, indicative of a multi-step mechanism. Furthermore, time-lapsed transmission electron microscopy (TEM) provided insight into the spatial distribution of the oxide. Oxidation by high-purity, dry O2 results in a basal surface oxide, while H2O results in physical degradation of the material through etching at defect sites. Moreover, we find that etching preferentially occurs on the thicker region of a flake. With H2O and O2, oxidation is faster than with a single-oxidant and the material is etched, ultimately resulting in the loss of entire layers.
We introduce a model to understand the role of O2 and H2O in the oxidation of 2D black phosphorus. In photo-excited 2D black phosphorus, a one-electron transfer to O2 (Nat. Mater., 14, 826-832 (2015)) and subsequent oxidation of the material is thermodynamically feasible. Oxidation by H2O through a one-electron transfer mechanism is not thermodynamically favorable at non-defect sites. Rather, H2O preferentially oxidizes defect-sites. Moreover, as photo-excited electron-hole pairs move from thin regions (larger band gap) to thick regions (smaller band gap) of the flake, etching is more prevalent at the thicker regions. The role of light merits further investigation.
With this work, we show evidence for the site-selective oxidation of 2D black phosphorus by choice of oxidant, providing control of the basal surface (non-defect) and edge (defect) chemistry. Controlling the surface and edge chemistry of this material opens opportunities to site-selectively engineer properties through passivation and functionalization.
8:00 PM - NM04.12.32
Ultrafast Charge Transfer in Conjugated Polymer – MoS2 – Metasurface Mixed-Dimensional Heterojunctions
Christopher Petoukhoff 1 , Bala Murali Krishna Mariserla 1 , Ibrahim Bozkurt 2 , Manish Chhowalla 2 , Deirdre O'Carroll 2 3 , Keshav Dani 1
1 Femtosecond Spectroscopy Unit, Okinawa Institute of Science and Technology, Onna-son, Okinawa-ken, Japan, 2 Materials Science and Engineering, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 3 Chemistry and Chemical Biology, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States
Show AbstractSince the isolation of graphene and subsequently other 2D monolayers, researchers have been interested in stacking different 2D layers on top of each other to form van der Waals (vdW) heterojunctions. Recently, mixed-dimensional vdW heterojunctions, which are comprised of 2D materials stacked with non-2D materials, have been of growing interest due to the possibility of assembling heterostructures with novel functionalities. In particular, monolayer MoS2 – organic semiconductor bilayers have been of growing interest as type-II photovoltaic heterojunctions, arising from the large absorption cross-sections of monolayer MoS2 and organic semiconductors. Since both 2D and organic semiconductors lack dangling bonds, they interact via vdW forces and can form nearly ideal interfaces. Although there have been several steady-state optical and electrical studies investigating charge transfer across the interface between 2D and organic semiconductors, to-date, there have been limited ultrafast transient optical studies investigating these charge transfer dynamics.
Although 2D and organic semiconductors have large absorption coefficients, their physical thickness is still restricted by the quantum confinement effect and low charge carrier mobility, respectively, which has limited their total absorption and thus photovoltaic efficiency (less than 1 %). Plasmonic nanostructures and metasurfaces have been shown to increase absorption in ultrathin films and 2D semiconductors due to their large scattering cross-sections and local electric field enhancements. This allows ultrathin active layers to become optically-thick while maintaining a physically thin film, potentially leading to improved photovoltaic efficiency
Here, we combine a monolayer MoS2-organic heterojunction with a plasmonic metasurface to increase the absorption within the physically thin hybrid active layer. We investigate a heterojunction composed of poly(3-hexylthiophene) (P3HT) blended with phenyl-C61-butyric acid methyl ester (PCBM) as the organic component interfaced with monolayer, CVD-grown MoS2 as the 2D semiconductor. Using transient visible pump – NIR probe reflectometry, we study the dynamics of polaron (free charges) and exciton (bound charges) states that form within P3HT at the instant after photoexcitation. We show that the ΔR/R signal at the wavelength of polaron absorption in P3HT is larger for P3HT:PCBM/MoS2 than for P3HT:PCBM alone, demonstrating hole transfer from MoS2 to P3HT within 100 fs. The hybrid P3HT:PCBM/MoS2 layer employing the plasmonic metasurface exhibits more than a six-fold enhancement in the ΔR/R polaron signal relative to P3HT:PCBM alone. In addition to ultrafast hole transfer between MoS2 and P3HT, we show that the enhanced ΔR/R signal for the hybrid P3HT:PCBM/MoS2 active layer employing the plasmonic metasurface arise from increased absorption in both the MoS2 and P3HT:PCBM layers.
8:00 PM - NM04.12.33
Role of van der Waals Interactions in Transition Metal Dichalcogenide Capped Topological Insulators
Paul Fons 1 2 , Alexander Kolobov 1 2 , Yuta Saito 1 , Junji Tominaga 1
1 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan, 2 , SPring-8, Japan Synchrotron Radiation Institute, Koto Japan
Show AbstractBoth 3D topological insulators and transition metal dichalcogenides are van der Waals bonded solids, where covalently bonded quintuple and triple layers, respectively, are held together by much weaker van der Waals interactions, which allow easy exfoliation into single and few layer structures. Also in both cases, the outermost planes are formed by chalcogenide species without the presence of dangling bonds, suggesting that coupling these two materials should be rather straightforward. It is thus very interesting to investigate heterostructures between layers of topological insulators and transition metal dichalcogenides. In the present talk, we report on the band structure of few quintuple layer thick topological insulator Sb2Te3 capped by differing numbers of MoTe2 triple layers with different mutual orientations. Our results demonstrate the preservation of the Dirac cones of the topological insulator core strongly depends on the strain caused by the capping layer. Other material properties will be discussed as well.
8:00 PM - NM04.12.34
Vertically Aligned Interlayer Expanded MoS2 Grown on Conductive Ti3C2 (MXene) for Efficient Hydrogen Evolution
Nuwan Attanayake 1 2 , Akila Thenuwara 1 2 , Sasitha Abeyweera 1 , Babak Anasori 3 , Xu Xiao 3 , Yury Gogotsi 3 , Yugang Sun 1 , Daniel Strongin 1 2
1 Chemistry, Temple University, Philadelphia, Pennsylvania, United States, 2 , Center for Computational Design of Functional Layered Materials, Philadelphia, Pennsylvania, United States, 3 Department of Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractIn this work we report the successful synthesis of vertically aligned MoS2 on highly conductive nanosheets of Ti3C2 (MXene) as an improved hydrogen evolution reaction (HER) catalyst. Ti3C2 has shown better conductivity than most 2D materials (e.g. graphene oxide and 1T MoS2), thus is a very versatile substrate with many applications yet to be realized. MoS2 with an expanded interlayer spacing (~9.4 Å) was grown vertically on the Ti3C2 nanosheets via a microwave-assisted colloidal synthesis. Interlayer expanded MoS2 grown at 240 0C showed a very low onset potential (~95 mV), low Tafel slope (~45 mV/dec-1), and low charge transfer resistance relative to interlayer expanded MoS2 without vertically aligned edges. Having vertically aligned MoS2 sheets with expanded interlayers increases the density of edge sites which exposes a large number of active sites thus giving rise to an enhanced HER activity. The Ti3C2 scaffold allows excellent conductivity for charge transfer between itself and the MoS2 catalyst. The excellent electrocatalytic properties of this heterostructure makes this material an attractive cost-effective MoS2-based electrocatalyst for the HER.
8:00 PM - NM04.12.35
Surface Functionalization of Redox Exfoliated Transition Metal Dichalcogenides
Ali Jawaid 1 , Richard Vaia 1 , Nicholas Bedford 1
1 , AFRL, WPAFB, Ohio, United States
Show AbstractTransition Metal Dichalcogenides (TMDs) have attracted considerable attention for use in flexible electronics, coatings, nanocomposites, photonics, charge transportation layers, and catalysis due to properties that are complimentary to other low dimensional nanomaterials (e.g. graphene, BN, aluminosilicates, phosphenes, etc.). To address issues of energy intensive preparation methods and a small choice of stabilizing solvents, we have developed an alternative methodology for the exfoliation of bulk crystallites of Group IV-VII TMD materials under quiescent, bench-top conditions using mild redox chemistry associated with TMD edge-sites. Exfoliation in a wide range of solvents is accomplished via generation of anionic polyoxometalate species in-situ that adsorb to the TMD surface creating a coulombic repulsion that drives layer separation without the use of shear forces. Exfoliated flakes can be dispersed in most polar organic solvents, allowing for surface chemistry, such as triazo-click reactions (R-OH, acetonitrile), diazonium coupling, and carbodiimide functionalization (acetone, acetonitrile), that is otherwise inaccessible in traditional liquid phase exfoliation (i.e. NMP, cholate/water). This synthetic flexibility greatly expands development of TMD-organic hybrids, including defect passivation, excited state engineering, directed self-assembly of heterostructures, and fabrication of tough, robust nanolaminates.
8:00 PM - NM04.12.36
Monolayer Control of Size Selective Fractionation of Liquid Exfoliated Transition Metal Dichalcogenides
Ali Jawaid 1
1 , AFRL, Wright Patterson AFB, Ohio, United States
Show AbstractTransition Metal Dichalcogenides have attracted considerable attention in the areas of flexible electronics, nanocomposites, and thermal/electric transport due to the strong thickness dependent properties associated with these materials. The large material space (40+ layered TMDs) has a diverse and rich property suite ranging from direct bandgap semiconductors (MoX2, WX2), semi-metallic (WTe2), magnetic (VS2), and superconducting (NbX2) flakes. These emergent properties occur at the mono to few-layer limit and thus require the development of delamination processes from bulk crystallites. Large-scale liquid phase exfoliation (LPE) of these materials is desirable due to scalability to gram quantities; because of the broad distribution of thicknesses obtained from this method, size selection fractionation must be developed to target application specific dimensions. Currently, centrifugal force is the primary method to separate flakes based on thickness. However, this method is time consuming and loss of material is common. Here, we demonstrate a modified two-phase solvent extraction system that separates many TMDs exfoliated via mild redox chemistry to fractionate via hydrodynamic radius; the obtained dispersions are monodisperse in thicknesses, and allows for separation of multiples of individual monolayer populations. This method is applicable across the TMD space, and allows for rapid size selection
8:00 PM - NM04.12.37
Large Photo-Thermal Effect in Sub-40 nm h-BN Nanostructures Patterned Via High-Resolution Ion Beam
Josue Lopez 1 , Antonio Ambrosio 4 , Siyuan Dai 6 , Chuong Huynh 2 , David Bell 4 , Xiao Lin 3 , Nick Rivera 1 , Shengxi Huang 1 , Qiong Ma 1 , Soeren Eyhusen 2 , Ido Kaminer 1 , Kenji Watanabe 5 , Takashi Taniguchi 5 , Jing Kong 1 , Dmitri Basov 6 , Pablo Jarillo-Herrero 1 , Marin Soljacic 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 4 , Harvard University, Cambridge, Massachusetts, United States, 6 , University of California, San Diego, San Diego, California, United States, 2 , Carl Zeiss Microscopy, LLC, Peabody, Massachusetts, United States, 3 , Nanyang Technological University, Singapore Singapore, 5 , National Institute for Materials Science, Tsukuba, Ibaraki-ken, Japan
Show AbstractThe controlled nanoscale patterning of two-dimensional (2D) materials is a promising approach for engineering the optoelectronic, thermal, and mechanical properties of these materials to achieve novel functionalities and devices. Herein, we demonstrate high-resolution patterning of hexagonal boron nitride (h-BN) via both helium and neon ion beams and identify an optimal dosage range for both ions. Through this nanofabrication approach, we demonstrate a grating with a 35 nm pitch, individual structure sizes down to 20 nm, and additional nanostructures created by patterning the crystal step edges. Raman spectroscopy is used to study the defects induced by the ion beam patterning and is correlated to scanning probe microscopy. Photo-thermal and scanning near-field optical microscopy measure the resulting near-field absorption and scattering of the nanostructures. Through these measurements, we observe a large photo-thermal expansion of nanostructured h-BN that is dependent on the height to width aspect ratio of the nanostructures. This effect is attributed to the large anisotropy of the thermal expansion coefficients of h-BN and the nanostructuring implemented. For the nanostructures with the largest photo-thermal expansion (absorption), the Raman signal for the h-BN phonon mode at 1368 cm-1 is reduced ~49 times while the photo-thermal expansion is increased ~11 times, indicating that the nanostructuring significantly modifies the h-BN. The photo-thermal expansion should be present in other vdW materials with large anisotropy. This ion beam patterning platform and control of the photo-thermal effect can lead to applications such as nanomechanical resonators driven by light.
8:00 PM - NM04.12.38
Molecular Doping of Controlled Helium Ion Generated Vacancies in Monolayer and Few Layers Molybdenum Disulfide
Frederick Aryeetey 1 , Kyle Nowlin 1 , Dilbagh Singh 1
1 Department of Nanoengineering, North Carolina Agricultural and Technical State University, Greensboro, North Carolina, United States
Show AbstractMoS2 is attracting so much attention because it has demonstrated several distinctive properties, such as optical [Mak,K.F.,et al] transport and electronic properties. Large area and high quality single layer MoS2 samples can be made using CVD method [Lee,Y.H.]. This method enables a lot of potential applications for single layer MoS2. Whereas graphene has no bandgap present and has limitations in semiconductor device industries, in the case of Monolayer MoS2 it has a direct band gap of ~1.9ev.The bandgap is dependent on thickness of grown layers . There are low levels of free carrier charge density in intrinsic MoS2 (1010/cm2) [Ross, J.S, et .al ]. The low level of intrinsic carrier concentration can be improved by altering the electronic band structure of MoS2 by creating a wide – range of defects. In exploring 2D MoS2 as active channel material for future nano electronics, it has been reported that developing a reliable and controllable doping method on vacancy- containing molybdenum disulfide is crucial for enhancing the applicability of MoS2 [Sim et al]. Surface charge transfer doping modulates the electrical properties of MoS2 [Sim et al]. Here, we report on growing MoS2 layer using CVD, characterizing the layers using Raman Spectroscopy and Atomic Force Microscopy after which we create sulfur vacancies in 2D MoS2 using helium ion beam with an acceleration voltage of 30 Kev. Next, we fill the sulfur vacancies by molecular doping with an organic compound called 7,7,8,8 –Tetracyanoquinodimethane-tetrafluoro (TCNQ-F4). TCNQ-F4 which is rich in fluorine provides a p – doping effect due to its high electronegativity. The p –type doping effect was assessed by photoluminescence (PL), X-ray photoelectron spectroscopy (XPS), Raman Spectroscopy, Electrical Force Microscopy and Quantum Hall measurement results before and after helium ion irradiation and Doping. Quantum hall measurement was also done on the MoS2 layers to determine the carrier mobility and carrier concentration both before and after helium irradiation and doping.
8:00 PM - NM04.12.39
Tunable As-Grown Graphene Contacts to Semiconducting Transition Metal Dichalcogenides
Shruti Subramanian 1 , Donna Deng 1 , Ke Xu 1 , Jun Li 1
1 , The Pennsylvania State University, State College, Pennsylvania, United States
Show AbstractTransition metal dichalcogenides (TMDs) are an attractive 2D material in the “beyond graphene” realm of materials. They provide a route to realize heterogeneous materials on demand, with properties tailored for specific applications. To utilize their unique electronic properties, it is important to develop low resistance contacts to these materials. Graphene is a promising candidate and has been shown to produce low-resistance contacts to MoS2. But this has been done by manual stacking which is not a scalable method. Various vertical combinations of 2D materials have been shown to exhibit unique optical and electronic properties, including negative differential resistance, that do not exist in the constituent layers. One avenue that is still in its infancy, yet could provide significant potential for impact in novel device properties is the realization of lateral heterostructures of graphene and 2D materials “beyond graphene”. Since graphene holds the potential of forming a good contact to the semiconducting TMDs, the combination of graphene with TMDs in the same plane allows for a lateral heterostructure with as-grown contacts, extensive scalability and “all-2D” electronics. Here, we present as-grown heterostructures formed between epitaxial graphene and TMDs like molybdenum disulphide (MoS2), and discuss the electronic properties resulting from the lateral interface.
Using epitaxial graphene on silicon carbide (SiC) substrate, the flexibility of being able to tune the doping of the graphene exists. Upon exposure to 100% hydrogen, n-type as-grown epitaxial graphene becomes p-type. This allows us to explore the option of type-matching the graphene contact to the TMD, thus reducing the barrier for electrons and making contacts much superior to conventional metals.
8:00 PM - NM04.12.40
2D Electrides and Their Use in Atomically Thin Heterostructures
Daniel Druffel 1 , Adam Woomer 1 , Jacob Pawllik 1 , Kaci Kuntz 1 , Scott Warren 1
1 , University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States
Show AbstractThe properties of 2D materials motivate the exploration of new atomically thin materials. One class of 2D materials, demonstrated experimentally, is 2D electrides, which consist of a layer of atoms sandwiched by layers of electrons (Druffel et al. “Experimental demonstration of an electride as a 2D material” J. Am. Chem. Soc., 2016, 138 (49), pp 16089-16094). The layers of electrons are delocalized into layers of 2D electron gas. As a result, 2D electrides, such as 2D Ca2N, have exciting properties like high electrical mobility, high carrier concentrations, and ultra-low work functions. We contrast some of the mechanical, electronic, optical, and chemical properties of 2D electrides and their bulk layered crystals. Our analysis reveals that Sr2N and Sr2P are good candidates for mechanical exfoliation as new 2D electrides and reveals how different 2D electrides may find application. One exciting direction for 2D electrides is to pair the 2D electride with a 2D van der Waals material, creating a unique kind of heterostructure that we call a “Coulomb heterostructure”. Here we report DFT calculations of Ca2N/ZrS2 heterostructures. Extensive electron transfer from the Ca2N to ZrS2 creates a strong electrostatic attraction, which results in an interlayer distance (2.1 Å) much shorter than traditional van der Waals distances. By calculating the partial density of states for several orientations of the Ca2N/ZrS2 heterostructures, we show that the electron transfer and the interlayer distance are inherently coupled. The high degree of electron transfer into the ZrS2 monolayer raises the Fermi level of the ZrS2 so that its conduction band is populated and converts the wide bandgap semiconductor into a metal. Surprisingly, we find that the work function of both surfaces of a Ca2N/ZrS2 heterostructure is lower than either component, providing a route to controllably design and modify the ultra-low work function in 2D heterostructures. Finally, we report the band structure of a Ca2N/ZrS2 heterostructure as a function of interlayer distance, which reveals subtle but important mixing of the states of Ca2N and of ZrS2 as the materials are brought into contact. For example, the mixing gives rise to an anticrossing point at the Fermi level. Just as the reaction of sodium metal with chlorine gas yields a salt with entirely different properties than starting compounds, this new type of Coulomb heterostructure enables us to design materials with emergent properties distinctly different from either of the 2D components.
8:00 PM - NM04.12.41
Ultimate Contact Scaling for MoS2 Transistors Using Edge Contacts
Zhihui Cheng 1 , Steven Noyce 1 , Katherine Price 1 , Aaron Franklin 1
1 , Duke University, Durham, North Carolina, United States
Show AbstractSince traditional silicon transistor scaling will run out of steam in the near future, there have been constant efforts in exploring low-dimensional nanomaterials to realize sub-5 nm devices. While focus for 2D materials began with graphene over a decade ago, it has now shifted to 2D non-carbon materials with tunable band gaps and ultra-thin bodies, including MoS2 from the transition metal dichalcogenides family. As the usefulness of MoS2 transistors is tied to their ability to operate at aggressively scaled dimensions, attention must be given to contact scaling. It has been shown that the contact resistance in MoS2 devices increases severely as the contact length Lc is decreased below the transfer length (30-40 nm for MoS2) in the top contact scheme where the contact metal is on top of the MoS2. Ideally, contacts would be bonded directly to the side of the 2D channel as “edge contacts,” enhancing carrier injection over the top contacts and providing ultimate scalability. In this work, we demonstrate pure edge contacts to monolayer MoS2 by employing a low energy Ar ion beam process. Resulting devices yield contact resistance that is independent of the contact length--evidence of pure edge contacts. To realize the devices, we first etch the MoS2 exclusively in the contact region and immediately deposit the contact metal in situ to preserve the dangling bonds at the MoS2 edge. We then characterize the edge contacts using cross-sectional scanning tunneling electron microscopy (STEM), which gives evidence for the complete MoS2 etching and abrupt contact interface. The performance of the transistors remains consistent even as Lc ranges from 15 nm to 100 nm across a set of devices. We also explore the edge contacts to multilayer MoS2 and compare the impact of different metals (Ti, Ni, Cr, Au) using the same edge contact scheme. Our work sheds light on the potential of edge contacts for ultimate contact scaling in MoS2 transistors.
8:00 PM - NM04.12.42
Oxygen incorporated WS2 Nanoclusters with Superior Electrocatalytic Properties for Hydrogen Evolution Reaction
Prasad S 1 , Chandra Tiwary 2 , Sruthi Radhakrishnan 2 , Pulickel Ajayan 2 , Manikoth Shaijumon 1
1 School of Physics, Indian Institute of Science Education and Research, Trivandrum India, 2 MSNE Department, Rice University, Houston, Texas, United States
Show AbstractWith increasing demand for energy and associated growing concens on environmental pollution, hydrogen turns out to be the best choice, with its eco-friendly nature, and hence achieving efficient routes for its generation is becoming increasingly important. Water splitting is an attractive approach and there is huge interest in developing highly active and efficient electrocatalysts for the hydrogen evolution reaction (HER). 2-dimesnional layered transition metal dichalcogenides (TMDs) show promising electrocatalytic properties and have been extensively studied for HER, both theoretically and experimentally. Tungsten disulfide has emerged as a promising HER catalyst. Electro-catalytic activities of these catalysts are defined by active edge sites and electronic conductivity that in turn enhances the charge transfer kinetics. Tremendous efforts have been made to enhance the catalytic activity of WS2 by engineering the structure by inducing defects and by phase transition to metallic polymorphs. Here we present a new approach for the controlled synthesis of highly catalytically active WS2 nanoclusters for hydrogen evolution reaction. Oxygen incorporated 1T and 2H WS2 nanoparticles are prepared from oxygen deficient WO3-x nanorods, following chemical exfoliation and ultrasonication processes, respectively. We studied the HER activity of oxygen-incorporated 1T WS2 (O-WS2-1T) and 2H WS2 (O-WS2-2H) nanoclusters. The presence of tailored edge sites, and enhanced conducitvity resulting from the metallic 1T phase and oxygen incorporation, synergistically contribute to the higher HER activity of O-WS2-1T compared to O-WS2-2H, making it a promising electrocatalyst. For comparison, HER studies on 1T and 2H WS2 nanoparticles synthesized from both ball milled WS2 powder (WS2-BM) and H2S treated WO3 pristine powder (WO3-WS2), are performed.
8:00 PM - NM04.12.43
Electrical Properties of Monolayer and Few-Layered 1T-MoTe2 in a Pristine Environment
Daniel Rhodes 1 , Abhinandan Antony 1 , Younghun Jung 1 , Nathan Finney 1 , Rico Schoenemann 2 , Luis Balicas 2 , Cory Dean 1 , James Hone 1
1 , Columbia University , New York, New York, United States, 2 , National High Magnetic Field Laboratory, Tallahassee, Florida, United States
Show AbstractDue to the emergence of mechanically exfoliated, highly crystalline superconductors research into superconducting-insulator transitions and quantum phase transitions in the low disorder limit are now more accessible. This allows for the exploration of novel layered superconductors in the thin film limit. In the case of NbSe2 previous work identified the existence of a Bose metal phase in device comprised of two atomic layers for sufficient field and temperature.
Here we report the temperature dependence of resistance and subsequent observation of a superconducting transition in a single atomic layer of β-MoTe2 encapsulated in hexagonal boron nitride, h-BN, and contacted via gold, with a 285 nm silicon oxide back-gate. Monolayers grown by chemical vapor deposition and contacted via traditional e-beam lithography methods have shown sheet resistances on the order of several kΩ. However, with encapsulation and contacts fabricated without exposure to air we are able to obtain a sheet resistance down to 170 Ω, around twice the normal state resistance for what has been found in graphene contacted, h-BN encapsulated bilayer NbSe2.
8:00 PM - NM04.12.44
Novel Contact Strategy for Two-Dimensional Materials
Younghun Jung 1 , Daniel Rhodes 1 , Avishai Benyamini 1 , Abhinandan Antony 1 , Cory Dean 1 , James Hone 1
1 , Columbia University, New York, New York, United States
Show AbstractThe performance of electric and optoelectronic devices based on two-dimensional materials is significantly affected by electrical contacts that connect materials with external circuitry. However, high contact resistances have blocked the progress of devices based on transition metal dichalcogenides (TMDs) and air-sensitive two-dimensional materials. The quality of the electrical contacts is as important to the proper functioning of the entire device as the semiconductor itself. A major challenge for making ohmic contact is a conquest of the Schottky barrier height and van der Waals gap which formed between the metal and two-dimensional material. We demonstrate a new strategy for the formation of low-resistance ohmic contacts for a variety of two-dimensional materials including TMDs. In the case of air-sensitive two-dimensional materials, the open area like acting as a channel tends to degrade after very short exposure to air due to a photo-activated charge transfer process. We make an embedded contact using h-BN to do the metallization and encapsulation together. This dry contact strategy can easily apply on two-dimensional materials using the simple polymer-based pick-up and transfer process. One of the excellent advantages of this method is that we can make a ultra-clean interface between the metal contact and the underlying material because there is no lithography step to define a contact area on the two-dimensional materials. The experimental details and results will be presented.
8:00 PM - NM04.12.45
The Origin of Improved Electrical Properties by the Doping Effect in a Multilayered Scheme
Min-Yeul Ryu 1 , Young-Sun Moon 1 , Gyu-Tae Kim 1 , KookJin Lee 1
1 , Korea University, Seoul Korea (the Republic of)
Show AbstractChemical doping has been investigated as an alternative method of conventional ion implantation for two-dimensional materials. However, the details of conducting mechanism of doped FET were not studied yet. We herein report chemically doped multilayer molybdenum disulfide (MoS2) field effect transistors (FETs) through n-type channel doping, wherein triethanolamine (TEOA) is used as an n-type dopant. Moreover, we demonstrate low frequency noise measurement to analysis the underlying mechanism of the multilayer structure channel. As we reported in our previous study, enhancements of chemical doped FETs can be explained by independent FET model that is affected dominantly by interface traps. The trap density of doped FET was extracted from the power spectrum density by 1/f noise model and it clearly shows reduced trap density after doping as compared with before doping. These results elucidating the origin of improved electrical properties by the doping effect in a multilayered scheme.
8:00 PM - NM04.12.46
Fabrication of MoS2 Quantum Dots by High Power Femtosecond Laser Irradiation
Sung-Jin An 1 2 , Seungho Bang 1 2 , Dae Young Park 1 2 , Anh Duc Nguyen 1 2 , Ji-Hee Kim 2 , Ho Young Kim 1 2 4 , Hee Jin Jeong 4 3 , Mun Seok Jeong 1 2
1 Department of Energy Science, Sungkyunkwan University, Suwon Korea (the Republic of), 2 Center for Integrated Nanostructure Physics, Institute for Basic Science, Suwon Korea (the Republic of), 4 Nano Hybrid Technology Research Center, Korea Electrotechnology Research Institute, Changwon Korea (the Republic of), 3 Multidimensional Nanomaterials Research Group, Korea Electrotechnology Research Institute, Changwon Korea (the Republic of)
Show AbstractRecently, 2-dimensional nanomaterials have been actively studied in industrial and scientific fields. Among them, the MoS2 material has many excellent properties and is widely used in fields such as catalysis, hydrogen storage, solid super lubricants, and solid-state secondary lithium batteries. In addition, MoS2 shows that the band gap energy changes as the number of layers changes due to the hybridization of P orbital and D orbitals of S atom.[1] MoS2 has attracted attention in optoelectronics and many applications because of the high photoluminescence properties of single layer. In the case of bulk MoS2, it has excellent chemical and thermal stability, but has a very low emission characteristic. Because of these traits, many researchers have attempted to synthesize monolayer MoS2 through various methods, such as mechanical exfoliation, and chemical vapor deposition (CVD). Mechanical exfoliation using scotch tape produces a high quality sample, but it takes long time to find monolayer of MoS2 by optical microscope. Although CVD method is more convenient in method, the quality of the sample is a bit lower than one prepared by mechanical exfoliation.
Because of these problems, researchers are become interested in MoS2 quantum dot, which is easy to make and has good optical properties. The method to fabricate quantum MoS2 dot is already well known and is still being studied. [2] We have devised a method for fabricating samples in a simpler and shorter time than the conventional methods. Here we report successful preparation of MoS2 quantum dot by the laser-exfoliation method. The flake size and morphological characteristics were confirmed by absorption, Photoluminescence, Raman spectroscopy, and SEM, TEM measurement, we could confirm the presence of MoS2 quantum dot.
8:00 PM - NM04.12.47
Photoluminescence and Raman Characterisation of CVD Grown WS2 Flakes Using Different Precursors
Pawel Palczynski 1 , Francesco Reale 1 , Cecilia Mattevi 1
1 , Imperial College London, London United Kingdom
Show AbstractTransition metal dichalcogenides have become an important and popular class of materials in the recent years. WS2 is a direct bandgap semiconductor in its monolayer form and is therefore a viable candidate for the development of 2D electronic and opto-electronic devices. The material has been produced via chemical vapour deposition (CVD) synthesis which is a scalable process that allows manufacturing of large flakes.
Utilising Raman and photoluminescence spectroscopy in combination with XPS measurements we show that different precursors influence the structure, and therefore also optical and electric properties, of the monolayer and few layer WS2. In particular, the tungsten acid precursor used in CVD synthesis allows for WS2 flakes with PL blue-shifted, more narrow and much more intense, as compared to more common WO3 precursor, indicating higher quality flakes. The low temperature PL measurements reveal the defect structure and allow better understanding of exciton recombination mechanism. These high quality flakes also show record electron mobility in mono- and bilayer WS2 grown by CVD. This work opens the possibility for engineering the position of the optical bandgap in single layer flakes of WS2
Symposium Organizers
Swastik Kar, Northeastern University
Cinzia Casiraghi, University of Manchester
Arindam Ghosh, Indian Institute of Science
Saikat Talapatra, Southern Illinois University
Symposium Support
Nano Futures | IOP Publishing
National Science Foundation
NM04.13: 2D Systems—Novel Probes, Processes and Techniques
Session Chairs
Keshav Dani
Annick Loiseau
Friday AM, December 01, 2017
Hynes, Level 3, Room 312
8:00 AM - *NM04.13.01
Electrolyte Gating on 2D Materials
Yoshihiro Iwasa 1 2
1 , Univ of Tokyo, Tokyo Japan, 2 RIKEN, CEMS, Wako Japan
Show Abstract2D materials offer a huge number of opportunities in electronic functionalities. One of them is the ease in fabrication of field effect transistor (FET) devices due to their stable and atomically flat surfaces. Here we review a current status of the electrolyte gating on 2D materials. The conventional FET using a solid dielectrics such as silica, alumina, or h-BN achieves a carrier density in the channel in the order of 1012 cm-2. If one replaces the solid gate dielectrics with electrolytes or ionic liquids, the controllable carrier density reaches several times 1014 cm-2, which is nearly two orders of magnitude larger than that with solid dielectrics. Thus the electrolyte gating enables us not only to switch the channel current of transistors but also to change the electronic states of the channel materials, such as gate-induced phase transitions and superconductivity. When the device operates in electrostatic modes through the electric double layer formed on the surface of 2D materials, they are called electric double layer transistors (EDLTs).
The ability of EDLTs was most clearly demonstrated by the ambipolar transistor operation in MoS2, which is well known as a n-type semiconductor [1]. Owing to the large carrier tunability, the p-type behavior of MoS2 was first found with EDLTs. With the ambipolar transistor behavior of WS2 EDLTs, we also realized a light emitting transistor of circularly polarized light [2].
Furthermore, EDLT has proved its potential by demonstrating an electric field induced superconductivity in MoS2 using ionic liquid for a gate dielectric [3]. This gate-induced superconductivity was later found to be an ideal platform of highly crystalline 2D superconductors [4] by a series of observations of quantum metallic ( or vortex glass) states, the enhanced in-plane upper critical field due to spin-orbit interactions, and the nonreciprocal superconducting transport. The ionic liquid gating was also found to induce a structural transition between different charge density wave (CDW) states in 1T-TaS2. By application of the gate voltage, the commensurate CDW state was completely suppressed, signaling the occurrence of gate-induced phase transitionnbetween the commensurate CDW and nearly commensurate transitions [5].
Another impact of electrolyte gating is the thermoelectric properties of 2D materials with controlled carrier density by EDLT. We demonstrated optimization of thermoelectric power factor in WSe2[6], also we report the enhanced power factor in FeSe.
[1] Y. J. Zhang et al., Nano Lett. 12, 1136 (2012).
[2] Y. J. Zhang et al., Science 344, 725 (2014).
[3] J. T. Ye et al., Science 338, 1193 (2012).
[4] Y. Saito et al., Nat. Rev. Mater. 2, 16094 (2016).
[5] M. Yoshida et al., Sci. Rep. 4, 7302 (2014) .
[6] M. Yoshida, Nano Lett. 16, 4819 (2016).
8:30 AM - NM04.13.02
Growth Stages of Directly Synthesized Zeolite Nanosheets
Prashant Kumar 1 , Mi Young Jeon 1 , Michael Tsapatsis 1 , Andre Mkhoyan 1
1 , University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractZeolites are micro- and meso-porous materials, generally synthesized in auto-claves under controlled temperature, pressure and humidity. With the help of organic structure directing agents (SDAs), precisely engineered pore sizes of several zeolite frameworks made of silicon-oxygen tehrahedron, allow for separation of isomeric chemical mixtures containing differently sized molecules like para- and ortho-xylene. Top-down synthesis approaches involving exfoliation of a layered precursor material have led to creation of one- and one-and-half unit cell thick zeolite nanosheets. However, top-down methods limit the synthesis of large area nanosheets due to the filtration steps involved. This leads to reduced separation performance of the nanosheets as compared to theoretical predictions.
In this study, we report a newly developed direct, bottom-up method of fabricating large area MFI-type (3 letter zeolite framework code given by International Zeolite Association) zeolite nanosheets. Tracking the chronological events in growth through ex-situ bright field transmission electron microscopy (BF-TEM), diffraction and annular dark field scanning TEM (ADF-STEM) characterization, reveal that the seed particles, (i) are ~ 30 nm in size at the beginning, (ii) upon further growth elongate preferentially along b-axis of MFI-type unit cell, (iii) trigger a single rotational intergrowth leading to nucleation of a 5 nm thick, b-oriented MFI nanosheet and (iv) are rapidly encircled by a high-aspect ratio, large area diamond shaped nanosheet. Nucleation of the nanosheet is the key step during this crystal growth modality and electron diffraction investigation of the seed and nanosheet shows that it shares a/b-twin relationship, with the seed being oriented down the a-axis when the sheet is b-oriented. Dark-field TEM reveals that the elongated seed has crystallographic misorientations, while BF-TEM and SEM imaging of extended growth periods of the particle demonstrate the epitaxial thickening of the nanosheets. These high-aspect-ratio nanosheets allow the fabrication of thin and defect-free coatings that effectively cover porous substrates. These coatings can be intergrown to produce high-flux and ultra-selective MFI membranes that compare favorably with other MFI membranes prepared from existing MFI materials (such as exfoliated nanosheets or nanocrystals).
Mi Young Jeon*, Donghun Kim*, Prashant Kumar*, Pyung Soo Lee*, Neel Rangnekar, Peng Bai et. al. Ultra-selective high-flux membranes from directly synthesized high aspect ratio zeolite nanosheets. Nature, 543, 690-694 (2017) *indicates equal contribution.
8:45 AM - NM04.13.03
Elucidation of Friction Behavior in 2D MoS2 with Raman Spectroscopy
Sol Torrel 1 , Ibrahim Bozkurt 1 , Jieun Yang 1 , Raymond Fullon 1 , Hyeon-Yeol Cho 1 , Ki-Bum Lee 1 , Anirudha Sumant 2 , Diana Berman 2 , Seth Darling 2 , Vlad Domnich 1 , Manish Chhowalla 1
1 , Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 2 , Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractTwo dimensional (2D) materials provide unique opportunities for studying friction behavior at the atomic scale. In this study, we have measured the friction behavior of 2D molybdenum disulfide (MoS2) grown by chemical vapor deposition (CVD) and correlated it to phonon spectra using Raman spectroscopy. Atomic force microscopy (AFM) was used to measure nanoscale tribological properties of semiconducting and metallic monolayers of MoS2 to study the influence of electronic structure on friction behavior. Furthermore, multilayer semiconducting MoS2 crystals were studied using lateral force microscopy to explore the phononic influence on friction. We found that friction behavior can vary dramatically on the same multilayered MoS2 crystals, despite having the same chemistry, crystal structure, and surface roughness. We found through detailed Raman measurements that certain phonon modes contribute to friction more than others – presumably due to changes in van der Waals forces between the layers. We have developed an algorithm that allows remote prediction of friction of MoS2 crystals by simply measuring the Raman spectra.
9:00 AM - NM04.13.04
Second-Harmonic Generation and Raman Spectroscopy Probing Charge Density and Orientation of Atomic-Layer Deposited MoS2
Vincent Vandalon 1 , Akhil Sharma 1 , Erwin Kessels 1 , Ageeth Bol 1
1 , Eindhoven University of Technology, Eindhoven Netherlands
Show AbstractThe optical characterization of 2D transition-metal dichalcogenides (2D-TMDs) such as MoS2 is of interest due to the unique properties of these materials and their wide application range in e.g. nanoelectronics and catalysis. Excellent results have been achieved in both fields using virtually ideal exfoliated flakes. For these exfoliated flakes, the go-to technique for the determination of the thickness and crystal orientation is Raman spectroscopy. Also second-harmonic generation (SHG), which is a very sensitive nonlinear optical technique, is used to characterize exfoliated flakes, studying a range of material properties varying from band-structure to strain. Raman spectroscopy for crystal orientation and SHG on 2D-TMDs synthesized by more scalable synthesis methods, such as atomic layer deposition (ALD), has proven to be challenging due to the polycrystalline nature of the acquired films. In this contribution we will show that with polarized Raman spectroscopy it is possible to determine the predominant crystal orientation of polycrystalline MoS2 films deposited by ALD. In addition, we prove that SHG is a suitable technique to measure the built-in fixed charge of synthesized MoS2 films, which is important for electronic applications.
We have found with HRTEM that plasma-enhanced ALD of MoS2 results in in-plane 2D nanolayers or out-of-plane 3D fin structures, depending on the processing conditions. Polarized Raman spectroscopy was considered as an alternative technique to determine the crystal orientation of PE-ALD MoS2. A challenge in this pursuit was the fact that the grains of these synthesized materials are typically smaller than the probed volume. The Raman signal is therefore an average over the orientation of the probed grains. To interpret the Raman signal, the response was simulated for different angular distributions and fitted to the experimental results. We show that even for these polycrystalline films, the general orientation of the MoS2 crystals can be determined from the ratio between the A1g(A’1) and E2g(E’) contributions by combining parallel and cross polarized modes.
The fixed charge density in MoS2, which could detrimentally affect the performance of e.g. a transistor, was determined with SHG spectroscopy. We exploited the well understood electric-field induced SHG (EFISH) effect in c-Si to probe the charge density of the MoS2 by depositing it on a c-Si/SiO2 substrate. In the past this approach was successfully used to determine the charge density in Al2O3.[Terlinden, APL, 2010] A relatively weak bulk charge-density was found for the MoS2 films grown by PE-ALD. Furthermore, the electronic structure of MoS2 is being investigated with amplified femtosecond pulses. This can reveal how the band structure varies as function of layer thickness for ALD grown material. To conclude, Raman and SHG are valuable techniques to characterize synthesized MoS2 even though the analysis is more complex than for nearly ideal exfoliated flakes.
9:15 AM - NM04.13.05
Resonance Raman Spectroscopy in Two-Dimensional Molybdenum Disulfide—The Intervalley Scattering Process by Acoustic Phonons
Bruno Carvalho 1 , Yuanxi Wang 2 , Juliana Alves 3 , Sandro Mignuzzi 4 , Debdulal Roy 4 , Mauricio Terrones 2 , Cristiano Fantini 3 , Vincent Crespi 2 , Leandro Malard 3 , Marcos Pimenta 3
1 Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Rio Grande do Norte Brazil, 2 Department of Physics, The Pennsylvania State University, State College, Pennsylvania, United States, 3 Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, Minas Gerais, Brazil, 4 Department of Physics, King's College London, London, Strand, United Kingdom
Show AbstractResonance Raman spectroscopy is a very useful tool to study the exciton, phonon and their interplay in semiconducting crystals. After the graphene’s discovery, great attention has been paid to the study of two-dimensional materials, in special, the semiconducting transition metal dichalcogenides. In this work, a recent advance in the field of resonant Raman spectroscopy on the two-dimensional MoS2 transition metal dichalcogenide is presented. We focus on the resonant Raman spectra of MoS2 [1,2]. By continuously tuning the incoming photon energy across the A and B exciton transitions new dispersive Raman features are found. We demonstrated that these features are associated to different acoustic phonons near M, K and Q points of the Brillouin zone. In addition, we show how the indirect-to-direct band gap transition from bulk to the single-layer regime can help to unveil the double-resonance Raman process in this material [2]. Thus, providing a proper assignment to these dispersive Raman features.
[1] CARVALHO, BRUNO R.; et al. Symmetry-dependent exciton-phonon coupling in 2D and bulk MoS2 observed by resonance Raman scattering, Physical Review Letters 114, 136403 (2015).
[2] CARVALHO, BRUNO R.; et al. Intervalley scattering by acoustic phonons in two-dimensional MoS2 revealed by double-resonance Raman spectroscopy, Nature Communications 8, 14670 (2017).
9:30 AM - NM04.13.06
Control of Room Temperature Exciton Dynamics in the Contact Region of MoS2 Field Effect Devices
Daniel Rubin 1 , Ismail Bilgin 1 , Swastik Kar 1
1 , Northeastern University, Boston, Massachusetts, United States
Show AbstractEnhanced Coulomb interactions in 2D transition metal dichalcogenides (TMDs) due to low screening effects lead to the formation of tightly bound electron-hole pairs, or excitons, in these materials. A variety of neutral, charged, and bound excitons can reside in 2D TMDs which allow for the complex interplays between the excitons and their electronic environment. A few recent works have demonstrated the dramatic evolution of neutral and charged excitons using electric fields. However, their dynamics near metal electrodes vis-à-vis those away from electrodes have not been investigated extensively. Recently, we have developed a vapor-phase chalcogenization method to synthesize high-quality large-area 2D-MoS2, which allowed us to probe excitonic dynamics at room and low-temperatures using photocurrent spectroscopy in this material.[1] In this present work we have used photoluminescence spectroscopy in these high-quality samples to further investigate the effect of local dielectric environment and electric fields on exciton dynamics in 2D-MoS2 based field effect devices, comparing data near and away from the electric contacts. This was made possible by the uniform large crystals afforded by the above-mentioned synthesis method. Both the quasi-particle band-gap and the exciton binding energy values can dependent on the local dielectric environment, and an analysis of the photoluminescence spectra from different regions of a device reveals a significant difference in the recombination energies associated with various excitonic modes as well as their evolution under applied source-drain voltages. Our preliminary results show a large increase in the ratio of the neutral to charged exciton intensity in the contact regions under increasing bias voltages and a blue shift of ~40 meV for all excitonic peaks in the contact regions (when compared to channel regions) under all applied gate and bias voltages. The experimental details of these results will be presented, and discussed within the framework of possible interplay of the applied voltages under different dielectric environments.
Support for this research was received from the National Science Foundation, through grant number NSF ECCS 1351424 and a Northeastern University tier 1 Provost's seed grant.
[1] Bilgin, I., Liu, F., Vargas, A., Winchester, A., Man, M.K., Upmanyu, M., Dani, K.M., Gupta, G., Talapatra, S., Mohite, A.D. and Kar, S., 2015. Chemical vapor deposition synthesized atomically thin molybdenum disulfide with optoelectronic-grade crystalline quality. ACS Nano, 9(9), pp.8822-8832.
10:15 AM - *NM03.13.07
Electronic Structures of Atomically Thin Epitaxial Transition Metal Dichalcogenides
Sung-Kwan Mo 1 , Zahid Hussain 1
1 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractTransition metal dichalcogenides (TMDCs) is a versatile material platform with a variety of electrical, optical, and topological properties that can be controlled through thickness, strain, field, and other perturbations. In this talk, we will first discuss the molecular beam epitaxial growth of atomically thin TMDC films, such as MoSe2, WSe2, NbSe2, TaSe2, and WTe2, with layer-by-layer thickness control. Then, we will present how we investigate the distinct electronic structures of these films – indirect-direct band gap transition [1], gigantic exciton binding energy [2], persistent charge density wave order [3], and quantum spin Hall insulator phase [4] – using angle-resolved photoemission spectroscopy and scanning tunneling microscopy.
[1] Y. Zhang et al., Nature Nanotechnology 9, 111 (2014); Nano Letters 16, 2485 (2016).
[2] M. M. Ugeda et al., Nature Materials 13, 1091 (2014).
[3] M. M. Ugeda et al., Nature Physics 12, 92 (2016); H. Ryu et al., submitted.
[4] S. J. Tang et al., Nature Physics 13, 683 (2017).
10:45 AM - NM04.13.08
The Growth of MoTe2 by Chemical Vapor Deposition and Ultrafast Characterization of Its Excitons
Youngdong Yoo 2 , Aaron Schulzetenberg 2 , Zachary DeGregorio 2 , Yang Su 1 , Steven Koester 1 , James Johns 2
2 Chemistry, University of Minnesota, Minneapolis, Minnesota, United States, 1 Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractThe transition metal dichalcogenide family of layered materials has re-emerged as an exciting research platform for discovering new solid state physics and making novel electronic and optoelectronic devices. When these materials are thinned down to a single monolayer, their electronic structure and physical symmetries are radically altered, leading to new behavior including indirect to direct gap transitions, valley polarizations, and rich excitonic behavior. Furthermore, rotating the chalcogen around the metal center can lead to new phases with radically different properties, enabling chemical tuning and a rich set of novel phase-based heterostructures. Much of the previous work on TMDCs have focused on just four members of this family: MoS2, WS2, MoSe2, and WSe2, and is limited by our ability to chemically synthesize other 2D materials.
Our lab has extended CVD techniques for growing TMDCs to grow MoTe2. Exchanging the chalcogenide for tellurium lowers the band gap to be comparable to silicon (~1.05 eV). Unlike silicon, MoTe2shows strong excitonic features in its absorption spectrum, making it a candidate material for photovoltaics and near-IR photodetectors. Furthermore, there is only a small thermodynamic barrier between the ground-state, semiconducting 2H phase and the metallic 1T’ phase. We demonstrate phase control during the growth process, and the subsequently phase pattern metal-semiconductor junctions between 2H-1T’ MoTe2. Finally, having made large area thin films of MoTe2, we use ultrafast transient reflectivity to characterize the behavior of excitons in this material. Unlike MoS2, where all of the excitonic peaks show similar dynamics due to phase-filling and band gap renormalization, we show that different excitons in MoTe2 decay on different timescales hinting at different physical processes.
11:00 AM - NM04.13.09
A Study on the Growth of WS2 Homobilayers with Controlled AA and AB Stacking Using Two-Step van der Waals Epitaxy
Kyungnam Kang 1 , Kamalika Ghatak 2 , Shichen Fu 1 , Xiaotian Wang 1 , Siwei Chen 1 , Dibakar Datta 2 , Eui-Hyeok Yang 1
1 , Stevens Institute of Technology, Hoboken, New Jersey, United States, 2 Department of Mechanical and Industrial Engineering, New Jersey Institute of Technology, Newark, New Jersey, United States
Show AbstractTwo-dimensional (2D) heterobilayers and homobilayers such as transition metal dichalcogenides (TMDs), TMD/graphene, TMD/hBN have been the subject of substantial exploration owing to their unique properties. The properties of heterobilayers and homobilayers can vary according to the twisted angles between two atomic layers. The crystallographic alignment (AA or AB stacking) of homobilayer shows strong interlayer coupling and leads to magnetoelectric effects for quantum manipulations [1-3]. However, AA or AB homobilayers are typically fabricated by manually stacking the TMD layers through transfer, which is a low yield process with a high possibility of contaminant trapping between the layers.
In this report, we introduce two-step epitaxial growth technique to synthesize WS2 homobilayer with a controlled AA or AB stacking. Here we grow first WS2 monolayer on SiO2. WO3 thin film is deposited on an SiO2 substrate (we call this ‘source substrate’). Then the source substrate contacts the growth substrate (i.e., another oxidized silicon substrate) face-to-face. The sandwiched sample is loaded into the tube furnace, where sulfur is provided by evaporation from solid powder placed in a ceramic crucible outside of the central furnace region. The WS2 monolayers are synthesized on both (i.e., source and growth) substrates after sulfurization via low pressure chemical vapor deposition (LPCVD). For the two-step epitaxial growth, the source substrate contacts the growth substrate (with WS2 monolayers this time) face-to-face. The rest of the growth process is identical to that of the first growth. Since edges of the first monolayer have dangling bonds which work as nucleation sites for second growth, the second WS2 monolayer grows from the edges and subsequently covers the first layer. This growth process allows the two-step WS2 homobilayer growth always gives perfectly aligned AA or AB stacking, attributed to the edge structures of first grown WS2 monolayer. In order to elucidate the growth mechanism, we model the growth by ab initio first-principles scheme based on density functional theory (DFT), which identifies the most stable inter-layer stacking interactions (AA or AB stacking) as a function of their binding energy. Furthermore, presence of dangling atoms/bonds in between the layers is corroborated from the formation energy calculations.
1. S. Wu, J. S. Ross, G. B. Liu, G. Aivazian, A. Jones, Z. Fei, W. Zhu, D. Xiao, W. Yao, D. Cobden and X. Xu, “Electrical tuning of valley magnetic moment through symmetry control in bilayer MoS2” Nat. Phys. 9, 149-153 (2013)
2. T. Jiang, H. Liu, D. Huang, S. Zhang, Y. Li, X. Gong, Y. R. Shen, W. T. Liu and S. Wu, “Valley and band structure engineering of fold MoS2 bilayers” Nat. Nanotechnol. 9, 825-829 (2014)
3. A. M. Jones, H. Yu, J. S. Ross, P. Klement, N. J. Ghimire, J. Yang, D. G. Mandrus, W. Yao and X. Xu, “Spin-layer locking effects in optical orientation of exciton spin in bilayer WSe2” Nat. Phys. 10, 130-134 (2014)
11:15 AM - NM04.13.10
Observation of Excitons and Trions in Monolayer WS2 Using Resonance Raman Spectroscopy
Liam McDonnell 1 , David Smith 1 , Chung Che Huang 2 , Qingsong Cui 2
1 Physics and Astronomy, University of Southampton, Southampton United Kingdom, 2 Optoelectronics Research Centre, University of Southampton , Southampton United Kingdom
Show AbstractThe electronic and optical properties of monolayer Transition Metal Dichalcogenides such as MoS2, and WS2 are dominated by excitonic effects due to large binding energies from 2D confinement, spin splitting of the valence band, and inversion symmetry breaking. Previous Raman spectroscopy studies have investigated excited excitonic states1, symmetry dependent exciton-phonon coupling 2, thermal conductivity 3, and interlayer coupling4. However these studies are often limited by low excitation energy resolution or are only performed at a single temperature.
We present a temperature dependent resonance Raman spectroscopy study on CVD grown monolayer WS2 flakes using excitation energies from 1.86eV to 2.16eV in resonance with the A exciton. Resonance Raman profiles were obtained for a range of temperatures from 4K to 295K, and for multiple phonons including A1’, E’, E’’, LA, 2LA, and an unassigned peak attributed to two phonon Raman scattering. The high energy resolution of the resonance Raman profiles allowed observation of both excitons and trions at 4K for the first time, with energies 2.04eV and 2.063eV respectively. Modelling of the Raman scattering amplitude demonstrates that exciton-trion scattering is also required to explain the results. The amplitudes of the scattering terms allow the coupling strength of different phonons to excitons, trions, and exciton-trion scattering to be probed. In addition we discuss how two-phonon scattering peaks allow us to use large wavevector phonons to probe the dark exciton density of states. The resonance profiles for two-phonon, large-wavevector processes are shown to be well described by the same initial and final state resonance model used to describe the single phonon processes. This indicates that dark excitons in WS2 probed in these experiments are a continuum of states.
Application of these techniques to other monolayer TMDs including heterostructures and gated samples should allow the nature of excitons and their phonons coupling to be elucidated.
1. Del Corro, E. et al. Excited Excitonic States in 1L, 2L, 3L, and Bulk WSe2 Observed by Resonant Raman Spectroscopy. ACS Nano 8, 9629–9635 (2014).
2. Carvalho, B. R., Malard, L. M., Alves, J. M., Fantini, C. & Pimenta, M. A. Symmetry-dependent exciton-phonon coupling in 2D and bulk MoS2 observed by resonance Raman scattering. Phys. Rev. Lett. 114, 1–5 (2015).
3. Yan, R. et al. Thermal conductivity of monolayer molybdenum disulfide obtained from temperature-dependent Raman spectroscopy. ACS Nano 8, 986–993 (2014).
4. Ji, J., Dong, S., Zhang, A. & Zhang, Q. Low-frequency interlayer vibration modes in two-dimensional layered materials. Phys. E Low-Dimensional Syst. Nanostructures 80, 130–141 (2016).
11:30 AM - NM04.13.11
Topological and Electronic Structure of Surface Defects in Van der Waals Layered Black Phosphorus
Mark Wentink 1 , Julian Gaberle 1 , Josef Grenz 2 , Steven Schofield 1 , Neil Curson 1 , Alex Shluger 1 , Alexander Schwarz 2 , Anthony Kenyon 1
1 , University College London, London United Kingdom, 2 , University of Hamburg, Hamburg Germany
Show Abstract
Layered materials, and their 2D counterparts, have increasingly established themselves as a new field of materials with great potential for nanoscale electronic and opto-electronic applications. Van der Waals layered materials consist of sheets of atoms that are covalently bonded in-plane, but only weakly Van der Waals interacting in the out of plane direction. This allows them to be easily separated, and the material to be exfoliated to controllable thicknesses down to one atomic layer. These layered materials provide an excellent platform for studying dimensional confinement of charge carriers, and open up a wide field of nanoscale device applications.
A new addition to the field of Van der Waals layered materials, black phosphorus, benefits from a direct bandgap that is dependent on the thickness of samples and ranges from 0.3eV for bulk to 1.6eV for a monolayer, dubbed phosphorene. The tuneable bandgap makes black phosphorus well suited for nanoscale electronics and opto-electronics.
We present a scanning probe microscopy study of intrinsic defects on the black phosphorus surface and identify their reconstructions and electronic properties.
To enhance the potential of phosphorus based applications, we combine Scanning Tunnelling Microscopy/Spectroscopy (STM/STS) and atomic resolution Atomic Force Microscopy (AFM) to study the topological and electronic structure of surface defects on bulk black phosphorus. We identify intrinsic vacancy defects that act as scattering centres, resulting in regions of enhanced charge carrier density spanning up to 10 unit cells. The enhanced charge density decays significantly faster in the zigzag direction (along atom rows) than in the armchair direction (across atom rows) resulting in a double lobed p-orbital like electronic defect. The anisotropic decay is attributed to the well-established anisotropic charge mobility in each direction. We resolve the atomic structure in both positive and negative applied bias for intrinsic vacancy on the surface layer and show that further vacancies can be categorised by depth. STS in the form of I/V curves and CITS (current imaging tunnelling spectroscopy) maps demonstrate a closing of the 0.3eV bandgap near and on the defect.
By studying the intrinsic defects of black phosphorus, and the local changes in electronic properties they cause, we elucidate some of the challenges that need to be met to ensure the successful implementation of future phosphorus based nano-devices.
NM04.14: Optics and Photonics in 2D and Layered Materials
Session Chairs
Friday PM, December 01, 2017
Hynes, Level 3, Room 312
1:30 PM - *NM04.14.01
Studying the Dielectric Function of 1D and 2D Materials with Angular Resolved Electron Energy Loss Spectroscopy
Frederic Fossard 1 , Etienne Gaufres 1 , Antoine Favron 2 , L. Schué 1 3 , V. Gosselin 2 , M. Côté 2 , L. Sponza 1 , Francois Ducastelle 1 , Richard Martel 4 , Julien Barjon 3 , Annick Loiseau 1
1 Laboratoire d’Etude des Microstructures, ONERA-CNRS, UMR104, Université Paris-Saclay, Châtillon France, 2 Regroupement québécois sur les matériaux de pointe (RQMP) and Département de Physique, Université de Montréal, Montréal, Quebec, Canada, 3 Groupe d’Etude de la Matière Condensée, UVSQ-CNRS, UMR8635, Université Paris-Saclay, Versailles France, 4 RQMP and Département de Chimie, Université de Montréal, Montréal, Quebec, Canada
Show Abstract1D and 2D materials display electronic and optical properties, different from their bulk counterpart and which turn to highly depend on the number of layers and on their environment especially when they are integrated in van der Waals heterostructures. Screening effects may in particular strongly affect electronic features such as band gap, and properties of charge carriers and excitons. It is therefore highly desirable to investigate intrinsic properties of free-standing layers. Angular resolved Electron energy loss spectroscopy (EELS) implemented in Transmission Electron Microscopy (TEM) offers a unique way to investigate dielectric response of free-standing layers related to valence band and plasmon excitations with the advantage to get access to their q dispersion and their symmetry properties. In this talk, we shall review the capabilities of this technique implemented in a dedicated TEM machine equipped with a monochromator and in column energy filter. We shall consider applications of the technique to three particular examples: hexagonal boron nitride (h-BN), which is a wide band gap semiconductor (~ 6.5 eV) [1,2], P(black) thin layers [3, 4] and boron nitride nanotubes filled with molecules [5].
[1] F. Fossard et al, Phys. Rev. B 96, 115304 (2017)
[2] L. Sponza et al, arXiv:1709.07397v1 (2017)
[3] A. Favron, E. Gaufres et al Nature Mat. 14 (2015) 826.
[4] E. Gaufres et al, in preparation (2017)
[5] E. Gaufres et al, under submission (2017)
2:00 PM - NM04.14.02
Atomic Scale Heterostructures—Controlling the Reststrahlen Band with Superlattice Design
Ioannis Chatzakis 1 , Daniel Ratchford 1 , Christopher Winta 2 , Nikolai Passler 2 , Pratibha Dev 3 , D. Scott Katzer 1 , Ilya Razdolski 2 , Chase Ellis 1 , Joseph Tischler 1 , Igor Vurgaftman 1 , Thomas Reinecke 1 , Alexander Giles 1 , Nabil Bassim 1 , Jonathan Winterstein 1 , Rhonda Stroud 1 , Neeraj Nepal 1 , Martin Wolf 2 , Alex Paarmann 1 , Joshua Caldwell 1
1 , U.S. Naval Research Laboratory, Washington D.C., District of Columbia, United States, 2 Physikalische Chemie, Fritz-Haber-Institut der MPG, Berlin Germany, 3 Department of Physics and Astronomy, Howard University, Washington, DC, District of Columbia, United States
Show AbstractThe sub-diffractional confinement of light is currently one of the main tasks in modern photonics. Plasmon polariton-based devices have successfully demonstrated sub-diffractional confinement over a broad spectral range but suffer from high optical losses. Polar dielectrics and semiconductors (e.g. GaN, SiC and other materials with ionic bonds) that can support surface phonon polariton modes offer a promising alternative to plasmonic-based devices. These modes are collective oscillations that originate from the coupling of photons with ionic vibrations in these materials. Surface phonon polariton modes can be generated along a polar dielectric interface within a certain spectral range called the Reststrahlen band (RB) bounded by the transverse and longitudinal optical phonon frequencies. Over this spectral region, polar dielectrics are highly reflective due to a negative real part of the dielectric function. Low phonon-phonon scattering rates lead to long-lived polaritons and high Q’s for localized phonon polariton modes. The combination of multiple layers of polar dielectrics with atomic-scale thickness can strongly modify the optical phonons and produce interface modes that are not present in the constituent bulk materials. Such hybrid phonons will occur at different frequencies from bulk phonon modes and exhibit some variation in the lifetime. By controlling the thicknesses of the layers and the superlattice period, the infrared (IR) dielectric function of the new so-called ‘crystalline hybrid’ material can in principle be modified within material-derived constraints. Here we examine the potential for realizing these hybrids and the flexibility in their design using short-period AlN/GaN superlattices with layer thicknesses ranging from 2-4 nm, grown on a SiC substrate using molecular beam epitaxy. We employ IR second-harmonic-generation spectroscopy to study the phonon polaritons within the hybrid material. The modification of the phonon modes results in a RB that is broader than the combination of the AlN and GaN constituents and cannot be explained using effective-medium theory or AlGaN alloying behavior. In particular, we observe non-monotonic behavior as a function of the AlN to GaN thickness ratio of the phonon modes frequencies at 585 cm-1 and 625 cm-1 and a clear blue shift of the mode at ~ 730 cm-1. In contrast, all the above-mentioned phonon modes in the AlN/GaN superlattice are predicted to blue shift by increasing the AlN to GaN thickness ratio. This work demonstrates that both a tailored dielectric function and direct control of the RB of the heterostructure can be realized. The extreme spatial confinement of phonon polaritons provides the foundation for realizing next-generation nanophotonic devices in the IR spectral range.
*Current address: Materials Science & Engineering McMaster University Hamilton, Ontario Canada
-Current address: Micron.com
2:15 PM - NM04.14.03
Disorder Modifies Valleytronics in Transition Metal Dichalcogenides
Akshay Singh 1 2 , Kha Tran 2 , Joe Seifert 2 , Yiping Wang 2 , Kai Hao 2 , Jing-Kai Huang 3 , Lain-Jong Li 3 , Takashi Taniguchi 4 , Kenji Watanabe 4 , Xiaoqin Li 2
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Physics, University of Texas at Austin, Austin, Texas, United States, 3 Physical Science and Engineering Division, King Abdullah University of Science and Technology, Saudia Arabia (KAUST), Thuwal Saudi Arabia, 4 Namiki, National Institute of Material Science, Ibaraki Japan
Show AbstractTwo dimensional Transition metal dichalcogenide (2D-TMDs) semiconductors have exceptional optoelectronic properties including enhanced luminescence and high optical absorption. In these materials, excitons (Coulomb bound electron-hole pairs) are the fundamental optical excitations and have large binding energies, rendering them relevant for room temperature applications. A unique aspect of the band-structure in TMDs is the direct bandgap at the finite momentum K (and -K) point which is coupled to the up (down) spin, thus resulting in spin-valley coupling protected against scattering.
The distinctive band structure has implications for valleytronics, where the valley index (energy minima) plays the role of spin in spintronics. For valleytronics, two figures of merit are valley polarization (VP) and valley coherence (VC). VP determines the reliability of valley index, while VC determines the ability to optically manipulate the valley index. However, a key missing ingredient is the way to quantify effect of disorder and defects on valleytronics, and extend it to differently prepared materials.
Here, we experimentally investigate how VP and VC change in the presence of disorder. We specifically focus on Tungsten Diselenide (WSe2), a model TMD which shows high degree of exciton VP and VC. We use a continuous laser to generate excitons in a particular valley (circularly polarized light) or in a coherent superposition of two valleys (linearly polarized light). Readout of VP or VC is realized by measuring circular or linear polarization of photoluminescence (PL). Remarkably, VC increases across the inhomogeneously broadened exciton resonance and then plateaus, while VP remains constant. The low (high) energy side of exciton resonance is associated with weakly localized (delocalized) excitons. Thus, we find that disorder plays a critical role in the exciton VC, while minimally affecting VP.
Further, we measure valley properties for different monolayer samples with different levels of disorder. The disorder is characterized by Stokes Shift (SS), i.e. spectral shift between exciton resonances measured in PL and absorption. VC consistently decreases in samples with higher disorder (higher SS) while VP does not follow any recognizable trend. We measure a high value for VC ~ 70% in the highest quality WSe2 sample (hexagonal boron nitride encapsulated monolayer WSe2). On the other hand, a low value of ~ 25% is measured in the lowest quality WSe2 sample.
Our observations suggest that shallow disorder potentials resulting from strain and defects have a critical effect on the exciton VC. Importantly, the experiments provide a simple and intuitive way to measure disorder, which is crucial for valleytronics and quantum computation. This also provides a method to improve material quality for optoelectronics.
2:30 PM - NM04.14.04
Photoluminescence Engineering in Transition Metal Dichalcogenides Induced by Noble Gas Adsorption (Strain and Defects Activation)
Jose Renato Cunha 1 , Kazunori Fujisawa 2 , Bartolomeu Cruz Viana Neto 3 , Tianyi Zhang 2 , Ana Laura Elias 2 , Nestor Perea Lopez 2 , Paulo Araujo 4 , Mauricio Terrones 2
1 , Federal University of Para, Cametá Brazil, 2 Physics, The Pennsylvania State University, State College, Pennsylvania, United States, 3 Physics, Federal University of Piaui, Terezina, Piaui, Brazil, 4 Physics, The University of Alabama, Tuscaloosa, Alabama, United States
Show AbstractMonolayer or few-layer transition-metal dichalcogenides (TMDs), an important class of two dimensional (2D) layered materials, have attracted extensive attentions due to their interesting electronic and optical properties. Here, we report the effect of noble gas adsorption on both suspended WS2 and MoS2 monolayers. We investigate both the evolution of the Raman spectra and the changes in the photoluminescence (PL) emission of these 2D materials at 20K before and after the noble gas adsorption. In summary, we observe that the frequency (peak positions) of Raman features redshift with different magnitudes for both WS2 and MoS2. The PL emission energies undergo redshifts of 20 meV and 18 meV for WS2 and MoS2, respectively. We also observe a pronounced decrease in main PL peak (which is related to free excitons) intensity from both WS2 and MoS2 monolayers. Surprisingly, in the case of WS2 we note that the secondary XB PL peak (related to bound excitons) becomes significantly more intense after interaction with the noble gas adlayers. We hypothesize that the changes we are observing are related to biaxial strains, which are applied in the TMDs layers by the noble gas adlayers adsorbed into their surfaces. Our results are in good agreement with first-principles calculations, which indicate that biaxial strains are indeed the main contributors to the spectral modifications observed here.
2:45 PM - NM04.14.05
Anisotropic Functionalization of CVD-Grown MoS2 Nanosheets via Substrate Pattern Design
Christian Martella 1 2 , Carlo Mennucci 3 , Eugenio Cinquanta 4 , Andrea Camellini 4 , Giuseppe Della Valle 4 , Margherita Zavelani Rossi 5 , Emmanuele Cappelluti 2 , Francesco Buatier de Mongeot 3 , Alessio Lamperti 1 , Alessandro Molle 1
1 , CNR Institute for Microelectronics and Microsystems, Agrate-Brianza Italy, 2 , Istituto dei Sistemi Complessi (ISC)-CNR, Roma Italy, 3 Dipartimento di Fisica, Università di Genova, Genova Italy, 4 Dipartimento di Fisica, Politecnico di Milano, Milano Italy, 5 Dipartimento di Energia, Politecnico di Milano, Milano Italy
Show AbstractMorphology and physical properties are mutually cross-related in two-dimensional (2D) materials. As a consequence, the manipulation of the spatial profile in 2D nanosheets is a promising way to trigger novel functional properties. 2D MoS2 is a suitable candidate to study the implications of these manipulations at the 2D level. For instance, a modification of the electronic bandstructure can be induced at the local scale by mechanically wrinkling MoS2 nanosheets [1]. Moreover, the anisotropy of the spatial deformations introduces an additional degree of freedom for the functionalization of the opto-electronic response along arbitrary spatial directions [1].
Taking advantage from highly conformal chemical vapor deposition (CVD) approach for the MoS2 growth [2], we forced the MoS2 nanosheets to replicate the anisotropic rippled profile of a nanopatterned substrate [3]. We show that the anisotropic morphology dramatically affects the opto-electronic properties of the rippled MoS2. At the nanoscale, both localization of strain and charge modulation are observed in the MoS2 nanosheets as a function of the surface profile modulation, causing substantial red shifts of the phonon mode frequencies and a topography-dependent distribution of the electronic workfunction, respectively. At the macroscale, a strong anisotropic redistribution of the phonon scattering intensity is observed for polarization of the incident light orthogonal to the ripple axis. Furthermore, ultrafast transient optical spectroscopy shows a polarization dependent response for the electron-phonon scattering decay and hints at the possibility of anisotropy-related exciton engineering.
Our approach paves the way to a controllable and versatile tuning of the physical properties at the 2D level via substrate pattern design. A wealth of technological fields, ranging from nano-photonics and electronics to catalysis, may benefit from the anisotropy engineering of 2D materials.
[1] A. Castellanos-Gomez et al., Nano Lett. 2013, 13, 5361.
[2] S. Vangelista et al., Nanotechnology 2016, 27, 175703
[3] C. Martella et al., Adv. Mater. 2017, 29, 1605785.
3:30 PM - *NM04.14.06
Cinematography of Charge—Making Movies of Electrons in Motion in 2D Semiconductors
Keshav Dani 1
1 , Okinawa Institute of Science and Technology, Okinawa Japan
Show AbstractThe flow of electrons in materials drives much of technology today – from our ability to generate electricity from light in solar cell devices, to transistors in modern computing machines. The ability to directly image the flow of this charge promises to deepen our understanding of the fundamental processes involved and impact future technology, particularly in the context of 2D van der Waals materials and their heterostructures. However, imaging the motion of charge requires sophisticated techniques with simultaneous high spatial and high temporal resolution. By combining the femtosecond temporal resolution offered by ultrafast optical techniques, with the nanoscale spatial resolution afforded by photoemission electron microscopy, we make a movie – lasting just a few trillionths of a second, of the motion of electrons after light is absorbed in a type-II heterostructure made of InSe and GaAs. We thus capture the essence of a very important 21st century technology – the motion of photoexcited electrons in a solar cell structure. We will end by discussing how our movie and the technique in general can contribute to future understanding of physical phenomena at the nano-, femto- scale in 2D materials.
4:00 PM - NM04.14.07
Negative Circular Polarization of Interlayer Exciton in Epitaxially Grown WSe2/MoSe2 Heterobilayers
Wei-Ting Hsu 1 , Li-Syuan Lu 1 , Po-Hsin Wu 1 , Ming-Hao Lee 2 , Peng-Jen Chen 3 , Pei-Ying Wu 1 , Yi-Chia Chou 1 , Horng-Tay Jeng 4 , Lain-Jong Li 5 , Ming-Wen Chu 2 , Wen-Hao Chang 1
1 Department of Electrophysics, National Chiao Tung University, Hsinchu Taiwan, 2 Center for Condensed Matter Sciences, National Taiwan University, Taipei Taiwan, 3 Institute of Physics, Academia Sinica, Taipei Taiwan, 4 Department of Physics, National Tsing Hua University, Hsinchu Taiwan, 5 Physical Sciences and Engineering, King Abdullah University of Science and Technology (KAUST), Thuwal Saudi Arabia
Show AbstractCoupled spin and valley degrees of freedom in monolayer semiconductors, such as transition metal dichalcogenides, play a central role in developing valley-based electronic and optoelectronic devices. Van der Waal heterobilayers formed by different monolayer semiconductors that can host valley-specific interlayer excitons further enrich the spin-valley coupling of electrons and holes localized in different layers. However, since mechanically stacked heterobilayers are incommensurate with inevitable interlayer twist and translationan, an important but yet unexplored question is how the lattice registration affects the interplay of spin and valley degrees of freedom in different layers. Here we report on an unusual polarization property of valley-specific interlayer excitons in epitaxially grown WSe2/MoSe2 heterobilayers with different stacking configurations. Our samples were grown on sapphire substrates by chemical vapor deposition, containing WSe2/MoSe2 heterobilayers with parallel (R) and antiparallel (H) stacking, which have been identified by optical second harmonic generation and annular dark field scanning transmission electron microscopy. We observed circularly polarized photoluminescence from interlayer excitons in R-stacked heterobilayers with an opposite helicity to the optical excitation. A high degree of circular polarization up Pc≈-22% can be achieved by using resonant excitation of valley excitons in MoSe2. The observed negative circular polarization arises from the quantum interference of interlayer transition dipoles imposed by the lattice registration between the WSe2 and MoSe2 layers, giving rise to very different polarization selection rules for interlayer excitons with different valley configurations. The reversed optical helicity was not observed for interlayer excitons in H-stacked heterobilayers, indicating that valley-specific interlayer excitons are formed predominantly through spin-conserving interlayer charge transfer. Our work demonstrates that the lattice registration in van der Waals heterostructures emerges as a new degree of freedom for controlling the polarization properties of valley-specific excitons.
4:15 PM - NM04.14.08
Light-induced Terahertz Phonons in the Td Phase of Multilayered Mo1-xWxTe2 Probed via Femtosecond Electron Diffraction
Clara Nyby 1 , Ehren Mannebach 1 , Nathan Finney 2 , Daniel Rhodes 2 , Renkai Li 4 , Luis Balicas 3 , James Hone 2 , Xijie Wang 4 , Tony Heinz 1 4 , Aaron Lindenberg 1 4
1 , Stanford University, Stanford, California, United States, 2 Mechanical Engineering, Columbia University, New York City, New York, United States, 4 , SLAC National Accelerator Laboratory, Menlo Park, California, United States, 3 , National Magnetic Field Laboratory, Tallahassee, Florida, United States
Show AbstractUnderstanding non-equilibrium lattice dynamics in solids can provide key insights into processes such as structural phase transitions. Here, we probe the lattice dynamics of the layered transition metal dichalcogenide alloy Mo1-xWxTe2 (x=0.18) in response to optical excitation via ultrafast electron diffraction (UED) at room temperature. We observe large amplitude interlayer shear oscillations as well as long-lived acoustic breathing oscillations. This large amplitude, coherent shear motion occurs along the phase transition pathway between the orthorhombic and monoclinic phases of the material, of which the orthorhombic phase (Td phase) has recently been proposed as a Weyl type II semimetal. These findings are a step towards designing a topological phase change material as well as the first direct observation of an interlayer shear mode in a quasi-2D material with a structural probe.
4:30 PM - NM04.14.09
Optical Properties and Carrier Dynamics in Monolayer TMDCs
Michael Lorke 1 , Alexander Steinhoff 1 , Matthias Florian 1 , Christian Carmesin 1 , Daniel Erben 1 , Malte Roesner 2 1 , Tim Wehling 1 , Frank Jahnke 1
1 , University of Bremen, Bremen Germany, 2 , University of Southern California, Los Angeles, California, United States
Show AbstractAtomically thin two-dimensional semiconductors are of strong current interest
for applications as well as for fundamental studies. For opto-electronic applications like displays and photovoltaics,
transition-metal-dichalcogenides (TMDs) are an appealing system, as they combine great physical strength with
high carrier mobility and an direct optical band gap. To determine the opto-electronic properties under device operation conditions, it is important to consider both the optical properties as well as the relaxation dynamics of excited carriers.
In these two-dimensional atomically thin semiconductors, the Coulomb interaction is known to be much stronger than in quantum wells of conventional semiconductors like GaAs, as witnessed by the 50 times larger exciton binding energy. The
question arises, whether this directly translates into equivalently faster carrier-carrier Coulomb scattering of excited carriers. We answer this question via a microscopic approach, taking into account the dynamics over the full brillouin zone.
The other main source of carrier kinetics is the interaction of the excited carriers with phonons, that has been shown
experimentally to cause efficient carrier scattering in TMDs. To analyze carrier-phonon scattering, we solve kinetic equations, based on ab-initio carrier-phonon interaction matrix elements, both for carriers and phonons, thereby including heating effects due to the excitation of non-equilibrium phonons.
We analyze the optical properties and carrier dynamics for electrons and holes in MoS2 due to the interaction via
the carrier-carrier Coulomb interaction as well as due to carrier-phonon interaction. Ultrafast carrier relaxation on a
timescale faster than 100fs demostrating fast carrier dynamics, which is accompanied by the generation of non-equilibrium phonons. This process is followed by carrier cooling on a timescale of about 1ps, which is consistent with recent experimental findings. We also address the question in which regimes excited carriers in these two-dimensional materials exist primarily in the form of free electrons and holes or as bound excitons.