Symposium Organizers
Robert Kaplar, Sandia National Laboratories
Mitsuru Funato, Kyoto University
Matteo Meneghini, University of Padova
Rachael Myers-Ward, U.S. Naval Research Laboratory
EM04.01: III-Nitride Electronics I
Session Chairs
Monday PM, November 27, 2017
Hynes, Level 1, Room 101
8:45 AM - *EM04.01.01
High Voltage GaN on Silicon HEMT Performance and Reliability—Influence of the Epitaxy Material
Alain Charles 1 , Sameh Khalil 1 , Mohamed Imam 1 , Peter Kim 1 , Shyam Hardikar 2 , Florin Udrea 3 , Giorgia Longobardi 3 , Dario Pagnano 3
1 , Infineon Technologies America Corp, El Segundo, California, United States, 2 , Infineon Technologies AG, Regensburg Germany, 3 , University of Cambridge, Cambridge United Kingdom
Show AbstractThanks to the superior intrinsic material properties of Gallium Nitride, 600V capable GaN on Silicon based High Electron Mobility Transistors (HEMT) have shown promising potential for power applications since the confirmation of a 2 dimensional electron gas at the AlGaN/GaN interface in 1992.
After more than a decade of development work, and despite the many claims, wide adoption of High Voltage (HV) GaN HEMT devices is still yet to materialize to its full potential. The reasons for the delay are mainly linked with the new material learning and in particular its effect on the device performances, reliability and robustness in the application. Beside performance and reliability, cost parity with incumbent silicon devices at the same On resistance value is also a must, and a large part of the component cost is coming from the hetero epitaxy. Therefore, mastering the hetero epitaxy of GaN-on-Silicon is the key to success.
Contrary to Silicon and SiC based power devices, the HV GaN HEMT design and its process technology do not rely on introducing dopant impurities through ion implantation and thermal diffusion processes to optimize the device performance. Instead they rely on the formation of a 2 dimensional electron gas at the hetero junctions, differences in spontaneous polarizations and bulk and surface donors.
Therefore the burden of the design falls, to a great extent, on the design and growth conditions of the Epi stack and its quality. The paper will show our early learning on the GaN material and will show how changing stack properties and layers were affecting the device performances, in this case a normally-on type device, and its reliability. The study also shows that some of the spatial signature observed at device level could not always be correlated with most of epi metrology used by the epi grower. The study shows how it is a challenge to keep a reasonable cost for epi stack while still achieving the required level of quality and uniformity. Finding the right compromise is the key in achieving the cost performance paradigm.
The learning of all these past years, combined with the improvement of the device by moving away from depletion mode toward enhancement -mode Gate Injection type device has now brought the high Voltage GaN technology for power closer to meet all the elements required to make it successful in the market place. Effort to define reliability standards that are not silicon material based but rather fully defined by GaN material properties is also a key to bring standardization and users’confidence. The paper will show some of the key findings. Nevertheless the need for better material quality and at a cheaper unit cost will not subside.
9:15 AM - *EM04.01.02
Improved Wet-Etching Processes for GaN-Based Electron Devices
Taketomo Sato 1 , Keisuke Uemura 1 , Tamotsu Hashizume 1
1 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo Japan
Show AbstractGaN-based electron devices can be a potential replacement for Si-based devices on power electronic circuits and systems. For example, AlGaN/GaN high-electron-mobility transistors (HEMTs) have attractive features, such as a high blocking voltage yet low on-resistance [1], which are useful for the reduction of electric-power consumption in power-switching system. In most cases, the plasma process such as the dry-etching process has been used for the fabrication of GaN-based electronic devices since the wet-etching process is not applicable due to the chemical stability of group-III nitrides. However, dry-etched surfaces are generally negatively affected by various types of damages [2], which may lead to degradation of the device’s performance [3]. Moreover, unintentional variations in the etch-depth make it difficult to precisely control the device parameters such as threshold voltage (Vth).
In this paper, we focus on the study of the low-damage wet-etching for GaN and AlGaN surface using a photo-electrochemical (PEC) reaction. The PEC etching is a cyclic process consisting of anodic oxidation and subsequent dissolution of the resulting oxide in an electrolyte. Recently, we have developed the photocarrier-regulated electrochemical (PREC) process for recess etching of AlGaN/GaN hetero-structure [4]. In this process, the etching features were drastically changed with both the light wavelength and its irradiation power. Under the optimal condition, we obtained a very slow etching-rate of 0.12 nm/min, leading to a smooth and flat surface with rms-roughness of 0.4 nm. In addition, the self-termination of the etching depth was realized, where the etching depth can be precisely controlled by the irradiation power of a monocromatic light.
From the capacitance-voltage (C-V) characteristics of Schottky gate formed on the AlGaN/GaN structure after the PREC etching, the Vth and saturated capacitance value increased by thinning the AlGaN layer. These experimental data were well reproduced by the theoretical ideal curve. The Vth of AlGaN/GaN HEMT was shifted from -2.42 to +0.20 V by applying the PREC etching of 17 nm. The gm of the recessed-gate HEMT showed larger value than that of the planar-gate HEMT. These results indicate that the PREC etching is a powerful process to realize the normally-off operation in AlGaN/GaN HEMTs without any processing damage.
References:
[1] Y. Uemoto , M. Hikita , H. Ueno , H. Matsuo , H. Ishida , M. Yanagihara , T. Ueda , T. Tanaka , and D. Ueda , IEEE Trans. Electron Devices 54, 3393 (2007).
[2] T. Hashizume, and R. Nakasaki, Appl. Phys. Lett. 80, 4564 (2002).
[3] R. S. Qhalid Fareed , X. Hu , A. Tarakji , J. Deng , R. Gaska , M. Shur , and M. A. Khan , Appl. Phys. Lett. 86, 143512 (2005).
[4] Y. Kumazaki, K. Uemura, T. Sato, and T. Hashizume, J. Appl. Phys., 121, 184501 (2017).
9:45 AM - EM04.01.03
Epitaxial Lift-Off from Bulk GaN Wafers for Substrate Reuse and Cost Reduction
Robert McCarthy 1 , Chris Youtsey 1 , Rekha Reddy 1 , Andy Xie 2 , Edward Beam 2 , Jingshan Wang 3 , Patrick Fay 3 , Eric Carlson 4 , Louis Guido 4
1 , MicroLink Devices, Inc., Niles, Illinois, United States, 2 , Qorvo, Richardson, Texas, United States, 3 Department of Electrical Engineering, University of Notre Dame, Notre Dame, Indiana, United States, 4 , Virginia Polytechnic Institute and State University, Blacksburg, Virginia, United States
Show AbstractDespite tremendous progress for gallium nitride (GaN) lighting and radio-frequency electronics, progress has been slow for GaN vertical power transistors. These reach high voltage operation (multi kV) with very low on resistances due to the high critical breakdown electric field compared to silicon. They can enable substantial improvements in efficiency for numerous power electronic applications. However, vertical GaN devices require a low dislocation density for high performance and reliability, which is enabled through epitaxial growth on native bulk GaN substrates. Bulk GaN wafers are still expensive and in relatively short supply. At MicroLink Devices, we’ve developed a technique for the epitaxial lift-off (ELO) of GaN foils from bulk GaN wafers. After ELO, full 2” wafers have been cleaned and repolished to make an epi-ready surface. PN junction devices fabricated on prime wafers and reclaimed wafers have shown comparable performance. To our knowledge, this represents the first successful reuse of a bulk GaN substrate after ELO.
For ELO, an InGaN release layer is grown on the wafer followed by the epitaxial device layers. After device fab, a metal support layer (MSL) is deposited to encapsulate the top surface. Cross-shaped perforations in the MSL formed between devices accelerate lift-off across the wafer. The GaN epi-layers in the perforations are etched vertically down to the release layer via photoenhanced wet etching. Then, the backside of the wafer is illuminated with photons of wavelengths longer than 365 nm that transmit through the higher bandgap GaN substrate, but are absorbed in the lower bandgap InGaN for etching. After ELO, the GaN epitaxial layers, devices, and the MSL are bonded to a new substrate for improved heat transfer and electronic properties. The MSL is then selectively etched away. After ELO, the GaN wafer can be cleaned and repolished to achieve an epi ready surface. X-ray rocking curves have similar appearances and FWHM values before and after reclaim. AFM images show smooth surfaces after repolishing (RMS roughness <0.15nm). Epitaxial growth on the reclaimed wafer produces no discernible defects and shows comparable morphology to prime wafers. High-voltage PN diodes have similar electronic properties on prime and reclaimed wafers (3V turn on-voltage, ideality factor near 1.2 at high forward voltages, breakdown voltages near 400V).
The GaN ELO process can readily be scaled up to production levels. Utilizing a perforation pattern allows for more rapid release of large wafer sizes (4” foils have been lifted from GaN on sapphire). Our cost model suggests potential for an order of magnitude cost reduction in vertical GaN power transistors grown on bulk GaN wafers due to substrate reuse and die-size reduction due to improved thermal properties after transfer to new, low-cost substrates. ELO and substrate reuse could enable the significant cost reduction required to make GaN competitive in the power electronics market.
10:30 AM - *EM04.01.04
Dynamic Effects and Failure Mechanisms of Lateral and Vertical Gallium Nitride Power Devices for Switching Applications
Enrico Zanoni 1 , Matteo Meneghini 1 , Gaudenzio Meneghesso 1 , Carlo de Santi 1
1 , University of Padova, Padova Italy
Show AbstractThanks to the high breakdown field of GaN and the good transport properties, GaN devices are excellent candidates for application to high efficiency compact power systems. 650 V, 60A GaN HEMTs have been announced, and higher voltage devices are under development. Different structures have been proposed, including depletion-mode Schottky gate HEMTs to be coupled with Si MOS drivers in a cascode configuration, or enahncement-mode recessed-gate MOSFETs, or p-gate enhancement mode GaN HEMTs. Growth on mismatched substrates, as Si, may induce defects resulting in trapping and dynamic on-resistance effects. High-voltage operation and generation of hot carrier during hard switching may potentially affect device reliability. This paper will review main failure mechanisms of power GaN HEMTs; these include time-dependent breakdown phenomena, occurring in the dielectric layers, or vertically between drain and substrate, or at the gate stack; bias temperature instabilities of the threshold voltage; increase of leakage current and worsening of dynamic on-resistance effects Data on the stability and dynamic behaviour of GaN-on-GaN vertical fin Field Effect Transistors will be also discussed.
11:00 AM - *EM04.01.05
Passivation and Gate Dielectrics to Enable High Performance AlGaN/GaN HEMTs with Low Dynamic On-Resistance, High Breakdown Voltage and Enhancement Mode Operation
Andrew Koehler 1 , Travis Anderson 1 , Marko Tadjer 1 , David Shahin 2 , Virginia Wheeler 1 , Karl Hobart 1 , Fritz Kub 1
1 , Naval Research Laboratory, Washington, District of Columbia, United States, 2 , University of Maryland, College Park, College Park, Maryland, United States
Show AbstractEfficient passivation and gate dielectrics are essential to harnessing the full performance benefits of AlGaN/GaN high electron mobility transistors (HEMTs). Plasma enhanced chemical vapor deposition (PECVD) SiN is commonly used as a surface passivation layer and can be effective if optimized. Approaches to optimize mixed frequency PECVD SiN passivation to minimize dynamic on-resistance and extend the breakdown voltage will be discussed. In addition, MOS gate structures using ALD-deposited ZrO2 to reduce the gate leakage current and allow for enhancement mode operation will be presented. Varying the ALD precursor, the amount of fixed oxide charge can be controlled allowing for a modulation of threshold voltage over a 7 V range, when combined with a gate recess process. Enhancement mode operation with a threshold voltage of +3.99 V is achieved by combining a gate recess and ZrO2 gate oxide with fixed charge.
11:30 AM - EM04.01.06
Time-Dependent Dielectric Breakdown of Atomic-Layer-Deposition Al2O3 Films Formed on GaN
Atsushi Hiraiwa 1 2 , Toshio Sasaki 1 , Satoshi Okubo 1 , Hiroshi Kawarada 1
1 , Waseda University, Tokyo Japan, 2 , Nagoya University, Nagoya Japan
Show AbstractAlthough the commercial shipment of GaN power devices has already started, there still remain challenges for further promotion of their widespread use. A challenge is to improve their reliability especially by reducing gate insulator breakdown and various instabilities such as the current collapse. To achieve this, atomic-layer-deposition (ALD) Al2O3 films are the most promising gate-insulation, passivation layer, because of their high thermal conductivity (0.2 Wcm-1K-1), high dielectric constant (9), and large bandgap (7 eV) together with good uniformity and reproducibility owing to the ALD self-limiting process [1]. To make the Al2O3 films really effective for this application, the ALD conditions need to be optimized from a view point of reliability. The purpose of this study is to achieve this, based on our previous studies carried out on Si [2] and utilizing the space-charge-controlled field emission (SCC-FE) analysis [3].
First, we comprehensively clarify the effect of ALD conditions (temperature, oxidant) on the leakage current in the Al2O3 films and find that low temperature (LT, 200°C here) ALD using O3 oxidant is the most effective in reducing the leakage current in the Al2O3 films. According to the SCC-FE analysis, the small current is achieved by the effectively reduced (< 0.5 eV) electron affinity of the LT O3-grown films, which is supposedly caused by the negative charges trapped in the underlying Ga2O3 film formed during ALD. The O3 ALD, however, causes a large flat-band voltage shift of Al2O3 metal-insulator-semiconductor (MIS) capacitors due to the negative charges.
Secondly, we extensively investigate the time-dependent dielectric breakdown (TDDB) characteristics of the Al2O3 MIS capacitors. The current during the constant-voltage stressing gradually decreases with time but shows no trace of soft breakdowns. Most capacitors exhibit wear-out breakdown characteristics with a narrow distribution of times to breakdown, only few extrinsically failing. When measured at room temperature, the Al2O3 films formed by high temperature (HT, 450°C here) O3-oxidant ALD have the longest TDDB lifetime projected to the rated voltage (equivalent SiO2 field of 4 MV/cm). By contrast, when measured at 200°C (reliability requirement), the Al2O3 films formed by HT H2O-oxidant ALD have the longest lifetime. Additionally, the latter conveniently causes the smallest flat-band voltage shift. Therefore, the HT H2O-oxidant ALD is the most promising technology for forming high-reliability gate insulation, passivation layers. Still, the Al2O3 lifetime by this technology barely reaches the target (10-20 years under the standard operation condition) and, therefore, requires further improvement.
This research is supported by the Ministry of Education, Culture, Sports, Science and Technology (MEXT), Japan.
[1] T. Suntola, Mater. Sci. Rep. 4, 261 (1989).
[2] A. Hiraiwa, et al., J. Appl. Phys. 120, 084504 (2016).
[3] A. Hiraiwa, et al., ibid. 119, 064505 (2016).
11:45 AM - EM04.01.07
Polarization Engineering toward Normally-Off GaN-Based DHEMTs with nid-GaN Gate Layer
Fouad Benkhelifa 1 , Stefan Müller 1 , Vladimir Polyakov 1 , Lutz Kirste 1 , Oliver Ambacher 2
1 , Fraunhofer IAF, Institute for Applied Solid State Physics, Freiburg Germany, 2 , Department of Microsystems Engineering (IMTEK), Albert Ludwig University, Freiburg Germany
Show AbstractOwing to the excellent electrical characteristics such as high breakdown voltage and low on-resistance, AlGaN/GaN based high electron mobility transistors (HEMTs) are promising candidates for the next generation power switching devices. Indeed, the demand for GaN-based converters and inverters is already well established for the automotive and industrial control industries. Conventional GaN-based devices are normally-on type, whereas for the industry normally-off type devices are indispensable. Normally-off operation is required for fail safe operation, noise margin, lower power consumption and simplicity in the drive circuit design.
Several techniques toward normally-off operation have been applied. Among them for depleting the 2DEG, perform a recess or plasma ion treatments (F-ions, O-ions). Other approach intends to lift-up the conduction band energy level above the Fermi level by growing a p-GaN or p-AlGaN or p-InGaN semiconductor layer above the Schottky barrier in the gate region.
This paper reports on polarization charge engineering to realize a normally-off GaN-based HEMT. Neither p-doping of the semiconductor nor recess in the gate region is used. All semiconductors layers involved in the epi-structure are non-intentionally doped (nid).
Our epi-ready normally-off structure consists of a double heterostructure AlxGa1-xN/GaN/AlyGa1-yN (DHEMT) on which a thick nid-GaN semiconductor (gate layer) is grown. The net negative polarization charge generated at the GaN gate layer / AlxGa1-xN Schottky barrier and at the GaN channel / AlyGa1-yN back barrier interfaces serve to lift-up the conduction band energy above the Fermi level, and hence deplete the 2DEG in the channel which turns in a positive threshold voltage (Vth) of the transistor. By etching the nid-GaN in the ungated regions, we restore the 2DEG in the channel, thereby reducing the resistivity in the access and ohmic regions. This novel design results in a normally-off type transistor.
Our simulation have shown that the positive Vth and the electron sheet carrier density (ns) in the channel can be settled by varying the thicknesses of the gate layer, channel layer, Schottky barrier, and the aluminum content in the different barriers.
Within this work we have fabricated DHEMTs based on an Al0.26Ga0.74N/GaN/Al0.07Ga0.93N epi-structure capped with a 40 nm nid-GaN gate layer. As grown, the normally-off epi-structure has a sheet resistance of 45 kΩ/sq. After etching back the GaN gate layer, the DHEMT epi-structure has a sheet resistance of 770 Ω/sq. The 200 µm gate width transistor exhibits a 2 V threshold voltage and a drain-source current density of 290 mA/mm. An ON/OFF current ratio of 108 at a gate voltage of 7 V and an off-state breakdown voltage of 600V were also measured. Thus we believe the newly design epi-structure can be applied in energy-efficient power conversion systems.
EM04.02: III-Nitride Electronics II
Session Chairs
Monday PM, November 27, 2017
Hynes, Level 1, Room 101
1:30 PM - *EM04.02.01
Thermal Transport in Wide Bandgap Materials for Power Electronic Applications
Samuel Graham 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractThe development of wide bandgap electronics has the potential to dramatically increase the efficiency and reduce the overall form factor of power electronic devices. These materials, with a bandgap of at least 3.4 eV or greater, all have shown high theoretical figures of merit (e.g., Baliga FOM), but have a large range of thermophysical properties that can greatly effect their potential to be adopted in power electronics applications. Understanding their temperature dependent thermal properties, factors that influence these properties, and implications on thermal management strategies are necessary to create efficient and reliable devices with these materials.
In this talk we will present temperature dependent thermal conductivity measurements of GaN and Ga2O3, and diamond materials that are all under development for power switching applications. Thermal conductivity measurements were made using time domain thermoreflectance under controlled environmental conditions between 100 - 500K. Measurements of sample doping and defects levels were performed using TEM, AFM, and SIMS analysis. For GaN materials, growth using plasma enhanced MBE, MOCVD, as well as HVPE were compared. It is shown that the control of oxygen vacancies and threading dislocations through interfacial engineering helped to produce materials with thermal conductivies that ranged from 190 - 260 W/mK at room temperature. For Ga2O3, much lower thermal conductivities were obtained, being on the order of 27 W/mK at room temperature and strongly impacted by high doping levels. Finally, for diamond samples, a large range in thermal conductivity from 500 - 1500 W/mK was observed for films with grain size and doping levels playing a major role. To demonstrate the impact that these properties will have on device thermal performance, both finite element simulations and/or actual mesurements of devices (e.g., PIN diodes) were performed to demonstrate thermal management challenges. Finally, prospects for their use in power architectures and how to overcome these limitations will be discussed.
2:00 PM - EM04.02.02
AlGaN/GaN HEMTs on Copper for Enhanced Thermal Performance
Anthony Cibié 1 , Lamine Benaissa 1 , Paul-Henri Haumesser 1 , William Vandendaele 1 , Lea Di Cioccio 1 , Julie Widiez 1
1 , CEA-LETI, Grenoble France
Show AbstractDue to their large critical electric field and high electron mobility, gallium nitride (GaN) based devices emerge as credible candidates for power electronic applications. In order to face the large market needs and benefit from available silicon manufacturing facilities, the current trend is to fabricate those devices, such as aluminum gallium nitride (AlGaN)/GaN high electron mobility transistors (HEMTs), directly on (111) silicon substrates. However, this pursuit of economic sustainability negatively affects device performances mainly because of self-heating effect inherent to silicon substrate use. New substrates with better thermal properties than silicon are desirable to improve thermal dissipation and enlarge the operating range at high performance. Therefore thermal management is a key aspect of this work.
Starting from HEMTs made on silicon substrates, we suggest a method to replace the original silicon material with copper. Indeed, this metal exhibits a thermal conductivity 2.5 times greater than silicon. Firstly, the processed substrate (8 inches) is temporarily bonded to a glass wafer. Secondly, the silicon substrate is selectively removed. Thirdly, the assembled structure is diced into dies. Finally, a thick copper layer (50-100µm) is electrodeposited on the backside of the devices. Because the copper layer is stiff enough to act as a substrate, the temporary glass substrate can be safely removed. The whole sequence is fast, cheap and compatible with traditional (8 or 12 inches) process technologies.
Electrical characterization before and after the transfer on copper were performed. More specifically, we investigated the impact on AlGaN/GaN HEMTs with 100µm gate width and 100mm gate width to highlight the benefit to substitute silicon with copper.
2:15 PM - EM04.02.03
Thermal Analysis of Castellated GaN High Electron Mobility Transistors
Callum Middleton 1 2 , Filip Gucmann 1 , Stefano Dalcanale 1 , James Pomeroy 1 , Josephine Chang 3 , Justin Parke 3 , Ishan Wathuthanthri 3 , Ken Nagamatsu 3 , Eric Stewart 3 , Shalini Gupta 3 , Robert Howell 3 , Martin Kuball 1
1 , University of Bristol, Bristol United Kingdom, 2 Centre for Doctoral Training in Diamond Science and Technology, EPSRC, Coventry United Kingdom, 3 , Northrop Grumman Mission Systems, Linthicum, Maryland, United States
Show AbstractThis work studies superlattice castellated GaN HEMTs (SLCFETs), focussing on the thermal impact of a novel 3D gate structure implemented on a superlattice channel designed to reduce on resistance and increase switching efficiency. This device design provides state of the art RF switching performance due to the formation of a two dimensional electron gas (2DEG) stack that lowers ON state resistance without adversely increasing OFF capacitance, and uses the 3D gate structure to permit the stacked charge layers to be controlled by pinching off from multiple directions. We show here that this design also provides a great thermal benefit, with the gate metal acting as a heat pipe through the low thermal conductivity superlattice.
Gallium nitrides’ ability to form a 2DEG, along with its wide bandgap and associated high breakdown field, make it a key component for high performance electronics. The implementation of a GaN/AlGaN superlattice in the channel results in a stack of 2DEGs, which in turn reduces the devices on-state resistance due to the increased number of conduction paths. However, this would be expected to pose challenges for typical device designs in which the primary heat flow is vertical as the superlattice structure has a high thermal resistance, potentially leading to higher channel temperatures.
The devices were first measured using Raman thermography. The Raman frequency of the GaN E2 peak is measured across a range of operating conditions, giving a depth average temperature in the device buffer on the drain side of the gate. Measurements of the superlattice thermal conductivity and the GaN-SiC thermal boundary resistance are made using transient thermoreflectance. This uses two lasers, one continuously probing the reflectance at the surface of the sample whilst the other delivers a 10 ns heat pulse to the surface. The temperature dependence of the reflectivity of the sample is used to gain knowledge of the material parameters by comparison to models.
This information is then used to create a finite element simulation of the device structure. In this, a series of castellations are modelled at the centre of the device, as well as a simplified region in which the power dissipation is considered continuous. This is then used to predict both the gate and channel temperatures and is compared to experiment using gate resistance measurements and thermal AFM measurements of the gate metal.
It is found that the peak temperature in the castellated channel region of the model is lower, due to the impact of the gate heat piping effect. In addition to the vertical flow, heat is pulled laterally from the channel into the gate metal. Since this metal is in direct contact with the higher thermal conductivity buffer, a lower thermal resistance heat path is formed. This allows for an optimisation of the device structure, simultaneously giving the best possible electrical performance whilst minimising the thermal impact of the superlattice structure.
2:30 PM - EM04.02.04
Simultaneous Characterization of Local Temperature, Stress, and Electric Field in GaN HEMTs via Micro-Raman Spectroscopy
Kevin Bagnall 1 , Elizabeth Moore 2 3 , Stefan Badescu 2 , Lenan Zhang 1 , Evelyn Wang 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Air Force Research Laboratory (AFRL), Wright-Patterson AFB, Ohio, United States, 3 , KBRWyle , Dayton, Ohio, United States
Show AbstractDue to its unique properties, wurtzite gallium nitride (GaN) has enabled a wide variety of electronic and optoelectronic devices with unprecedented performance at high voltages and power densities. Unfortunately, these record high power densities in GaN high electron mobility transistors (HEMTs) cause elevated channel temperatures, which lead to premature device degradation and failure. Thermal characterization and thermal management of GaN HEMTs are thus critical in realizing the full potential of GaN transistors. Over the last fifteen years, micro-Raman spectroscopy has become one of the most popular techniques for measuring local temperature rise in GaN HEMTs owing to its high spatial resolution of ≈1 µm. In this work, we demonstrate an innovative implementation of micro-Raman spectroscopy to simultaneously measure temperature rise, in-plane mechanical stress, and vertical electric field in GaN HEMTs from the frequency shifts of multiple phonon modes.
Despite the popularity of micro-Raman thermometry in characterizing GaN HEMTs, decoupling the effects of stress and electric field from those of temperature on the Raman spectrum of wurtzite GaN is challenging. Previous studies by other groups have definitively shown that neglecting the effects of thermoelastic and IPE stresses on the phonon frequencies of GaN lead to erroneous temperature measurements. Based upon our recent work clarifying the proper electric field dependence of these phonon frequencies, we show here that the phonon frequencies depend linearly on the temperature rise, mechanical stress in the c-plane, and electric field along the c-axis. Therefore, we propose that the frequency shifts of the E2 (high), A1 (LO), and E2 (low) modes can be used to simultaneously measure the temperature, in-plane stress, and vertical electric field in GaN HEMTs at any bias point.
We have validated this hypothesis by measuring the Raman spectrum changes of the GaN buffer in the gate-drain access region of commercial GaN HEMTs. We developed a free space micro-Raman spectroscopy system and achieved a very high spectral precision of ≈0.01 cm-1 with which we can consistently measure the small shifts of even the E2 (low) frequency. To support our experiments, we developed an electro-thermo-mechanical device model in Silvaco ATLAS/BLAZE and COMSOL Multiphysics and found good quantitative agreement with our measured values of temperature, stress, and electric field in the pinched OFF state and the ON state. Our measurements confirm previous hypotheses in the field of micro-Raman thermometry of GaN HEMTs and offer deep physical insight into the electrostatics of the GaN buffer, which strongly impacts transistor performance. We anticipate that our novel approach to multiphysics characterization of GaN HEMTs with micro-Raman spectroscopy will provide new capabilities of probing thermal, mechanical, and electrical phenomena in GaN transistors and other wide bandgap semiconductor devices.
2:45 PM - EM04.02.05
Analytic Modeling of Nonlinear Current Conduction in Access Regions of III-Nitride HEMTs
Kexin Li 1 , Shaloo Rakheja 1
1 , New York University, Brooklyn, New York, United States
Show AbstractWide bandgap III-nitride high-electron mobility transistors (HEMTs) are attractive for high-power, high-frequency applications in communication and radar systems. However, due to process constraints, III-nitride HEMTs are not self-aligned [1]. The access regions in such III-nitride transistors exhibit nonlinear current-voltage (I-V) characteristics and suffer from self-heating. These effects are responsible for the bell-shaped transconductance (gm) curve, also referred to as gm-degradation, thereby leading to limited dynamic range and nonlinear performance of power amplifiers designed at RF frequencies [2].
In this work, we use Landauer’s transport theory to develop an analytical electrothermal model that describes the nonlinear I-V characteristics in ungated access regions [3]. Our model correctly interprets that the access regions behave as current- and temperature-dependent nonlinear resistances. Contrary to prior modeling works, the developed model is geometry and material scalable, and has only 11 model parameters, most of which have a physical origin and can be easily extracted using standard device characterization methods. The model is applicable for both drift-diffusion with velocity saturation and quasi-ballistic transport regimes depending on the length of the access regions. The model is calibrated against numerical simulation of the transmission line method (TLM) structures with short and long lengths in SENTAURUS in the presence of Joule heating [4]. The calibration allows us to extract the resistance of the extrinsic device region under low current, the maximum current supported by the extrinsic region, as well as the thermal resistance of the access regions. These physical characteristics are essential for extracting the intrinsic terminal voltages of the HEMT, and, therefore, identifying the limits imposed by access regions on the overall device linearity. We focus on two specific device structures in this work: (i)AlGaN/GaN HEMT (S1), and (ii)AlGaN/n+GaNCap/GaN/AlGaN HEMT (S2). Here, S1 is conventional structure, while S2 is a more advanced structure that is optimized for superior linearity. For both structures, we use the analytical model to identify the difference in the intrinsic and extrinsic transconductance as a function of device current and propose device-level solutions to reduce the gm-degradation. The nonlinear access-region model is incorporated within a full device-level I-V model to better describe the transport characteristics and the achievable dynamic range in advanced III-nitride HEMTs. From the perspective of device-circuit co-design, the proposed I-V model can be applied for technology benchmarking and optimization.
[1] Radhakrishna, Ujwal. MIT, 2016.
[2] R.J. Trew et al., IEEE Transactions on Microwave Theory and Techniques 54.5 (2006): 2061-2067.
[3] S. Rakheja et al., IEEE Transactions on Electron Devices 62.9 (2015): 2786-2793.
[4] Sentaurus, T.C.A.D. Synopsys Inc., Mountain View, CA 94043 (2009).
3:30 PM - *EM04.02.06
Material Challenges in Approaching Theoretical Limit of GaN Power Devices
Huili Xing 1 , Wenshen Li 1 , Kazuki Nomoto 1 , Mingda Zhu 1 , Kevin Lee 1 , Vladimir Protasenko 1 , SM Islam 1 , Debdeep Jena 1
1 School of Electrical and Computer Engineering, Department of Materials Science and Engineering, Cornell University, Ithaca, New York, United States
Show AbstractGaN has been long touted as a promising material for compact and efficient power electronics, owing to its large critical field (>10x of Si), high electron mobility (~2,000 cm2/Vs at a carrier concentration of ~1x1016 cm-3) and high thermal conductivity (~ 2x of Si). However, power electronic devices have much more stringent requirements on the material quality; like lasers, semiconductor crystals with minimal dislocations and point defects are desired and essential to compete favorably with conventional Si-based and emerging SiC-based power devices. The availability of commercial bulk GaN substrates is changing the landscape of the development of GaN power electronics in the past few years. In this talk, I will show our recent process on the demonstration of high voltage GaN p-i-n diodes [1] and on how we achieved a record figure-of-merit Vbr2/Ron > 16 GW/cm2, which is the highest among all semiconductors [2]. I will also discuss the key elements yet to be developed and some novel ideas [3-6] to advance GaN power electronics.
[1] Kazuki Nomoto, Huili Grace Xing et al. GaN-on-GaN p-n power diodes with 3.48 kV and 0.95 mohm-cm2: a record high figure-of-merit of 12.8 GW/cm2. Proc. of IEEE International Electron Device Meeting (IEDM), 237, pp.9.7.1, (2015).
[2] Zongyang Hu, Huili Grace Xing et al. Near unity ideality factor and SRH lifetime in GaN-on-GaN p-n diodes with avalanche breakdown. Appl. Phys. Lett. 107, 243501 (2015).
[3] Huili Grace Xing et al. Unique opportunity to harness polarization in GaN to override the conventional power electronics figure-of-merits. Proc. of IEEE Device Research Conference (DRC), Ohio State University, June 2015.
[4] Bo Song, Huili Grace Xing et al. Design and optimization of GaN lateral Polarization-doped super junctions (PolarSJs): an analytical study. The 27th Symposium on Power Semiconductor Devices and ICs, HongKong, June 2015.
[5] Wenshen Li, Huili Grace Xing et al. Design and realization of GaN trench junction-barrier-Schottky-diodes (trench JBSD). IEEE Trans. Electron Dev. 64(4), 1635-1641 (2017).
[6] Wenshen Li, Huili Grace Xing et al. 600 V GaN vertical V-trench MOSFET with MBE regrown channel. Proc. of IEEE Device Research Conference (DRC), University of Notre Dame, June 2017.
4:00 PM - EM04.02.07
Dynamic Performance and Stability of GaN-on-GaN Vertical Fin-FETs
Matteo Meneghini 1 , M. Ruzzarin 1 , D. Bisi 2 , M. Sun 3 , Tomas Palacios 3 , Gaudenzio Meneghesso 1 , Enrico Zanoni 1
1 , University of Padova, Padova Italy, 2 , Transphorm imc, Padova Italy, 3 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractVertical GaN transistors are expected to play an important role in next generation power converters, thanks to the (i) high current and power density, the (ii) high breakdown voltage and (iii) the high reliability that can be reached based on the vertical layout. Several device structures have been proposed, including the CAVET, the vertical trench-gate MOSFET, both employing a buried p-type layer, which may add complications to the fabrication process. A third solution has been recently proposed, the Vertical Fin Field Effect Transistor (VFET). In these structures current flows vertically through sub-micrometer fins, where current is controlled by a MOS gate stack. A current density of more than 4 kA/cm2 with an on-resistance of 0.84 mΩcm2 has been demonstrated based on this approach, with a threshold voltage around 1 V. However, no data on the dynamic performance and stability of such devices has been published so far in the literature.
This paper describes the pulsed and transient performance of GaN-based VFET. The analysis was carried out by pulsed characterization, constant-stress analysis, and interface trap (Dit) characterization, and demonstrates that: (i) under pulsed measurements the devices do not show any relevant dynamic Ron, indicating the good stability of the analysed technology; (ii) when submitted to moderate gate stress (VGS<3 V), the transistors show a negative shift in threshold voltage, which is ascribed to the de-trapping of electrons from the gate insulator; (iii) at higher stress voltages (VGS>5 V), a strong positive shift of threshold voltage takes place. This process, having a slow recovery, is ascribed to the injection of electrons from the channel to the dielectric. UV-assisted capacitance-voltage measurements were used to investigate the properties of the trap states responsible for this shift in Vth. Temperature-dependent analysis and 2-dimensional simulations were adopted to confirm the hypotheses on degradation.
4:15 PM - EM04.02.08
High Breakdown (>3000 V) Al0.3Ga0.7N PiN Diodes
Jeramy Dickerson 1 , Mary Crawford 1 , Andrew Allerman 1 , Andrew Armstrong 1 , Greg Pickrell 1 , Michael King 1 , Karen Cross 1 , Caleb Glaser 1 , Michael Van Heukelom 1 , Bjorn Sumner 1 , Robert Kaplar 1
1 , Sandia National Labs, Albuquerque, New Mexico, United States
Show AbstractPower electronic applications such as hybrid vehicles and solar inverters benefit from high power density (power/volume). While the majority of commercial power devices are made from silicon, the fundamental limits of silicon-based devices have been reached. This has led to substantial research in wide-bandgap materials such as SiC and GaN. Additionally, an emerging class of ultra-wide-bandgap materials such as diamond, Ga2O3, and the AlGaN material system can give potentially unprecedented performance increases. This is a consequence of the critical electric field of the material increasing as the 2.0-2.5 power of the bandgap. Depending on the thickness and net doping of the drift region, the resulting breakdown voltage (Vb) will scale as the 2.0-5.0 power of the bandgap. This is an important consideration as a common metric for performance, the unipolar figure of merit (FOM), is defined as Vb2/RON,SP. Therefore, it is desirable to have a high Al composition in the AlGaN material system, since the bandgap increases from 3.4 eV (GaN) to 6.2 eV (AlN).
In this work we report on experimental current-voltage measurements of Al0.3Ga0.7N PiN diodes. The Al0.3Ga0.7N devices had thick drift regions (4-11 µm) and relatively low net doping levels (5×1015 - 8×1016 cm-3). The Vb of Al0.3Ga0.7N devices was found to increase as a function of the drift layer thickness, achieving >3000 V breakdown (equipment limited) for the device with the 11 µm thick drift region. The turn-on voltage of these devices was approximately 6 V, consistent with the Al0.3Ga0.7N bandgap.
Diodes based on Al0.7Ga0.3N were also investigated. A significant difficulty in this composition range is the creation of highly doped p-regions. For AlGaN, Mg dopants are deep acceptors (~0.15 eV in GaN to ~0.55 eV in AlN). Not only does this limit the conductivity of the p-region, but it becomes increasingly difficult to form Ohmic contacts to p-type material as the Al composition increases. To alleviate this problem an Al0.3Ga0.7N/Al0.7Ga0.3N heterojunction with an Al0.3Ga0.7N p-type layer and an Al0.7Ga0.3N n-type drift region was developed. This design achieved a breakdown of 1300 V. The drift region doping was 1-3×1016 cm-3 and the thickness was 5 µm. The turn-on voltage of these device designs was around 9 V. To our knowledge, this is the highest composition AlGaN PiN structure that has been reported. Despite this achievement, the experimental breakdown voltage is below the expected value for an ideal 1-D Al0.7Ga0.3N drift region, and JTE efficiency and other factors are currently being investigated as possible explanations for the reduced breakdown of the device.
Sandia National Laboratories is a multimission laboratory managed and operated by National Technology and Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-NA-0003525.
4:30 PM - EM04.02.09
AlN Metal-Semiconductor Field-Effect Transistors Using Si-Ion Implantation
Hironori Okumura 1 2 , Sami Suihkonen 3 , Jori Lemettinen 3 , Akira Uedono 1 , Tomas Palacios 2
1 , Tsukuba University, Tsukuba Japan, 2 EECS, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Department of Electronics and Nano-Engineering, Aalto University, Espoo Finland
Show AbstractAlN is an attractive material for high-temperature and high-power applications due to its high critical electric field of 12 MV/cm, high thermal conductivity of 320 W/cmK, and a band-gap energy of 6 eV. Despite these good material properties, AlN-channel transistors have not been reported yet. Dislike GaN, AlN allows no polarization induced doping to generate electron conduction due to the lack of suitable higher band-gap materials for hetero-structures. The formation of an AlN channel requires impurity-doped AlN layers. In this study, we report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of AlN-channel transistors using the structure of metal-semiconductor field-effect transistors (MESFETs).
Si concentrations between 10^19 and 10^21 cm-3 were incorporated into AlN films by ion implantation, in good agreement with the SRIM simulation. To recover the ion-implantation damage, the ion-implanted AlN films were thermally annealed between 1000 and 1500 degree C in a nitrogen ambient. Despite no protective caps, the annealed AlN films with Si concentration below 10^20 cm-3 had small surface roughness (less than 1 nm (rms)). The AlN films implanted with a Si concentration of 4x10^19 cm-3 had n-type conductance after annealing at 1500 degree C for 30 min. The electron mobility and concentration at room temperature were 130 cm^2/Vs and 6x10^13 cm-3, respectively. The electrical activation ratio is estimated to be 1x10^-6. This small electrical activation ratios are mainly attributed to the high Si ionization energy of 0.3 eV at room temperature. Annealing for higher temperatures is currently underway.
AlN MESFETs were fabricated using AlN films with a Si concentration of 4x10^19 cm-3. The AlN MESFETs have a normally-on operation and sharp pinch-off characteristics. Drain current is effectively modulated by gate voltages. The maximum drain current, transistor on/off ratio, and maximum transconductance were 9x10^-6 A/mm, above 100, and 7x10^-7 S/mm, respectively. The limited transistor on/off ratio of the AlN MESFETs arise from the high source/drain contact resistance and the low carrier concentration. The AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 degree C. The maximum three-terminal breakdown voltage was 2370 V at room temperature. The effective critical electric field is 1.0 MV/cm, which is higher than GaN-channel transistors. Further high breakdown voltage of the AlN MESFETs are expected in devices with a field-plate structure. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.
4:45 PM - EM04.02.10
MOCVD-Grown Al0.7Ga0.3N MESFETs with High Current Density
Sanyam Bajaj 1 , Andrew Allerman 2 , Fatih Akyol 1 , Andrew Armstrong 2 , Towhidur Razzak 1 , Yuewei Zhang 1 , Siddharth Rajan 1
1 , The Ohio State University, Columbus, Ohio, United States, 2 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractWe report Al0.7Ga0.3N channel metal-semiconductor field-effect transistors (MESFETs) grown by metal-organic chemical vapor deposition (MOCVD) with record high current density. Due to the large predicted breakdown field of AlN (~15 MV/cm) and electron saturated velocity [1,2], AlGaN with high Al composition is a promising candidate for advanced high frequency and high power device applications. One of the key challenges in AlGaN-based devices is the high resistance of ohmic contacts, RC, which results from the low electron affinity of AlN leading to large metal-semiconductor barriers. Recently, low RC using heterostructure graded and nonalloyed contacts was achieved on UWBG AlGaN channels grown by molecular beam epitaxy (MBE) [3]. The current density, however, was limited to less than 0.1 A/mm by the low electron mobility (< 20 cm2/Vs) in the channels. In this work, we demonstrate MOCVD-grown UWBG Al0.7Ga0.3N:Si channels with donor concentration of 1018 cm-3, mobility of 89 cm2/Vs, and high intrinsic current density greater than 1 A/mm. Al0.7Ga0.3N MESFETs with 3-terminal current density ~0.45 A/mm and average breakdown field greater than ~200 V/μm were obtained.
The epitaxial layers reported were grown on AlN/sapphire templates. The epitaxial stack consisted of substrate/doped 250 nm n-Al0.7Ga0.3N (Si=1018 cm-3) channel layer/30 nm n+ contact layer formed by linearly grading down the Al content from 70% to 0%. This use of composition grading enables a flat conduction band profile between the metal contact and high composition AlGaN, and prevents abrupt conduction band discontinuities that could create energy barriers to the transport of electrons. To form the active region, the graded contact layer was removed between ohmic pads using low power Cl2-based inductive plasma etching (6W). Hall sheet charge density of 1.25x1013 cm-2, and Hall mobility of 89 cm2/Vs were obtained from the sample. Transfer length measurements (TLM) resulted in RSH value of 5.6 kΩ/sq, and RC of 14 Ω.mm (ρsp of 3.5x10-4 Ω.cm2).
2-terminal IV measurements were done on ungated I-shaped test structures with contact periphery much larger (10X) than the constriction width to minimize the effects of high RC. A current density of 1.1 A/mm was measured, suggesting that such high current densities are achievable in devices with improved ohmic contacts and scaling. 3-terminal characteristics of the MESFETs with Lg of 2 μm and Lsd of 3.5 μm gave IDS_MAX of ~0.45 A/mm, breakdown voltage ~200 V/μm, and an on/off ratio > 107. The current density reported in this work is the highest value ever reported for AlxGa1-xN channel transistors with x > 0.25, and establishes UWBG AlGaN as a promising candidate for advanced RF applications.
We acknowledge support from Office of Naval Research (ONR N00014-15-1-2363, Dr. Paul Maki).
[1] M. Farahmand, et al. IEEE TED. 48(3), 535 (2001) [2] A. F. M. Anwar, et al. IEEE TED. 48(3), 567 (2001)
[3] S. Bajaj, et al. APL. 109(13), 133508 (2001)
Symposium Organizers
Robert Kaplar, Sandia National Laboratories
Mitsuru Funato, Kyoto University
Matteo Meneghini, University of Padova
Rachael Myers-Ward, U.S. Naval Research Laboratory
EM04.03: III-Nitride Optoelectronics I
Session Chairs
Tuesday AM, November 28, 2017
Hynes, Level 1, Room 101
8:15 AM - *EM04.03.01
Wide Bandgap Semiconductors—The Ubiquitous Material for Todays and Future Solid State Lighting
Martin Strassburg 1 , Marc Patrick Hoffman 1 , Hans-Jürgen Lugauer 1
1 , OSRAM Opto Semiconductors , Regensburg Germany
Show AbstractOptoelectronic devices based on wide bandgap semiconductors (i.e., group III-nitrides) provide a completely new technology in the lighting sector. For about one decade, the brightness and efficiency has been the figures of merit for LEDs. However, the recent improvements of its design and in doping strategies yielded efficiencies close to the fundamental limit reaching internal quantum efficiencies of more than 90 % and corresponding wall-plug efficiencies well above 70%. However, the recent improvements of in its design and doping strategies yielded efficiencies close to the fundamental limit.
Meanwhile it is recognized that LEDs offer a big variety of opportunities beyond efficient lighting. Fortunately, there are many applications that could only be addressed by the unique characteristics of semiconductor LED light sources. Thus, it is more than cost cutting triggering further research on group III-nitride optoelectronics.
In addition to visible LEDs, deep ultraviolet light emitting diodes based on AlGaN and emitting below 300 nm are gaining more and more interest in industry and academia. This new LED technology has numerous applications and benefits over the existing conventional Hg discharging lamp technology and are ready to fulfil the necessary requirements to replace these traditional light sources, which have limited lifetimes and are contain toxic materials. DUV LEDs can be used for disinfection purposes, like water sterilization, for environmental and medical applications, but are also interesting for to be used as gas sensors.
However, external quantum efficiencies (EQE) of LEDs based on the AlGaN material system are still quite low when compared to visible LEDs. The main reason for these issues is that the AlGaN material system poses several technical challenges; starting with the control of extended defects, and ending with the realization of UV transparent p-conducting AlGaN. In addition, the substrate choice can have a significant influence in all areas of LED design and fabrication, for example the achieved material quality, epitaxial growth and chip design. Therefore, general methods and techniques have been developed to reduce defects for high IQEs and for an increase of UV-light extraction for high EQEs. A description of the different approaches and developments in AlGaN epitaxy and chip processing, with an emphasis on the requirements of a high quality, will be given.
Finally, an introduction to OSRAM Opto Semiconductors’ numerous activities in research and development of next generation LEDs / Lasers and future trends for lighting applications will be given. Examples of technology and products for various application fields will illustrate the claimed technology leadership that is based on the close interplay of material development, thorough understanding of scientific background and of technological solutions yielding.
8:45 AM - EM04.03.02
Predictive Modeling of BInGaN Alloys Lattice Matched to GaN for Efficient High-Power Visible LEDs
Logan Williams 1 , Emmanouil Kioupakis 1
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractVisible LEDs based on InGaN have important commercials applications for solid-state lighting and displays (Nobel Prize in Physics, 2014). However, InGaN places constraints on the efficiency of the LEDs at high power and longer wavelengths. Specifically, the large lattice mismatch between InGaN and the underlying GaN layers imposes a limit on the thickness of InGaN quantum wells that can be grown while maintaining high crystalline quality. Narrower quantum wells cause higher operating carrier densities, increasing the fraction of carriers lost to nonradiative Auger carrier recombination during device operation and lowering the LED efficiency. The incorporation of smaller boron atoms into InGaN alloys is a promising method to eliminate the lattice mismatch and realize high-power high-efficiency visible LEDs with thick active regions. In this work we apply predictive calculations based on hybrid density functional theory to investigate the structural, thermodynamic, and electronic properties of BInGaN alloys for optoelectronic applications. Our results show that BInGaN alloys with a B:In ratio of 2:3 are much better lattice matched to GaN compared to InGaN, reducing the percent mismatch of the in-plane lattice constant by a factor of 3. Deviations from Vegard’s law appear as the boron content increases. Our thermodynamics calculations demonstrate that the solubility of boron is higher in InGaN than in pure GaN. Varying the Ga mole fraction while keeping the B:In ratio constant enables the adjustment of the (direct) gap in the 1.5-3.2 eV range, which covers the entire visible spectrum. We also find that holes are strongly localized at N atoms with locally co-planar B-N bonds within the wurtzite structure that resemble the hexagonal BN polytype. Our results demonstrate that BInGaN alloys are promising for the fabrication of nitride heterostructures with thick active regions for high-power high-efficiency LEDs. This work was supported by the NSF DMREF program (1534221). Computational resources were provided by the DOE NERSC facility under Contract No. DE-AC02-05CH11231.
9:00 AM - EM04.03.03
Electronic and Optical Properties of Polar InGaN/GaN Quantum Wells—Interplay of Random Alloy Fluctuations, Coulomb Effects and Well Width Fluctuations
Daniel Tanner 1 2 , Joshua McMahon 1 , Stefan Schulz 1
1 , Tyndall National Institute, Cork Ireland, 2 Department of Physics, University College Cork, Cork Ireland
Show AbstractC-plane InGaN/GaN quantum wells (QWs) are key building blocks of modern light-emitting devices. It is remarkable that these devices are so successful, given the extremely high defect densities in these systems. This defect insensitivity has been mainly attributed to carrier localization effects introduced by alloy fluctuations, preventing carriers from reaching non-radiative recombination centers. But, in addition to being beneficial, it has recently been highlighted that carrier localization contributes to the green gap problem [1]. However, Coulomb effects, which may overcome the in-plane spatial separation of the carriers, are often neglected in theoretical studies. Additionally, the impact of structural inhomogeneities, such as well width fluctuations, which can also play an important role in carrier localization effects [2,3], has not been treated in detail.
Here, we present a detailed analysis of the interplay of Coulomb effects, well width and random alloy fluctuations. Our theoretical framework is based on an atomistic tight-binding model, including local strain and built-in potential fluctuations [3]. Coulomb effects are accounted for by configuration interaction calculations [3]. C-plane In0.15Ga0.85N QWs with widths varying between 1.6 nm and 3.4 nm have been analyzed. To study the importance of structural inhomogeneities, calculations in the presence and absence of well width fluctuations have been carried out.
Our results show that, independent of the well width, the electron wave functions are localized by the well width fluctuations. Holes, in agreement with previous studies [1,2,3], are strongly localized by random alloy fluctuations. These results hold even in the presence of Coulomb effects, showing that the combined effect of built-in field, well width fluctuation and hole localization due to alloy fluctuations dominates the optical properties of the system. Consequently one is left with independently localized electron and hole wave functions.
The situation is less clear-cut in the absence of well width fluctuations. Here, Coulomb effects can partially compensate the in-plane separation. However, even though the impact of random alloy fluctuations on the electron wave functions is less pronounced, the introduced perturbation has still a significant impact on the spatial separation of the carriers.
Overall our results indicate that well width fluctuations have a detrimental effect on the wave function separation (laterally and vertically) in c-plane InGaN/GaN QWs independent of the studied well width, even in the presence of Coulomb effects. But, in the absence of well width fluctuations, localization effects due to alloy fluctuations still significantly affect the carrier wave function overlap.
[1] M. Auf der Maur et al., PRL 116, 027401 (2016)
[2] P. Dawson et al., JAP 119, 181505 (2016); D. Tanner et al., RSC Adv. 6, 64513 (2016)
[3] S. Schulz et al., PRB 91, 035439 (2015)
9:15 AM - EM04.03.04
Effect of KOH Concentrations and Temperatures on Photoluminescence from Top-Down Fabricated InGaN/GaN Nanowires
Matthew Hartensveld 1 , Yu Kee Ooi 2 , Cheng Liu 2 , Jing Zhang 1 2
1 Department of Electrical and Microelectronic Engineering, Rochester Institute of Technology, Rochester, New York, United States, 2 Microsystems Engineering, Rochester Institute of Technology, Rochester, New York, United States
Show AbstractNanowire (NW) structures have received significant attentions in the area of optoelectronics due to the ability to achieve dislocation-free material quality and larger surface-to-volume ratios, which lead to enhanced light output power compared to planar structures. The fabrication methods of NWs are generally categorized into two approaches: bottom-up growths and top-down etching. For the bottom-up approach, NWs are synthesized onto substrates layer-by-layer in atomic scale, while for the top-down approach, NWs are formed through lithographic and etching steps. In the latter, the presence of surface states due to top-down etching gives rise to non-radiative recombination, which significantly degrades quantum efficiency and output power of the NWs. Thus, various surface passivation methods have been used previously. In particular, KOH solutions have primarily been used in suppressing the surface states. Previous studies have reported that KOH-solution etches along (1-101) and (11-20) planes with no observed etching along c-plane can help to remove the plasma etch damage on NW sidewall. However, there is no comprehensive analysis on the effect of various KOH-solution conditions to the photoluminescence (PL) from the InGaN/GaN NWs.
In this work, extensive investigations on the effectiveness of KOH solutions with various concentrations and temperatures in suppressing surface states on InGaN/GaN NWs and achieving controllable NW diameter (D) simultaneously have been performed. The NWs are formed through chlorine-based reactive-ion etching (RIE) using SiO2 spheres as mask, followed by KOH wet etching. From the experiments, lower KOH concentration at room temperature (RT) has a much more controllable etch rate than those solutions at higher concentration and temperature but required longer etching time. Preliminary results show that ~14% enhancement in PL intensity at wavelength of 450 nm can be achieved for NWs treated in 5% KOH solution at RT after 2.5 hours compared to RIE-etched NWs as majority of the surface roughness on the NW sidewall has been removed while the NW D still remain about the same as the RIE-etched NWs. For 2.5 hours of 10% KOH solution at RT treatment, the NW D shrinks marginally and results in lower blue peak intensity than the RIE-etch NWs. Nonetheless, longer etching time in 10% KOH solution at RT to further shrink the NW D is anticipated to improve the light intensity due to quantum confinement. For 5% and 10% KOH solution treatments at 80°C for 15 minutes, the etch rate along (1-101) and (11-20) planes increases significantly and results in smaller NW D but significant amount of surface roughness can still be observed on the NW sidewall. Accordingly, lower blue peak intensities were obtained for NWs treated in these two KOH-solution conditions. Thus, it is expected that this study will shed light on the optimal KOH etch conditions for suppressing surface states on top-down InGaN/GaN NWs to achieve promising light output.
9:30 AM - EM04.03.05
Influence of Fermi-Level Pinning on Electrical Characteristics of Regularly Arranged GaN Nanocolumns (NCs)
Youhei Nakagawa 1 , Katsumi Kishino 1 2
1 , Sophia University, Chiyodaku, Tokyo, Japan, 2 , Sophia Nanotechnology Research Center, Chiyodaku, Tokyo, Japan
Show AbstractIII-nitride nanocolumns (NCs) possess dislocation-filtering [1] and strain-relaxation effects [2], which contribute to the improved emission property of LEDs. The use of InGaN/GaN thin NCs with the diameter less than 100 nm is effective for the strain relaxation and suppression of compositional fluctuation of InGaN. It is, however pointed out that thin NCs are poor electrical characteristics, because the Fermi-level pinning at the surface bends upward the conduction and valence bands at the lateral surface of n-type GaN NCs, producing the depletion region, which spreads throughout the NC regions for a very thin NC [3]. Thus, it is theoretically predicted that the electrical conductivity of NCs dramatically decreases with decreasing the diameter.
In this study, various GaN NC arrays were prepared on the same substrate changing the NC diameter systematically, by use of Ti-mask selective area growth (SAG) [4], to evaluate the resistances of the NC arrays. The evaluated resistivity of GaN NCs increased with decreasing the NC diameter, and the dependence is well explained by the theoretical curve calculated based on the surface depletion at the NC sidewall [5].
In the experiment, a thin Ti film (thickness 5nm) was evaporated on c-plane HVPE-grown GaN templates. The electron-beam-lithography and plasma-etching process was sequentially performed to prepare triangular-lattice nanohole-patterns for SAG on the substrates, having various hole diameters and lattice constants. Then GaN was grown on the patterned substrate by rf-plasma-assisted molecular beam epitaxy (rf-MBE), producing the Si-doped n-type GaN NC arrays. At the tops of the GaN NC arrays, highly Si-doped GaN contact layers were grown. The NC diameter was controlled from 35nm to 150nm and the NC height was around 300 nm. In order to minimize the leakage current through the side area between NCs, the insulating materials of SiO2 and TiO2 were embedded in the space of NC arrays using atomic layer deposition (ALD). Then, Ti/Al/Ni/Au metal electrode was deposited on the top of NCs and on the surface of the underlying GaN template outside of the NC region.
The resistances of the NC arrays were measured from the current-voltage characteristics and the diameter and height of NCs were observed by a scanning electron microscope, by which the resistivity of the NC arrays was obtained as a function of the NC diameter. The resistivity increased dramatically with decreasing the diameter less than ~70 nm. The dependence of resistivity on the diameter was approximately fitted to the theoretical curve for the Si doping concentration of 1×1018 cm-3, which is calculated by the equation based on the pining model [5].
Reference
[1] K. Kishino et al., Nanotechnol. 26, 225602 (13pp) (2015).
[2] Y. Kawakami et al., Appl. Phys. Lett. 89, 163124 (3pp) (2006).
[3] R. Calarco et al., NanoLett. 5,981 (2005).
[4] O. Benner et al.: Appl.Phys. Lett. 107, 082103(2015) 2063
[5] K. Kishino et. al, J. Cryst. Growth 311 (2009).
9:45 AM - EM04.03.06
Low Resistive GaN Tunnel Junctions Grown by MOVPE
Ryota Fuwa 1 , Yasuto Akatsuka 1 , Tetsuya Takeuchi 1 , Motoaki Iwaya 1 2 , Satoshi Kamiyama 1 , Isamu Akasaki 1 2
1 , Meijo University, Nagoya-shi Japan, 2 Graduate School of Engineering, Akasaki Research Center, Nagoya Japan
Show AbstractGaN-based tunnel junctions (TJs) have been developed to leverage their reverse-biased ohmic characteristics for hole injections into active regions in light emitting devices. So far low resistive GaInN TJs grown by MOVPE have been demonstrated by using high InN mole fractions and graded GaInN layers.[1] However, simple GaN TJs grown by MOVPE typically lead to very high voltage penalties. On the contrary, not only GaInN TJs but also GaN TJs grown by MBE have shown very low contact resistivity. For instance, a LED contained the GaN TJ (TJ-LED) grown by MBE showed a differential resistivity of 1.5×10-4 Ωcm2 in the current densities between 7 and 10 kA/cm2 and an operating voltage of 4.67 V at 5 kA/cm2.[2] Interestingly, a high oxygen concentration of 2×1020 /cm3 at the TJ interface induced with a regrowth could contribute such a low resistance. In this study, effects of regrowth and intentional oxidations at GaN TJ interfaces grown by MOVPE were investigated towards low resistance.
Here thermal annealing under oxygen ambient and Ozone ashing were selected as the intentional oxidations. We then prepared three different GaN TJ-LEDs grown by MOVPE which contained different oxidation processes. The first one was prepared by only a regrowth process without any intentional oxidation process. The second one contained not only a regrowth process but also the thermal annealing. The annealing was performed to the GaN TJ interface at 725 oC for 5 mins under oxygen. The third one contained a regrowth process and Ozone ashing. The irradiation time was 15 mins. The voltages of second and third ones with intentional oxidation processes were lower than that of the first one with only a regrowth process. Furthermore, the voltage drop of the third one (Ozone ashing) was the lowest among three TJ-LEDs, 6.73 V at 5 kA/cm2. We found that oxygen concentrations at the TJ interfaces in the second and the third samples were measured to be 1.8×1019 and 8.9×1018 /cm3 by SIMS, which are much lower than that reported in ref. 2.
Based on the third one (Ozone ashing), Mg/Ga was increased by a factor of 4 in the Mg-doped GaN layer in the GaN TJ. The GaN TJ-LED showed the lowest operating voltage, 4.91 V at 5 kA/cm2, in our experiments. In addition, the LED showed very low total differential resistivity of 1.1×10-4 Ωcm2 in the current densities between 7 and 10 kA/cm2. These results clearly indicate that MOVPE-grown GaN TJs show comparable characteristics to MBE-grown ones.
References
[1] D. Takasuka, et al., Appl. Phys. Exp., 9,081005, (2016).
[2] E. C. Young, et al., Appl. Phys. Exp., 9, 022102, (2016).
Acknowledgements
This study was partially supported by the MEXT Private University Research Branding Project (2016-2020), JSPS KAKENHI for Innovative Areas (No. 16H06416), JSPS KAKENHI for Scientific Research A (No.15H02019), JSPS KAKENHI for Scientific Research A (No. 17H01055), JSPS KAKENHI for Scientific Research B (No.26286045), and JST CREST (JPMJCR16N2).
10:30 AM - *EM04.03.07
Alternative Hole Injections in Nitride-Based Light Emitting Devices
Tetsuya Takeuchi 1 , Satoshi Kamiyama 1 , Motoaki Iwaya 1 , Isamu Akasaki 1 2
1 , Meijo University, Nagoya Japan, 2 , Nagoya University, Nagoya Japan
Show AbstractThe first p-type GaN layer was obtained with a combination of a Mg doping and a low energy electron beam irradiation treatment by Akasaki, Amano, et al. in 1989, leading to high-efficiency GaN-based LEDs and LDs. However, a Mg ionization energy is high (~150 meV) in GaN, and much higher (~600 meV) in AlN. Even in the GaN case, the ionization ratio at room temperature is only a few %, and this is the reason that typical hole concentration is less than 1018cm-3. Recently interesting approaches, such as tunnel junctions (TJs) and polarization doping, for dealing with such high ionization energies have been investigated. The TJs are highly doped pn junctions, showing high electron tunneling through thin depletion regions. When the TJs are used in the p-side of LDs, highly resistive p-type cladding layers are no more required. The polarization doping is a new concept to accumulate carriers to the three-dimensionally distributed polarization charges in the graded layer proposed by Jena et al.. Such carrier accumulations must happen due to the existence of the huge polarization charges, over 1x1013 cm-2. Note that in both the approaches Mg ionizations should happen regardless of the value of ionization energies to satisfy the charge neutral condition.
So far we have investigated GaInN TJs for GaN-based VCSELs and polarization-doped AlGaN graded layers for deep UV-LEDs. Low resistive GaInN TJs grown by MOVPE have been developed by using a lateral Mg activation and graded layers. Recently low resistive GaN TJs grown by MOVPE have been obtained with well-controlled doping profiles. We also demonstrated current confinement structures with buried TJ mesas, providing low absorption and low resistivity simultaneously. Polarization doping should be valuable to generate holes in high Al content AlGaN because the conventional impurity doping is no use and the huge polarization charges are generated in such AlGaN layers. We have investigated graded AlxGa1-xN layers (x: 0.6~0) on AlN templates. Our finding is that a lattice relaxation affects not only the degree but also the sign of the polarization charges. For instance, the relaxed AlGaN region showed electron accumulation while the theory just predicts hole accumulations in the fully strained AlGaN graded layers. An assisted Mg doping in the relaxed region enabled to generate holes in the entire graded layer, showing a 3×1013 cm-2 hole concentration and light emission in a UVC-LED including the graded AlGaN layers. These alternative structures are not fully functioned yet, but further optimizations will open up the possibilities as new platform to inject holes in nitride-based light emitting devices.
This study was partially supported by the MEXT Private University Research Branding Project (2016-2020), JSPS KAKENHI for Innovative Areas (No. 16H06416), JSPS KAKENHI for Scientific Research A (No.15H02019, No. 17H01055), JSPS KAKENHI for Scientific Research B (No.26286045), and JST CREST (JPMJCR16N2).
11:00 AM - *EM04.03.08
3D GaN Architectures—From Core-Shell MicroLEDs to Vertical Electronics
Andreas Waag 2 1 , Jana Hartmann 2 , Hao Zhou 2 , Hendrik Spende 1 , Johannes Ledig 4 , Sönke Fündling 2 , Hergo-Heinrich Wehmann 2 , Frederik Steib 2 , Klaas Strempel 2 , Muhammad Fahlesa Fatahilah 1 , Hutomo Wasisto 1 , Marcus Muller 3 , Peter Veit 3 , Frank Bertram 3 , Juergen Christen 3 , Tilman Schimpke 5 , Martin Mandl 5 , Adrian Avramescu 5 , Ion Stoll 5 , Martin Strassburg 5 , Hans-Jürgen Lugauer 5
2 Braunschweig University of Technology, Epitaxy Competence Center, Braunschweig Germany, 1 Braunschweig University of Technology, Laboratory for Emerging Nanometrology, Braunschweig Germany, 4 , Physikalisch-Technische Bundesanstalt, Braunschweig Germany, 3 , Otto von Guericke Universität, Magdeburg Germany, 5 , Osram Opto Semiconductors GmbH, Regensburg Germany
Show AbstractGaN nanorods and related high aspect ratio 3D GaN nanostructures are attracting a lot of attention since they are expected to be an exciting new route towards extending the freedom for device design in GaN technology. Such structures offer large surfaces, defect free high quality material, as well as non-polar surface orientations, including the possibility to use very large area foreign substrates without implementing large area strain. All of these aspects are difficult or impossible to achieve when planar substrate approaches are used.
Meanwhile, such 3D high aspect ratio GaN based nanostructures can reproducibly be fabricated with high aspect ratios and good homogeneity, and more and more device and application aspects are under investigation. Nevertheless, quantum efficiencies of 3D nanoLEDs are still not yet competitive, and first vertical FETs based on nanorods have only recently been reported.
Besides the nano- and microrods, also fin geometries potentially offer the advantages of high aspect ratio nanostructures. Beyond that, fins have reduced edge effects, a higher gain in effective area and are much easier accessible for material analysis. High aspect ratio GaN fin structures with smooth non-polar {11-20} a-plane sidewalls were grown by selective area growth in continuous mode MOCVD. These fins reach heights of more than 50 μm using growth rates of up to 20 μm/h. Depending on orientation, width and pitch of the line openings as well as on the growth parameters, different structural quality evolved. Both the MOCVD growth as well as properties of fin and nano/microrod structures will be compared. Besides nanorods, fin geometries could be an interesting alternative for 3D devices based on nitrides, like solid state lighting, sensors devices and vertical electronics.
11:30 AM - EM04.03.09
Auger Recombination in Group-III Nitrides from First Principles
Andrew McAllister 1 , Dylan Bayerl 1 , Emmanouil Kioupakis 1
1 , Univ of Michigan, Ann Arbor, Michigan, United States
Show AbstractGroup-III Nitride materials are used in numerous optoelectronic devices such as LEDs and lasers. The efficiency of these devices is limited by a non-radiative carrier recombination process called Auger recombination. Auger processes which are mediated by alloy disorder and electron-phonon coupling have been attributed to causing the efficiency droop of InGaN LEDs. However, experimental investigations of the atomistic details of Auger recombination are challenging, and insights from atomistic theory are indispensable. We use first-principles calculations based on density functional and many-body perturbation theory to understand the microscopic origin of Auger recombination in group-III nitride materials. Auger recombination is particularly important in indium nitride, an interesting material for infrared optoelectronics, because of its small band gap (0.7 eV) and the high intrinsic free-electron concentrations. Our results demonstrate that direct Auger recombination is dominant in this material, contrary to wider-gap nitrides like GaN where phonon-assisted Auger processes are dominant.. We find a different free-carrier density dependence of the radiative and Auger coefficients due to phase-space filling and free-carrier screening, which exacerbates the droop behavior in InN. We will also discuss results on Auger recombination in AlGaN alloys and atomically thin GaN quantum wells for deep-ultraviolet optoelectronics. Our results suggest engineering approaches to mitigate the impact of Auger recombination on the internal quantum efficiency of nitride devices. This work was supported by the National Science Foundation through Grant Nos. 1254314 (CAREER program) and 1534221 (DMREF program). Computational resources were provided by the DOE NERSC facility (DE-AC02-05CH11231).
11:45 AM - EM04.03.10
Insight into Multiple Quantum Wells of GaN-Based Blue LED Using Aberration-Corrected Electron Microscopy
Mansoor Ali Khan 1 2 3 , Rongkun Zheng 1 2 3
1 School of Physics, The University of Sydney, Sydney, New South Wales, Australia, 2 Australian Centre for Microscopy & Microanalysis (ACMM), The University of Sydney, Sydney, New South Wales, Australia, 3 Australian Institute for Nanoscale Science and Technology (AINST), The University of Sydney, Sydney, New South Wales, Australia
Show AbstractIn III-Nitride semiconductors, Gallium Nitride (GaN) is fundamental material for a vast range of optoelectronic devices because they demonstrated prominent light emission capabilities from ultra-violent (undoped) to green, red (doped with In or Al). GaN being wide bandgap material forms multiple quantum wells (MQWs) while integrated with compound semiconductors such as InGaN and InGaAlP, which generate active regions to produce light emitting diodes (LEDs) and laser diodes. Particularly, InGaN/GaN-based LEDs are dominant candidate of lighting throughout the world market with merits of saving over 10% of electricity globally and 10% of carbon emissions from power stations. Although, InGaN/GaN-based QWs for blue LEDs can produce internal quantum efficiency (IQE) of 90% and external quantum efficiency (EQE) of 70-80%. However, further optimization room is still available in producing high performance LEDs to fully replace traditional incandescent and compact fluorescent technologies. To achieve that optimization challenge, nanoscale characterization of critical light emitting region, i.e., MQWs necessitates to be explored to obtain their in detail chemical composition, elemental diffusion, interfaces abruptness and carrier localization mechanisms.
In this report, MQWs of GaN-based blue vertical LED (VLED) is systematically investigated using powerful electron microscopy techniques. Both JEOL and FEI Titan Themis Z (probe) aberration-corrected STEM at 300kV techniques possesses advance capabilities of crystallographic structure, high lateral spatial resolution for high-fidelity imaging and chemical compositional information from the energy-dispersive x-ray spectroscopy. Our STEM results demonstrated an interesting results across 4 MQW’s (each of ~3.5-4.5 nm) by providing compositional inhomogeneity of In distribution, defects and nanoscale interfacial chemical migration, i.e., diffusion of Ag (from reflection layer) into MQWs. Also, the results showed that InGaN is an unstable alloy, which decomposed into In rich and poor regions, even In migrate to the Ag reflection layer, consequently lead towards a main reason for decreased IQE and EQE of LED. Moreover, HR-STEM technique revealed nanoscale features and high density of dislocations in the active region, which act as non-radiation recombination centers resulting droop in efficiency of the device.
We believe that our results are crucial for understanding the origin of decreased light efficiency in VLED. Further, STEM results are correlated with atom probe technique, and provide evidences in answering the vital question that inhomogeneous distribution of In played any role in localizing the carriers in the InGaN QWs. Hence, aberration-corrected STEM is essential microscopy technique to provide quantitative nano compositional analysis of indium distribution in each QWs of LED. It allows the design engineers to investigate and optimize the vertical LED structures for high performance lighting devices.
EM04.04: III-Nitride Optoelectronics II
Session Chairs
Tuesday PM, November 28, 2017
Hynes, Level 1, Room 101
2:00 PM - EM04.04.01
Stealthy Hyperuniform Disordered Structure for III-N Random Laser Applications
Ronghui Lin 1 , Haiding Sun 1 , XiaoHang Li 1
1 Computer, Electrical and Mathematical Science and Engineering (CEMSE) Division, King Abdullah University of Science and Technology, Thuwal Saudi Arabia
Show AbstractThe bandgaps of group III-nitrides (AlN, GaN, InN) can be tuned from 0.7 to 6.2 eV which cover a wide spectrum from infrared to deep ultraviolet. III-nitride nanostructures have advantages over planar structures such as lower dislocation densities and enhanced dopant incorporation. In this work, we present a unique design of nanostructures that can be used in the development of III-nitride-based random lasers.
Different from conventional lasers that usually consist of gain media embedded in a pair of mirrors which provide positive feedback, the feedback of random lasers is provided by multiple scattering. III-nitride random lasers have been demonstrated in various wavelength. However, the complexity of optical modes as well as their temporal and spatial competition makes random laser less predictable and controllable.
The correlated random structure is a novel way to engineer the scattering and transportation properties by adding some degrees of short-range or quasi-long-range order to the geometry. A class of correlated structure called the hyperuniform structure has drawn great attention from the photonics community. The most interesting property of hyperuniform structures is the existence of a large and isotropic photonic band gap, in which the short-range order of hyperuniformity is found to play a crucial role. High-performance waveguides and resonators can be achieved by introducing defects to the structure. Numerical studies show that high-density hyperuniform materials can be transparent at a certain wavelength range in both single scattering and multiple scattering regimes. The applications of hyperuniform in quantum cascade lasers and plasmonic surface-enhanced Raman spectroscopy have also been demonstrated. Although being a promising type of correlated structures in photonics, the realization of the hyperuniform structure is not trivial. The generation and testing of the hyperuniform structure rely heavily on the numerical simulation.
In this study, the possibility of using the stealthy hyperuniform structure for III nitride random lasing is demonstrated by means of the finite-difference time-domain method and experiments. A stealthy hyperuniform structure with high structural correlations is constructed and the optical modes are calculated in comparison with a random structure. The modes of hyperuniform structure are found to possess the characteristics of both periodic photonic crystals and random structures. A band gap is found to exist at the transition from dielectric mode to air mode. We also observe the “Anderson-like” localized dipole mode at the band edge with an order of magnitude of enhanced Q factor compared to that in the random structure. The high localization and enhanced Q factor of these modes are explained in terms of the transport mean free path and group velocity anomaly. Experimental works are undergoing and the results will be presented in the conference.
2:15 PM - EM04.04.02
Cathodoluminescence as an Effective Probe of Carrier Transport and Deep Level Defects in InGaN/GaN Quantum Well Heterostructures
Zhibo Zhao 1 , Akshay Singh 1 , Jordan Chesin 1 , Rob Armitage 2 , Isaac Wildeson 2 , Parijat Deb 2 , Andrew Armstrong 3 , Kim Kisslinger 4 , Eric Stach 4 , Silvija Gradecak 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Lumileds, San Jose, California, United States, 3 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 4 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States
Show AbstractLight emitting diodes (LEDs) based on InGaN/GaN quantum wells (QWs) continue to suffer from efficiency droop at high current densities. Prevalent droop mitigation strategies require changes to the structure and/or composition of the active region. However, these modifications are generally accompanied by a reduction in low-current external quantum efficiency (EQE) which offsets the high-current droop improvement and suggests increased Shockley-Read-Hall recombination rates. Understanding the optoelectronic impacts of design modifications in low-droop InGaN/GaN QWs thus remains critical for emerging high-power LEDs.
In this work, we use a combination of optical and structural electron microscopy tools along with standard electrical characterization to investigate a wide range of low-droop InGaN/GaN QW designs. We find that chip-scale EQE measurements are uncorrelated with the presence of extended well-width fluctuations observed in aberration-corrected scanning transmission electron microscopy. Rather, we show direct correlation between active region band profiles, deep level defects, and delayed electron beam induced cathodoluminescence (CL). In particular, we observe delayed CL response with rise times of ~100 s from designs in which calculated band profiles suggest facile carrier escape from individual QWs. Samples with the slowest rise times also exhibit the lowest EQEs and are correlated with the highest defect densities within the QWs, as measured by deep level optical spectroscopy.
Hence, we propose a model in which the electron beam (i) passivates deep level defect states and (ii) drives charge carrier accumulation and subsequent reduction of the built-in field across the multi-QW active region, resulting in delayed radiative recombination. Finally, we correlate CL rise dynamics with capacitance-voltage measurements and demonstrate that certain early-time components of the CL dynamics are reflective of the open circuit carrier population within one or more QWs, providing clues to the Fermi level position in specific QWs.
The measurement of CL rise time dynamics can yield insights into carrier transport properties, deep level defect densities, and band structure within the multi-QW active region of InGaN/GaN LEDs. We anticipate that CL in scanning electron microscopy will continue to offer a powerful path to probe ensemble optoelectronic properties and guide future LED active region development.
2:30 PM - EM04.04.03
Recombination Dynamics in 3D InGaN/GaN Microrod and Fin Heterostructures
Angelina Vogt 1 , Jana Hartmann 1 2 , Hao Zhou 1 , Felix Blumenröther 1 , Sönke Fündling 1 2 , Hergo-Heinrich Wehmann 1 2 , Andreas Waag 1 2 , Tobias Voss 1
1 Institute of Semiconductor Technology and Laboratory for Emerging Nanometrology LENA, TU Braunschweig, Braunschweig Germany, 2 Epitaxy Competence Center ec2, TU Braunschweig, Braunschweig Germany
Show AbstractThree-dimensional (3D) GaN-based microrod and fin structures allow for the integration of InGaN quantum wells (QWs) on their non-polar sidewalls while keeping the material free of extended defects. These core-shell heterostructures are promising approaches for next generation LED devices. A significant advantage is the large active area on the 3D structures in relation to the surface area of the substrate. In order to optimize their internal quantum efficiency (IQE), a detailed knowledge of the radiative and non-radiative recombination channels and their rates is required.
We compare the recombination and relaxation dynamics of photo-excited electron-hole-pairs in InGaN QWs of 3D microrod and fin (microwalls) heterostructures. Both were grown by continuous selective area metal organic vapour phase epitaxy (MOVPE) on SiOx masked GaN templates. The QWs of the microrods are grown on the m-plane sidewalls, while for the fins the mask windows are parallel to the m-direction of the GaN buffer leading to a-plane sidewalls of the fins. The dynamics are investigated by time-resolved photoluminescence measurements for different temperatures and excitation wavelengths, with appropriate photon energies above the GaN bandgap and resonant to the InGaN, respectively. Therefore, a femtosecond laser system (repetition rate 1 kHz, pulse length ~ 100 fs) with an optical parametric amplifier and a streak camera (time resolution < 10 ps) were used.
The InGaN luminescence of the 3D structures shows a mainly monoexponential decay characteristic with decay times in the range between 100 ps and 600 ps. In contrast, for QWs grown on c-plane GaN structures, which are strongly influenced by the quantum confined Stark effect (QCSE), decay times of a few ns are determined and the decay characteristics show biexponential behaviour.
Additionally, we analyse the homogeneity of the indium in the QWs along the height of the structures and the length of the fins of several mm by spatially and time-resolved PL mapping. The length of the fins is limited only by the substrate size. A gradient in the indium concentration along the height is found with a higher concentration towards the top of both types of structures. The characteristic of the change of the indium concentration differs for the various samples depending on the growth conditions.
2:45 PM - EM04.04.04
Ab Initio Charge Carrier Dynamics in Gallium Nitride and Impact on Efficiency Droop
Vatsal Jhalani 1 , Jin-Jian Zhou 1 , Marco Bernardi 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractGaN has driven intense research due to its promising technological applications to efficient lighting and power electronics. Light emission and charge transport are regulated by ultrafast electron scattering processes, which are not completely understood in GaN. Open problems in device design, such as the LED efficiency droop, are still highly debated in GaN, and widely used empirical approaches to model carrier dynamics cannot quantitatively address their origin. We present first principles calculations of excited carrier (or so-called hot carrier, HC) dynamics in GaN, and analyze the role of HCs in efficiency droop [1]. Employing density functional theory, ab initio electron-phonon (e-ph) calculations and their extension for polar materials, we compute the e-ph relaxation time (RT) and mean free path (MFP) for electrons and holes in bulk GaN. We find significant differences between the ultrafast dynamics of hot electrons and holes, which we show to be a consequence of the different dispersion and degeneracy of the valence and conduction bands. The long electron MFP due to the small phase space for phonon emission in the conduction band is shown to be a mechanism for electron leakage and LED efficiency droop. We show that, different from previously hypothesized Auger mechanisms, e-ph scattering of HCs is consistent with the temperature dependence and known experimental droop reduction strategies. We additionally show [2] ab initio electron and hole mobility calculations in GaN in excellent agreement with experiment, which clarify the role of both optical and acoustic phonons in determining the room temperature mobility in both n-type and p-type GaN.
[1] V. Jhalani, J.J. Zhou, and M. Bernardi. Asymmetry in the Hot Carrier Dynamics in GaN and its Impact on the Efficiency Droop. arXiv:1703.07880
[2 ]J.J. Zhou*, V. Jhalani*, and M. Bernardi. First principles calculation of electron and hole mobilities in GaN. (Submitted)
3:30 PM - *EM04.04.05
Consideration of Shockley-Read-Hall Nonradiative Recombination Centers in Wide Bandgap (Al,Ga)N and ZnO
Shigefusa Chichibu 1 2 , Kazunobu Kojima 1 , Kohei Shima 1 , Akira Uedono 3 , Shoji Ishibashi 4
1 , Tohoku University, Sendai Japan, 2 IMaSS, Nagoya University, Nagoya, Aichi, Japan, 3 IAP, University of Tsukuba, Tsukuba, Ibaraki, Japan, 4 , AIST, Tsukuba, Ibaraki, Japan
Show AbstractGaN and related (Al,Ga,In)N alloys provide practical benefits in the production of light emitters operating in the UV to green wavelength regions. GaN is also expected to realize high-power devices operating at higher frequencies because of its large bandgap energy (Eg), high break-down field, and high saturation velocity. For improving the device performances, the accurate understanding of the origins and properties of nonradiative recombination centers (NRCs) is a universal protocol for developing a technique to decrease them. For (Al,In,Ga)N, threading dislocations (TDs) had long been invoked to as the limiting factor for the internal quantum efficiency (IQE) of the near-band-edge (NBE) emission.
However, as we have been suggesting since 2005 [1], point defect complexes containing cation vacancies are the true origin of the predominant Shockley-Read -Hall (SRH) NRCs [1-3]. Accordingly, their concentration must be decreased both in optical and electronic devices.
In this presentation, nonradiative lifetimes of the NBE emission, which represent the minority carrier lifetimes, in various quality (Al,Ga)N and ZnO will be compared with (i) the concentration of cation vacancy complexes and (ii) the gross concentration of all kinds of point defects, in order to assign the origin and to determine the capture cross-section of native NRCs. For this purpose, we used steady-state photoluminescence (PL), time-resolved photoluminescence, and positron annihilation spectroscopy (PAS) measurements.
Room-temperature minority carrier lifetime of the NBE emission in undoped and doped n-type GaN crystals of a variety of TD densities, orientations, polar directions, and polytypes, which were grown by various growth techniques such as MOVPE, MBE, HVPE, and HVPE / acidic ammonothermal was increased by decreasing the concentration of Ga vacancies (V_Ga) and also with the increase in the diffusion length of positrons, which is proportional to the inverse third root of gross concentration of point defects. These results indicate that nonradiative recombination process is governed by the defects introduced with the incorporation of V_Ga. From the results of PAS [4], the defect is attributed to complexes between V_Ga and N vacancies (V_N), namely V_GaV_N and V_Ga(V_N)n multiple vacancies. From the relationship between the nonradiative lifetime and the concentration of NRCs, the hole capture-cross-section in GaN at 300 K was estimated to be 7 x 10^(-14) cm^2. The NRCs in the epitaxial and ion-implanted Mg-doped GaN epilayers, as well as those in AlGaN alloys and in ZnO will be compared at the meeting.
The authors thank Mitsubishi Chemical Corp. and Fuji Electric Co. Ltd for providing the samples. This work was supported in part by CSTI-SIP and MEXT programs (R&D of next-generation semiconductor, Five-Stare Alliance, and Kakenhi) , Japan. Refs. [1] APL 86, 021914 (2005). [2] JAP 111, 103518 (2012). [3] JAP 113, 213606 (2013). [4] JCG 311, 3075 (2009).
4:00 PM - EM04.04.06
High Performance AlGaN/AlGaN Based Solar-Blind MSM Type Photosensor
Akira Yoshikawa 1 2 , Saki Ushida 1 , Motoaki Iwaya 1 , Tetsuya Takeuchi 1 , Satoshi Kamiyama 1 , Isamu Akasaki 1 3
1 , Meijo University, Shizuoka Japan, 2 , Asahi-Kasei Corporation, Fuji Japan, 3 , Akasaki Research Center, Nagoya Japan
Show AbstractRecently, UVC sensors with solar-bride and highly sensitive sensors are required for flame sensors or measurement applications of UVC-LEDs. We have reported a HFET with p-GaN gate type UVC sensor which has high photosensitivity of exceeding 103 A/W [1]. However, in this structure, the characteristics cannot be more improved because UV light is absorbed by the p-GaN gate layer. Therefore, we focused the MSM sensor to avoid the absorption loss. In case of conventional MSM sensor, Pt/Ti/Au or Ni/Au Schottky electrodes were used to AlGaN absorption layer [2-3]. Whereas, AlGaN MSM sensor has issue in that sensitivity is still low which is from 1x10-2 to 5 A/W.
In this study, we employed a 2DEG layer induced at Al0.6Ga0.4N/Al0.5Ga0.5N interface to enhance sensitivity by compares to conventional MSM photodetector whose signal intensity is limited by irradiation intensity. As far as we know, these AlGaN/AlGaN structure has never been applied for MSM solar-blind sensor. The AlGaN/AlGaN structure required suitable electrodes because it requires enough depletion layer formation without UV radiation and good contact through AlGaN barrier layer when UV irradiated.
The device was grown by MOVPE on a sapphire. After growth a 1.5 μm-thick AlN layer, a 150 nm-thick u-Al0.5Ga0.5N layer, a 15 nm-thick u-Al0.6Ga0.4N barrier layer were grown. Secondly, mesa isolation was performed by Cl2 ICP etching. Finally, a V/Al/Mo/Au (20/80/50/40 nm) was deposited as the source and drain electrodes separated by 5 μm on the u-Al0.6Ga0.4N barrier layer.
IV characteristics were measured with applied to VDS of 10 V. The dark current measured was less than 10 pA which is the measureable limit. The photocurrent when irradiating ultraviolet light of 250 nm at 10 μW/cm2 using the Xe light source and spectrometer exceeding 10 μA was obtained at low VDS of 1 V.
Monochromatic photosensitivity of our photosensor was measured with applied to VDS of 3 V. The sensor achieve a high external sensitivity, over 106 A/W. This sensitivity superior to those of commercially available Si pin, Si APD, AlGaN-based pin photodiodes, and photomultiplier tubes. The rejection ratio was in the order of 106. The absorption edge wavelength was approximately 280 nm.
In summary, we obtained high photosensitivity of 106 A/W and high rejection ratio of 106 by choosing V/Al/Mo/Au as the contact material to obtain ideal Schottky barrier height to 2DEG. These results suggest that our sensor is very promising for the development of high-performance solar blinding photo sensor with high sensitivity.
Reference:
[1] A. Yoshikawa et al., Jpn. J. Appl. Phys, 55, 05FJ04 (2016).
[2] M. Brendel, et al., J. Appl. Phys, 118, 244504 (2015).
[3] S. Rathkanthiwar et al., J. Appl. Phys, 121, 164502 (2017).
This work was supported by the MEXT Private University Research Branding Project (2016–2020), JSPS KAKENHI for Scientific Research A [#15H02019, 17H01055], JSPS KAKENHI for Innovative Areas [16H06416], and JST CREST [# 16815710].
4:15 PM - EM04.04.07
Band Alignment of BAlN/AlGaN Heterojunction for Ultraviolet Emitter Applications
Haiding Sun 1 , Young Jae Park 2 , Theeradetch Detchprohm 2 , Russell Dupuis 2 , XiaoHang Li 1
1 , King Abdullah University of Science and Technology, Thuwal Saudi Arabia, 2 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractBxAl1-xN (0≤x≤1) alloys can offer versatility for large tunable bandgap, making it a promising material for realizing ultraviolet (UV) and power devices. Studies have shown that wurtzite BAlN layers with small amounts of B incorporation could introduce strong refractive index contrast which is a desirable feature for achieving high reflectivity distributed Bragg reflector (DBR) operating in the deep-UV/UV range. Furthermore, we noticed that BxAl1-xN alloys have smaller lattice constants. Thus it can be used to tune the strain of the epilayers via the formation of BAl(Ga)N/AlGaN heterojunction. Thus, a significant increase in the UV emission from BAl(Ga)N/AlGaN quantum well structures was reported through the B incorporation. However, we found a transition from direct to indirect bandgap happens at a relatively low B composition (~ 12%) in the BxAl1-xN alloys. Thus the BxAl1-xN would have to be integrated with Al-rich AlxGa1-xN to form a BAlN/AlGaN heterojunction for potential UV emitters. To explore the possible implementation of BAlN/AlGaN heterojunctions, the band offsets of such heterojunction are crucial electronic parameters because it determines the energy barriers for electron and hole transport. But the band alignment of BAlN/AlGaN heterojunction has not been identified yet.
In this study, we measured valence band offset (VBO) and conduction band offset (CBO) at the junction by using high-resolution X-ray photoemission spectroscopy. The growth experiments of a 40nm B0.14Al0.86N layer (Sample A), thin (~5nm) B0.14Al0.86N on a 400nm Al0.7Ga0.3N layer (Sample B), and a 400nm Al0.7Ga0.3N layer (Sample C) were carried out by MOCVD. High resolution STEM image showed an abrupt interface of the heterojunction and a single-phase wurtzite BAlN layer. Then, we measured the core level binding energies of B 1s and Ga 2p3/2 with respect to the valence band maximum in B0.14Al0.86N and Al0.7Ga0.3N layers, respectively. Also, we measured the separation between B 1s and Ga 2p3/2 core levels at the B0.14Al0.86N/Al0.7Ga0.3N interface. Binding energies were referenced to the C 1s binding energy of adventitious carbon contamination which was taken to be 284.8 eV. We found the peak of B 1s core level binding energy was at 190.4 eV which corresponded to the B in the B0.14Al0.86N layer and the peak of Ga 2p3/2 core level binding energy was located at 1117.3 eV from the Al0.7Ga0.3N layer. Eventually, we were able to obtain the VBO value of 0.4±0.1 eV. As a consequence, a staggered-gap (type II) heterojunction with a CBO value of 1.1 ± 0.1 eV was determined. The determination of the band alignment of B0.14Al0.86N/Al0.7Ga0.3N heterojunction facilitates the design of optical and electronic devices based on such materials. In particular, this BAlN layer could act as an electron blocking layer without any UV absorption while increase hole injection into the AlGaN QW for the DUV emitters. The band alignment of BAlN with GaN, InN and AlN will be also presented.
4:30 PM - EM04.04.08
Optimization of Carrier Concentration and the Electrode for AlGaN/AlGaN Based Solar-Blind Photosensor
Saki Ushida 1 , Akira Yoshikawa 1 2 , Motoaki Iwaya 1 , Tetsuya Takeuchi 1 , Satoshi Kamiyama 1 , Isamu Akasaki 1 3
1 , Meijo University, Nagoya City Aichi Japan, 2 , Asahi-Kasei Corporation, Fuji Japan, 3 , Akasaki Research Center, Nagoya University, Nagoya Japan
Show AbstractDeep UV photosensors with high photosensitivity and S/N ratio are expected to be applied to flame sensors and measuring instruments applications We have reported that novel MSM type sensor which has high photosensitivity of 106 A/W and high S/N ratio of 106 employing 2DEG induced at AlGaN/AlGaN interface [1]. For realization of high performance photosensors, optimization of 2DEG concentration and Schottky electrode structure is indispensable in order to realize low dark current and high photosensitivity. In the AlGaN/GaN system, it has been reported that the V/Al/Mo/Au electrode change the Schottky characteristics by changing the annealing temperature [2]. Also, 2DEG concentration is controlled by AlN molar fraction in AlGaN barrier layer. In this study, we fabricated an AlGaN/AlGaN photosensors with 2DEG concentration and Schottky characteristics changed and evaluated its dependency.
The devices were grown by MOVPE on a sapphire substrate. After growth a 1.5 μm-thick AlN layer, a 150 nm-thick u-Al0.5Ga0.5N layer, a 20 nm-thick u-AlxGa1-xN barrier layer were sequentially stacked. Mesa isolation was performed by Cl2 ICP etching. Finally, a V/Al/Mo/Au (20/80/50/40 nm) was deposited as the source and drain electrodes separated by 5 μm on the u-Al0.6Ga0.4N and u-Al0.7Ga0.3N barrier layer. These electrodes were annealed at 700, 800, 900 oC in rapid thermal annealing within N2 gas. The 2DEG concentration was controlled by setting the AlN molar fraction in the u-AlxGa1-xN barrier layer to 0.6 and 0.7, respectively. In contrast, the characteristics of the Schottky electrode were changed by changing the annealing temperature. A photocurrent was measured irradiating with UV light of 10 μW/cm2 at 250 nm.
As a result of analyzing each photosensors, a remarkable difference in device performance appeared. A high photosensitivity of >106 A/W was obtained in the sample using Al0.7Ga0.3N barrier layer, but the dark current was >10-5 A or more in all the electrodes, and a high S/N ratio could not be obtained. This is because the 2DEG concentration formed by the heterojunction is too high and cannot be depleted by the Schottky electrode. In contrast, in the sample using Al0.6Ga0.4N barrier layer, it was confirmed that the dark current greatly decreased from 10-4 to 10-11 A by increasing the annealing temperature of the electrode from 700°C to 900°C. This is probably because the barrier height of the Schottky contact increases and the formation of the depletion layer changes. From the above, it ispossible to obtain values superior to photomultiplier tubes with a photosensitivity of 106 A/W and S/N ratio of 106 by controlling the 2DEG concentration and the barrier height of the Schottky electrode. Therefore, it is essential to control 2DEG concentration and barrier height of Schottky electrode in order to realize a high-performance photosensor.
Reference:
[1] A. Yoshikawa et al., Abstract of MRS 2017 Fall meeting.
[2] N. Yafune et al., JJAP, 49 04DF10 (2010).
4:45 PM - EM04.04.09
GaN-AlGaN Excitonic Bragg Structures
Vladimir Chaldyshev 1 , Andrey Bolshakov 1 , Evgeny Zavarin 1 , Maria Yagovkina 1 , Wsevolod Lundin 1 , Alexey Sakharov 1 , Andrey Tsatsulnikov 1
1 , Ioffe Institute, Saint Petersburg Russian Federation
Show AbstractPhotonic devices operating via exciton-polaritons may offer a fast and energy-efficient alternative to the modern electronics. However, there are important physical problems with implementation of this idea on the base of semiconductor heterostructures. The limitations come from (i) relatively small Coulomb interaction energy between the electrons and holes forming the excitons and (ii) a weak coupling between the photons and excitons. Both limitations can be released by using GaN and related wide-bandgap materials. These materials offer a large exciton binding energy and, as a result, stability of the excitonic states even at room temperature, especially in quantum wells. The use of wide-band-gap III-nitrides also provides a high radiative decay rate, which can be described by radiative broadening parameter. It can farther be improved in excitonic Bragg structures, where an electro-magnetic coupling of individual quasi-2D excitons leads to the formation of a superradiant optical mode. We have successfully proved this concept previously using Bragg systems of InGaN quantum wells.
In this contribution we first demonstrate and investigate optical Bragg lattices formed by quasi-two-dimensional excitons in periodic systems of GaN quantum wells separated by AlGaN barriers. The structures with 10 and 30 periods were grown by MOVPE on 2-inch sapphire substrates. The GaN quantum wells are as thick as 2.7 nm. We reached peak reflectivity of about 50% at room temperature for a periodic system of 30 quantum wells, when the Bragg resonance and exciton resonance are tuned to each other. Transfer matrix simulations of the optical properties of the structures allowed us to evaluate the parameters of the quasi-two-dimensional excitons in the GaN quantum wells. The radiative broadening parameter for the excitons in a single GaN quantum well appeared to be 0.32 meV. This value is the largest reported so far for the excitons in semiconductor quantum wells.
Symposium Organizers
Robert Kaplar, Sandia National Laboratories
Mitsuru Funato, Kyoto University
Matteo Meneghini, University of Padova
Rachael Myers-Ward, U.S. Naval Research Laboratory
EM04.05: Doping and Defects
Session Chairs
Wednesday AM, November 29, 2017
Hynes, Level 1, Room 101
8:15 AM - *EM04.05.01
Compensation Mechanism in AlN and AlGaN
Douglas Irving 1 , Joshua Harris 1 , Kelsey Mirrielees 1 , Jonathon Baker 1 , Brian Behrhorst 1 , Isaac Bryan 1 , Dorian Alden 1 , Ronny Kirste 2 , James Tweedie 2 , Preston Bowes 1 , Ramon Collazo 1 , Zlatko Sitar 1
1 , North Carolina State University, Raleigh, North Carolina, United States, 2 , Adroit Materials, Cary, North Carolina, United States
Show AbstractIII-N materials (III=In,Ga,Al) have been critical to many technologically relevant optoelectronic and high power electronics applications. While significant strides have been made in the production of high quality bulk materials controlled doping in epitaxial AlN and Al-rich AlGaN materials remains a significant obstacle. Important factors influencing the doping are the wide band gaps and the depth of the valence bands in these materials as these factors facilitate the formation of unintentional defects that lead to the compensation of intentional dopants. These factors thwart efforts to tailor the electrical properties of these materials. In an effort to identify dopant compensators, we have implemented first principles density functional theory calculations with screened hybrid exchange-correlation functionals to determine the properties of individual defects in AlN and AlGaN. The formation energies of each defect are determined within a grand canonical equilibrium formalism. Solutions of the mass/charge balance equations allow for connection to experimental growth conditions. Results from these methods are compared with complementary experimental data that includes below band gap optical absorption and photoluminescence as well as electrical and SIMS measurements.
8:45 AM - EM04.05.02
A Comprehensive Analysis of the Formation Energies, Thermal and Optical Ionisation Energies and Equilibrium Concentrations of Native Defects in GaN
John Buckeridge 1 , Zijuan Xie 1 , C. Richard Catlow 1 , Alexey Sokol 1
1 , University College London, London United Kingdom
Show AbstractGaN is an important wide-gap semiconductor that is an essential component in blue light emitting diodes. Many experimental observations, both optical and electronic, such as intrinsic n-type conductivity and a range of luminescence bands found in a majority of samples, have been attributed to different defect structures in the material. The identification of the particular defects involved in such phenomena through first-principles calculations has been a source of considerable controversy over the past few decades. The main challenge is to provide an accurate description of the electronic structure in this wide gap semiconductor. Using a state-of-the-art hybrid quantum mechanical/molecular mechanical embedded cluster approach, whose main advantage is a detailed description of polarisation due to local charges in a crystal, we compute formation and ionisation energies of point defects, i.e. vacancies, interstitials and antisites, as well as complexes, in GaN. Our results explain a broad range of experimental findings, including photoluminescence phenomena and deep level transient spectroscopic measurements. Under equilibrium conditions, the most dominant defect is the nitrogen vacancy. We describe, however, the defect levels associated with all native defects which may be kinetically stable. By considering point defect compensation assuming a known concetration of fully ionised acceptors, we demonstrate that acceptor activation is severely restricted by N vacancies. Donors, on the other hand, are poorly compensated by point defects. We find that n-type conductivity can be attributed to N vacancies, while the yellow luminescence can be related to the presence of Ga vacancies. As well as experimental work, we compare and contrast our results to other computational studies in the literature and account for the observed differences where present.
9:00 AM - EM04.05.03
First Principles Calculations of Threading Screw Dislocation Properties in III-Nitride Compounds
Laurent Pizzagalli 1 , Imad Belabbas 2
1 , P' Institute, Chasseneuil France, 2 , Université de Bejaia, Bejaia Algeria
Show AbstractEfficient light-emitting and high-power devices based on III-nitride materials require a high crystalline quality, and in particular a reduction in density of threading dislocations. An intense experimental research activity is dedicated to this goal. In parallel, it is also important to improve our current knowledge regarding the properties of these threading dislocations. The latter have been extensively investigated in gallium nitride, and recent years have witnessed the discovery of new stable core structures for the threading screw dislocation [1,2]. In particular, it was shown that non-stoichiometric configurations have to be considered. However, the available information is much more scarce for other III-nitride material like AlN and especially InN, for which only few reports exist in literature.
We have recently performed first principles calculations of threading screw dislocations in AlN and InN, to investigate these new configurations. A large number of possible structures have been tested to thoroughly explore the configuration space. For AlN, a new structure is predicted to be dominant in nitrogen-rich conditions. In InN, core configurations were investigated for screw dislocations with two different line directions, i.e. [0001] and [11-20]. These correspond to resulting dislocations when InN is grown along the polar and the non-polar directions. The electronic structure of the most stable dislocation core configurations has been computed using a hybrid exchange-correlation functional for AlN, and Christiansen modified pseudopotentials for InN [3], for a better description of states in the electronic gap. These results will be presented and compared to GaN, for which our knowledge of dislocation properties is more established.
[1] I. Belabbas, J. Chen, and G. Nouet, Comput. Mater. Sci. 51(1), 206 (2012)
[2] M. Matsubara, J. Godet, L. Pizzagalli, et E. Bellotti, Appl. Phys. Lett. 103, 262107 (2013)
[3] Segev et al., Phys. Rev. B 75, 035201 (2007)
9:15 AM - EM04.05.04
Structural, Morphological, Optical and Electrical Properties of the Bulk (0001) GaN:Fe Wafers
Matthew Gaddy 1 , Vladimir Kuryatkov 1 , Vincent Meyers 1 , Daniel Mauch 1 , James Dickens 1 , Andreas Neuber 1 , Sergey Nikishin 1
1 Department of Electrical and Computer Engineering, Texas Tech, Lubbock, Texas, United States
Show AbstractThe bulk semi-insulating (0001) GaN:Fe wafers are important in the development of numerous optoelectronic devices including the high voltage photoconductive semiconductor switches (PCSS). Commercially available wafers are grown by either hydride vapor phase epitaxy (HVPE, vendors K and R) or by the ammonothermal method (ATM, vendor A). The resistivity of these wafers from different vendors is specified and varies from 106 to 1010 Ω-cm. However, the iron, carbon, oxygen, hydrogen and others impurity concentration as well as structural, morphological, and optical properties are not specified by all vendors. Accordingly, the following characterization of the wafers supplied by three different vendors were carried out: 1) high resolution X-ray diffraction (XRD) including a reciprocal space mapping (RSM); 2) scanning electron microscope (SEM) imaging of as grown surface at micro- and nanoscale including the regions near macro-defects; 3) temperature-dependent photoluminescence (PL); 4) secondary ion mass spectrometry (SIMS); and 5) high voltage IV testing. Using XRD, omega scans of (002) and (102) gave screw and edge dislocation densities respectively. Samples from A and R yielded moderately low edge dislocation densities, while K samples had somewhat higher densities. Strain was measured using RMS of all samples. SEM surface morphology of all samples at nano-scale is similar and corresponds to the Ga-terminated (0001) plane. The PL intensity for all samples even at 20 K is very weak in comparison to nominally undoped bulk GaN wafers grown by HVPE. This could be attributed to a high density of non-radiative defects. The PL spectra for all samples are varied strongly with temperature and excitation intensity. This could be attributed to the widely varying impurity concentrations among wafers. Fe, O, C, and H concentrations were measured with SIMS to within 20% accuracy. The Ca, K, and Na concentrations were quantitatively measured for all samples. The SIMS-measured Fe profiles and concentration in HVPE samples from K and R vendors are similar. Bulk concentration of Fe in these samples was 1018 cm -3. The bulk Fe concentration in the ATM sample was 5×1016 cm-3, although the resistivity of this sample was much higher than that grown by HVPE. Note that HVPE-grown wafers from different vendors have different concentration of K, Na, and H. This could be a cause of significant difference in PL spectra. The current/voltage response of two HVPE-grown samples (vendor K) and two ATM-grown samples (vendor A) was tested to 100 kV cm-1. The ATM-grown samples varied little with respect to field direction and sample location, while HVPE-grown samples showed much higher leakage current as well as variation in conductivity with respect to position, but not field direction.
"DISTRIBUTION STATEMENT A. Approved for public release; distribution is unlimited."
9:30 AM - EM04.05.05
Room Temperature Ferromagnetism in Gadolinium-Doped Gallium Nitride
Vishal Saravade 1 , Cameron Ferguson 1 , Amirhossein Ghods 1 , Chuanle Zhou 1 , Ian Ferguson 1
1 Department of Electrical and Computer Engineering, Missouri University of Science and Technology, Rolla, Missouri, United States
Show AbstractThe electrical, optical, and more recently the magnetic, properties of III-Nitrides are currently being investigated. Dilute magnetic semiconductors (DMS) such as GaN doped with a transition metal (TM) or rare earth element (RE) have been shown to have a Curie point above room temperature (RT). GaGdN has shown RT ferromagnetism with magnetization higher than many other DMS [1-2]. However, the mechanism responsible for the magnetism in GaGdN still is not clear. In this work, the Anomalous Hall Effect (AHE) is used to better understand the mechanisms responsible for these magnetic properties. This is necessary to control the magnetic properties of TM and RE-doped GaN for RT spintronics applications.
AHE measurements were completed for epitaxial MOCVD-grown GaGdN with different Gd precursors, Gd concentrations, and co-doped with either silicon or magnesium. These Hall measurements showed a RT AHE for GaGdN with a coercive field of 10-50 Oe in agreement with our previous magnetization measurements [2]. This also indicates that the ferromagnetic behavior is likely to be free carrier related. However, AHE was only observed for samples grown using a (TMHD)3Gd precursor that contains oxygen in its ligand and not for ones using a Cp3Gd precursor. The incorporation of oxygen in the GaGdN appears to be a necessity for the observed magnetic properties [3]. XRD and other measurements have showed that the bulk physical properties of GaGdN are comparable to un-doped GaN. There is no evidence for secondary phases in the GaGdN which rules out gross crystal defects as a significant contribution to the ferromagnetism. The structure-property relationships between the observed AHE and electrical characteristics of GaGdN on its magnetic behavior will be discussed.
References
1. S. Dhar et al., Phys. Rev. Lett., 94 (3), 037205, (2005)
2. S. Gupta et al., J. Appl. Phys., 110 (8), 083920, (2011)
3. Z Liu et al., Appl. Phys. Lett., 100 (23), 232408 (2012)
9:45 AM - EM04.05.06
Radiation-Induced Alloy Rearrangement in InxGa1-xN
Filip Tuomisto 1 , Vera Prozheeva 1 , Ilja Makkonen 1 , Ramon Cusco 2 , Luis Artus 2 , Armin Dadgar 3 , Fernando Plazaola 4
1 , Aalto University, Aalto Finland, 2 , Institut Jaume Almera (ICTJA-CSIC), Barcelona Spain, 3 , Otto-von-Guericke Unversity, Magdeburg Germany, 4 , Euskal Herriko Unibertsitatea, Bilbao Spain
Show AbstractThe adjustable direct band gap and exceptional resistance to irradiation damage enable the application of InxGa1-xN in devices for outer space. However, the limitations induced by only partial understanding of the defect structure in InxGa1-xN alloys are an obstacle in the way of energy-efficient devices. So far, inhomogeneous indium distribution, dislocations and surface-related defects have been reported [1-4]. Cation vacancies have been predicted to play a crucial role in Shockley-Read-Hall recombination in wide-band-gap semiconductors [5].
We have applied positron annihilation spectroscopy [6] to study the effect of radiation damage on the defect and alloy structure in InxGa1-xN thin films grown on Si substrates. The as-grown samples were subjected to double He+ implantation with beam energies 40 and 100 keV with varying implantation doses. The as-grown samples films contain cation vacancies with concentrations in the 1018 cm-3 range with characteristics reflecting the random site distribution of In and Ga atoms in the lattice in agreement with those predicted for random alloys. Upon He+ implantation, the nature of the introduced cation vacancy defects gradually changes with increasing fluence towards that of the indium vacancy VIn in InN, reflecting a change in the distribution of the metal atoms and indicating the generation of indium-rich regions in the lattice. Closer to the film-substrate interface, the nature of the defects strongly reminds VIn–VN complexes in InN.
We discuss these results in the light of preferential formation of metal vacancies and metal-nitrogen vacancy complexes in regions with varying In/Ga composition. The expansion of the indium-rich regions after heavy implantation suggests strong alloy disorder rearrangement under irradiation, an effect that needs to be taken into account when developing InxGa1-xN -based photovoltaic and optoelectronic devices for environments with elevated background radiation [7]. The intensification of composition fluctuations as observed in our experiments is likely to lead to stronger hole localization.
[1] A. Yankovich et al., Proc. SPIE 7939, 79391E (2011).
[2] D. Van Den Broeck et al., J. Electron. Mater. 44, 4161 (2015).
[3] F. C.-P. Massabuau et al., Appl. Phys. Lett. 105, 112110 (2014).
[4] C. De Santi et al., J. Appl. Phys. 119, 094501 (2016).
[5] A. Alkauskas et al., Phys. Rev. B 93, 201304 (2016).
[6] F. Tuomisto and I. Makkonen, Rev. Mod. Phys. 85, 1583 (2013).
[7] V. Prozheeva et al., Appl. Phys. Lett. 110, 132104 (2017).
10:30 AM - *EM04.05.07
Functionalization of Silicon Carbide by Particle Irradiation toward Quantum Devices
Takeshi Ohshima 1 , Tomoya Honda 1 2 , Hiroki Tsunemi 1 2 , Takahiro Makino 1 , Shin-ichiro Sato 1 , Shinobu Onoda 1 , Yasuto Hijikata 2
1 , National Institutes for Quantum and Radiological Science and Technology, Takasaki Japan, 2 , Saitama University, Urawa Japan
Show AbstractSilicon carbide (SiC) is regarded as a promising material for high power and high frequency devices with extremely low loss. In addition, SiC is also expected to be a host material for single photon emitters (SPEs). At present, the silicon vacancy (VSii), divacancy (VSiVC) and carbon antisite carbon vacancy (CSiVC) defects in SiC are known to act as SPEs, and their luminescence properties can be controlled at room temperature (RT). We also reported that bright fully polarized SPEs can be created near the surface of SiC (3C-, 4H- and 6H), although the atomic structure of these particular SPEs has not yet been identified. Since SPEs are vital for quantum spintronics and quantum photonics applications, the development of fabrication processes of these defects and understanding their quantum optical properties are of great importance. Also, it is important to develop the fabrication process of SPE embedded electronic devices and to understand the properties of SPEs under device operation such as current injection and voltage applied.
In this study, we fabricated in-plane SiC p+nn+ diodes and investigate SPEs created in the SiC diodes by home-built confocal laser scanning luminescence microscope (CFM). In-plane p+nn+ diodes were fabricated on an n-type 4H-SiC epitaxial layer grown on an n-type 4H-SiC substrate (Si face, 4 degree off). The donor concentration in the epitaxial layer was 9.1x1014 /cm3. Phosporus and aluminum ion implantation at a temperature of 800 oC followed by annealing at 1800 oC in argon atmosphere were performed to form the n+-region and the p+-region, respectively. An oxide layer was formed on the surface in order to stabilize light emission from SPEs. Photoluminescence (PL) spectra and the second order autocorrelation function were measured for luminescent spot at RT using the CFM system. As a result, bright spots which act as SPEs were found near the surface of the n-type region (epitaxial layer) in the SiC diodes. Since the SPEs showed various PL spectra with peaks at wavelength between 600 and 750 nm, it can be concluded that several different type of SPEs are created in SiC diodes. We will discuss the optical properties of SPEs under device operation at the presentation.
11:00 AM - EM04.05.08
The SiO2/4H-SiC Interface—Physical Stress, Material Density and Carbon Retention
Xiuyan Li 1 , Sang Soo Lee 2 , Ryan Thorpe 1 , Alexei Ermakov 1 , Voshadhi Amarasinghe 1 , Jonnathan Medina Ramos 2 , Eric Garfunkel 1 , Torgny Gustafsson 1 , Paul Fenter 2 , Leonard Feldman 1
1 Institute for Advanced Materials, Devices and Nanotechnology, Rutgers, The State University of New Jersey, Piscataway, New Jersey, United States, 2 Chemical Science and Engineering Division, Argonne National Laboratory, Argonne, Illinois, United States
Show Abstract
High mobility and reliability are primary challenges for SiC power devices: both issues are associated with the SiO2/SiC interface quality. It has been proposed that carbon retention following thermal oxidation of SiC results in significant interface states [1]. Additionally, recent calculations suggest that the oxidation induced strain/stress at the SiO2/SiC interface is critical for mobility and reliability performance [2]. Despite significant progress with post-oxidation annealing the detailed nature of the intrinsic interface is still not yet clarified experimentally, which is the key to further improvements in device performance. We report a comprehensive investigation of physical stress, structural density and carbon retention at the interface between SiO2 and 4H-SiC, based on novel stress results, new X-ray reflectivity (XRR) measurements and published ion scattering data[3], respectively. In addition, we compare the SiO2/SiC interface properties on the 4H-SiC(0001) (C-face) with those on 4H-SiC(0001) (Si-face) because the electrical performance of devices with C-face SiC is significantly worse [4].
Our previous ion scattering studies placed an upper limit of ~1014 atom/cm2 carbon at the interface between SiO2 and Si-face SiC [3]. While direct stress measurements of SiO2/SiC(Si-face) samples show a ~108 Pa compressive stress in 40nm of SiO2. The stress effect within ~ 10nm of the SiO2/SiC interface is much higher and corresponds to a strain of 0.8-1.2%. This suggests that interface stress is a significant property that needs to be understood and controlled in addition to the as yet undetectable carbon retention. More interestingly, the new XRR results show large differences in the interfacial region between the Si- and the C-faces of samples. A 10-20% increase in density above that of conventional amorphous SiO2 was observed on C-face SiC, with a much smaller effect on the Si face. A higher density SiO2 layer can be due to compressive stress and/or carbon retention. The results indicate that stress and/or carbon retention may be larger on the C-face SiC/SiO2 interface, which is consistent with the relatively poorer C-face electrical performance.
The XRR results, combined with our recent stress determinations, provide new insights into the physical origin of device degradation and of significant differences in electrical performance of these two SiC-based structures.
Support of the II-VI Foundation is gratefully acknowledged.
[1] W. Lu et al., Appl. Phys. Lett. 85, 3495 (2004).
[2] K. Shiraishi et al., Proceeding IEDM, 21.1, IEEE (2014)
[3] X. Zhu et al, Appl. Phys. Lett., 97, 071908 (2010)
[4] S. Dhar, et al., J. Appl. Phys. 98, 014902 (2005).
11:15 AM - EM04.05.09
Quantitative Imaging of SiO2/SiC Interface Trap Density Using Local Deep Level Transient Spectroscopy
Norimichi Chinone 1 , Ryoji Kosugi 2 , Yasunori Tanaka 2 , Shinsuke Harada 2 , Hajime Okumura 2 , Yasuo Cho 1
1 , Tohoku University, Sendai Japan, 2 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractSiC-MOSFETs, which are key device for high efficient electric power conversion, have still had serious problems (e. g. low channel electron mobility and threshold voltage variation) whose origin is thought to be insufficient quality of SiO2/SiC interface. For further improvement of interface quality, it is important to clarify the origin of poor interface quality. There are several techniques for characterizing MOS interface properties. Deep level transient spectroscopy (DLTS) [1] is one of powerful techniques capable of macroscopic qualitative evaluation of trap density Dit at/near MOS interface.
Recently, the authors proposed to utilize super-higher-order scanning nonlinear dielectric microscopy (SNDM) [2] to perform DLTS-like measurement with sharp conductive tip at each pixel. We have named this microscopic technique local-DLTS and performed qualitative Dit distribution measurement using this technique [3].
In this study, DC bias dependence of local-DLTS signal of SiO2/SiC interface was investigated. Moreover, quantitative two-dimensional (2D) profiling of Dit at the SiO2/SiC interface was also studied.
The detail of samples measured in this study is as follows. 45-nm-thick thermal oxide layers were formed on three Si-faces of 4°-off n-type 4H-SiC wafers. The one of them was labeled as #S-45-1. The other two wafers were subjected to post-oxidation annealing (POA) in nitric oxide (NO) at different conditions as follows: 1250°C for 10 minutes (#S-45-2), 1150°C for 60 minutes (#S-45-3). As preliminary study, at first, macroscopic Dit values of these samples were measured by conventional High-Low method, which showed that the average Dit of #S-45-1 was highest and that of #S-45-3 was lowest.
These three samples were measured with constant filling pulse amplitude of −1.5 V and some DC biases from −3.0 V to +3.0 V. The results of DC bias dependence of local-DLTS signal showed that the origin of local-DLTS signals is interface traps.
Furthermore, quantitative Dit 2D profiling was performed, whose average value was consistent with Dit by High-Low method in order of magnitude. The contrast distribution in obtained images depended on time constant of local-DLTS signal (or energy depth of traps under conduction band), which implies that the distribution of interface traps depends on time constant, or suggests the physical origin of interface traps with different energy level is different.
Thus, we conclude local-DLTS is promising for studying microscopic properties of interface traps quantitatively.
[1]D. V. Lang, J. Appl. Phys. 45, 3023 (1974).
[2]Y. Cho, A. Kirihara and T. Saeki, Rev. Sci. Instrum. 67, 2297 (1996).
[3]N. Chinone, R.Kosugi ,Y. Tanaka, S. Harada, H. Okumura and Y. Cho,
11:30 AM - EM04.05.10
Electronics Structure of Oxygen and Aluminum Vacancies in Amorphous Al2O3
Eiji Kojima 1 , Kenta Chokawa 1 , Hiroki Shirakawa 1 , Masaaki Araidai 1 2 , Kenji Shiraishi 1 2
1 , Nagoya University, Nagoya Japan, 2 , Materials and Systems for Sustainability, Nagoya, Aichi, Japan
Show AbstractThere has been a growing interest in gallium nitride (GaN) as next-generation power conversion devices because of their superior material properties such as larger bandgap, higher saturation velocity, and higher breakdown voltage than silicon [M. Kodama, et al., Appl. Phys. Express 1, 021104 (2008)]. In order to realize GaN-MOS devices, it is important to determine the most suitable gate oxide. Various materials for gate oxide have been reported in experiments, for example SiO2 [H. Kambayashi, et al., Solid-State Electron. 54, 660 (2010)], Al2O3 [D. Kikuta, et al., Jpn. J. Appl. Phys. 52, 08JN19 (2013)] and HfO2 [E. Miyazaki, et al., Solid-State Electron. 62, 152 (2011)]. SiO2 and Al2O3 show larger conduction-band offset and valence-band offset for GaN. On the other hand, Al2O3 and HfO2 possess higher dielectric constant. Especially, Al2O3 is highly promising candidate for gate oxide insulator of GaN-MOS devices, because it displays both properties. However, the leakage current of GaN device with Al2O3 gate insulator is higher than that with SiO2 gate insulator. It has been reported that there are some vacancies of oxygen and aluminum in Al2O3 deposited on GaN. The oxygen and aluminum vacancies are thought to be responsible for the leakage currents.
In this study, we investigated the oxygen and aluminum vacancies in amorphous Al2O3 (a-Al2O3) by the first-principles calculations. The calculations were performed by using the VASP (Vienna ab initio simulation package) code [G. Kresse and D. Joubert, Phys. Rev. B 59, 1758 (1999)], which is based on the density-functional theory with the Perdew-Burke-Ernzerhof-type generalized gradient approximation [J. P. Perdew, et al., Phys. Rev. Lett. 77, 3865 (1996)]. a-Al2O3 was prepared by the simulated annealing. The coordination number of the O in a-Al2O3 is 2,3,4. On the other hand, the coordination number of the Al in a-Al2O3 is 4,5,6. Therefore, we made the vacancy by removing O and Al atoms with each coordination number and checked whether the defect states were formed in the band gap. For O vacancy, we found that the attractive potential was formed around the Al atoms near the O vacancy due to the effects of Al positive ions. As a result, vacancy defect level appeared in a band gap. As for Al vacancy, we found that anti-bonding states of O-O bonds appeared in the band gap. In this presentation, we also discuss charged state dependence and impurity effects of defects in a-Al2O3.
11:45 AM - EM04.05.11
Electrical Compensation in Ga2O3
Filip Tuomisto 1 , Vera Prozheeva 1 , Kenichiro Mizohata 3 , Jyrki Räisänen 3 , Michele Baldini 2 , Guenter Wagner 2
1 , Aalto University, Aalto Finland, 3 , University of Helsinki, Helsinki Finland, 2 , Leibniz Institute for Crystal Growth, Berlin Germany
Show AbstractHigh quality Ga2O3 can be grown with several techniques both in the form of bulk crystals and epitaxial thin films. It can be doped n-type using Sn or Si. It has been shown that electrical compensation of Si-doping can be explained by the presence of Ga vacancy-related defects [1]. The n-type doping is known to suffer from a high density of twins and stacking faults in thin films grown on the (100) cleavage plane, thus careful preparation of the substrates is required [2]. Compared to (100)-plane growth, higher epitaxial growth rates can be achieved with (010) non-cleavage plane [3]. In order to investigate the defect formation in β-Ga2O3 grown by metal-organic chemical vapor deposition on native substrates with different orientation, we have applied positron annihilation spectroscopy in both conventional and coincidence Doppler broadening modes [4]. We have studied samples grown with different doping impurities (Sn, Si) and using different precursors for Ga (TMGa, TEGa), on both (100) and (010) substrates. In addition, selected samples were subjected to 2 MeV proton irradiation at a fluence of 5×1016 cm-2. We show that the choice of substrate, precursor and n-type dopant all have a dramatic effect on the apparent Ga vacancy concentration and on the electrical properties of thin-film Ga2O3. We also show that a very clear Ga vacancy-related signal emerges in the irradiated (010) oriented films, while there is nearly no difference between the annihilation spectra of non-irradiated and irradiated (100) samples, irrespective of the presence of a Ga vacancy signal in the as-grown material. These observations suggest that the point defect distribution and hence the origin of electrical compensation is more complex than just a question of Ga vacancy defects. Further studies including temperature-dependent experiments for revealing negative ion-like defects and charge states of the Ga vacancies are underway.
[1] E. Korhonen, et al., Appl. Phys. Lett. 106, 242103 (2015).
[2] R. Schewski et al., J. Appl. Phys. 120, 225308 (2016).
[3] H. Okumura et al., Appl. Phys. Express 7, 095501 (2014).
[4] F. Tuomisto and I. Makkonen, Rev. Mod. Phys. 85, 1583 (2013).
EM04.06: Ultra-violet Optoelectronics
Session Chairs
Wednesday PM, November 29, 2017
Hynes, Level 1, Room 101
1:30 PM - *EM04.06.01
Two-Inch Aluminum Nitride (AlN) Single Crystal Growth for Commercial Applications
Leo Schowalter 1 , Robert Bondokov 1 , Jianfeng Chen 1 , Murugesu Yoganathan 1 , Takashi Suzuki 1 2 , Shailaja Rao 1 , Toru Kimura 1 2 , Keisuke Yamaoka 1 2
1 , Crystal IS, Green Island, New York, United States, 2 , Asahi Kasei, Fuji, Shizuoka, Japan
Show AbstractCrystal IS has developed processes for growing large crystals of aluminum nitride (AlN) from which single crystal AlN wafers are manufactured. These wafers are currently used in the commercial manufacturing of UVC LEDs. The AlN crystals are grown using a proprietary physical vapor transport (PVT) method where a sublimation-recondensation approach is utilized. The AlN source material sublimes and decomposes into Al atoms and N2 molecules. These species are then transported to the AlN seed where they incorporate into the growing crystal along the c-axis. Increasing the crystal dimensions demands careful thermal gradients tailoring in order to lower the thermally induced stresses. Currently, AlN single crystals with diameters > 2 inch are grown using the method described above and larger diameters are possible with appropriate furnace design. Large bulk crystals could be beneficial for production of non-polar and semi-polar substrates which are used to reduce the internal electric fields due to polarization.
For commercial production of UVC LEDs, the AlN crystals are sliced into c-face oriented wafers and subsequently polished. Special attention is paid to the wafer bow, thickness variation, surface roughness, and sub-surface damage. The 2” AlN wafers have a radius of curvature ≥ 20 m, RMS ≤ 5 Å, and zero sub-surface damage. The crystalline quality is measured by X-ray diffractometry (XRD) rocking curves, etch pit density, and photo-elastic birefringence pattern (cross-polar imaging). Narrow rocking curves with full width at half maximum (FWHM) < 30 arcsec are measured across the whole the wafer area. The AlN wafers are transparent in the UV region with typical UV absorption coefficient of less than 30 cm-1 at 265 nm. The very low UV absorption is linked to the material purity measured by Secondary Ion Mass Spectrometry (SIMS) and Glow Discharge Mass Spectrometry (GDMS). It is important to emphasise that based on our experience the promises of AlN material to produce high-power, high-lifetime UVC devices are fulfilled only when both high-quality and high UV transparency substrates are used. These wafers are then used to fabricate high performance, long lifetime UVC LEDs for air purification, water disinfection, and environmental sensing. Commercially available SMD product (Klaran™) achieve germicidal power outputs up to and exceeding 40 mW at a nominal wavelength of 265nm with drive currents up to 600 mA.
The accessibility of AlN single-crystal material will continue to drive further development of novel electronic and opto-electronic applications due to its distinctive semiconductor, thermo-physical, and mechanical properties. The AlN substrates may also prove useful for other opto-electronic devices (such as UVC laser diodes) and for high power RF and electrical switches. The applicability of AlN for these applications will also be reviewed.
2:00 PM - EM04.06.02
Structure Optimization for Enhanced Light Extraction Efficiency of Deep Ultraviolet Nanowire Light Emitting Diode
Ronghui Lin 1 , Haiding Sun 1 , Sergio Valdes Galan 1 , Yangrui Hu 1 , XiaoHang Li 1
1 , King Abdullah University of Science and Technology, Saudia Arabia (KAUST), Thuwal Saudi Arabia
Show AbstractThere is a growing demand for mercury-free, environmentally friendly, compact UV LEDs for disinfections. Existing AlGaN-based planar UVLEDs, however, suffer from high dislocation densities in epilayers, low light extraction efficiency and poor p-doping efficiency, which limits the maximum output power. To date, the best-attained external quantum efficiency (EQE) for UVLEDs with operational wavelength (270-320 nm) is below 20% at 20mA, and the efficiency values decrease drastically with further decreasing wavelengths. Recently, studies have shown that the light extraction efficiency (LEE) can be significantly enhanced in Al-rich, dislocation-free AlGaN nanowire structures regardless of the light polarization. A LEE of more than 70% can be achieved. All these simulations were carried on under an assumption that the nanowires were in a cylindrical shape (The radius remained the same in the entire nanowire). However, spontaneously grown AlGaN nanowires were normally in the shape of tapering cones which means the radius was larger at the top than at the bottom. Furthermore, experimental reports have shown that nanowires might not get coalesced thus leaving spaces between nanowires. Therefore, a filling material is necessary to fill the space to avoid shortage in the metal deposition process. Because of the tapering shape of the nanowires and the usage of filling materials, the previous models of calculation of the LEE were not accurate. In this study, we investigated the effect of the shape of AlGaN nanowires on the LEE by using the three-dimensional finite-difference time domain (FDTD) simulation. The simulation was based on a real device reported earlier in literature: The simulated device was composed of 121 nanowires emitting at 300 nm with a fixed radius r of 30nm at the bottom, a fixed length h of 425nm. The nanowires were arranged in a hexagonal array with a spacing d of 200nm between nanowires (center to center). We defined a theta which corresponded to the arctangent of the half-angle of the tapering cone. The usage of the filling material (parylene) was also taken into consideration in simulation when the nanowires did not coalesce. A theta of 6.73 degrees was identified when all the nanowires fully coalesced. We varied the theta ranging from 0 to 8 degrees and found the LEE curve gradually increased in a zig-zag behavior until it reached a maximum when the nanowires fully coalesced (The theta was at 6.73 degrees). However, the LEE decreased drastically as the theta continued to increase because of the top UV-absorptive p-GaN contacting layer. We also simulated the distribution of electrical field intensity in the nanowire array under different theta values. It was apparent that the energy tended to move upward as the theta increased. A detailed mathematic model was built and it will be presented.
2:15 PM - EM04.06.03
Development of Cesium-Free III-Nitride Photocathodes Based on Control of Polarization Charge
Douglas Bell 1 , Emma Rocco 2 , Jonathan Marini 2 , Shouleh Nikzad 1 , Fatemeh Shahedipour-Sandvik 2
1 Jet Propulsion Laboratory, California Institute of Technology, Pasadena, California, United States, 2 Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York, United States
Show AbstractIII-nitride photocathodes have important applications for ultraviolet (UV) detection in astronomy and defense applications. Because of the wide bandgaps available in the AlGaN family, intrinsic solar blindness can be achieved, and the wavelength cutoff may be tuned by control of composition. Negative electron affinity (NEA) is desirable for these structures in order to maximize quantum efficiency (QE), which requires maximizing surface band bending or reducing electron affinity. Traditionally cesiation is used to create a surface with low electron affinity; however, the resulting reactive surface must be protected from air during fabrication and deployment. Thus a sealed-tube configuration is required for these devices. Cesium-free photocathodes would offer lower cost, improved robustness, and greater chemical stability, in addition to the major advantage of higher QE.
In order to eliminate Cs-based surface treatments and improve QE and stability, material quality must be optimized, and advanced device designs are required to engineer the band structure as a replacement for the effects of cesiation. We have reported on work to use polarization engineering in order to achieve band structure profiles that produce permanent NEA without the use of Cs. Numerical potential simulations and Monte Carlo methods have been used to guide the design of these structures.
In this talk we will discuss progress in design, fabrication, and characterization of polarization-engineered III-nitride photocathodes. An important component of these designs is the use of N-polar GaN and AlGaN. The nitride polarity affects the interface and surface polarization charge, and the ability to achieve NEA depends critically on this charge. We will present results demonstrating high (>10%) QE for non-cesiated N-polar GaN photocathodes, verifying the expected improved performance relative to Ga-polar GaN. More complex designs using GaN/AlGaN heterostructures should enable higher emission QE, via the demonstration of effective NEA (which is difficult to achieve in simple GaN structures).
Because the GaN surface still forms a thin oxide in air, we have investigated effects of this oxide for both Ga-polar and N-polar photocathodes. We will discuss the differences in oxidation for the two polarities that must be considered in these devices. Emission results under different surface conditions will be presented, illustrating the effect of oxidation and demonstrating the importance of controlling surface charge.
3:30 PM - EM04.06.04
Growth and Characterization of GaN p-i-p-i-n Ultraviolet Separate Absorption and Gain Avalanche Photodiodes and Arrays
Russell Dupuis 1 , Mi-Hee Ji 1 , Bill Chaiyasarikul 1 , Hongmo Li 1 , Jeomoh Kim 2 , Theeradetch Detchprohm 1 , Shyh-Chiang Shen 1 , Ashok Sood 3 , Nabir Dhar 4 , Sachidananda Babu 5
1 School of ECE, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Materials and Devices Advanced Research Institute, LG Electronics, Seoul Korea (the Republic of), 3 , Magnolia Optical Technologies, Woburn, Massachusetts, United States, 4 , US Army Night Vision & Electronic Sensors Directorate, Fort Belvoir, Virginia, United States, 5 Earth Science Technology Office, NASA, Greenbelt, Maryland, United States
Show AbstractWide-bandgap III-N-based avalanche photodiode (APD) arrays are promising candidates for optical detection and imaging in the ultraviolet (UV) spectral region. In the past, separate absorption and multiplication (SAM) III-V compound semiconductor APD structures have been widely investigated in order to achieve low multiplication noise and high maximum gain as well as for single-photon counting in the infrared spectral region. However, the realization of high-performance GaN-based UV-APDs has been hampered by high threading dislocation densities resulting from heteroepitaxial growth. In this study, GaN p-i-p-i-n UV-APDs with separate absorption and multiplication (SAM) regions were epitaxially grown on a c-axis n-type bulk GaN substrates by a metalorganic chemical vapor deposition (MOCVD). In addition, for a front-illuminated UV-APD structure, a p-Al0.05Ga0.95N:Mg layer was introduced as wider-bandgap window layer instead of a p-GaN:Mg layer in order to obtain higher-responsivity in the UV spectral region. The epitaxial layer structure consisted of a 1-μm thick n-GaN:Si layer (n~5.0×1018 cm-3), a 0.21-μm thick i-GaN:ud layer as multiplication layer (n~1.3×1016 cm-3), a 90-nm thick p-GaN:Mg with grading [Mg] up to ~5.0×1018 cm-3, 0.24-µm thick i-GaN as absorption layer ([Mg]~1.0×1017 cm-3), a 30-nm thick p-Al0.05Ga0.95N:Mg window layer ([Mg]~1.0×1019 cm-3) and a 20-nm thick heavily doped p-Al0.05Ga0.95N:Mg++ metal contact layer ([Mg]~1.0×1020 cm-3). The growth and doping conditions for epitaxial layers were carefully modified to achieve improved crystalline quality and doping properties. The UV-APDs were fabricated into various mesa areas and shapes using standard photolithography and inductively coupled plasma etching, followed by SiO2 passivation using plasma-enhanced chemical vapor deposition. Ti/Al/Ti/Au and Ni/Ag/Ni/Au ohmic contacts were evaporated on the n-type and p-type contact layers, respectively. The onset point of breakdown voltage of the UV-APDs with a mesa size of 30-μm-diametaer was ~74 V and no microplasmas were visually observed after multiple reverse-bias I-V scans to the point beyond avalanche. In addition, under illumination at λ~280 nm, the GaN SAM UV-APDs exhibited a maximum avalanche gain > 107 at a reverse bias of 76 V. Furthermore, UV APD 4x4 arrays of 16 individual elements were also fabricated and tested. These arrays exhibited a high uniformity of avalanche breakdown and optical detection performance. The detailed growth, fabrication, and device characterization of the GaN p-i-p-i-n UV-APDs will be further discussed in the conference.
3:45 PM - EM04.06.05
Highly Reflective AlGaN Based Distributed Bragg Reflectors Grown by Metalorganic Chemical Vapor Deposition
Theeradetch Detchprohm 1 , Karan Mehta 1 , Yuh-Shiuan Liu 1 , Shuo Wang 2 , Shanthan Alugubelli 2 , Young Jae Park 1 , Oliver Moreno 1 , Shyh-Chiang Shen 1 , Paul Yoder 1 , Fernando Ponce 2 , Russell Dupuis 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Physics, Arizona State University, Tempe, Arizona, United States
Show AbstractUnlike the AlAs-GaAs material system that has a small lattice mismatch (<1.3%) and a large refractive index contrast (10-20% for l = 650-1300nm), the AlN-GaN material system has much larger lattice mismatch (up to ~2.5%) and smaller refractive index contrast (less than 5% if being employed in the visible wavelength region). These fundamental properties make the AlN-GaN alloy system less suitable for distributed Bragg reflector (DBR) formation. Since AlxGa1-xN is a direct transition semiconductor alloy for its entire composition range (0≤ x ≤1), we have investigated and observed that the near-bandedge-excitonic resonances can enhance the refractive indices of this material system. Therefore, it is possible to utilize such resonance effects to form a DBR tuned to any target reflection peak wavelength from 220 to ~400 nm by controlling the alloy composition of the high-index layer. As the high-index layer has a smaller bandgap energy, the target wavelength can be set in a region where the optical absorption is below ~200 cm-1 while the refractive index contrast is still more than 5%. With this approach, we achieved highly reflective (>95%) AlGaN based DBRs for the UV (~370 nm) and deep UV (220-250nm) spectral regions. Using numerical simulations, we have also analyzed the trade-off between increased refractive index contrast and increased absorption losses as the photon energy approaches the bandgap, along with its impact on peak reflectivity and stopband width.
In this report we introduced Al0.73Ga0.27N and Al0.87Ga0.13N as high-index layers to pair with AlN for forming DBRs with target wavelengths of 225 and 245 nm, respectively. The index contrast was estimated to be 7-11% for these target wavelengths. The AlGaN and AlN layers were each grown for a thickness matching the optical quarter wavelength at the target wavelength. The peak reflectivities of 97% and 96% were attained for Al0.87Ga0.13N/AlN DBRs and Al0.73Ga0.27N/AlN DBRs grown on AlN/sapphire templates, respectively. For another target wavelength of 370nm, we deployed Al0.04Ga0.96N as the high-index layer while Al0.30Ga0.70N was applied as the low-index one. This type of DBR was also grown on an AlN/sapphire template via a GaN strain management layer. It achieved a peak reflectivity of 95%. The characteristics of these DBRs were assessed and compared to their theoretical ones. Additional details about DBR design, epitaxial growth, and material/structural characterization will be presented.
4:00 PM - EM04.06.06
Systematic Study on Persistent Photoconductivity in AlxGa1–xN UV Photodetector
Yiming Zhao 1 2 , William Donaldson 1
1 , Laboratory for Laser Energetics, Rochester, New York, United States, 2 Materials Science, University of Rochester, Rochester, New York, United States
Show AbstractResearch based on temporal response measurement of AlxGa1–xN metal–semiconductor–metal (MSM) UV photodetectors was carried out in a systematic way. AlGaN photodetectors establish outstanding properties including solar-blind response, high quantum efficiency, and picosecond response time. However, the response time is often prolonged by persistent photoconductivity (PPC) in some III–V semiconductors. In this work, obvious PPC effect under nanosecond pulse laser is found in AlGaN UV photodetectors even at a very low repetition rate (1 Hz). We carried out a thorough study on the factors that can influence PPC effects.
The source of PPC has been debated and may be compositional fluctuations in ternary systems, large-lattice dislocations density, or yellow luminescence in the material. The AlGaN thin film we used was tested by x-ray diffraction rocking curve and x-ray photon electron spectroscopy, and results showed that it had a high threading dislocation density (1.329×108 cm–2) and wide compositional variation (5% to 21%), which parallels the potential cause of PPC. To gain a deeper understanding of the PPC effect, different factors that can influence PPC are studied by temporal-response measurements. Larger bias voltage is found to decrease the rise/decay time, thereby eliminating PPC effects. Additionally, in-situ temperature control measurement (0°C to 120°C) was carried out and heating was found to reduce the decay time. This can be explained by the accelerated carrier capture rate at higher temperatures. Another unique measurement was carried out to move the focused beam within different parts of the device and analyze the change in temporal response. Since the Al composition is nonuniform throughout the wafer, the relationship of different Al compositions (or different amounts of photon energy above absorption edge) and decay times is elucidated. Results showed that the compositional fluctuation can change both the decay time and responsivity (by ~22%), which agree well with our former simulation by APSYS based on finite element analysis. Finally, we performed experiments on the nature of metal–semiconductor contact by applying square pulsed bias voltage on the detector. Results indicated the partially ohmic contact nature of the interface, which may also be a cause of the significant decay time. In addition, devices with different finger-crossing structure and different metal contact (Au/Ti and Al/Ni) were fabricated and tested, and bigger finger spacing was found to cause a longer decay time but lower responsivity. Au with higher Schottky barrier height can result in faster rise and decay of the pulse.
This material is based upon work supported by the Department of Energy National Nuclear Security Administration under Award Number DE-NA0001944, the University of Rochester, and the New York State Energy Research and Development Authority. The support of DOE does not constitute an endorsement by DOE of the views expressed in this article.
4:15 PM - EM04.06.07
UV Light Absorbing and Emitting Diodes Consisting of a P-Type NiO Film Deposited on an N-Type GaN Homoepitaxial Epilayer
Zehua Wang 1 , Hiroshi Nakai 1 , Mutsumi Sugiyama 1 , Shigefusa Chichibu 2
1 , Tokyo University of Science, Noda Japan, 2 , Tohoku University, Sendai Japan
Show AbstractOne of the fundamental issues in UV and deep UV LEDs using (Al,Ga,In)N is the difficulty in obtaining low resistivity (ρ) p-type AlN or AlGaN alloys of large Eg. On the other hand, the ρ value of nickel oxide (NiO) can be practically controlled [1] by introducing Ni vacancies (VNi) and/or by doping monovalent atoms such as Li, K, and Cu. Hence NiO is a promising candidate for novel p-type transparent-conducting films (TCFs). In addition, since Ec and Ev of NiO are higher than those of GaN [2], p-type NiO on n-type GaN (p-NiO/n-GaN) may form TYPE-II band alignment, which is useful for fabricating photovoltaic devices such as photodiodes and solar cells. In this presentation, we will present both photovoltaic (PV) and electroluminescence (EL) spectra of a “heterogeneous” junction diode consisting of a polycrystalline p-NiO TCF deposited by RF-sputtering without intentional heating and a high crystalline quality n-GaN epilayer grown on a low threading dislocation density GaN substrate. The device performances will be explained using the band diagram determined using the optical absorption (OA) and photoelectron yield spectroscopy (PYS) measurements.
The electron concentration (n=1.0×1017 cm-3) of the homoepitaxial GaN layer [3] was designed to be an order of magnitude lower than the hole concentration (p=1.0×1018 cm-3) of an approximately 30-nm-thick predominantly (111)-oriented polycrystalline NiO film, which was deposited by using the conventional RF reactive sputtering method without intentional substrate heating [1]. High-purity (99.9999%) Ar and O2 were used as the sputtering and assist source gases, respectively. The fraction of O2 in the gas phase [f(O2)/(Ar + O2)] was chosen at 50% to obtain p=1.0×1018 cm-3 under the unintentionally doped conditions [1].
From the OA spectrum of NiO and the PYS spectrum of p-NiO/n-GaN, we conclude that the junction forms a TYPE-II heterostructure. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics, and the junction showed a significant PV effect.
The EL of the p-NiO/n-GaN diode at room temperature was visually bluish-white, and the spectrum exhibited a UV luminescence peak at approximately 3.26 eV, a broad luminescence band composed of a yellow luminescence band at approximately 2.3 eV, and a blue luminescence band at approximately 2.8 eV. Since our sputtered p-NiO film does not exhibit any emission, these luminescences appear to originate from n-GaN, indicating that holes are injected from p-NiO to n-GaN to eventually recombine with elections.
[1] M. Sugiyama et al,. Jpn. J. Appl. Phys. 55(2016) 088003.
[2] D. Kawade et al,. Jpn. J. Appl. Phys. 116 (2014) 163108.
[3] S. F. Chichibu et al,. Appl. Phys. Lett. 92 (2008) 091912.
4:30 PM - EM04.06.08
Impact of Device Architecture and Active Layer Morphology on Organic Ultraviolet Photodetector Performance
Monica Esopi 1 , Qiuming Yu 1
1 , University of Washington, Seattle, Washington, United States
Show AbstractUV-specific photodetectors have a wide variety of applications, including flame detection and environmental monitoring. Many of the devices currently in use are based on inorganic wide-bandgap materials such as GaN and ZnO, but organic materials offer several advantages over inorganic materials such as flexibility, tunability, and low material cost. In this work, a wide-bandgap (2.4 eV) polymer poly[(9,9-dioctylfluorenyl-2,7-diyl)-alt-co-(bithiophene)] (F8T2) was blended with a fullerene derivative [6,6]-phenyl-C71-butyric acid methyl ester (PC71BM) for device active layers. The device structure was indium tin oxide (ITO) anode/poly(3,4-ethylenedioxythiophene) polystyrene sulfonate (PEDOT:PSS) hole transport layer/F8T2:PC71BM/LiF electron transport layer/Al cathode. To investigate the impact of active layer morphology on device performance, F8T2:PC71BM weight ratios of 1:1, 100:4 and 100:1 were used. It was found that for the devices with equal weights of F8T2 and PC71BM in the active layer, interpenetrating domains of each material formed, providing hole and electron transport pathways throughout the active layer. This morphology resulted in external quantum efficiency (EQE) values that were always below unity, but in active layers with low PC71BM content, photomultiplication (EQE over 100%) was achieved. Isolated PC71BM clusters formed within an F8T2 layer and trapped electrons, causing the F8T2 energy bands to bend downward near the cathode, leading to charge injection from the cathode. The devices with 100:4 weight ratio produced a peak EQE of 5600% under 360 nm illumination and -40 V bias. The devices also yielded low dark currents (2.7 × 10−7 mA cm−2 at −1 V) and were remarkably stable under strong reverse biases (-40 V). Lowering the weight ratio to 100:1 decreased the EQE to ~1600% under 360 nm and -40 V. The impact of the LiF electron transport layer on device performance was also investigated. Eliminating LiF increased the EQE of a device with a 100:4 weight ratio to almost 70,000% under 360 nm and -40 V, primarily because of the hole-blocking effect that LiF had, but the device exhibited inconsistent and unstable behavior. The low PC71BM content devices yielded EQE spectra with peaks at 360 and 510 nm and a dip in between corresponding to the absorption peak of F8T2. The ratio of the EQEs at 360 nm to 510 nm increased with reverse bias, improving the UV selectivity. Finite-difference time-domain (FDTD) simulations and transfer matrix method (TMM) calculations were conducted to map the electric field distribution and the exciton generation rate as a function of wavelength and position in the device in order to better understand the underlying physical processes. Other photodetector figures of merit including the current-voltage characteristics and response speed were also measured. The knowledge gained from this study could be generally applied to the development of organic photodetectors with strong spectrally specific photoresponses.
4:45 PM - EM04.06.09
Towards Two-Dimensional Oxides for Optoelectronic Applications
Jonathan Rackham 1 , Bin Zou 1 , Kevin Kahn 1 3 , Timothy Pennycook 4 , Michelle Moram 2 1
1 , Imperial College London, London United Kingdom, 3 Department of Physics, National University of Singapore, Singapore Singapore, 4 Department of Physics, University of Vienna, Vienna Austria, 2 Cavendish Laboratory, University of Cambridge, Cambridge United Kingdom
Show Abstract
Perovskite oxides such as barium titanate have been used very successfully as dielectric materials, but indirect band gaps limit their use in optoelectronic applications. If such materials could be designed to have a direct band gap then perovskite oxide-based optoelectronic devices could become possible. In that case their wide band gaps would be well suited for use in high efficiency ultraviolet emitters and detectors. Such devices, emitting in the 260-280 nm range (4.4-4.8 eV), are needed for water treatment and sterilisation to replace inefficient and bulky mercury vapour lamps.
The dimensionality of a material is known to affect its band structure, but can this be used to produce a direct band gap? To investigate this, thin films of the perovskite oxide alloy barium zirconate titanate (BaZrxTi1-xO3, BZT) have been grown by pulsed laser deposition on magnesium oxide (MgO) substrates. BZT is representative of perovskites, has an appropriate band gap range (3.2 - 5.3 eV) for the end application and its alloys are well lattice matched to MgO substrates.
Characterisation data were obtained from BZT films over the full composition range 0 < x < 1 with thicknesses ranging from 100 nm to 1 monolayer.
X-ray diffraction results show out-of-plane lattice constant sensitivity to oxygen partial pressure under growth, while atomic-resolution transmission electron microscopy (TEM) studies show epitaxial growth under all conditions, with a low crystal defect density. UV-Vis spectroscopy and spectroscopic ellipsometry data show that the band gap varies non-linearly with composition and is dependent on film thickness, while the latter reveals interesting electronic effects at the interface. Aberration corrected scanning TEM using a high-angle annular dark field detector shows no intermixing at the interface. Raman spectroscopy shows the presence of short-range ferroelectric ordering up to 50% Zr with this behaviour varying with film thickness. In combination, these data confirm that reducing the dimensionality is an effective method of tailoring the functionality of wide band gap perovskites for device applications.
Symposium Organizers
Robert Kaplar, Sandia National Laboratories
Mitsuru Funato, Kyoto University
Matteo Meneghini, University of Padova
Rachael Myers-Ward, U.S. Naval Research Laboratory
EM04.07: Materials Growth and Characterization
Session Chairs
Thursday AM, November 30, 2017
Hynes, Level 1, Room 101
8:15 AM - *EM04.07.01
Investigation of Epitaxially Grown AlB(Ga)N Layers on AlN Templates
Ferdinand Scholz 1 , Oliver Rettig 1 , Marketa Zikova 1 2 , Tomas Hubacek 1 2 , Jan-Patrick Scholz 3 , Natja Steiger 3 , Sebastian Bauer 3 , Klaus Thonke 3 , Yueliang Li 4 , Haoyuan Qi 4 , Ute Kaiser 4
1 Institute of Optoelectronics, Ulm University, Ulm Germany, 2 Institute of Physics, Czech Academy of Science, Prague Czechia, 3 Institute of Quantum Matter, Ulm University, Ulm Germany, 4 Central Facility of Electron Microscopy, Ulm University, Ulm Germany
Show Abstract
In order to manage and decrease the lattice mismatch induced strain in AlGaN heterostructures for UV-C light emitters, we currently study the growth and basic properties of AlBGaN layers. A major problem of this material system is the very poor solubility of boron (B) in Al(Ga)N, which may be increased by metalorganic vapor phase epitaxial (MOVPE) growth at elevated temperatures of up to 1400 °C.
Our AlBN and AlBGaN layers are grown on carefully optimized AlN template layers grown by MOVPE on sapphire wafers. These about 1 µm thick templates are characterized by X-ray rocking curve peak widths of about 60'' and 800'' for the 002 and 102 peak, respectively. As boron source, tri-ethyl-boron (TEB) is used. Its fairly high vapor pressure makes it difficult to control small boron precursor flows. In first experiments, we have deposited several 100 nm thick AlBN layers and observed mainly columnar growth with an average B content of about 5 % as measured by secondary ion mass spectrometry (SIMS). Analyzing these structures by x-ray diffraction (XRD) and electron energy-loss spectroscopy (EELS) in a transmission electron microscope (TEM), we found that only a minor amount of B (below about 1 %) is incorporated into the fairly crystalline columns, while most B is found in the form of amorphous BN filling the spaces between the columns. When growing periodic layer structures of AlBGaN/AlN (about 8 nm and 10 nm, 5 to 10 periods), we observed by cross-section TEM very good layer growth for the first few AlBGaN layers, before irregular growth started. This was further confirmed by atomic force microscopy (AFM) measurements of single AlB(Ga)N layers where the surface morphology of the AlN template, characterized by clearly resolved step-bunching with monolayer-flat terraces, was preserved even for AlB(Ga)N layers up to 10 - 20 nm thickness. The AlB(Ga)N layer quality could be further improved by pulsed TEB supply instead of continuous precursor flow. On AlN-AlBGaN periodic structures, well-resolved superlattice peaks could be found by XRD confirming the structures’ periodicity, despite of the columnar growth developing after a few periods.
8:45 AM - EM04.07.02
Growth of Non-Polar GaN Thin Films on Glass Substrates by Conventional RF Sputtering Using a High Quality Polycrystalline GaN Target
Marolop Simanullang 1 , Nao Kawakami 1 , Zehua Wang 1 , Ryo Tanuma 1 , Yusuke Ohteki 1 , Takehiro Yoshida 2 , Mutsumi Sugiyama 1
1 , Tokyo University of Science, Noda Japan, 2 , SCIOCS Co. Ltd., Hitachi-shi, Ibaraki, Japan
Show AbstractThin films of GaN and related materials typically exhibit a strong c-plane orientation parallel to the substrate. C-axis orientating GaN optical devices suffer from separation of electron and hole wave functions due to spontaneous and piezoelectric polarization effects. The utilization of non-polar crystallographic orientations of GaN is one possible approach to eliminating polarization fields in GaN-related heterostructures. Several groups demonstrated to grow non-polar GaN thin films on non-polar sapphire substrates using MOVPE, MBE, and HVPE method.
Sputtering deposition techniques have some important advantages, such as the capability of producing homogeneous thin films over large areas and the possibility of depositing thin films at lower substrate temperatures. These advantages are well suited to the fabrication of optoelectronic devices, such as TFT displays and solar cells. To the best of our knowledge, it has never been reported that sputtering permits a non-polar GaN thin film growth on a glass substrate. In this work, we grew GaN thin films on glass or sapphire substrates using a conventional RF reactive sputtering method at relatively low temperatures and with various Ar-N2 sputtering gas ratios, and systematically characterized their properties.
Soda-lime glass or c-plane sapphire was used as the substrates without thermal cleaning or nitrization processes. As the sputtering target, a 4-inch polycrystalline both sides polished GaN substrate (SCIOCS Co., Ltd.), whose purity was about 99.99%, was applied. High-purity (99.9999%) Ar and N2 were used as the sputtering gases (the fraction of N2 was varied from 0 to 100%). A GaN film with a nominal thickness of approximately 1000 nm was deposited on the substrates. External heating was applied during film deposition to keep the substrate temperature at ~200 °C. For substrates without external heating, the temperature was ~100 °C.
The GaN films were found to be polycrystalline and exhibited preferred non-polar GaN (10-10) and GaN (11-20) orientations. The column size, estimated from the FWHM of the XRD GaN (10-10) peak using the Scherrer equation, and the carrier concentration of GaN films tend to decrease with an increasing N2/(N2+Ar) ratio. The relationship between the growth conditions and properties of non-polar GaN films will also be discussed. The growth of non-polar GaN thin films at low temperature as demonstrated in our study (such as 200 °C) is of great interest in terms of the compatibility with electronic and optoelectronic devices and the likelihood of GaN film growth on flexible transparent plastic substrates.
9:00 AM - EM04.07.03
Polarity Issues in the Growth of III-Nitrides on Sapphire Stuided by Tranmission Elctron Microscopy
Martin Albrecht 1 , Natalia Stolyarchuk 1 2 , Stefan Mohn 1 , Toni Markurt 1 , Ronny Kirste 3 , Ramon Collazo 3 , Aimeric Courville 2 , Rosa Di Felice 4 , Katia March 5 , Zlatko Sitar 3 , Philippe Vennegues 2
1 , Leibniz Institut fuer Kristallzuechtung, Berlin Germany, 2 , CHREA-CNRS, Valbonne France, 3 , North Carolina State University, Raleigh, North Carolina, United States, 4 , CNRNano, Modena Italy, 5 , LPS, Orsay France
Show Abstract
Controlling the polarity of polar semiconductors on nonpolar substrates offers a wealth of device concepts in the form of heteropolar junctions. A key to realize such structures is an appropriate buffer-layer design that, in the past, has been developed by empiricism. Understanding the basic processes that mediate polarity, however, is still an unsolved problem. We present results on the structure of buffer layers for group-III nitrides on sapphire by transmission electron microscopy. We show that it is the conversion of the sapphire surface into a rhombohedral aluminum-oxynitride layer that converts the initial N-polar surface to Al polarity. With the various AlxOyNz phases of the pseudobinary Al2O3-AlN system and their tolerance against intrinsic defects, typical for oxides, a smooth transition between the octahedrally coordinated Al in the sapphire and the tetrahedrally coordinated Al in AlN becomes feasible. Based on these results, we discuss the consequences for achieving either polarity and shed light on widely applied concepts in the field of group-III nitrides like nitridation and low-temperature buffer layers.
9:15 AM - EM04.07.04
Study on Growth Condition of 3D Nanowire/GaInN-Based Multi-Quantum Shell Active Layer
Kyohei Nokimura 1 , Myunghee KIM 1 , Atsushi Suzuki 1 , Yuki Kurisaki 1 , Minoru Takebayashi 1 , Hiroki Shibuya 1 , Kohei Sasai 1 , Satoshi Kamiyama 1 , Tetsuya Takeuchi 1 , Motoaki Iwaya 1 , Isamu Akasaki 1 2
1 , Meijo University, Nagoya Japan, 2 , Akasaki Research Center, Nagoya University, Nagoya Japan
Show AbstractGaN nanowires and surrounding GaInN/GaN multi-quantum-shell (MQS) active layers, where active region is formed on the side-wall (m-plane) of GaN nanowires, are thought to be suitable 3D material for high-performance LEDs, because of the flexibility of volume, dislocation-free, and polarization-free natures [1]. By applying it to LEDs, efficiency droop problem may be solved. High power and low threshold current operations are expected [2], when it is used in semiconductor lasers as a 3D active layer. In this paper, we present 450 nm MQS 3D LEDs fabricated on n-Al0.03Ga0.97N templates using MOCVD and selective area growth (SAG) method.
GaInN/GaN based 3D LEDs were prepared on the hole-shaped-patterned n-Al0.03Ga0.97N templates with high quality substrate using pulsed growth mode technique [3] by MOCVD. Growth of these n-GaN NW arrays was carried out by a pulse growth method in which supply and interruption of TMGa, supply and interruption of NH3 are set as one cycle under an atmosphere of pure hydrogen (H2) as a carrier gas, and these are repeated. We grew a Si-doped n-GaN NW layer, followed by three MQSs, a Mg-doped p-GaN layer, and a highly doped p-GaN thin contact layer.
The optical properties of NWs have been studied by cathodoluminescence (CL) spectroscopy. The MQSs were kept on their growth substrate and excited under an acceleration voltage of Vacc= 3 kV in side-view configuration. Strong two emission peaks at 358 and 450 nm are clearly visible. At the side view of the MQS, the CL intensity spectrum exhibits an intense peak around 450 nm with a full width at half-maximum (FWHM) of about 53 nm. A small near band edge (NBE) emission of unintentionally doped GaN is measured at 358 nm.
Also, the MQS-LED that we are making is a structure that performs current diffusion with indium tin oxide (ITO). Therefore, Low resistance can be expected, but the film thickness of ITO on the side wall and the deposition condition of the bottom surface are important factors.Therefore, the results of this study are considered to contribute to understanding of the emission properties of the 3D LEDs, thereby helping to achieve highly efficient devices through further control of the growth and fabrication.
Acknowledgments
This work was supported by the Japanese Ministry of Education, Culture, Sports, Science and Technology (MEXT) Private University Research Branding Project (2016–2020), Japan Society for the Promotion of Science (JSPS) KAKENHI for Scientific Research A [grant number 15H02019], JSPS KAKENHI for Scientific Research A [grant number 17H01055], JSPS KAKENHI for Innovative Areas [grant number 16H06416], and Japan Science and Technology CREST [grant number 16815710].
References
[1] X. Wang, et al. Crystal Growth & Design, vol. 13, 3475-3480 (2013).
[2] Y. Kurisaki, S. Kamiyama, M. Iwaya, T. Takeuchi and I. Akasaki, physica stat. sol (c) (2017).
[3] S. D. Hersee, X. Sun, and X. Wang, Nano Lett. 6, 1808 (2006).
9:30 AM - EM04.07.05
Understanding the Formation of Regularly Distributed Flower-Shaped Cathodoluminescence Patterns in GaN Self-Assembled Microdisks and Microwires Grown on Sapphire
Bijun Zhao 1 , Philippe Caroff 1 , Naiyin Wang 1 , Mark Lockrey 2 , Li Li 2 , Jennifer Wong-Leung 1 , Hoe Tan 1 , Chennupati Jagadish 1
1 Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory, Australia, 2 Australian National Fabrication Facility, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractGaN nanostructures have attracted considerable attention for application in optoelectronics such as LEDs, lasers and solar cells. In particular, nanowires, elongated along the c-axis thanks to their free-standing geometry have significant advantages such as high crystal quality even on lattice-mismatched substrates, the elimination of the quantum-confined Stark effect in multi-quantum well structures grown radially on non-polar planes, large surface area for enhanced output power and extra dimension in device design. To date, most studies have focused on the link between growth conditions and dimensions, morphology, crystal structure. However, very little is known of the optical properties of the nucleation area close to the substrate which is critical for enhancing the performance of the optoelectronic device.
Here we present a detailed investigation on the links between growth and pre-growth conditions for GaN nanostructures grown on sapphire and their optical properties via cathodoluminescence (CL) spectroscopy. For low aspect ratio structures (microdisks) and short microwires, we discover a six-fold symmetric flower-shaped luminescence pattern that has not been reported previously. No luminescence is observed in any of the six corners of the hexagonal microdisk, while yellow luminescence is especially enhanced towards the six sidewalls, creating a symmetric flower-shape CL pattern. In addition, such luminescence patterns show complex variation as a function of aspect ratio. For higher aspect ratio microwires, similar patterns with strong yellow luminescence are observed at the bottom, while there is increasing homogenous blue luminescence towards the top of the wires. FDTD simulations confirmed the optical modes such as whispering gallery and Fabry-Pérot modes in the hexagonally shaped structures are not the reasons for the formation of this surprising observation. Our results suggest that the formation of the CL pattern could be due to the strain during the early stages of nucleation on the sapphire substrate.This work helps to bring a better understanding of the early nucleation stages of GaN microwires and their optical properties which are essential in synthesising micro/nanowires with the required properties.
9:45 AM - EM04.07.06
Elastically Frustrated Rehybridization—Origin of the Strong Compositional Growth Limits in Epitaxial InGaN Films
Liverios Lymperakis 1 , Christoph Freysoldt 1 , Tobias Schulz 2 , Martin Albrecht 2 , Joerg Neugebauer 1
1 , Max-Planck-Institut für Eisenforschung GmbH, Düsseldorf Germany, 2 , Leibniz-Institute for Crystal Growth, Berlin Germany
Show AbstractEpitaxial growth of high quality InGaN alloys with high In content as needed to access the green region of the optical spectra is challenging: Its constituents, GaN and InN, exhibit a high lattice mismatch and a large difference in the formation enthalpies. A prerequisite to achieve a full control on the growth and properties of these alloys is to gather a fundamental understanding of the mechanisms underlying the growth at the surfaces. In the present work we combine density functional theory (DFT) calculations with transmission electron microscopy (TEM) analysis and investigate the growth and the compositional limits of short period InGaN quantum wells embedded in GaN matrix.
TEM analysis on MBE grown short period InGaN/GaN superlattices under slightly N rich conditions reveals a self-limitation mechanism that restricts the In content in the nominal InN monolayer to approximately 25%. Furthermore it reveals that the InGaN alloy is arranged in a long range intra-plane ordered configuration with a √3×√3 reconstruction. In order to addresses the aforementioned findings, we employ DFT calculations and we investigate the growth of single monolayer thick InGaN on GaN. Our calculations reveal a novel mechanism that results to surface geometries which contradicts our understanding of surface relaxations and reconstructions of compound semiconductors: Due to their large atomic radius, In atoms at low coordinated surface sites are hindered from rehybridizing to the a planer sp2 configuration, as it would be expected from the hitherto well-established surface reconstruction mechanisms. However, they prefer ×4 coordinated sites and the low coordinated sites are occupied by Ga atoms. Additionally, strain interactions between the In atoms favor spatial distribution of them as 2nd nearest neighbors aligned along the <1100> directions. All the above mentioned mechanisms act simultaneously and result in a 2√3×2√3 reconstruction. In order to further elucidate the compositional limits imposed by this mechanism we have calculated the In chemical potential at the surface as function of the In content. Our calculations indicate that to increase the In content from 25% to 33% an increase of the In chemical potential by ≈0.3 eV is required which corresponds to ≈5 times increase in the In fluxes. However, such an increase will result either in the formation of In bilayer and effectively switch to In rich growth or in In droplets formation and poor growth morphology. Therefore, the above mentioned mechanism, elastically frustrated rehybridization, has important consequences on the growth of the technologically relevant InGaN alloys: (i) It imposes severe limitations in the alloy composition with the maximum In content limited to 25% and (ii) results in surface induced long range ordering. Based on these insights we will further extend our discussions on the other technologically relevant III-nitrides ternary alloys.
10:30 AM - *EM04.07.07
Flexible Metallic Foil and Graphene as Substrates for the Self-Assembled Growth of GaN Nanowires
Lutz Geelhaar 1
1 , Paul-Drude-Institut für Festkörperelektronik, Berlin Germany
Show AbstractA long-standing issue in the field of group-III nitrides is the choice of substrates for epitaxial growth. In this context, nanowires grown vertically on the substrate offer the advantage that strain induced by structural mismatch can elastically relax at the free sidewalls, and even if dislocation lines form it is favorable that they terminate there. Thus, GaN nanowires of exceptional crystalline quality have been grown directly on Si substrates, i.e. the type of crystalline substrate available in the largest size. An attractive way of GaN nanowire fabrication is their self-assembled growth by plasma-assisted molecular beam epitaxy. In this case, nanowire formation does neither require any pre-patterning of the substrate nor droplet or particle but occurs spontaneously. A particularly beneficial aspect of this synthesis approach is that it enables the perpendicular growth of single-crystalline GaN nanowires even on amorphous substrates like silicon and aluminum oxide, provided the surface is smooth. These features inspired us to pursue GaN nanowire growth on metallic substrates, with flexible foils being the most extreme implementation. In general, metallic substrates are attractive for applications because of their high electrical as well as thermal conductivity and optical reflectivity.
As a first step, we employed single-crystalline Ti films sputtered onto sapphire substrates. In the growth chamber, these films react with the active nitrogen species provided by a plasma cell into TiN, which is also metallic. The GaN nanowires that grow on this surface are of similar crystalline quality as those grown on Si and exhibit an epitaxial relationship to the substrate. An advantage of TiN as a substrate beyond its metallic nature is that the nanowire number density is lower than on Si, which avoids coalescence.
On flexible, polycrystalline Ti foils, we demonstrated that GaN nanowire ensembles can form with most of the nanowires being oriented essentially vertically to the foil. Also, their photoluminescence indicates a high crystalline perfection. Moreover, mechanical bending of the foil basically does not lead to any changes in the room temperature photoluminescence spectrum, which shows that the nanowires are well connected to the foil and unaffected by the bending.
Graphene is interesting as a substrate because it would be an ideal contact layer for optoelectronic devices. In addition, transfer procedures have been established for this material, which could potentially extend the options for device integration. We focus on epitaxial graphene layers fabricated on SiC. Our experiments reveal that graphene is slowly etched by the nitrogen plasma. Hence, multi-layer graphene must be employed if after GaN growth a continuous graphene layer between the nanowires is desired. Again, the resulting GaN nanowires are of high structural quality.
11:15 AM - EM04.07.09
Epitaxial GaN Based Devices on Metal Foil Substrates
Vladimir Matias 1 , Chris Sheehan 1 , Daniel Koleske 2
1 , iBeam Materials, Santa Fe, New Mexico, United States, 2 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractWe have developed an ion beam assisted deposition (IBAD) texturing process for biaxially aligned films as substrates for GaN epitaxy. The IBAD process enables low-cost, large-area flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for GaN electronic devices. Epitaxial GaN films are grown by the MOCVD process on these engineered flexible substrates. We have achieved GaN films of several microns on polycrystalline metal foils that have in-plane and out-of-plane alignment of less than 0.7° FWHM and typical threading dislocation densities of 4-8 x 108/cm2. We discuss ways to improve the material quality further.
We use the epitaxial GaN films on IBAD/polycrystalline metal foil as a template to deposit epitaxial multi-quantum well light emitting diode (LED) InGaN structures. From these layered structures we have successfully fabricated LED devices. These are the first LED devices fabricated directly on metal foil. We observe photoluminescence intensities from the LED structures up to 70% of those fabricated on sapphire. We will present data on performance of such devices and how these LED devices could be printed using a roll-to-roll process.
This work was supported by the Department of Energy ARPA-E agency.
11:30 AM - EM04.07.10
Probing Surface Band Bending of Polar GaN by Hard X-Ray Photoemission Combined with X-Ray Total Reflection
Shigenori Ueda 1
1 , National Institute for Materials Science, Hyogo Japan
Show AbstractGaN is known as a polar semiconductor due to an alternative stacking of Ga and N layers along the c-axis. Pbysical and chemical properties arising from crystalline polarity are important issues to be solved. Ohsawa et al. [1] showed the difference in valence band spectral shapes of the bulk Ga- and N-polar GaN single crystals by using polarization dependent hard X-ray photoemission spectroscopy (HAXPES). In general, HAXPES is a bulk-sensitive probe [2], and take-off angle (TOA) dependent of photoelectron gives depth information [3]. However, the decrease of the photoemission intensity occurs in lower TOA, and the valence band spectra depend on TOA [3] due to the matrix element effect [4].
In this work, HAXPES combined with X-ray total reflection was used to obtain a depth-resolved electronic structure instead of TOA dependent measurements. The change of incidence angle within 1 degree around the critical angle of X-ray total reflection drastically changes the attenuation length of X-ray in solids.
We have measured the core-level and valence band HAXPES spectra of commercially available bulk single crystalline GaN for Ga and N polar faces in the case of inelastic mean free path of 2.17, 3.73, and 7.69 nm. Undoped n-type GaN crystal with fine polished surfaces was used. For the Ga-polar face, large band bending behavior was observed, while the band bending was small for the N-polar face. The Ga 3s and N 1s core-level spectra also showed the polarity dependent band bending behavior. We found that GaN near the surface is degraded in both the polar faces. This result suggests that high quality single crystalline GaN with fine surface treatment is required for detecting the intrinsic electronic structure of GaN. Finally we note that HAXPES combined with X-ray total reflection is useful method for depth-resolved electronic structure measurements, since the data acquisition time in this method is 10 or more faster than that in TOA dependent measurement, and the matrix element effect is almost negligible in this method.
References
[1] T. Ohsawa et al., Appl. Phys. Lett. 107 (2015) 171604.
[2] Y. Takata et al., Nucl. Instrum. Methods Phys. Res., Sect. A 547 (2005) 50.
[3] M. Lozac'h et al., Sci. Technol. Adv. Mater. 14 (2013) 015007.
[4] S. M. Goldberg, C. S. Fadley, and S. Kono, J. Electron Spectrosc. Rel. Phenom. 21 (1981) 285.
11:45 AM - EM04.07.11
Wide-Bandgap Photoconductive Variable Resistor for Single-Standard Electrical Calibrations
Jasper Drisko 1 , Josue Davila-Rodriguez 1 , James Booth 1 , Ari Feldman 1 , Franklyn Quinlan 1 , Nathan Orloff 1 , Christian Long 1
1 , National Institute of Standards and Technology, Boulder, Colorado, United States
Show AbstractMicrowave-frequency measurements are a useful and ubiquitous tool throughout science and engineering for materials characterization, computing, and the development of next-generation high-frequency electronics, amplifiers, and other devices. These measurements are often performed with a vector network analyzer. Before measuring any device, material, or sensor, the network analyzer must be calibrated with a set of reference standards with known electrical properties. These standards are required to correct for systematic errors introduced by cables, connectors, probes, and other interfaces present in the measurement setup. Recently developed chip-scale standards decreased the size of reference standards, placing all the necessary standards on a 1 cm2 chip that can be measured with on-wafer probes. This calibration chip replaces large coaxial standards that are more prevalent today. With either approach, measuring and characterizing each standard is time consuming and adds uncertainty due to variability in the connections. Here, we addressed these problems with a wide-bandgap photoconductive variable resistor integrated in a coplanar waveguide to perform microwave calibrations, eliminating the need for multiple standards and connections. The photoconductive variable resistor is placed in different, known resistance states by applying varying intensities of laser light, in effect taking the place of the traditional set of reference standards. The resulting photoconductive variable resistors decrease the footprint of the on-chip calibrations by over an order of magnitude, having an active area on the order of 100 μm2. Such single standards provide a more compact and faster way to implement necessary calibrations and could be adapted for or embedded in a variety of materials systems where accurate measurements are required. We also describe the novel calibration algorithm used to analyze the single-standard resistance states and find the error boxes to correct measurements of a device or material-under-test.
EM04.08: Ultra-Wide-Bandgap Materials
Session Chairs
Thursday PM, November 30, 2017
Hynes, Level 1, Room 101
1:30 PM - *EM04.08.01
Wide- and Ultrawide-Bandgap Semiconductors—Update of Key Materials Parameters
Mark Hollis 1 , Paul Juodawlkis 1
1 , Massachusetts Institute of Technology, Lexington, Massachusetts, United States
Show AbstractThe potential benefits of ultrawide-bandgap (UWBG) semiconductors for broad classes of applications was assessed in a recent workshop and study involving experts from academia, industry, and government. These materials such as AlGaN, AlN, Ga2O3, cubic BN (c-BN), and diamond having bandgaps above that of GaN (> 3.4 eV) offer significantly greater capability for power switching and RF power, and some offer greater (or newly available) capability for deep-UV optoelectronics and quantum information systems. In addition, many offer better promise for operation in extreme environments where radiation damage and/or high temperatures obviate other materials from consideration. This talk will highlight findings in a number of areas, both in materials parameters and in applications. In a number of cases the study found that values for WBG and UWBG materials parameters that are in common usage are out of date and based on early measurements of disordered material grown decades ago. The study also examined important questions such as how critical breakdown field varies with bandgap (and alloy composition for AlGaN), and how critical-field values reported for different materials may be compared on a level playing field by normalizing to a fixed doping level and geometry. This study constitutes the most comprehensive compilation and updating of such information on these materials known. This talk will present an overview of the materials, summary tables of the key materials parameters that have been updated, and widely held myths about UWBG materials as well as truths that are not well known.
2:00 PM - EM04.08.02
Electronic Properties of Ultra-Wide-Band-Gap BAlN Alloys from First Principles
Jimmy-Xuan Shen 1 , Darshana Wickramaratne 2 , Chris Van de Walle 2
1 Department of Physics, University of California, Santa Barbara, Santa Barbara, California, United States, 2 Materials Department, University of California - Santa Barbara, Santa Barbara, California, United States
Show AbstractRapidly growing interest in power electronics and ultra-violet optoelectronics has created a need to identify novel ultra-wide-band-gap semiconductors. Boron-containing nitride alloys such as BAlN are being explored as novel members of the nitride family for electronic and optoelectronic applications. Materials design for such applications requires a fundamental understanding of the composition-dependent electronic structure. At low B content, BAlN alloys are expected to stabilize in a wurtzite structure. BN itself is not stable in the wurtzite structure, and therefore no experimental information is available to allow predictions for the B-containing alloys. In this work, we employ density functional theory with a hybrid functional to investigate the structural and electronic properties of wurtzite BAlN alloys as a function of boron content. Wurtzite BN is an indirect band-gap semiconductor, while wurtzite AlN is a direct band gap semiconductor. Therefore, we expect a direct to indirect crossover to occur in the fundamental band gap of BAlN as a function of increasing B content. Based on our comprehensive investigation, we are able to identify the direct and indirect band gaps of BAlN alloys as a function of B content. Our results indicate the bowing parameter for the direct band gap is large while the bowing parameter for the indirect band gap is significantly lower. The critical boron concentration at which the direct-to-indirect crossover in the band gap occurs is therefore quite large. However, the large bowing counteracts the large direct-band-gap change from AlN to BN, making the band gap of BAlN nearly constant across the experimentally accessible range of boron concentrations.
This work is supported by the NSF under Grant. No. DMR-143485.
2:15 PM - EM04.08.03
Structural and Electronic Properties of Wurtzite BxAl1-xN from First-Principles Calculations
Haiding Sun 1 , Muwei Zhang 1 , XiaoHang Li 1
1 , King Abdullah University of Science and Technology, Thuwal Saudi Arabia
Show AbstractWurtzite (WZ) AlxGa1-xN alloys with tuneable direct bandgap which can cover from 200 to 360 nm in wavelength. WZ BxAl1-xN alloys are expected to possess similar wide bandgap for UV devices. A few groups have grown BxAl1-xN epitaxially and showed effective refractive index modification by minor boron (B) incorporation. High reflectivity distributed Bragg reflectors have been demonstrated by employing BxAl1-xN/AlN structures. Also, theoretical studies indicated the benefits of introducing B in the active region for optoelectronics, where UV emission could be enhanced by 4 times using BAlGaN/AlN quantum wells. Though those studies show the potentials of BxAl1-xN alloys, many issues have yet to be solved. For example, due to the phase separation, short diffusion length of B, and strong parasitic reaction in the gas phase, the B composition in the BxAl1-xN alloys remains low. Recently, a high B incorporation of 14.4% in a single-phase 100 nm thick WZ BAlN was achieved. Numerically, first-principle calculations of zinc-blende (ZB) BxAl1-xN have been done, where a small bowing parameter of lattice constants was observed and a first transition from indirect bandgap to direct bandgap occurred at a low B composition of 0.12. Furthermore, with an increased B composition, a second transition from direct back to indirect bandgap was found to happen at a higher B composition of 0.71. While these results provided us with insights for understanding ZB BxAl1-xN, similar studies of WZ BxAl1-xN have been absent. The WZ structure is preferable and thermally stable. Thus, the investigations of structural and electronic properties of WZ BxAl1-xN alloys are urgently needed.
In this work, the structural and electronic properties of WZ BxAl1-xN are studied through first-principles calculation based on density functional theory. We found the change of lattice parameters with increased B composition shows small bowing parameters and thus slightly nonlinearity. The bandgap exhibits strong dependence on the B composition, where transition from direct to indirect bandgap occurs at a relatively low B composition (x∼0.12) is observed, above which the bandgap of BxAl1-xN maintained indirect, thus desirable for low-absorption optical devices. The Γv-Ac and Γv-Kc indirect bandgaps are dominant at lower and higher B compositions, respectively. Density of states (DOS) of the valence band is susceptible to the B incorporation. Strong hybridization of Al, B and N in p-states leads to high DOS near the valence band maximum. The hybridization of Al and B in s-states at lower B compositions and p-states of B at higher B compositions give rise to high DOS near lower end of the upper valence band. Charge density analysis reveals the B-N chemical bond is more covalent than the Al-N bond. This will lead to more covalent crystal with increasing B composition. Dramatic change of the heavy hole effective mass is found due to significant curvature increase of the band by minor B incorporation.
2:30 PM - EM04.08.04
Aluminium and Rare Earth Nitride Alloys—Theoretical Piezoelectric Properties and Applications
Patrick Daoust 1 , Patrick Desjardins 1 , Remo Masut 1
1 , Polytechnique Montréal, Montréal, Quebec, Canada
Show AbstractAluminium nitride (AlN) is a wide band gap material and a staple piezoelectric material used in the MEMS industry. Alloys of scandium nitride and aluminium nitride have been reported to exhibit superior piezoelectric properties when compared to AlN. Furthermore, theoretical results for yttrium aluminium nitride alloys also demonstrate improvements over the piezoelectric properties of AlN. Here we report the piezoelectric properties of lanthanide nitride and aluminum nitride substitutionnal alloys in order to obtain a full picture of the piezoelectric properties of rare earth nitride and aluminum nitride alloys. The d33 coefficients of AlN and its alloys were obtained with Ab-initio density functional theory simulations with Abinit and a SQS supercell. The 4f electrons of the lanthanides were represented with a large core in order to facilitate the calculations. The obtained d33 coefficients of the alloys vary from 28 pm/V for scandium to 12-18 pm/V for the lanthanides. We discuss how the results support the current understanding of the piezoelectric behavior of rare earth nitride and aluminum nitride alloys. We conclude that scandium bearing alloys present the most gain in terms of the electromechanical coupling factor and overall piezoelectric properties and discuss potential applications such as energy harvesters and sensors.
2:45 PM - EM04.08.05
Observation of Coherent Folded Acoustic Modes in a Cubic-GaN/AlN Superlattice
Josh Whale 1 , Andrey Akimov 1 , Sergei Novikov 1 , Chris Mellor 1 , Anthony Kent 1
1 Physics and Astronomy, University of Nottingham, Nottingham United Kingdom
Show AbstractTerahertz coherent folded longitudinal acoustic (FLA) phonon modes in a zinc-blende (cubic) GaN/AlN superlattice have been observed and studied by two colour time-resolved pump-probe spectroscopy. We observe the characteristic triplet of first-order mini-Brillouin zone folded modes at the zone center (q = 0), with frequency 1.2 THz, and with sidebands at q = 2klaser where the detection mechanism is strongest. The frequencies observed show good agreement with the theoretical dispersion curves in the elastic limit.
Optical to acoustic transduction in semiconductor superlattices (SLs) and the properties of zone folded modes have been studied extensively in structures such as GaAs/AlAs [1]. The additional periodicity of the elastic properties along the growth direction of the superlattice structure gives rise to zone folding of the acoustic branches within the Brillouin zone, which allows optical excitation of coherent acoustic phonons with first-order frequency related to the speed of sound and SL period, in the sub-THz range. In GaN/AlN SLs based on the wurtzite (hexagonal) crystal structure, excitation of > 1THz THz FLA phonons has been demonstrated [2]. Recently there has been a lot of interest in cubic III-Nitride structures, which are not subject to the same spontaneous and piezoelectric polarization fields as the hexagonal structure, and so possess advantages for some optoelectronic applications.
In our experiments, we use two cubic GaN /AlN superlattices of 20 and 40 periods grown by molecular beam epitaxy on GaAs (100) substrates with nominal well and barrier thicknesses 4.2nm and 3.2nm respectively. The acoustic strain is generated in the SL in two regimes: firstly by optically pumping the GaAs substrate which launches a strain pulse into the SL and, secondly, by optically pumping the SL itself which establishes a strain profile with the periodicity of the superlattice. These lead to the excitation in the SL of quasi-monochromatic acoustic waves with frequencies of 1.2 THz corresponding to the q = 0 cases of the zone folded phonon dispersion. In both cases the SL acts as a photoelastic detector of phonons: an acoustic wave in the SL induces a transient modulation of the optical constants and consequently a transient change in the optical reflectivity for the probe optical pulse.
GaN/AlN superlattices therefore provide a means for the generation of quasi-monochromatic acoustic waves, at much higher frequencies than previously possible, above 1 THz. Coherent phonons in the THz range represent a viable method for rapid modulation of optical or electronic properties in device structures [3]. Additionally, a source of coherent monochromatic phonons would have important applications in the field of phonon spectroscopy.
[1] Mizoguchi, K., et al. Physical Review B 60, 8262 (1999).
[2] Martinez, C. E., et al. Appl. Phys. Lett. 86, 221915 (2005).
[3] Poyser, Caroline L., et al. Scientific reports 5 (2015).
3:30 PM - *EM04.08.06
Diamond Epitaxy for High Power and High Temperature Electronics
Robert Nemanich 1 , Franz Koeck 1 , Maitreya Dutta 2 , Raghuraj Hathwar 1 , Mehdi Saremi 1 , Xingye Wang 1 , Brianna Eller 1 , Manpuneet Benipal 1 , Srabanti Chowdhury 2 , Stephen Goodnick 1
1 , Arizona State University, Tempe, Arizona, United States, 2 , University of California - Davis, Davis, California, United States
Show AbstractThere is growing worldwide interest in CVD diamond materials for future high power electronics applications that include power system distribution, electric vehicles and motor control. The properties of diamond that contribute to its value in power electronics include high electron and hole mobilities (>2000 cm2/V-s), high breakdown field (10 MV/cm), highest thermal conductivity, and low dielectric constant. Besides, as a group IV elemental semiconductor, problems associated with anti-site structural defects in III-V materials do not occur. Moreover, diamond has a single polytype – diamond cubic, which offers improved stability compared to other materials such as silicon carbide. There has been notable progress on the development of single crystal diamond substrates and with the use of standard microfabrication processes to fabricate diamond devices. However, to fabricate practical devices, high quality epitaxial growth of low defect, high purity intrinsic, p-type and n-type diamond layers must be achieved.
A crucial aspect of fabricating bipolar devices is precise control of phosphorus incorporation during homoepitaxial growth. In our laboratory phosphorus doping is achieved using trimethylphosphine (TMP) in a microwave plasma CVD system. For P-doped layers on (111) diamond, results are presented that show the doping concentration can be varied by careful control of the substrate temperature and the TMP gas phase concentration. Utilization of a dual-wavelength pyrometer accurately resolves the surface temperature of the diamond substrate which is only heated by the plasma discharge. For (100) surfaces, P incorporation either shows a very low incorporation efficiency or a very low growth rate. To overcome these limitations a pulsed growth approach is presented which results in a P-incorporation density greater than 1E18 cm-3.
High breakdown voltage devices require low defect, high purity intrinsic diamond layer growth. Results are presented which establish that accurate separation of the plasma discharge from the substrate surface can lead to an adequate growth rate and a substantial reduction in surface defect formation.
The temperature dependence of PIN diodes fabricated using diamond epitaxy have been measured to >300°C. The measurements showed that in (100) diodes the n-type layer is fully depleted and transport is limited by thermionic emission, whereas on (111) the n-type nature and bipolar transport is confirmed with the observation of continuous light emission at forward bias. The diodes showed a strong increase in the saturation current density as the temperature was increased attributed to the increase in the ionization of the dopants. The simulation program has been used to project the high frequency operation of a BJT. The simulation predicted a nearly constant ft of ~8 GHz as a function of temperature to 500°C.
Research supported by ARPA-E through the SWITCHES program
4:00 PM - EM04.08.07
Ultrafast Carrier Dynamics in Wide Bandgap Semiconductor Materials
Roderick Davidson 2 , Adam Dunkelberger 1 , Ioannis Chatzakis 2 , Bradford Pate 1 , Joshua Caldwell 1 , Jeffrey Owrutsky 1
2 , National Research Council, District of Columbia, Washington, United States, 1 , Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractSemiconductors with a bandgap greater than 2 eV have generated considerable potential for nanophotonic devices in
the optical band. The conventional CMOS-compatible material, silicon, is restricted to operating at energies below its bandgap energy 1.1 eV, and, consequently, is more susceptible to free carrier effects and large dark currents than the materials discussed here. We report the picosecond–scale carrier relaxation dynamics of three promising wide bandgap materials: aluminum nitride (AlN), polymorphic diamond, and hexagonal boron nitride (h-BN). Each of these materials exhibit specific optical properties that hold interest for interfacing electrical, optical, and mechanical systems. The large piezoelectric coefficient of AlN makes it useful for bulk resonators, and AlN nanowires have recently been used to build LEDs with 210 nm emission. The versatility of diamond, on the other hand, lies in the high quality factors and low dissipation rates of optomechanical resonators made with thin film and single crystal diamond. The advantage of h-BN lies in the fact that is one belongs on a short list of wide bandgap materials that with a crystal structure that is stable in a monolayer.
In these experiments, time-resolved pump-probe spectroscopy with sub-picosecond resolution was used to observe photogenerated free carrier dynamics in various wide bandgap semiconductors. These measurements use a 1 kHz regeneratively amplified Ti:Sapphire femtosecond laser to generate a tunable ultraviolet pump and infrared probe. We demonstrate Drude absorption from free carriers lasting greater than one nanosecond in AlN films. Carrier absorption in diamond shows drastically different dynamics between two phases, varying between the picosecond and nanosecond scale. We also characterize carrier dynamics in flakes of h-BN. This elucidates important optical properties of three unique materials in the relatively unexplored class of wide bandgap materials.
4:15 PM - EM04.08.08
Modification of Hexagonal Boron Nitride Induced by Electromagnetic Radiation
Igor Wlasny 1 , Zbigniew Klusek 2 , Andrzej Wysmolek 1
1 , University of Warsaw, Warsaw Poland, 2 , University of Lodz, Lodz Poland
Show AbstractHexagonal boron nitride is a representative of a widely popular class of two-dimensional Van Der Waals materials. It finds its uses, among others, in construction of complex layered heterostructures. Hexagonal boron nitride attracts great interest because of its properties characteristic for wide-gap semiconductors as well as an ultra-flat surface.
Van Der Waals heterostructures composed of two-dimensional layered materials, such as transition metal dichalcogenides or graphene give hope for miniaturization of various electronic and optoelectronic elements.
In our presentation we will show the results of our investigations of the not previously reported modification of the hexagonal boron nitride layers with focused laser beam. The electrostatic force microscopy images reveal that the irradiation leads to changes of the local electric fields for wide range of laser wavelengths (from 442 to 785 nm). These changes are also accompanied by alterations of crystallographic structure of the material, as reflected by Raman spectra. They exhibit high stability and remain visible after at least 5 months. This behavior can be explained in terms of photoionization of the defect centers in h-BN which influence non-uniform electrostatic field screening by the photo-excited charge carriers. Analyzed changes influence local defect structure, and thus the interatomic distances within the lattice. These effects can be amplified by the piezoelectric character of hexagonal boron nitride, similar to that found in nitrides (eg. GaN, AlN).
Our results shed new light on the optical properties of the hexagonal boron nitride, particularly those associated with electron-phonon coupling. Our study also opens new possibility of h-BN applications in layered heterostructures where electrostatic fields can be used in tailoring of the local properties of the structures for use in micro- and nanoelectronics or field-controlled memory storage.
This work is supported by National Science Centre project granted on the basis of the decision number DEC-2015/16/S/ST3/00451.
4:30 PM - EM04.08.09
Synthesis and Nanoscale Structure Studies of Wafer Scaled Boron Nitride Nanosheets for Optical Devices and Graphene Electronics
Muhammad Sajjad 1 2 , Vladimir Makarov 2 3 , Wojciech Jadwisienczak 4 , Brad Weiner 2 5 , Gerardo Morell 3 2
1 , Western Kentucky University, Bowling Green, Kentucky, United States, 2 Physics, Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, Puerto Rico, United States, 3 Physics, University of Puerto Rico at Río Piedras, San Juan, Puerto Rico, United States, 4 Electrical Engineering and Computer Science, School of Electrical Engineering and Computer Science, Ohio University, Athens, Ohio, United States, 5 Chemistry, University of Puerto Rico at Río Piedras, Athens, Ohio, United States
Show AbstractAlthough, there have been reported potential applications of boron nitride nanosheets (BNNS), still for graphene electronics and optical devices, BNNS need to be address further. For this subject, we need to produce large area wafer scale BNNS. In this presentation, we will provide a detail description in the synthesis and nanoscale structure analysis of BNNS produced by physical (PLD) and chemical vapor deposition (CVD) techniques. We used ammonia borane (NH3BH3) precursors and applied tube furnace CVD technique at low pressure conditions and obtained wafer-scale BNNS of the size ≈ 50×50 µm2 on copper substrates. The synthesis method carried out using physical vapor deposition technique (PLD), the obtained samples were based on thin film with the top layer composed of a fine boron nitride nanosheets with interpretable layer structure. For detail nanoscale structure analysis, the synthesized samples were mechanically transferred using PPMA technique to the TEM grids and a comparison have been made between the quality, crystal structure and the optical properties of BNNS prepared using PVD and CVD techniques. HRTEM analysis of the samples have shown clearly a layer by layer pattern with in a single boron nitride sheet. An interpretable hexagonal lattice (B3-N3 hexagons) extended up to 20×20 nm, the possible limit of microscope to analyze a single flake, is visible. The cathodoluminescence spectroscopy of the samples analyzed deep UV band in BNNS that give us information about optical properties of the material is part of the presentation. Overall, this presentation will provide a detail overview for the synthesis of wafer scaled BNNS, characterization of nanoscale structures necessary for the graphene electronics and optical devices.
4:45 PM - EM04.08.10
Controlling Intercalation at the Epitaxial Graphene/SiC Interface for Synthesis of Large-Area Ultra-Wide Bandgap 2D Gallium Nitride and 2D Nitride Heterostructures
Brian Bersch 1 , Natalie Briggs 1 , Zakaria Al Balushi 1 , Ke Wang 2 , Shruti Subramanian 1 , Joan Redwing 1 , Joshua Robinson 1
1 Materials Science and Engineering , The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Materials Characterization Lab, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThe two-dimensional materials toolbox continues to expand and has recently grown to include two-dimensional (2D) nitrides, heralded by the discovery of graphene-stabilized 2D-gallium nitride (2D-GaN). 2D-GaN is formed at the epitaxial graphene (EG)/SiC interface and realized via a two-step Ga intercalation and nitridation process. 2D-GaN (with stoichiometry GaNx; not 1:1 GaN) has a buckled R3m space group, is nitrogen polar, covalently bonded to the SiC substrate, and has a nearly 5.0 eV direct bandgap which represents a substantial increase from that of 3D wurtzite GaN (3.4 eV). In addition to standalone 2D-GaN, 2D/3D GaN quasi “hetero”-structures consisting of buckled 2D-GaN on top of conventional 3D wurtzite GaN can also be stabilized at this robust EG/SiC interface, opening up the possibilities for further bandgap tunability, Type I band alignment (i.e. AlGaN/GaN quantum well systems), and enhanced thermal transport between GaN and SiC substrates. However, to-date, little is known about the vertical and lateral electronic (and thermal) transport properties in these novel systems. In order to measure the electronic properties within common device architectures such as field-effect transistors (FET) and high electron mobility transistors (HEMT), the lateral size of 2D-GaN (as well as thicker intercalated GaN layers) domains must be increased to tens of microns and eventually continuous films must be realized.
In this work, we demonstrate large-area, continuous 2D-GaN films via both Ga thermal evaporation and metal organic chemical vapor deposition (MOCVD) methods using plasma-treated defective EG layers. We discuss the impact of graphene thickness, graphene defect engineering, and as-grown (0L buffer layer intact) vs quasi free-standing graphene on the degree of lateral and vertical Ga intercalation. We measure the number of Ga layers following Ga intercalation and elucidate the subsequent GaN atomic structures post nitridation via cross-sectional high-resolution transmission electron microscopy (TEM). Understanding the exact impact of graphene thickness and processing on the synthesis of large-area ultra-wide bandgap GaN/EG heterostructures will be critical to future device work and demonstrating the scalability and viability of this novel material system. Future work includes fabrication of electrolyte-gated FETs on as-grown 2D-GaN/SiC (and thicker 2D/3D GaN) to measure electronic transport in these films as a first step to benchmark atomically thin GaN for various logic, analog, and power electronics. Lastly, we discuss the possibility of using this unique epitaxial graphene platform to realize other traditionally non-2D materials for wide-bandgap applications including other group-III compounds (Ga2O3, AlN, InN) and group-III nitride heterostructures.
EM04.09: Poster Session: WBG/UWBG
Session Chairs
Friday AM, December 01, 2017
Hynes, Level 1, Hall B
8:00 PM - EM04.09.01
A Novel Prognostics Model of IGBTs and GaN HEMTs Based on Particle Filtering
Yizhou Lu 1
1 , University of Maryland, College Park, College Park, Maryland, United States
Show AbstractSi power devices have been dominant in power electronics applications since around the 1950s, including IGBTs, Si MOSFETs and thyristors. However, devices based on wide-bandgap semiconductor, especially GaN, have drawn widespread attention in recent decades due to their clear inherent advantages over conventional Si devices. Reliability is a key requirement to the all the power electronic devices. Different types of device different operating modes exhibit various failure modes and mechanisms. For IGBT modules used in the power converters, due to the on and off power cycles of devices, fatigue-related packaging failures such as bond wire lift-off and die attach degradation are considered the dominant failure mode. For GaN HEMTs under RF applications, the failure mode observed in RF accelerated life testing (ALT) is voiding in the field plate metallization. In terms of prognostics of power devices, a number of model-based and data-driven techniques have been developed and implemented for silicon power devices, in which Particle Filter (PF) has been demonstrated to be a robust approach for prognostics.
Previous works on PF of power devices only concerned silicon devices and classical sequential importance resampling (SIR) technique. The present work focuses on prognostics of both silicon IGBTs and GaN HEMTs based on two different PF techniques, with five different resampling algorithms, which will be groundbreaking in the field of power device reliability. Besides the classical SIR technique, the present work is also implementing the regularized particle filter (RPF), which has never been implemented in prognostics of power electronics before. The five different resampling algorithms includes multinomial, systematic, residual, stratified and independent Metropolis Hastings algorithm (IMHA). A complete PF model framework is developed including a novel anomaly detection module based on feature vector directional variation and hypothesis testing, which is another important contribution of the present work. Power cycling and RF test data from the pertinent literature are used to validate the developed PF model for IGBTs and GaN HEMTs, respectively.
8:00 PM - EM04.09.02
InTlP Compounds for Underwater Solar Energy Harvesting
Ahmed Zayan 1 , Thomas Vandervelde 1
1 Electrical and Computer Engineering, Tufts University, Medford, Massachusetts, United States
Show AbstractWith the rising interest in oceanic monitoring, climate awareness and surveillance, the scientific community need for developing autonomous, self-sustaining Unmanned Underwater Vehicles (UUVs) increased as well. Limitations on the size, maneuverability, power consumption and available on-site maintenance of these UUVs make a number of proposed technologies to power them harder to implement than others; solar energy harvesting stands as one of the more promising candidates to address the need for a long-term energy supply for UUVs due to its relatively small size and ease of deployment. Studies show research groups focusing on the use of Si cells (amorphous and crystalline), InGaP and more recently Organic Photovoltaics to convert the attenuated solar spectrum under shallow depths (no deeper than 9.1 m) into electrical energy used or stored by the UUV’s power management system [1], [2]. In our study, we consider the ternary compound In1-xTlxP that allows for varying the quantum efficiency of the cell, and by extension the overall harvesting efficiency of the system by altering the Tl content (x) in the compound. In1-xTlxP also exhibits very little change in its lattice constant with changing Tl content due to the comparable atomic size and forces of In and Tl allowing for relatively easy growth on InP substrates. The study focuses on studying the spectral response and comparing the performance of an optimized single junction In1-xTlxP cells to In1-yGayP cells while accounting for the optical losses of the solar irradiance underwater for various depths.
[1] P. P. Jenkins et al., “High-Bandgap Solar Cells for Underwater Photovoltaic Applications,” IEEE J. Photovoltaics, vol. 4, no. 1, pp. 202–207, Jan. 2014.
[2] R. J. Walters et al., “Multijunction organic photovoltaic cells for underwater solar power,” in 2015 IEEE 42nd Photovoltaic Specialist Conference (PVSC), 2015, pp. 1–3.
8:00 PM - EM04.09.03
Transparent Metal Oxide Solar Cells
Malkeshkumar Patel 1 2 , Hong-Sik Kim 1 2 , Joondong Kim 1 2
1 , Incheon National University, Incheon Korea (the Republic of), 2 , Photoelectric and Energy Device Application Lab (PEDAL), Multidisciplinary Core Institute for Future Energies (MCIFE), Incheon Korea (the Republic of)
Show AbstractWe propose and demonstrate the wide energy bandgap materials for visible light transmittance and UV photon absorption for power generation. All-transparent solar cell was achieved by the heterojunction of metal oxide layers. By using the solid-state sputtering method, transparent heterojunction (p-type NiO/n-type ZnO) was realized. A unit cell gave the record-high conversion efficiency of 6% with the enormous current density (2.7 mA/cm2) and open circuit voltage of 532 mV. The remarkable transparent solar power is mainly attributed to the absolute UV absorption to induce the substantial excitonic effect for ZnO/NiO heterojunction.
For integration, the transparent solar cell units were fabricated on a glass substrate to demonstrate the module of unit solar cells. Using the 3 × 3 unit cell solar module, a significant output voltage (> 2 V) was achieved to confirm the excellent connection manipulation of transparent solar cell units. By putting the transparent solar cells on buildings and vehicles, the electric power is spontaneously supplied from the Sun power but human eyes have no visible scarification. Transparent solar cells would provide a route for on-site energy generation.
8:00 PM - EM04.09.04
Influence of 2,2-Bithiophene and Thieno[3,2-b] Thiophene Units on the Photovoltaic Performance of Benzodithiophene-Based Wide-Bandgap Polymers
Xiaobo Sun 1
1 , Beihang University, Beijing China
Show AbstractExtending the π-conjugation length of polymeric backbone is an effective way to enhance the photovoltaic performance of polymer solar cells (PSCs). Here, the donor-donor-acceptor (D-D-A) molecular strategy has been used to design and synthezie two wide-bandgap conjugated copolymers, in which 2,2-bithiophene (BT) or thieno[3,2-b] thiophene (TT) is introduced to D-A polymer as a third component to investigate the influence of conjugation backbone on photovoltaic properties. The polymer structure-property relationship and photovoltaic performance have been investigated. Compared to P2 (TT as the third unit), P1 (BT as the third unit) exhibits deeper highest occupied molecular orbital (HOMO) level, more planar backbone structure with a slightly higher mobility. Based on a conventional device structure with PC70BM as acceptor material, P1-based solar cell exhibits a maximum power conversion efficiency (PCE) of 6.93%, an open-circuit voltage (VOC) of 0.86 V, a short-circuit current (JSC) of 11.06 mA cm-2, and a fill factor (FF) of 72.9%, which is much better than those of P2-based solar cells (PCE 3.92%). The results demonstrate that extending effective π-conjugation structure of polymer backbone could improve the photovoltaic performance of PSCs by inserting an additional appropriate donor unit in the D-A polymer.
8:00 PM - EM04.09.05
Thermodynamic Analysis of the TMG Decomposition Process in GaN MOVPE
Kazuki Sekiguchi 1 , Hiroki Shirakawa 1 , Kenta Chokawa 1 , Masaaki Araidai 2 1 , Yoshihiro Kangawa 3 2 , Koichi Kakimoto 3 , Kenji Shiraishi 2 1
1 , Graduate School of Engineering, Nagoya University, Nagoya, Aichi, Japan, 2 , Institute of Materials and Systems for Sustainability, Nagoya University, Nagoya, Aichi, Japan, 3 , Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka, Japan
Show AbstractThe crystal growth of high quality GaN is crucial for the practical realization of GaN power devices, with which significant energy savings can be achieved. However, there is little known about the detailed mechanism of metal-organic vapor phase epitaxy (MOVPE) of GaN. For high quality GaN, it is essential to clarify the decomposition process of trimethylgallium (TMG, [Ga(CH3)3]), which is used as the Ga source in GaN MOVPE. We investigate the TMG decomposition process based on a thermodynamic analysis. In our previous study, we calculated the formation energy of the (CH3)2GaNH2 adduct and clarified that the formation of this adduct only occurs at low temperature, and that this is not the main reaction during GaN MOVPE [K. Sekiguchi et al., JJAP 2017]. This result overturned the hypothesis used in conventional MOVPE simulations [D. Sengupta et al., JCG 2005] and indicated that TMG decomposition proceeds by a reaction with H2 instead of NH3. In this study, we analyzed the TMG decomposition process in more detail, taking the calculation of the formation energy and the activation energy into consideration. First, we considered the reaction through which TMG with H2 decomposes into (CH3)2GaH with CH4 desorption. At 300 K, which is assumed to be the temperature near the nozzle, the products ((CH3)2GaH + CH4) are energetically more stable than the reactants (Ga(CH3)3 + H2) by 0.73 eV. Moreover, it was found that the activation energy is 1.21 eV at 0 K by NEB analyses, indicating that the energy barrier is relatively low. These results show that TMG reacts with H2 near the nozzle and decomposes into (CH3)2GaH. Next, we investigated the reaction by which (CH3)2GaH decomposes into (CH3)GaH2. The formation energy of the reaction at 300 K is -0.54 eV and the activation energy is 1.21 eV the same as for TMG decomposition. Moreover, the formation energy and activation energy for the decomposition of (CH3)GaH2 into GaH3 are -0.55 and 1.18 eV, respectively, and those for the decomposition of GaH3 into GaH are -0.04 and 1.81 eV, respectively. To summarize the above, TMG decomposes by reacting with the H2 carrier gas in the vapor phase during GaN MOVPE as follows; Ga(CH3)3 --> (CH3)2GaH --> (CH3)GaH2 --> GaH3 --> GaH. These results, in which TMG loses methyl groups one by one, are consistent with recent high resolution mass spectrometry experiments [K. Nagamatsu et al., PSS B in press]. Therefore, TMG finally becomes GaH and GaH is adsorbed on the GaN surface. In this presentation, we also discuss the behavior of GaH on the GaN(0001) surface and the mechanism for GaN crystal growth.
Acknowledgments
This work was supported by MEXT “Program for research and development of next-generation semiconductor to realize energy-saving society.”
8:00 PM - EM04.09.06
Fabrication of α-(AlxGa1-x)2O3 Using Carbon-Free Precursor by Mist CVD Method
Riena Jinno 1 , Shu Takemoto 1 , Takayuki Uchida 1 , Kentaro Kaneko 1 2 , Shizuo Fujita 1 2
1 Department of Electronic Science and Engineering, Kyoto University, Kyoto, Kyoto, Japan, 2 Photonics and Electronics Science and Engineering Center, Kyoto University, Kyoto, Kyoto, Japan
Show AbstractThese days, α-Ga2O3, possessing the bandgap energy of 5.3 eV, has attracted attention as one of the ultra-wide-bandgap (UWBG) semiconductor materials [1,2]. By using mist CVD, alloys with α-Al2O3 and α-In2O3 enabled the bandgap engineering from 3.7 to 7.8 eV [2]. Therefore, fabrication of UWBG semiconductors with bandgaps greater than 6 eV is expected by using an α-(Al,Ga,In)2O3 alloy system. However, the α-Ga2O3 thin films grown by mist CVD have suffered from high impurity carbon concentration of about 1019 cm-3 from Ga precursor of gallium(III) acetylacetone (Ga(C5H7O2)3) [3]. The free carrier compensation by the C-related deep levels was concerned as in the case of GaN [4]. In this study, aiming at eliminating carbon impurities, we report the growth of α-Ga2O3 thin films on sapphire substrates using the carbon-free GaCl3 source.
Ga2O3 thin films were grown on c-plane sapphire substrates by the mist CVD method. GaCl3 was adapted as a gallium precursor. Water solution of the precursor with addition of hydrochloric acid (HCl) was used as a reaction source. Concentrations of GaCl3 and HCl were changed in order to control the growth rate of the α-Ga2O3 thin films. The growth temperature was changed between 500 and 800 oC.
XRD 2θ/ω scan profile of the Ga2O3 indicated that single-α-phase Ga2O3 was obtained at the growth temperatures between 500 and 800 oC. In the previous reports, the inclusion of β-phase was observed at the growth temperatures above 550 oC when Ga(C5H7O2)3 was used as the source [1]. The inclusion has been considered to be because α-phase is metastable, but the result suggests that the gallium precursor was the cause for that. Furthermore, the α-Ga2O3 grown at 700 oC maintained corundum structure after annealing at 600 oC, which had been considered as the phase transition temperature of α-Ga2O3. It is thought that the strong bond at the interface with the substrate made α-Ga2O3 possible to maintain the structure, against the high temperature treatment. The growth rate of α-Ga2O3 at 600 oC increased with increasing the concentration of HCl in the source. The maximum growth rate reached 5.2 μm/h, which was about 5 times as rapid as those by using Ga(C5H7O2)3 as a gallium precursor [1, 3]. In order to analyze the impurity concentration in the α-Ga2O3 thin films, the samples were subjected to SIMS measurements. This revealed that the concentrations of carbon impurity were below the background level.
By adopting GaCl3 as a gallium precursor, α-Ga2O3 was obtained at the growth temperature as high as 800 oC. The carbon impurity concentration in the films was successfully reduced to below the background level. At the presentation, we will also report the fabrication of α-(Al,Ga)2O3 using the chloride precursors.
[1] D. Shinohara, et. al., J. Appl. Phys. 47 (2008) 7311
[2] S. Fujita, et. al., Jpn. J. Appl. Phys. 55 (2016) 1202A3
[3] K. Akaiwa, et. al., Jpn. J. Appl. Phys. 51 (2012) 070203
[4] A. Armstrong, et. al., J. Appl. Phys. 98 (2005) 053704
8:00 PM - EM04.09.07
Photonic Localization and Transport in Non-Periodic Wide-Bandgap Heterostructures—An Ab Initio Based Multiscale Study
Vicenta Sanchez Morales 1 , J. Guillermo Munguia-Fernandez 1 , Chumin Wang 1
1 , Universidad Nacional Autonoma de Mexico, Mexico City Mexico
Show AbstractNowadays, the modern electronics and photonics are developed on the base of semiconducting materials, since the invention of transistor and light emitting diode. In general, the wave propagation through a medium is truly sensitive to the structural order or disorder at wavelength scale. In consequence, dielectric materials with periodic or quasiperiodically ordered structures are considered excellent candidates for making optical components capable of reflecting, confining or guiding light, just as electrons and holes in electronic devices [1]. In this work, we study the localization and propagation of photons in non-periodic wide-bandgap heterostructures, whose blocks are ordered following the generalized Fibonacci [2], Thue-Morse [3] and Period-doubling sequences [4]. The frequency dependent refraction index of each block is obtained from the Density Functional Theory (DFT) through the CASTEP code [5] within the Biovia Materials Studio software, and the optical transmittance of entire photonic device is calculated by means of the transfer-matrix method [4]. This multiscale approach combines the quantum mechanics with the classical electromagnetic theory, in order to determine photonic device properties based on ab-initio calculated local optical parameters.
The results show that heterostructures with mirror symmetry possess a wide photonic gap, as occurred in mirror Fibonacci multilayers ordered as, for example, ABAAB-BAABA. Within the quarter-wave condition, where the optical path of each layer is a quarter of a chosen wavelength, we observe a perfect transmission sharp peak at such wavelength for multilayers with mirror symmetry. The full width at half maximum (FWHM) of this peak in a Fabry-Perot resonator is investigated in detail for analyzed periodic and aperiodic multilayers with mirror symmetry. Moreover, we also investigate the variation of transmittance spectra with the incident angle for both transversal electric (TE) and transversal magnetic (TM) polarizations. Analytical expressions are found for the transmittance of generalized Fibonacci multilayers. Finally, the localization of photons is investigated by looking at the photonic participation ratio and Lyapunov coefficient. The obtained localization spectra are compared with the transmittance ones and a good agreement is observed.
This work has been partially supported by UNAM-DGAPA-PAPIIT-IN114916. Computations were performed at Miztli of DGTIC-UNAM.
[1] J. D. Joannopoulos, et al., Photonic Crystals: Molding the Flow of Light, 2nd Ed. (Princeton University Press, New York, 2008).
[2] F. Sanchez, V. Sanchez, and C. Wang, J. Non-Cryst. Solids 450, 194 (2016).
[3] A. Palavicini and C. Wang, Optics and Photonics Journal 3, 20 (2013).
[4] E. Maciá, Rep. Prog. Phys. 75, 036502 (2012).
[5] M. D. Segall, et al., J. Phys.: Condens. Matter 14, 2717 (2002).
8:00 PM - EM04.09.08
Structures and Energetics of Faceted Inversion Domain Boundaries in GaN and AlN Doped with Mg
Toru Akiyama 1 , Kohji Nakamura 1 , Tomonori Ito 1
1 Department of Physics Engineering, Mie University, Tsu-shi, Mie, Japan
Show AbstractThe development of light emitting devices in group-III nitride semiconductors needs better understanding of the growth and dopant incorporation. Although Mg is the most commonly used p-type dopant, the understanding of Mg incorporation still remains unclear. It is well known that the incorporation of high levels of Mg leads to the formation of extended defects such as pyramidal defects and an ordered array of Mg-rich basal planes. [1] These pyramidal defects have been identified as inversion domains with boundaries consisting of (000-1) and (11-23) planes. [2] The relationship between dopant concentrations and the formation of inversion domains have also been studied on the basis of transmission electron microscopy. [3] However, little is known about the structures and stability of inversion domains from theoretical viewpoints. In our previous study, we have recently developed an ab initio-based approach to calculate absolute interface energies of polar orientations on the basis of the method using slab models with polar heterojunctions between two different materials. [4] This approach is successfully applied to clarify the stability and polarity of various heterostructures. In this study, we extend our approach to determine the structures and stability of inversion domain boundaries (IDBs) in GaN and AlN with Mg to clarify the effects of Mg on the formation of inversion domains.
The calculations of absolute interface energies for GaN and AlN demonstrate that the energies of both (000-1) and (11-23) IDBs are reduces significantly by replacing some of cations (Al/Ga atoms) at the boundaries by Mg atoms. Furthermore, the calculated absolute interface energies of (000-1) and (11-23) IDBs with Mg becomes negative over the wide range of Al chemical potentials, indicating that a large amount of Mg atoms induces the formation of IDBs. The analysis of electronic band structures also reveals that the stabilization of IDBs induced by Mg atoms can be understood in terms of the electron counting rule. These results suggest that the interplay of chemical bonding and charge neutrality at the interface is crucial for IDBs with Mg in GaN and AlN.
Acknowledgements:
This work was supported in part by Grant-in-Aid for Scientific Research (JPJ17K05056, JP16K04962, and No. JP16H06418) from the JSPS and by CREST-JST (JPMJCR16N2).
References:
[1] Z. Liliental-Weber et al., Appl. Phys. Lett. 75, 4159 (1999).
[2] P. Vennegues et al., Appl. Phys. Lett. 77, 880 (2000).
[3] R. Liu et al., Mater. Res. Soc. Symp. Proc. 798, 765 (2004).
[4] T. Akiyama et al., Phys. Rev. B 94, 115302 (2016).
8:00 PM - EM04.09.09
Drastic Enhancement of GaN Growth Rate by Halogen-Free Vapor Phase Epitaxy with Porosity-Controlled Gallium Evaporator
Daisuke Nakamura 1 , Taishi Kimura 1
1 , Toyota Central R&D Labs Inc, Nagakute Japan
Show AbstractAlthough GaN has been considered as a successor of Si semiconductor material especially for high power devices, bulk growth techniques to supply high-quality GaN wafers with large diameters at low costs are still not established, which is considered as one of the biggest key issues to overcome for feasible vertical high-power GaN devices. We have recently proposed a novel gas-phase growth technique, halogen-free vapor phase epitaxy (HF-VPE), to potentially fix the issue. The HF-VPE employs the simplest reaction of Ga(g) + NH3 → GaN(s) +3/2H2, which assures longer growth duration to obtain thicker GaN layers thanks to absence of adduct formation in the HF-VPE (in contrast to ammonium ash generation in hydride vapor phase epitaxy).
In our previous report, the HF-VPE has realized high-rate growth of GaN up to 200 μm/h. The Ga source crucible temperature to achieve the growth rate was over 1590 K, which is a quite severe condition to reactor materials (crucibles, thermal insulators, and quartz components). This high temperature of Ga source crucible was mainly attributed to low evaporation efficiency of Ga (< 30%). In general, parameters to enhance evaporation rate are increasing temperature and/or decreasing process pressure. However, the increase/decrease of these parameters is limited to some extent both in terms of reliability/durability of reactor components and controllability of growth conditions. Therefore, we focused attention on another parameter, i.e. surface area of molten Ga source which must closely relate to the evaporation rate.
In this study, we introduced an additional component of “evaporator”, which is porosity-controlled TaC ceramics, in order to drastically increase the surface area of molten Ga source (~5 times), and explored the enhancement of Ga supply (3–5 times) and accompanying the enhancement of GaN growth rate (> 500 μm/h) at relatively moderate (realistic) source temperatures. The mechanism of Ga supply and true evaporation efficiency of Ga will be also discussed.
8:00 PM - EM04.09.10
Preparation and Characterization of Chip-Level Flip-Chip White LED Based on InP/ZnS Quantum Dots Excitation Technology
Bingfeng Fan 1 2 , Linchao Yan 3 , Gang Wang 3
1 Institute of Advanced Technology, Sun Yat-sen Univiersity, Guangzhou, Guangdong, China, 2 Device Research and Development Department, Foshan Institute of Sun Yat-sen University, Foshan China, 3 School of Electronics and Information Technology, Sun Yat-sen University, Guangzhou, Guangdong, China
Show AbstractIn recent years, light emitting diode (LED) because of its high brightness, long life, small size, low power consumption to develop rapidly. Especially white LED, a new type of green and environmental friendly device, has great potential to replace the traditional fluorescent lamp lighting. However, due to the impact of market demand and reform of packaging technology, LED packaging industry is gradually developing towards multi-chip integration, high reliability and miniaturization. Combined with cost considerations, chip scale packaging (CSP) will become the future development trend of LED packaging industry. Because of the impact of phosphor, the current CSP technology not only cannot be made smaller, but also limits the white light quality, so people are committed to look for a new light color conversion materials. Among them, Quantum dot(QDs) have shown great potential in next generation lighting, and are excellent color converters because of their color tunability, narrow emission wavelength, and high luminescence efficiency. Numerous types of QDs have been reported, with their emission wavelengths spanning the entire visible spectrum, and extending into the near infrared and near ultraviolet (UV) regions. White light generated by QD excitation has received much recent research attention. This has led to much research on the preparation of white QD-LEDs by the dispense process, in which the phosphor is replaced with QDs. This is similar to preparation of conventional phosphor-converting WLEDs. QD-excited WLEDs have higher CRI and better color stabilities than conventional phosphor-converting WLEDs. The size of the QD is generally 1-10 nm, which is much smaller than the particle diameter of the phosphor. This provides QDs with the possibility of WLED device chip-scale integration.
In this letter, we reported a method for preparing a quantum dot (QD)-white light-emitting diode (WLED) . Holes were etched in the SiO2 layer deposited on the sapphire substrate of flip-chip LED by inductively-coupled plasma, and these holes were then filled with QDs. An ultraviolet-curable resin was then spin-coated on top of the QD-containing SiO2 layer, and the resin was cured to act as a protecting layer. The reflective sidewall structure minimized sidelight leakage. The fabrication of the QD-WLED is simple in preparation and compatible with traditional LED processes, which was the minimum size of WLED chip-scale integrated package. InP/ZnS core-shell QDs were used as the converter in the WLED. A blue light-emitting diode with flip-chip structure was used as the excitation source. The QD-WLED exhibited color temperatures from 5900 to 6400 K, and CIE (Commission Internationale de l'Elcairage) color coordinates from (0.315, 0.325) to (0.325, 0.317), under drive currents from 100 to 400 mA. The QD-WLED exhibited stable optoelectronic properties.
8:00 PM - EM04.09.11
Enhanced Light Output of AlGaN-Based Near-Ultraviolet Light-Emitting Diodes with Highly Reflective Backside Ta2O5/SiO2 Hybrid Distributed Bragg Reflector
Bingfeng Fan 1 2 , Yanfei Ma 3 , Gang Wang 3
1 Institute of Advanced Technology, Sun Yat-sen University, Guangzhou, Guangdong, China, 2 Device and Equipment Research and Development Department, Foshan Institute of Sun Yat-sen University, Foshan China, 3 School of Electronics and Information Technology, Sun Yat-sen University, Guangzhou, Guangdong, China
Show AbstractNitride-based wide bandgap semiconductors are widely used in electronic and opto-electronic devices such as light-emitting diodes (LEDs), laser diodes and photodetectors. Over the last decade, AlGaN-based near-ultraviolet (near-UV) LEDs with operating wavelengths ranging from 365 nm to 410 nm have drawn increasing attention because of applications that include UV curing of dyes, solid-state lighting, laser security, and medical sterilization. Most applications require UV LEDs to provide the highest possible optical power density. However, the current performance of near-UV LEDs, particularly at the wavelength of 365 nm, is still too low for many applications because of the poor crystal quality and low hole density of AlGaN. Consequently, it is necessary to improve the optical efficiency of near-UV LEDs to be as high as possible. Addition of a backside reflector beneath the substrate to reflect photons upward is considered to be an effective method to increase the light output power.
Various reflector mirrors have therefore been developed to date, including metallic mirrors, omni-directional reflector (ODR), and distributed Bragg reflectors (DBRs), and have been applied to a variety of visible light LEDs to enhance their optical efficiencies. In UV LED applications, aluminum is usually used to form metallic mirrors with reflectance of approximately 90%. As an alternative, in addition to their stability against ambient humidity and oxidization, the reflectance of DBRs is typically as high as 99%. DBRs are thus considered promising for use in UV LED applications. In visible light-type opto-electronic devices, TiO2 is commonly used as the high refractive index material. Traditional TiO2/SiO2 DBRs can achieve reflectance values as high as 99%. However, because of its small 3.3 eV bandgap, TiO2 cannot be used in DBRs at UV wavelengths. Recently, Ta2O5, which has a refractive index of 2.3, has been used as a high refractive index material for DBRs in the GaN-based vertical-cavity surface emitting laser (VCSEL). Given that the Ta2O5 bandgap is as wide as 4.1 eV, Ta2O5-based DBRs can be expected work efficiently at UV wavelengths.
In this work, we designed and fabricated AlGaN-based near-ultraviolet (UV) light-emitting diodes (LEDs) operating at 368 nm with high output power using Ta2O5/SiO2 DBRs. The output powers of LEDs with eight-pair DBR and hybrid-DBR configurations were increased by 18% and 25% when compared with that of an LED without any DBR. The reflectance of the eight-pair DBR and hybrid-DBR were as high as 99%. Additionally, the reflectance of the fabricated hybrid-DBR showed a slight dependence on the incident light wavelength and the angle of incidence. The bandwidth of the hybrid-DBR’s reflectance band was broadened by up to 74 nm. Under current injection at 350 mA, output powers of 88, 104, and 110 mW were measured for LEDs without any DBR reflector, with the eight-pair DBR, and with the hybrid-DBR, respectively.
8:00 PM - EM04.09.13
Growth of Ga2-xSnxO3 Films by Mist Chemical Vapor Deposition
Kenichiro Rikitake 1 , Takuya Kobayashi 1 , Tomohiro Yamaguchi 1 , Takeyoshi Onuma 1 , Tohru Honda 1
1 , Kogakuin University, Tokyo Japan
Show AbstractUltraviolet (UV) photodetectors with detection wavelength range below 280 nm have potential applications such as flame detection, sterilization and medical cure. Most of researches have been carried out for high-Al-content AlGaN-based UV photodetectors [1] against their difficulties in epitaxial growth. We have been focusing on corundum-structured gallium oxide (α-Ga2O3) as an alternative material. The α-Ga2O3 has a wide bandgap energy of 5.3 eV, and bandgap engineering of α-Ga2O3 is possible by alloying with Al or In. Preferential control of their compositions allows us to fabricate corundum-structured heterostructures [2].
Mist CVD is a solution-based growth technique using a simple system configuration with low cost, low environmental road, and it can be performed even under atmospheric pressure [3]. The growths of α-Ga2-xInxO3 and α-AlxGa2-xO3 alloy films by mist CVD have been reported [4]. Sn can be used as not only a dopant element but also an alloying element. The growth of Sn-doped α-Ga2O3 films by mist CVD has been reported [5]. On the other hand, there are no reports on the growth of Ga2-xSnxO3 alloy films by this method. In this study, Ga2-xSnxO3 films were grown on c-plane sapphire substrates by mist CVD.
Gallium acetylacetonate and tin(II) chloride dihydrate were used as source materials for these growths which were solved in deionized water with a small amount of hydrochloric acid. Concentrations of Sn in solution were varied between 0 and 30 mol%.
The solid composition of Sn into Ga2-xSnxO3 was determined by energy dispersive X-ray spectroscopy (EDX). It was found from the results of EDX that the solid composition of Sn increased with increasing input Sn/(Sn+Ga) ratio in solution. This indicates that the solid composition can be controlled by using appropriate input Sn/(Sn+Ga) ratio in solution. The bandgap energy was estimated from (αhν)2-hν plots using absorption coefficient a, which was investigated by optical transmittance spectra. The bandgap energy of Ga2-xSnxO3 films decreased with increasing solid composition of Sn. This suggests that the films are in alloying. However, these alloy films were with high resistivity.
The authors would like thank Prof. Fujita, Dr. Kaneko and Mr. Uchida of Kyoto University, and Prof. Sato and Dr. Nagai of Kogakuin University for their help with the experiments. This work was supported in part by Grant-in-Aid for Scientific Research on Innovative Areas (No. 16H06417) from the Japan Society for the Promotion of Science and the Cooperative Research Program of “Network Joint Research Center for Materials and Devices”.
[1] A. Yoshikawa et al., Jpn. J. Appl. Phys., 55, 05FJ04 (2016).
[2] K. Kaneko et al., J. Soc. Mater. Sci. Jpn., 65, 631 (2016) [in Japanese].
[3] T. Kawaharamura et al., J. Soc. Mater. Sci. Jpn., 55, 153 (2006) [in Japanese].
[4] S. Fujita and K. Kaneko, J. Cryst. Growth, 401, 588 (2014).
[5] K. Akaiwa and S. Fujita, Jpn. J. Appl. Phys., 51, 070203 (2012).
8:00 PM - EM04.09.14
Fabrication of Corundum-Structured P-Type α-Ir2O3 Thin Films on α-Ga2O3 Layers
Shinichi Kan 3 , Shu Takemoto 3 , Kentaro Kaneko 1 3 , Toshimi Hitora 2 , Shizuo Fujita 1 3
3 Department of Electronic Science and Engineering, Kyoto University, Kyoto Japan, 1 Photonics and Electronics Science and Engineering Center, Kyoto University, Kyoto Japan, 2 , FLOSFIA Inc., Kyoto Japan
Show AbstractRecently, gallium oxide (α-Ga2O3) has been gaining attentions as a future power device material because it has a larger energy bandgap compared to silicon carbide or gallium nitride. Although several studies on n-type gallium oxide unipolar devices have extensively been reported, it is very difficult to prepare p-type gallium oxide and fabricate homo p-n junction, which encourages evolution of new materials that can form high-quality heterojunctions with gallium oxide.
In this work, as a candidate material showing p-type conductivity we demonstrate high potentials of corundum-structured iridium oxide (α-Ir2O3) crystals. It was reported that polycrystalline α-Ir2O3 showed p-type conductivity by Seebeck effect measurements [1]. In 2016, our group accomplished the fabrication of single-phased α-Ir2O3 thin films on sapphire substrates with clear p-type conductivities [2]. For improving of the crystallinity, we introduced an α-Ga2O3 crystal layer as a buffer layer beneath an α-Ir2O3 crystal layer, aiming at forming high-quality α-Ir2O3 layers owing to very small lattice mismatch between α-Ir2O3 and α-Ga2O3.
α-Ir2O3/α-Ga2O3 heterostructures were prepared by mist chemical vapor deposition technique which has been developed in our laboratory. α-Ga2O3 thin films which have no conductivity were grown on c-plane sapphire substrates at 500 °C and the film thickness was approximately 400 nm. α-Ir2O3 thin films were fabricated on α-Ga2O3 thin films and the growth temperatures were settled as 400 to 600 °C being varied by 50 °C.
According to the X-ray diffraction (XRD) profiles of obtained α-Ir2O3 thin films on α-Ga2O3 crystal layers fabricated on c-plane sapphire substrates, XRD peaks derived from α-Ir2O3 thin films were confirmed at the same position in all samples and the crystallinity of α-Ir2O3 films were greatly improved compared to α-Ir2O3 thin films fabricated on sapphire substrate. However, thickness of α-Ir2O3 thin films were as small as 20 nm. Hall effect measurements were conducted on α-Ir2O3/α-Ga2O3 heterostructures by van der Pauw method. The sample grown under 500 °C indicated p-type conductivity. The detail of crystal-structure and electrical properties will be present at the meeting.
References:
[1] W.H. Chung, et al , Surf. Scinece. 606, 1965 (2012)
[2] K. Kaneko et al.,77th Fall Meeting 2016, Jap.Soci.Appl. Phys.15a-A22-11.
Acknowledgements
This work is supported by Kenjiro Takayanagi Foundation.
8:00 PM - EM04.09.15
Doping Mg into AlN Thin Film to Enhance Its Piezoelectric Response
Sri Ayu Anggraini 1 , Masato Uehara 1 , Hiroshi Yamada 1 , Morito Akiyama 1
1 , AIST, Fukuoka Japan
Show AbstractAluminum nitride (AlN) is a wide band gap material that possesses excellent thermal and chemical stabilities. The acoustic and piezoelectric properties of AlN have been exploited predominantly for devices such as bandpass filters for mobile communication devices. With the continuously expanding market for telecommunication device, demand for devices with more advanced specification have inspired constant improvements in the performance of AlN as the widely used piezoelectric material. The piezoelectric response (d33) of AlN has been reported to be largely augmented by doping scandium (Sc) [1]. While the addition of 40 at. % Sc could bring a nearly five times greater piezoelectric response of AlN, the high cost of Sc has often become a drawback for the wider commercialization of Sc-doped-AlN. This then motivate countless efforts in finding lower cost dopant that can substitute Sc. Iwazaki et al propose a series of Mg-based potential dopants that was estimated to capable of generating a comparable improvement in the piezoelectric response of AlN as Sc does [2]. However, the effect of Mg addition on the piezoelectric properties of AlN has yet to be reported. In this study, we have investigated the effect of Mg as a single dopant on the piezoelectric response of AlN.
All the AlN-based thin films were deposited on the surface of Si (100) wafers via a RF magnetron sputtering system. The piezoelectric responses of the thin films were examined by using a piezometer system (Piezotest PM300, UK). Initially, AlN thin film was fabricated and the piezoelectric response was examined. The piezoelectric response was found to be approximately 6.9 pC/N. Following this, different concentrations of Mg were doped into AlN thin films which was done by altering the power of Mg target during sputtering process. When the Mg addition was approximately 2.5 at.%, the piezoelectric response was found to be slightly enhanced. However, the piezoelectric response was decreased with further increase in Mg concentration (> 2.5 at.%). Aside from the piezoelectric response, capacitance and relative permittivity of the obtained thin films were also affected by the change of Mg addition. From XRD investigation, different concentrations of Mg dopant were found to influence the position of the (002) peak, which suggest the change in lattice parameter of the obtained Mg-doped-AlN thin films. Changes of Mg addition have evidently altered the resulting lattice parameter which in turn affect the piezoelectric response as well as other examined electronic properties.
References:
[1] M. Akiyama, T. Kamohara, K. Kano, A. Teshinaga, Y. Takeuchi, N. Kawahara, Adv. Mater., 21, 593-596 (2009).
[2] Y. Iwazaki, T. Yokoyama, T. Nishihara, M. Ueda, Appl. Phys. Express, 8, 061501 (2015).
8:00 PM - EM04.09.16
Residual Stress Analysis during Surface Process of Single Sapphire Wafer by HRXRD
In-young Jung 2 1 , Seungwoo Song 1 , Minhyuk Choi 1 , Jaesuk Kim 1 , Eun Kyu Kim 2 , Chang Soo Kim 1
2 Physics, Hanyang University, Daejeon Korea (the Republic of), 1 Division of Industrial Metrology, Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of)
Show AbstractIn wide-band gap (WBG) semiconductor, owing to chemical compatibility and stability at high temperatures, a single crystal sapphire is widely used a substrate for an III-V epitaxial film such as AlN, GaN and BN for optoelectronics; ultraviolet or blue light-emitting diode(LED) and lasers. Also, it is used for growing WBG ZnO nanowires of miniaturized laser; the good epitaxial interface between sapphire and ZnO nanowires because of anisotropy of the sapphire. In order to make a sapphire wafer, several surface processes are usually used; a sapphire ingot is sliced to a wafer with a thickness of interest and then it is further processed via annealing, lapping and polishing processes. These surface processes, however, can introduce residual stress at the surfaces of the wafer, which is known to have a harmful effect on bow and epi-layer quality. For this reason, it is essential to accurately measure residual stress developed at the surfaces of wafer and how it varies with individual processes. In this study, we attempted to evaluate residual stress by measuring the lattice constant of sapphire wafer via high-resolution X-ray diffractometry (HRXRD), when each surface processes is done.
For evident and simple analysis, we define the residual stress of sapphire as a difference on both side lattice constant and Young`s modulus. To analyze a residual stress at the surface of sapphire wafer, we carefully measure lattice constant of
-axis using the (108) and (006) lattice planes. Next step is comparison lattice constant of surface treated sapphire with that of bulk sapphire to evaluate effect of the residual stress developed by surface treatment. The lattice constant became severely shrinked when the wafer is just sliced developing serious bow effect. And the lattice constant is partially relaxed to that of bulk after annealing process accompanying with a healing of a bow at the surface. Here we also observed additional stress was induced by surface polishing process with reoccurrence of a bow. Although a bow was removed by both side polishing, it is not effect from a healing of the residual stress but a balancing of each surface stress at both side showing less relaxation of lattice constant. 8:00 PM - EM04.09.17
Characteristics of Tin Dioxide Thin Film by Varying Ozone Injection Time in Atomic Layer Deposition for Transparent Conducting Oxide
Hyunwoo Park 1 , Seokyoon Shin 1 , Giyul Ham 1 , Hyeongsu Choi 1 , Namgue Lee 1 , Sejin Kwon 1 , Minwook Bang 1 , Hyeongtag Jeon 1
1 , Hanyang University, Seoul Korea (the Republic of)
Show AbstractAmong binary transparent conducting metal oxides, tin dioxide (SnO2) is an interesting n-type semiconductor with rutile structure. It has widely studied as transparent electrodes, photovoltaics and gas sensors due to its stable wide band gap, high transmittance and conductivity. In particular, SnO2 has the advantage of its chemical composition in thin film deposition that comparatively is easier to handle than ternary compounds or multicomponent oxide and stability with water because of high polarizability. Furthermore, it is inexpensive raw materials and it is also possible to deposit at low temperature. The SnO2 has been studied by various techniques such as spray pyrolysis, sputtering, sol-gel process and gas phase methods like chemical vapor deposition (CVD) and atomic layer deposition (ALD). However, sol-gel process and spray pyrolysis have some difficulties in depositing large area and CVD process was performed at relatively high temperature. On the contrary, ALD is a potential solution to overcome these problems of conventional techniques because it is based on its outstanding controllable thickness, sequential system and self-limiting reaction.
In this work, we investigated the effect of ozone (O3) concentration and injection time on the electrical, optical and physical properties of SnO2 thin films by ALD at 200 °C. SnO2 thin films with a thickness of 50 nm were deposited with TDMASn and O3 as a tin and oxygen sources, respectively. Ozone concentration was varied from 100 to 400 g/m3 and its injection time was set from 1 to 40 seconds. The crystallinity was analyzed by X-ray diffractormeter (XRD). With the increment of O3 injection time and concentration, SnO2 (110) peak intensity and width increased. This result means SnO2 thin films crystallinity was improved. Also, electrical properties of SnO2 is slightly improved as O3 concentration increasing. We will also characterize the density, composition and impurity level of SnO2 thin films by analyzing X-ray reflectivity measurement (XRR), and Rutherford backscattering spectroscopy (RBS) and Auger electron spectroscopy (AES). According to these results, we will analyze UV-visible and I-V measurement to get band-gap and resistivity. We will present more results in the meeting and will discuss SnO2 application areas.
8:00 PM - EM04.09.18
Crystal Structure Control in Epitaxial Growth of In2O3 by Mist CVD
Takuya Kobayashi 1 , Tomohiro Yamaguchi 1 , Takeyoshi Onuma 1 , Tohru Honda 1
1 , Kogakuin University, Tokyo Japan
Show AbstractIn2O3 is one of important transparent conducting oxide materials, being a highly conductive and transparent to visible light, in optoelectronic devices [1]. In2O3 is known to have two types of bixbite cubic (cubic-In2O3) and corundum (α-In2O3) structures. The control of crystal structures makes it possible to create the fabrication of new device structures such as metal–oxide–semiconductor field-effect transistors of In2O3 [2].
In this study, In2O3 films were grown on various substrates, such as (0001)α-Al2O3, (0001)GaN/α-Al2O3, (111)YSZ and (001)YSZ with the aim of controlling crystal structures in the epitaxial growth of In2O3 by mist chemical vapor deposition (mist CVD). Mist CVD is a solution-based growth technique using a simple system configuration with low cost and low environmental pollution and can be performed even under atmospheric pressure. This is a suitable technique for growing metal oxide films [3].
Indium acetylacetonates were used as source materials for these growths. The acetylacetonates were solved in deionized water with a small amount of hydrochloric acid. The solution was atomized using an ultrasonic transducer at 2.4 MHz and the formed aerosols were transferred to a quartz furnace using a carrier gas. In2O3 films were then grown on various substrates set in the furnace. The crystal and electrical characteristics of these In2O3 films were investigated.
The polycrystals including (111)-oriented and (001)-oriented cubic-In2O3 as well as (0001)-oriented α-In2O3 were grown on (0001)α-Al2O3. In the sample grown on (0001)GaN/α-Al2O3 and (111)YSZ, the (001)-oriented cubic-In2O3 and (0001)-oriented α-In2O3 phases were well suppressed. Single crystalline (111)-oriented cubic-In2O3 films without twin structure were grown on (111)YSZ, although twin structure was confirmed in the sample grown on (0001)GaN/α-Al2O3. In the samples grown on (001)YSZ, single crystalline (001)-oriented cubic-In2O3 was also obtained.
The typical carrier concentration and electron mobility of these films were 5-9Χ1018 /cm3 and 70-90 cm2/Vs, respectively. There are no clear different results in these samples independent on the control of crystal structure.
The authors would like thank Prof. Fujita and Dr. Kaneko of Kyoto University and Prof. Sato and Dr. Nagai of Kogakuin University. This work was supported in part by Grant-in-Aid for Scientific Research on Innovative Areas (No. 16H06417) from the Japan Society for the Promotion of Science.
[1] O. Bierwagen and J. S. Speck, Appl. Phys. Lett. 97, 072103 (2010).
[2] K. Kaneko et al., Appl. Phys. Express 8, 095503 (2015).
[3] T. Kawaharamura, Dr. Thesis, Kyoto University (2008).
8:00 PM - EM04.09.19
AlGaN/GaN HEMTs with a 2DHG Back Gate Control
Wei-Tse Lin 1 , Wen-Chia Liao 1 , Chia-Wei Hsu 1 , Yi-Nan Zhong 1 , Yue-Ming Hsin 1
1 , National Central University, Jhongli Taiwan
Show AbstractAlGaN/GaN HEMTs have been shown to have low on-resistance and high breakdown characteristics because of the high electron mobility and high breakdown field characteristics. Because of polarization effect, a two-dimensional electron gas (2DEG) can be formed at the interface of the AlGaN and GaN layers for being a channel in AlGaN/GaN HEMTs. It is possible to form an additional two-dimensional hole gas (2DHG) underneath the 2DEG channel by epitaxial layer design for being a bask-gate control. It is similar to the back gate bias (VBG) method of threshold voltage control for the design of CMOS logic circuit.
In the proposed device structure, the front barrier layer is a 20-nm Al0.3Ga0.7N on top of a 30-nm GaN channel layer. A 2DEG is formed at the interface of the Al0.3Ga0.7N and GaN layer as in a conventional AlGaN/GaN HEMT. Moreover, two different Al-mole fraction layers are implemented under GaN channel to form a 2DHG between GaN and Al0.3Ga0.7N layers. A SILVACO Atlas device simulator was used to design and simulate 2D device. The simulated peak concentrations of 2DEG and 2DHG are 6.8E19 and 7.3E19 cm-3, respectively, at thermal equilibrium. It is clear observed that device is a depletion-mode HEMT at VBG = 0V, but can be an enhancement-mode operation while VBG = -2.5V, though the maximum current is reduced.
The epitaxial layers were grown on sapphire by MOCVD and supplied by NTT-Advanced Technology Corporation. The ohmic contact to 2DHG would be an issue. Dry etching by BCl3 and Cl2 mixed gas was used for back gate and mesa isolation. The source and drain metal was Ti/Al/Ti/Au, and it was first evaporated using E-beam evaporation and annealed at 850C for 45-s. The back gate metal was Ni/Au and annealed at 500C for 5-min in O2 ambient. The front gate metal was obtained by evaporating a Ni/Ti/Al/Ti/Au stack. From the experimental data, it is clearly seen the off-state current is dominated by gate leakage current, and no significant difference from different back-gate bias conditions. For the fabricated device, the back gate contact may not really connect with 2DHG and function of back gate control is limited. However, the breakdown characteristics show the difference between two back gate bias conditions.
A new AlGaN/GaN HEMT with back gate control from 2DHG is proposed. As usually it is difficult to make a good contact to p-GaN not to mention a thin 2DHG. Although it is complicate to fabricate a real device by epitaxial layer design with a good p-type contact to 2DHG, but the fabricated device showed the influence of back gate. The basic idea was implemented by device simulation and demonstrated the great potential of AlGaN/GaN layer design in 2DEG and 2DHG, which can be further extended to have n-channel and p-channel HEMTs on the same wafer for circuit applications.
8:00 PM - EM04.09.20
AlGaN/GaN MIS-HEMTs with a p-GaN Cap Layer
Che-Ching Hsu 1 , Pei-Chien Shen 1 , Yue-Ming Hsin 1
1 , National Central University, Taoyuan City Taiwan
Show AbstractGaN based materials have been considered as promising materials for power electronics. It is possible to replace Si in the position of power switching devices due to high breakdown electric field and high electron mobility characteristics, which result in high breakdown voltage and low on-resistance. Although GaN based devices are promising, these devices have surface-related problems which cause gate leakage and current collapse phenomenon. The use of a gate dielectric in MIS-HEMTs could significantly suppress gate leakage current and enlarge gate voltage swing. Therefore, AlGaN/GaN MIS-HEMTs have demonstrated excellent performance for high-efficiency power switching applications. In this paper, a thin p-GaN layer was used as cap layer in AlGaN/GaN HEMT and Al2O3 was utilized as gate dielectric for MIS structure. Moreover, devices with GaN cap thickness of 5-nm and 8-nm were fabricated, characterized and compared.
The epitaxial layers were grown by MOCVD comprising a ~3900-nm buffer layer, a 300-nm GaN channel layer, a 1-nm AlN spacer layer, a 20-nm AlGaN barrier and a p-GaN cap layer. Two different thicknesses (5- and 8-nm) with Mg doping concentration of 3E19 cm-3 in the p-GaN cap layer were investigated in this study. Before device fabricating, samples were activated in nitrogen enviroment at 700°C for 15 minutes. The purpose of activation is to activate Mg dopant in the p-GaN cap layer. After activation, device isolation was implemented by ICP etching down to the buffer layer. The ohmic contact was made by the deposition of Ti/Al/Ni/Au (25/125/45/55 nm) then annealed by RTA at 875 °C in a N2 environment for 40 s. The gate dielectric Al2O3 was deposited at 250 °C by ALD, then annealed by RTA at 450 °C for 1 min in a N2 environment. Ni/Ti/Al/Ti/Au (30/25/250/25/200 nm) metal stack was used to form gate metal contact. Finally, the devices were passivated by a 200-nm SiN through PECVD.
Devices with different thickness of p-GaN cap layers showed the similar on-state current (ION) but significantly different off-state current (IOFF) due to different gate leakage current. AlGaN/GaN MIS-HEMT with an 8-nm p-GaN cap layer showed a low leakage current (~10-7 mA/mm). Therefore, a high ION/IOFF current ratio of ~109 was achieved in device with an 8-nm p-GaN cap layer. The measured breakdown characteristics indicated devices with different thickness of p-GaN cap layers have almost the same breakdown voltages of ~500 V, but the one with an 8-nm p-GaN cap layer showed lower leakage before onset of breakdown. Additionally, a smaller hysteresis in the ID-VGS characteristics was observed from device with an 8-nm p-GaN cap layer. The extracted Dit in MOS capacitor from structure with an 8-nm p-GaN cap layer showed lower values than the one with a 5-nm p-GaN cap layer. The usage of p-GaN cap layer and gate dielectric Al2O3 are a prospective structure in AlGaN/GaN MIS-HEMTs.
8:00 PM - EM04.09.21
Fabrication of Nitride Thin Films on Si Substrates by Atomic Layer Deposition Technique
Shumpei Ogawa 1 , Tatsuya Kuroda 1 , Ryuga Koike 1 , Hiroki Ishizaki 1
1 , Saitama Institute of Technology, Fukaya Japan
Show AbstractRecently, Plasma Assisted Atomic Layer Deposition Technique will easily control the thickness and the composition of semiconductor films. The radical generated by using the plasma techniques, gave the decrease of the defect into the semiconductor films. In this investigation, the relationship between microwave plasma power, nitrogen gas flow rate and concentration of generated nitrogen radical, was evaluated. At the first, Plasma emission spectrum at microwave plasma output (0 to 400W) was measured using a mixed 200sccm argon gas and 10sccm nitrogen gas. Next, the plasma emission spectrum was measured in the mixing of nitrogen gas flow rate (0 to 40sccm) with 200sccm argon gas flow rate. At that time, the microwave plasma output was set to 200W. Nitrogen radical spectrum were identified from all the emission spectrum, and the nitrogen radical intensity was calculated. As a result, the nitrogen radical intensity became the largest at 200sccm argon gas flow rate and 10sccm nitrogen gas flow rate. In addition, the nitrogen radical intensity increased in proportion to the microwave plasma output. The concentration of generated nitrogen radical could be controlled by changing the microwave plasma output and the nitrogen gas flow rate. Mentioned above, nitride thin films on Si Substrates by microwave generated remote plasma assisted atomic layer deposition technique will be discussed in detail.
8:00 PM - EM04.09.22
Wide Band Gap p-CuI/n-BaSnO3-δ Heterojunctions with a High Current Rectification Ratio
Jeong Hyuk Lee 1 , Woong-Jhae Lee 1 , Tai Hoon Kim 1 , Takhee Lee 2 , Seunghun Hong 2 , Kee Hoon Kim 1 2
1 , Center for Novel States of Complex Materials Research, Department of Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of), 2 , Institute of Applied Physics, Department of Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of)
Show AbstractWide band gap p-CuI/n-BaSnO3-δ heterojunction diodes were successfully fabricated by thermal evaporation of an (111) oriented CuI film on top of an epitaxial BaSnO3-δ(001) film grown by pulsed laser deposition. Upon thickness of the CuI film being increased from 30 to 400 nm, hole carrier density was systematically reduced from 6.0 × 1019 to 1.0 × 1019 cm-3, and the corresponding current rectification ratio of the pn diode was proportionally enhanced from ~10 to ~106. An energy band diagram exhibiting the type-II band alignment is proposed to describe the heterojunction diode. A variation of a built-in potential caused by the hole carrier density change in the CuI film is attributed to the thickness-dependent rectification ratio. The best performing p-CuI/n-BaSnO3-δ diode exhibited a high current rectification ratio of 6.75 × 105 at ±2 V and an ideality factor of ~1.5 which indicates the well-defined junction interface.
8:00 PM - EM04.09.23
Impact of Indium Distribution in Quantum Wells on Efficiency Droop of InGaN Light Emitting Diodes
Sarah Goodman 1 , Akshay Singh 1 , Zhibo Zhao 1 , Dong Su 2 , Kim Kisslinger 2 , Rob Armitage 3 , Isaac Wildeson 3 , Parijat Deb 3 , Eric Stach 2 , Silvija Gradecak 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States, 3 , LumiLEDs, San Jose, California, United States
Show AbstractIndium gallium nitride/ gallium nitride (InGaN/GaN) quantum well (QW) LEDs have high quantum efficiencies and are the cornerstone of modern lighting solutions. They are promising for applications in full-color displays due to the ability to tune emission wavelength by controlling indium content in the active layers. However, InGaN LEDs suffer from efficiency droop, in which the quantum efficiency peaks at low injection currents and subsequently decreases monotonically with increasing current. To further these practical sources of lighting on a larger scale, the high-current efficiency of InGaN LEDs must be dramatically improved.
We employ high-resolution structural characterization techniques to study a range of droop minimizing device designs with variations in the active region structural parameters and composition. Non-uniformity of indium composition within the QWs is one important factor that has been previously suggested to contribute to efficiency droop.1 We use electron energy-loss spectroscopy (EELS) in a scanning transmission electron microscope (STEM) to characterize the composition and spatial extent of indium in different LED designs with varying performance characteristics. STEM-EELS enables sub-nanometer-scale mapping of electronic excitations that correspond to the atomic composition of the lattice, and allows us to determine the magnitude and length scale of indium compositional fluctuations.
The use of low accelerating voltages (80 or 120 kV) in the STEM ensures investigation of intrinsic materials properties, rather than imaging artifacts. Both low-loss and core-loss excitations in the EELS spectra are examined to determine the relative elemental compositions along the quantum well plane and along the growth direction. We also focus on the InGaN/GaN interface quality which can significantly impact device performance. We find statistically significant fluctuations in the intensity of the indium M-edge signal in the quantum well region occurring on a scale of 2 nm. Methods for subtracting the plasmon tail preceding the core-loss region are then compared to demonstrate robust isolation of core-loss peak edges and fine structure. We investigate the correlation between the external quantum efficiency of the devices and the presence of these indium signal fluctuations. Results from this comprehensive experimental analysis provide critical insight into improving growth processes in these coupled quantum well structures and for next generation LEDs.
1. Verzellesi, G.; Saguatti, D.; Meneghini, M.; Bertazzi, F.; Goano, M.; Meneghesso, G.; Zanoni, E. J. Appl. Phys. 2013, 114 (7), 71101.
8:00 PM - EM04.09.24
Proton Irradiation Energy-Dependence of Degradation in AlGaN/GaN MOS-HFETs and Schottky HFETs by Tandem Ion Accelerator
Dongmin Keum 1 , Guhyeok Chung 1 , Hyungtak Kim 1
1 , Hongik University, Seoul, SE, Korea (the Republic of)
Show AbstractExtreme environment electronics is a key technology to further excavate natural resources on the earth and explore the space successfully. In this system, semiconductor devices are important elements because robust reliability should be guaranteed. Radiation-hardness is one of the material properties necessary to carry out the mission under extreme environment such as the space and the nuclear plant. Wide bandgap semiconductors such as GaN and SiC are recently introduced as a strong candidate for harsh environment application. With the recent advancement of GaN epitaxial and process technology, AlGaN/GaN heterostructure field effect transistors (HFETs) demonstrated rapid performance improvement in both high frequency and high power applications and its excellent material properties deliver the potential to endure extreme environments such as high temperature, high radiation, and etc. In this work, proton irradiation was performed on AlGaN/GaN MOS-HFETs and schottky HFETs on GaN-on-Si substrate using tandem hydrogen ion accelerator. Then, we compared the results observed from both devices with different irradiation energy levels. AlGaN/GaN MOS-HFETs and schottky HFETs irradiated at the dose of 5x1014/cm2 with 1, 1.5 and 2 MeV protons showed degradation in DC, transient I-V and C-V characteristics. Degradation characteristics include the positive shift of pinch-off voltage, the increase of on-resistance, and the increase of sheet resistance in TLM and Hall measurement. We also observed energy-dependence of degradation in Vth shift and on-current reduction. The lowest energy irradiation (1MeV) device exhibited the largest Vth shift, on-current, and sheet resistance reduction. Degradation was partially recovered by thermal annealing. The degradation characteristics of the irradiated devices can be linked to the increase of trap density induced by irradiation.
8:00 PM - EM04.09.25
Electrical Characteristics of Normally-off AlGaN/GaN-on-Si Recessed MISHFETs at Cyrogenic Temperatures
Dongmin Keum 1 , Heehyeong Cho 1 , Guhyeok Chung 1 , Hyungtak Kim 1
1 , Hongik University, Seoul Korea (the Republic of)
Show AbstractAlGaN/GaN heterostructure field effect transistors (HFETs) are strong candidate for next-generation power semiconductor device thanks to superior material properties such as wide energy band gap (3.4 eV), high electron saturation mobility (~107 cm/s), etc. High power density can be achieved by GaN electronics and the cooling can enhance the RF performance even more. On the other hand, AlGaN/GaN HFETs can be implemented into extreme environment electronics which should operate in wide range of temperatures. In this work, we fabricated normally-off AlGaN/GaN HFETs on Si substrate using gate recessed metal-insulator-semiconductor (MIS) structure. Conventional normally-on schottky-gate high electron mobility transistors (HEMTs) were also fabricated on the same wafer. Device characterization was performed at 100 K and above and remarkable difference was observed from two types of devices. The transfer and output characteristics of conventional schottky-gate HEMTs exhibited the negative shift of threshold voltage (Vth) and the increase of on-current similarly to the previously reported literatures. However, normally-off AlGaN/GaN gate-recessed MISHFETs demonstrated parameter changes which are the positive shift of Vth and the decrease of on-current.
To investigate the mechanism that caused the discrepancies, we measured transfer line method (TLM) patterns which have non-gated structure and capacitance-voltage (C-V) characteristics. The increase of open channel current and the decrease of contact and sheet resistance were observed and these results supports electrical parameter changes of normally-on schottky-gate HEMTs at cryogenic temperatures. C-V characteristics of gate-recessed MISHFETs showed the decrease of maximum capacitance (Cmax). The reduction of the Cmax indicated the decrease of channel carrier densities. We propose that channel carrier loss adversely affects to the operation of normally-off AlGaN/GaN recessed MISHFETs although carrier transport properties were enhanced at cryogenic temperatures. In-depth investigation is proceeded to clarify the mechanism which resulted in the phenomena observed at cryogenic temperature.
8:00 PM - EM04.09.26
Electrical Characterization of Si-Doped N-Type α-Ga2O3 on Sapphire Substrates
Takayuki Uchida 1 , Kentaro Kaneko 1 , Shizuo Fujita 1
1 , Kyoto University, Kyoto Japan
Show AbstractGallium oxide (Ga2O3) is a promising material for power devices applications. Among five different crystal structures, α, β, γ, δ and ε-phases, we have investigated corundum-structured α-Ga2O3 which has wide bandgap (Eg ≈ 5.3 eV), as well as allows bandgap engineering and function engineering by alloying with other corundum-structured oxides [1,2]. In our device-oriented research on α-Ga2O3, we used a mist chemical vapor deposition (CVD) method as a growth technology which is promising for low-cost devices. For conductivity control, we applied Sn as a donor dopant and showed the n-type conductivity in the range of 1017 to 1019 cm-3 [3]. However, Sn is not always a stable dopant. Instead, Si is expected to be another candidate as a dopant, but we have not found an adequate Si precursor usable in the mist CVD method. Recently we successfully found that chloro-(3-cyanopropyl)-dimethylsilane [ClSi(CH3)2((CH2)3CN)] can be a precursor, and in this presentation we will demonstrate the electrical properties of the Si-doped n-type α-Ga2O3 thin films.
Gallium acetylacetonate [Ga(C5H7O2)3] was used as the Ga precursor. The Ga and Si precursors were dissolved in deionized water with slight addition of HCl. The concentration ratios of [Si]/ [Ga] in the source solution was arbitrarily changed to control the Si donor concentrations in the films.
In the X-ray diffraction 2θ/ω-scanning profiles, only the peaks originating from α-phase was confirmed without noticeable peaks from the β-phase, irrespective of the [Si]/[Ga] ratios employed. The SIMS depth profiles of Si in the α-Ga2O3 thin film with [Si]/[Ga] =0.10% on c-plane sapphire evidenced that 1×1019 atoms/cm3 of Si was doped homogeneously in the depth direction. The AFM images of α-Ga2O3 thin films showed that the RMS roughness was about 2 nm irrespective of the [Si]/ [Ga] ratio. The Si-doped films showed n-type conductivity, evidenced by Hall-effect measurement, with the carrier (electron) densities in the range of 1018 to 1019 cm-3 by changing the [Si]/ [Ga] ratio in the solution. A maximum value of electron mobility was 31.5 cm2/Vs when the electron density was 3×1018 cm-3. It seems that the carrier (electron) scattering is dominated by defects in the α-Ga2O3 thin films, and the detailed mechanisms and efforts to improve the mobility will be continued.
[1] S. Fujita, et. al., Jpn. J. Appl. Phys. 55 (2016) 1202A3
[2] K. Kaneko, et al., J. Appl. Phys. 113 (2013) 233901
[3] K. Akaiwa, et. al., Jpn. J. Appl. Phys. 55 (2016) 1202BA
8:00 PM - EM04.09.27
Deposition of β-Ga2O3 on GaN at Sub-200oC Using a Microwave-Assisted Process
Piyush Jaiswal 1 , Usman Muazzam 1 , Rangarajan Muralidharan 1 , S Shivashankar 1 , Digbijoy Nath 1 , Avanish Mishra 1
1 Centre for Nano Science and Engineering, Indian Institute of Science, Bangalore, Karnataka, India
Show AbstractGrowth of Ga2O3 on III-nitrides is an exciting platform to explore novel device designs, as it can lead to unique approaches to energy band engineering which are otherwise difficult in conventional III-nitrides alone. The large valence band offset between Ga2O3 and GaN, for instance, can be engineered for high-performance optical devices, whereas deep UV devices based on Ga2O3 can be integrated to 2DEG (two-dimensional electron gas) of GaN-HEMT, on which such oxide layers are grown, towards novel devices and circuits. However, most scalable β-Ga2O3 film deposition processes used so far require high substrate temperature, expensive equipment, or hard-to-handle chemical precursors, or all of these. Here we report a novel effort of using a low temperature (< 200°C), solution-based deposition process for obtaining high quality, adherent, smooth, polycrystalline β-Ga2O3 film on GaN surface, rapidly, using safe solvents and a safe, easy-to-handle precursor, namely, gallium acetylacetonate. A commercial, single-mode, sealed-vessel, focused microwave reactor (operating at 2.45 GHz) has been used for deposition to enhance reproducibility. The resulting films are comprised of barely crystalline layer of β-Ga2O3, revealing the signature (111) peak of β-Ga2O3, as examined by XRD. Its transformation into a well-crystallised, polycrystalline layer of β-Ga2O3 takes place upon rapid thermal annealing in oxygen at 950oC (crystallite size 50 – 100 nm). As characterized by SEM and AFM, the morphology of the films (both the as-deposited and the annealed), is found to be quite smooth (Rrms = 4-7 nm), with the film covering the entire 10 mm GaN layer (grown on silicon). As the method reported here is capable of depositing a film conformally on a surface with non-planar geometry and as it does not require a vacuum system, it offers a convenient, low-cost, low-thermal-budget process. The electrical and optical characteristics of the Ga2O3/GaN stack so obtained will be reported.
Symposium Organizers
Robert Kaplar, Sandia National Laboratories
Mitsuru Funato, Kyoto University
Matteo Meneghini, University of Padova
Rachael Myers-Ward, U.S. Naval Research Laboratory
EM04.10: Oxide Materials and Devices I
Session Chairs
Friday AM, December 01, 2017
Hynes, Level 1, Room 101
8:15 AM - *EM04.10.01
Resistivity Optimization of β-Ga2O3 for Power MOSFETs and RF Applications
Gregg Jessen 1 , Kelson Chabak 1 , Andrew Green 2 1 , Neil Moser 3 1 , Antonio Crespo 1 , Stephen Tetlak 1 , Kevin Leedy 1 , Jonathan McCandless 2 1 , Shin Mou 1 , Adam Neal 4 1
1 , Air Force Research Laboratory, Wpafb, Ohio, United States, 2 , KBRwyle, Dayton, Ohio, United States, 3 Electrical and Computer Engineering, George Mason University, Fairfax, Virginia, United States, 4 , Universal Technology Corporation, Dayton, Ohio, United States
Show AbstractAs a material with high critical electric field strength (EC), β-Ga2O3 offers tremendous advantages for power electronics applications due to low switching losses that may be achieved through scaling and doping tradeoffs for a given operating voltage [1, 2]. Among ultra-wide bandgap (UWBG) semiconductors of interest today, β-Ga2O3 is unique in that it has shallow donor energy levels (< 25 meV) [3] leading to high achievable doping levels > 1020 cm-3, while the lowest reported doping achieved in an epitaxial layer is < 1013 cm-3 [4]. This full range of doping allows the formation of degenerately doped ohmic contact layers and, more importantly for power electronics, a larger depletion width to be achieved than that of SiC and GaN due to un-intentional doping (UID) limitations of ~1014 cm-3 and ~1015 cm-3 respectively for these technologies.
We present a summary of resistivity studies of homoepitaxial β-Ga2O3 layers grown by MOVPE, MBE, and PLD. Materials included in the study were doped with Sn and Si (MOVPE) as described in [2, 5], Sn, Si, and Ge (MBE) as described in [6, 7], and Si (PLD) as reported in this talk. Resistivity values as low as 1.3 mΩ-cm were achieved by PLD. Ohmic contact values as low as 0.2 Ω-mm were achieved on degenerately doped epitaxial layers. The impact of variation in resistivity was also observed in β-Ga2O3 MOSFETs with varying doping and channel layer thickness.
Materials optimizations including bi-layer MOSFETs, in which a degenerately doped cap layer is grown on a moderately doped channel layer, were implemented to reduce on-resistance. A recessed-channel MOSFET process was introduced to control threshold voltage (VTH) for power applications as well as improve aspect ratio for gate control in sub-micron MOSFETs to achieve RF operation. These material and process improvements were also beneficial for enhancement-mode power switch device applications. Device results are presented for the first reported β-Ga2O3 MOSFETs with positive VTH, achieving breakdown > 600 V at a gate voltage of 0 V [8] and the first reported RF performance for sub-micron β-Ga2O3 MOSFETs [5]. The most recent enhancement-mode and RF transistor results will also be reported.
The authors would like to acknowledge partial financial support from Ali Sayir, AFOSR.
[1] M. Higashiwaki, et al., Japanese Journal of Applied Physics, v. 55, no. 12, p. 1202A1 (2016).
[2] A. Green, et al., IEEE Electron Device Letters, v. 37, no. 7, p. 902 (2016).
[3] K. Irmscher, et al., Journal of Applied Physics, v. 110, p. 063720 (2011).
[4] H. Murakami, et al., Applied Physics Express, v. 8, p. 015503 (2015).
[5] A. Green, et al., IEEE Electron Device Letters, v. 38, no. 6, p. 760 (2017).
[6] N. Moser, et al., Applied Physics Letters, v. 110, no. 14, p. 143505 (2017).
[7] N. Moser, et al., IEEE Electron Device Letters, v. 38, no. 6, p. 775 (2017).
[8] K. Chabak, et al., Applied Physics Letters, v. 109, no. 21, p. 213501 (2016).
8:45 AM - EM04.10.02
Delta-Doped β-Ga2O3 Field Effect Transistors with Patterned Regrown Ohmic Contacts
Zhanbo Xia 1 , Sriram Krishnamoorthy 1 , Chandan Joishi 1 2 , Sanyam Bajaj 1 , Yuewei Zhang 1 , Mark Brenner 1 , Saurabh Lodha 2 , Siddharth Rajan 1 3
1 Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai India, 3 Department of Materials Science and Engineering, The Ohio State University, Columbus, Ohio, United States
Show AbstractWe report on (010) oriented delta-doped Gallium Oxide (β-Ga2O3) field effect transistors with regrown ohmic contacts. The use of delta doping and regrown ohmic contacts enabled high drain current and transconductance of 140 mA/mm and gm,MAX = 34 mS/mm, respectively, with a three-terminal breakdown voltage higher than 170 V. The ultra-wide band gap semiconductor β-Ga2O3, is attractive for applications in next-generation high frequency and power switching devices due to availability of large area substrates, large breakdown field, and good electron transport properties. Scaled device topologies with short gate lengths and short gate-to-channel spacing could be of interest for several applications including medium voltage transistors (<1 kV) and high frequency amplifiers. One way to achieve such scaled devices [1] is to use delta-doped channels, which offer the advantage of short gate-channel spacing. In this work, we report delta-doped Ga2O3 FETs with regrown ohmic contacts to realize high current and transconductance.
The device structures reported were grown by plasma assisted molecular beam epitaxy on Fe-doped semi-insulating (010) oriented β-Ga2O3 substrates. Oxygen plasma power of 300W with a chamber pressure of 1.5 x 10-5 Torr, and Ga flux of 8 x 10-8 Torr, resulted in a Ga-limited growth rate of 3.3 nm/min. The epitaxial structure consisted of substrate/100 nm undoped buffer layer/Si delta-doped layer (nSi = 1.2 x 1013 cm-2)/20 nm undoped Ga2O3 cap layer. Source and drain contacts were achieved using patterned MBE regrowth of heavily doped n-type Ga2O3 contact layer. Ti/Au/Ni metal stack was evaporated after BCl3 treatment for the Ohmic contact formation. Device isolation was performed using ICP-RIE etch with a BCl3-based chemistry. Gate metal stack of Ni/Au/Ni was deposited to form a schottky barrier contact.
Capacitance-voltage measurements showed a flat capacitance profile as expected for delta-doped channels, and a 2D electron gas (2DEG) density of 9.2 x 1012 cm-2 was extracted. Sheet resistance was estimated to be 9.1 kΩ/sq based on transfer length method (TLM) measurements. A field effect mobility was estimated to be 75 cm2/Vs. For transistor dimensions (gate length = 2.6 μm, source-drain spacing = 2 μm, gate-drain spacing = 2 μm), a maximum drain current of 140 mA/mm and a peak transconductance of 34 mS/mm was obtained. The pinch-off voltage was measured to be VG= -4 V, with an on/off ratio of 106. The three-terminal off-state breakdown voltage of the device was measured to be greater than 170 V.
In summary, the demonstration of delta-doped devices with high current density and transconductance suggests a promising approach to achieve scaled topologies for β-Ga2O3 lateral transistors. We acknowledge support from Air Force Research Laboratory and DTRA grant HDTRA1-17-1-0034.
References
[1] E. F. Schubert et al., IEEE Transactions on Electron Devices, vol. 33, no. 5, pp. 625-632, May 1986.
9:00 AM - EM04.10.03
Performance Comparison of Ga2O3 Schottky Diodes Fabricated on HVPE and MBE Epitaxial Material
Andrea Corrion 1 , Mary Chen 1 , Yu Cao 1
1 , HRL Laboratories, Malibu, California, United States
Show AbstractBeta-phase Ga2O3 is an emerging ultra-wide bandgap semiconductor material of particular interest for power switching applications due to a combination of extremely high critical electric field, high mobility, controllable n-type doping, and potential for large-area low-cost substrates. Since the first reports of Ga2O3 transistors in 2012, substantial progress has been made in materials growth and device performance [1-4]. Ga2O3 can be grown epitaxially by MOCVD, MBE, and HVPE with controllable doping in a variety of orientations on native substrates pulled from the melt. In this study, we investigated the potential of Ga2O3 for vertical Schottky diodes and compared the performance of diodes fabricated using (001) HVPE and (010) MBE epitaxial material. Edge termination and field plates were implemented to improve the breakdown voltage. Characteristics such as Schottky barrier height, leakage, on-resistance, ideality factor, turn-on voltage, and breakdown were investigated and compared for the two material types and orientations. MBE diode breakdown voltage was significantly lower than expected and strongly dependent on the diode size, suggesting an epi-defect-mediated breakdown mechanism. HVPE diodes with breakdown voltages of >1000 V, low turn-on voltage of ~1 V, and on-resistances of ~6 mΩ-cm2 were fabricated. While initial results on high-voltage Ga2O3 Schottky diodes are promising, continued material developments such as improved mobility, breakdown, doping control, and substrate size will be required to realize the value proposition of beta Ga2O3 for power electronics.
[1] M. Higashiwaki et al., Appl. Phys. Lett. 100, 013504 (2012).
[2] N. Moser et al., IEEE Elec. Dev. Lett. 38, 775 (2017).
[3] K. Sasaki et al., IEEE Elec. Dev. Lett. 38, 783 (2017).
[4] K. Konishi et al., Proceedings of Device Research Conference, June 2016, pp. 1-2
9:15 AM - EM04.10.04
Humidity Sensors from Individual Gallium Oxide Nanowires—Synthesis, Characterization and Sensing Properties
Guillem Domènech-Gil 2 1 , Irmina Peiro 1 , Jordi Sama 1 2 , Paolo Pellegrino 1 2 , Sergi Hernández 1 2 , Mauricio Moreno 1 2 , Sven Barth 3 , Albert Romano-Rodriguez 1 2
2 MIND, Universitat de Barcelona, Barcelona Spain, 1 Institute of Nanoscience and Nanotechnology , Universitat de Barcelona, Barcelona Spain, 3 , Vienna University of Technology , Vienna Austria
Show AbstractThe monoclinic phase of gallium oxide (Ga2O3) is a wide band gap semiconductor material that has been widely studied for its oxygen sensing properties at high temperatures, above 600 0C and for its sensitivity towards reducing gases at temperatures above 450 0C. At lower temperatures, the sensing mechanisms that contribute to the bulk oxygen-vacancies diffusion and consequently to the gas sensing, is deactivated. However, gas sensing can continue taking place at the defect sites of the material surface. The high surface-to-volume ratio attributed to the nanowire (NW) morphology can help to overcome this limitation and decrease the sensing temperature increasing the surface interactions. In our study, single Ga2O3 NW, that offer less power consumption and more convenient material study than meshes of NWs, are studied for humidity and oxygen sensing.
In this work, Ga2O3 NWs have been fabricated via carbothermal reduction following a vapor-liquid-solid (VLS) mechanism using a chemical vapor deposition (CVD) furnace. The precursor material is heated at 950 0C and the gas phase is transported using a pure argon flow of 100 cm3/min. The Si/SiO2 substrates, covered with a 5 to 20 nm discontinuous Au layer, are positioned downstream and heated up to 800 and 950 0C to promote the NW growth.
The grown nanowires have been structurally, chemically and optically characterized using X-ray diffraction, scanning and transmission electron microscopy and related techniques as well as photoluminescence, XPS and Raman spectroscopy. Correlation between shape, crystallinity and optical properties of the formed nanostructures and their chemical composition will be discussed and justified based on the known properties of the pure forming materials.
The Ga2O3 NWs were subsequently removed from the substrates applying sonication in order to fabricate gas sensors from individual NWs. The next step involves the deposition of the Ga2O3 NWs on suspended microhotplates and their contact using a combination of Focused Electron- (FEBID) and Focused Ion-Beam Induced Deposition (FIBID) techniques. The fabricated nanosensors devices have been tested towards different gases relevant in air quality monitoring, like NO2 and CO, as well as towards O2 and water vapor at different concentrations and operating temperatures. The sensing properties will be discussed and the relation to the structural and chemical properties of the nanomaterials will be established.
9:30 AM - EM04.10.05
Improvement in Performance of Zn-O-N Thin-Film Transistors by Si Doping
Hiroshi Tsuji 1 , Tatsuya Takei 1 , Mitsuru Nakata 1 , Masashi Miyakawa 1 , Yoshihide Fujisaki 1 , Toshihiro Yamamoto 1
1 , NHK Science & Technology Research Laboratories, Tokyo Japan
Show AbstractThin-film transistors (TFTs) that employ oxide semiconductors are promising candidates for use in flat-panel displays because of their high on-current, low off-current, and applicability to large-area production. Recently, Zn-O-N (ZnON) [1] has received considerable attention as a channel material to further increase the current drive capabilities of TFTs for more demanding applications such as large (>100 inches) ultra-high definition (8k×4k) organic light-emitting diode displays. It has been reported that ZnON-TFTs exhibit a much higher field-effect mobility (>50 cm2/Vs) [2] than conventional oxide TFTs, including In-Ga-Zn-O TFTs [3]. However, ZnON-TFTs have several drawbacks, such as a negative threshold voltage (Vth), a large subthreshold swing (SS), and Vth-instability when stored in air, which have prevented their use in practical applications despite their high mobility.
In the present study, we have developed a Si doping method to address these problems. The effects of Si doping on the properties of ZnON films were first investigated using various analysis techniques including Hall-effect measurements, X-ray photoelectron spectroscopy, and thermal desorption spectroscopy, and the results confirmed the effectiveness of doping for reducing the carrier concentration and suppressing nitrogen desorption from the films. Si-doped ZnON-TFTs were then fabricated and their device characteristics were evaluated as a function of the Si content. It was found that Si-doped ZnON-TFTs exhibited a high field-effect mobility of up to 67 cm2/Vs, significantly improved switching behavior (less negative Vth and smaller SS), and better Vth-stability than non-doped ZnON-TFTs. In particular, Vth variation in Si-doped ZnON-TFTs due to exposure to air was reduced to less than one-tenth of that without Si doping by optimizing the Si content. Thus, Si doping is an effective approach to improving the device characteristics of ZnON-TFTs, and makes them suitable for use in next-generation displays.
[1] Y. Ye et al., J. Appl. Phys. vol.106, p.074512 (2009).
[2] K.-C. Ok et al., IEEE Electron Device Lett. vol.36, p.38 (2015).
[3] K. Nomura et al., Nature, vol.432, p.488 (2004).
10:30 AM - EM04.10.07
High-Quality, Homoepitaxial Si-Doped β-Ga2O3 (010) and β-(AlxGa1-x)2O3/Ga2O3 (010) Heterostructures Grown by Pulsed Laser Epitaxy
Shanee Pacley 1 , Brandon Howe 1 , Shin Mou 1 , Gregg Jessen 2 , Kevin Leedy 2 , Adam Neal 1 , Krishnamurthy Mahalingam 1
1 Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright Patterson AFB, Ohio, United States, 2 Sensors Directorate, Air Force Research Laboratory (AFRL), Wright Patterson AFB, Ohio, United States
Show AbstractHere, we present the results of a fundamental growth investigation into the effects of Si doping incorporation and Al-alloying on the structural and electronic properties of Si:β-Ga2O3 and β-(AlxGa1-x)2O3 heterostructures grown on semi-insulating Fe-doped β-Ga2O3 (010) single crystals. High quality β-Ga2O3 epitaxial layers were grown using ultra-high vacuum pulsed laser epitaxy at 500 °C, using a KrF excimer laser (λ=248nm) operating at 4 Hz and a fluence of ~3 J/cm2. Silicon oxide doped targets with concentrations ranging from 0.025 to 0.5 wt. % were used for dopant studies, to vary the carrier concentration and mobility. We found that at 0.2 wt. % Si, a carrier density and mobility of 7.6 x 1019 cm-3 and 52.2 cm2/Vs (respectively) was observed. As the silicon concentration increased, the carrier density increased and mobility decreased. In addition to growth of epitaxial Si-doped films, thin films of β-(AlxGa1-x)2O3 were also deposited using targets with compositions ranging from x = 0.175 - 0.5. High-resolutions X-ray diffraction and high-resolution transmission electron microscopy confirm homoepitaxial Si-doped β-Ga2O3 and β-(AlxGa1-x)2O3 films. Hall transport was used to perform electrical measurements, and SIMs confirmed the doping concentration and composition for β-Ga2O3 and β-(AlxGa1-x)2O3 (respectively). As a result of this research, we demonstrate that pulsed laser deposition can be used to grow both Si-doped homoepitaxial β-Ga2O3 and β-(AlxGa1-x)2O3/Ga2O3 heterostructures for wide bandgap electronic devices and specifically, modulation doped transistors.
10:45 AM - EM04.10.08
High Quality Epitaxial β-Ga2O3 Growth by MOCVD
Fikadu Alema 1 , Brian Hertog 1 , Andrei Osinsky 1 , Elaheh Ahmadi 2 , James Speck 2 , Feng Wu 2 , Maxim Bogdanov 3 , Anna Lobanova 3 , Roman Talalaev 3 , Alex Galyukov 4
1 , Agnitron Technology Inc, Eden Prairie, Minnesota, United States, 2 Materials Department, University of California, Santa Barbara, Santa Barbara, California, United States, 3 , STR Group, Inc. Soft Impact, Ltd, St. Petersburg Russian Federation, 4 , STR US, Inc., Richmond, Virginia, United States
Show AbstractConventional epitaxy methods including MBE and MOCVD are inadequate for the growth of Ga2O3 since they suffer from extremely slow growth rates –– hindering the development of β-Ga2O3 for high power devices and related applications. This limitation is mainly due to the challenges with growth kinetics such as undesirable gas phase nucleation that depletes the precursors. In this work, we report on the growth of high quality epitaxial β-Ga2O3 thin films on (0001) Al2O3 and (010) β-Ga2O3 substrates using a customized close coupled showerhead (CCS) MOCVD reactor. The growth was conducted using Ga(DPM)3, TEGa and TMGa metal organic (MO) precursors as Ga sources and molecular oxygen for oxidation. Films grown from each of the Ga sources had high growth rates with up to 10 μm/hr achieved using TMGa at 900 oC. The films grown on (0001) Al2O3, using each of the Ga sources exhibited the monoclinic (-201) oriented β-Ga2O3 phase. The fast growth rate was achieved due to the close coupled showerhead design of the MOCVD reactor as well as the separate injection of oxygen and the MOs, preventing the premature oxidation of the MO sources. A model for Ga2O3 growth by MOCVD was developed and used to help understand the effects of the growth conditions including pressure, temperature and MO flow rates on the growth rate. The CCS-MOCVD was also used to grow homoepitaxial β-Ga2O3 thin films on (010) β-Ga2O3 substrates at a growth rate of ~2 μm/hr. The surface morphology and structural properties of the film were characterized by AFM, HRXRD and HRTEM. The results demonstrated a high-quality β-Ga2O3 film with a FWHM of ~36 arcsec and rms of ~0.8 nm. A dislocation density of ~108 cm-2, comparable to that currently achievable for GaN, was estimated using plain view TEM. These results demonstrate a pathway to overcoming the long-standing challenge of realizing high growth rates for Ga2O3 using MOCVD without sacrificing the epitaxial quality of the β-Ga2O3 film.
11:00 AM - EM04.10.09
Elementary Growth Process in Homoepitaxial Growth of β-Ga2O3
Martin Albrecht 1 , Robert Schewski 1 , Deniis Meiling 1 , Michele Baldini 1 , Guenter Wagner 1 , Zbigniew Galazka 1
1 , Leibniz Institut fuer Kristallzuechtung, Berlin Germany
Show Abstract
Monoclinic Ga2O3 (β-Ga2O3) is a semiconductor with a bandgap of 4.7eV and an estimated break down field of 8 MVcm-1. It has recently attracted considerable interest as a promising material for applications as high power devices. Epitaxial growth of structurally perfect crystalline layers with defined doping is a prerequisite to fully use its potential for device applications. In contrast to other wide bandgap semiconductors, large diameter substrates grown from the melt by methods like float zone, edge defined film fed growth, and Czochralski growth are available. Homoepitaxial growth therefore is a natural choice. Homoepitaxial growth has been performed by molecular beam epitaxy, halide vapor phase epitaxy, and metal organic vapor phase epitaxy. While high growth rates are highly desirable with respect to realize power devices, step flow growth is needed, if heterostructures with abrupt interfaces are needed.
In this paper, we study the elementary growth processes during the initial stages of β-Ga2O3 on (100), (010) and (001) substrates by MOVPE. Thriethylgallium is used as a Ga precursor, oxygen as an oxygen source. On axis substrates obtained from cleavage as well-as substrates with miscutangle of 0.1°, 2°, 4° and 6° towards (001) are used. To quantify the activation energy for surface diffusion of Ga we measured the island density on cleaved surfaces by AFM. Growth experiments with growth times from 10 s to 40 s have been performed for growth temperatures from 750°C to 850°C with intervals of 25K. Transmission electron microscopy and atomic force microscopy is used for analysis of structure and surface morphology respectively. Growth on on-axis samples proceeds through formation of two-dimensional islands in a layer by layer growth mode. The aspect ratio width in b to width in c direction of the 2D islands is b/c = 2, induced by an anisotropic diffusion of the ad-atoms at the surface. Applying nucleation theory in the mean field approximation for vicinal surfaces we we derive a diffusion constant of Ga ad-atoms which is by two orders in magnitude lower than experimental values reported for GaAs. Based on the evaluation of the experiments on cleaved surfaces we derive the activation energy for surface diffusion during MOCVD. We show that Ga diffusion is rate limiting for growth under the conditions applied. Due to the limited surface diffusion a transition from stepflow growth to 3d growth and a corresponding surface roughening occurs at high growth rates.
11:15 AM - EM04.10.10
Growth Behavior of Ga2O3 on Sapphire Substrate by Controlling Interface
Riena Jinno 1 , Shu Takemoto 1 , Takayuki Uchida 1 , Kentaro Kaneko 1 2 , Shizuo Fujita 1 2
1 Department of Electronic Science and Engineering, Kyoto University, Kyoto, Kyoto, Japan, 2 Photonics and Electronics Science and Engineering Center, Kyoto University, Kyoto, Kyoto, Japan
Show AbstractGa2O3, which is one of the ultra-wide-bandgap (UWBG) materials, has attracted worldwide attention as being applied to power devices with high voltage endurance. Ga2O3 takes five (or six) different phases. Besides the most stable and well-investigated β-phase, α-phase is desirable for bandgap engineering with alloys [1] and ε-phase is attractive for heterojunction transistors owing to the ferroelectristy [2], leading to highly concentrated 2DEG at the heterointerface. The growth of highly crystalline Ga2O3 on inexpensive sapphire substrates is attractive to supply devices at low cost. In this study, we report the growth behaviour of Ga2O3 on sapphire substrates with α-(AlxGa1-x)2O3 buffer layers or surface treatment of sapphire substrates.
First, we investigated the effects of an α-(Al0.4Ga0.6)2O3 buffer layer, whose lattice length is between those of sapphire and Ga2O3 (both of α and ε-phases). The film thickness of the α-(Al0.4Ga0.6)2O3 was 20 nm and the root mean square (RMS) roughness was 0.56 nm. The growth of Ga2O3 films were carried out on the buffer layers at the growth temperatures of 500 to 800 oC. XRD 2θ/ω scan profile of the Ga2O3 on the buffer layers indicated that the peaks of ε-Ga2O3 (0002) or of α-Ga2O3 (0006) dominated at the growth temperatures of < 500 oC and > 700 oC, respectively. Based on the distance between cations mentioned in the study by Mezzadri [2], the lattice mismatch between the buffer layer and ε-Ga2O3 is 1.2 %, while that between the buffer layer and α-Ga2O3 is 2.2 %. Lower temperatures yield shorter diffusion lengths, and therefore it seems that Ga and O atoms align so that the lattice mismatch is minimized, taking the ε-phase. On the other hand, when the growth temperatures were higher, it may be stable for Ga2O3 to take the same crystal structure as the substrate. In this manner, we could selectively grow either α- or ε-Ga2O3. Our efforts are continuing to grow high-quality and phase-stabilized Ga2O3 by artificial control of the buffer layers. The details will be included in the presentation.
[1] S. Fujtia, Jpn. J. Appl. Phys., 54 (2015) 030101
[2] F. Mezzadri, et. al., J.Chem. Phys., 46 (1967) 5
11:30 AM - EM04.10.11
Solid-Phase Heteroepitaxy and Property Modification of β-Ga2O3 Thin Films by Room-Temperature Excimer Laser Annealing
Akifumi Matsuda 1 , Kisho Nakamura 1 , Hiroyuki Morita 1 , Nobuo Tsuchimine 2 , Satoru Kaneko 3 1 , Mamoru Yoshimoto 1
1 , Tokyo Institute of Technology, Yokohama Japan, 2 , TOSHIMA Manufacturing Co., Ltd., Higashi-Matsuyama, Saitama, Japan, 3 , Kanagawa Institute of Industrial Science and Technology, Ebina, Kanagawa, Japan
Show AbstractTransparent semiconductor β-Ga2O3 has a wide band-gap of ~4.9 eV, which epitaxial thin films contribute to deep-UV optoelectronic applications and power devices. The epitaxial β-Ga2O3 thin films have grown by some methods such as molecular beam epitaxy (MBE), chemical vapor deposition (CVD), and also pulsed laser deposition (PLD) at relatively high temperature of >400°C. It is of importance to reduce the epitaxy temperature, which suppress thermal roughening and desorption resulting in consequent stoichiometry and sharp interfaces preferred in building thin film devices. Modification of electronic and optical properties of β-Ga2O3 thin films, for example by doping of such as Si or Sn as well as controlling the crystallinity, also have attracted interests to encourage its applications. In this study, the heteroepitaxy temperature was reduced down to room-temperature by introducing approaches of controlling nucleation sites, reducing planar lattice mismatch, and non-equilibrium solid-phase crystallization. In addition, the optoelectronic properties were modified by controlling processing atmosphere and doping technique. The precursor amorphous Ga2O3 thin films were prepared on α-Al2O3 (0001) substrates by pulsed laser deposition at room-temperature. Rock-salt type crystals, e.g. NiO and TiN, were employed as buffer materials, and Sn and Mg were the deliberate dopants. The amorphous Ga2O3 thin films were subsequently crystallized by excimer laser annealing (ELA) equipped with KrF excimer laser (λ=248 nm, d=20 ns) at room-temperature [1]. The ELA process took place in air, in vacuum, and in some reactive ambient gases. The β-Ga2O3 (-201) thin films were epitaxially developed at room-temperature, by combination of PLD and successive ELA in the case NiO (111) buffer layer was introduced [2]. The epitaxial thin film revealed ultra-flat surface with roughness of ~0.2 nm owing to the introduced approaches, that β-Ga2O3 was found to crystallize from the interface with nucleation along the step-edges on substrates. The optical bandgap of ~4.9 eV was obtained which is comparable to that of films grown at high temperature. Reductive ambient in ELA as well as Sn dopant lead to improvement of conductivity, while atmospheric condition resulted in high-insulating property. The effect of dopants on initial crystallization and crystal growth, and influence of ELA atmosphere on optoelectronic properties would be presented.
[1] D. Shiojiri et al., J. Cryst. Growth Vol.424 (2015) 38–41.
[2] D. Shiojiri et al., Appl. Phys. Exp. Vol.9 (2016) 105502.
11:45 AM - EM04.10.12
Oxygen-Vacancy-Assisted Recovery Process to Enhance Electron Mobility in N-Type BaSnO3 Epitaxial Thin Films
Daseob Yoon 1 , Sangbae Yu 1 , Junwoo Son 1
1 Department of Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang 37673 Korea (the Republic of)
Show AbstractNew transparent oxide semiconductors (TOSs) have received extensive interests and demands for the application of current optoelectronic devices. In particular, La-doped BaSnO3 (LBSO) have recently attracted much attention due to the excellent room-temperature (RT) electron mobility (μ ~ 320 cm2V-1s-1 at n = 8.0 × 1019 cm-3 in a single crystal) and the excellent thermal stability. Despite the great potential of LBSO for transparent electronics, epitaxial LBSO films were reported to show much lower electron mobility than single crystals, which has been ascribed into the high density of line defects, i.e., dislocations, which are generated by lattice mismatch between substrate and film.
In this presentation, we demonstrate the significant increase in the room-temperature electron mobility of LBSO by delicately modulating the oxygen vacancy concentration by post-growth annealing. Through the accurate adjustment of oxygen partial pressure under annealing, the room-temperature mobility of LBSO films on STO substrates could increase up to 115 cm2V-1s-1 at a carrier concentration of 1.2 × 1020 cm-3, which results in simultaneous increase of carrier density and mobility. The enhancement of room-temperature mobility by adjusting oxygen chemical potential is attributed to the annihilation of threading dislocation by high-temperature recovery process, i.e., the dislocation annihilation through oxygen-vacancy-induced climb. Our finding suggests that the interaction between point defects and line defects can be exploited to boost carrier density and mobility in transparent oxide semiconductors.
EM04.11: Oxide Materials and Devices II
Session Chairs
Friday PM, December 01, 2017
Hynes, Level 1, Room 101
1:30 PM - EM04.11.01
Bandgap Tunability of Amorphous Ga2(O1-xSx)3 Highly Mismatched Alloys
Maribel Jaquez 1 2 , Kin Man Yu 3 , Petra Specht 4 , Wladyslaw Walukiewicz 1 4 , Oscar Dubon 1 4
1 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Department of Mechanical Engineering, University of California, Berkeley, California, United States, 3 Department of Physics and Materials Science, City University of Hong Kong, Kowloon Hong Kong, 4 Department of Materials Science and Engineering, University of California, Berkeley, California, United States
Show AbstractHighly mismatched alloys (HMA’s) comprise of semiconductor compounds where the anions are partially substituted with isovalent atoms of considerably different size and/or electronegativity. The electronic band structure of HMAs is described by the band anticrossing (BAC) model that considers an interaction between localized states introduced by the minority anions and the extended states of the host semiconductor matrix in the dilute alloy composition limit. In most cases the growth of HMAs is difficult and a good quality crystalline material can be only achieved in the dilute alloy limit. Previous work on GaN1-xAsx grown by low-temperature molecular beam epitaxy has shown that over a large composition range, these alloy are amorphous with the band gaps ranging 0.7 to 3.4 eV [1]. The band structure modification of amorphous GaN1-xAsx (0.15 < x < 0.75) alloys was found to be described by the BAC model [1]. In addition, these amorphous alloys were successfully doped n- and p-type.
Gallium oxide is a material of great interest due to its large bandgap (4.9 eV) ideal for wide-band-gap optoelectronics, semiconducting lasers, and high-power electronics [2]. Despite the promise of Ga2O3 as a wide band gap semiconductor, it lacks the conductivity necessary for most applications. Bandgap engineering by alloying may be a route to overcome this challenge. In this work, we have synthesized amorphous gallium oxide sulfide, Ga2(O1-xSx)3, thin films using pulsed-laser deposition with sulfur ratios [x=sulfur/(sulfur+oxygen)] from 0.013-0.51. In this range, the amorphous Ga2(O1-xSx)3 alloys were found to have a tunable room temperature optical bandgap ranging from 2.9 eV to 4.9eV. Similar to the amorphous GaN1-xAsx system, the band structure behavior of amorphous Ga2(O1-xSx)3 alloys is in agreement with the predictions of the BAC model. In the case for amorphous Ga2(O1-xSx)3 alloys, the addition of sulfur at a merely 0.013 ratio shows a reduction in bandgap of about 1 eV suggesting that the sulfur-derived band is located roughly 1eV above the valence band of Ga2O3 close to the sulfur-derived band in ZnO1-xSx HMA [3]. This large upward shift offers a potential for an improved p-type doping of the alloy similar to that previously reported in GaNxSb1-x HMA [4] The structural, optical properties, electronic band structure as well as the ability to dope the Ga2(O1-xSx)3 system will be discussed.
Acknowledgments
This work was supported by the Director, Office of Science, Office of Basic Energy Sciences, Materials Sciences and Engineering Division, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. M.J. acknowledges Rafael Quevedo for film growth.
[1] A.X. Levander, et. al., J. Appl. Phys. 110, 093702 (2011).
[2] J.B. Varley, et. al., Appl. Phys. Lett., 97, 142106 (2010).
[3] M. Jaquez, et. al., J. Appl. Phys. 118, 215702 (2015).
[4] N. Segercrantz, et.al., Appl. Phys. Lett. 109, 252102 (2016).
1:45 PM - EM04.11.02
Analytical Electron Microscopy of Ga2O3/SiO2 and Ga2O3/Al2O3 Interface Structures in MOS Devices
Christopher Klingshirn 1 , Joshua Taillon 1 , Asanka Jayawardena 2 , Sarit Dhar 2 , Tsvetanka Zheleva 3 , Aivars Lelis 3 , Lourdes Salamanca-Riba 1
1 Materials Science and Engineering, University of Maryland, College Park, Maryland, United States, 2 Physics, Auburn University, Auburn, Alabama, United States, 3 , U. S. Army Research Laboratory, Adelphi, Maryland, United States
Show Abstract
Metal oxide semiconductor devices based on Ga2O3 have the potential to outperform existing wide gap semiconductor devices such as SiC devices for high-power and high-voltage applications. However, as with SiC, a high density of electronic defects at the Ga2O3/SiO2 (or Al2O3) gate oxide interface adversely affects the performance of Ga2O3-based MOS devices. Previous work on SiC has shown that a narrower transition layer at the SiC/ SiO2 interface correlates to decreased interface trap density and improved channel mobility.
We investigate the chemical and structural features of Ga2O3 interfaces with SiO2 and Al2O3 using high resolution transmission electron microscopy (HRTEM) and high angle annular dark field scanning TEM (HAADF-STEM) combined with electron energy loss spectroscopy spectrum imaging (EELS SI) which allow identification of the width, composition, and bonding characteristics of the atoms in the interfacial region. Hyperspectral decomposition of EELS signals using machine learning techniques reveal components corresponding to Ga, O, Si and Al. The effects of SiO2 deposition method (LPCVD vs. LTO) on the interface are also explored. Electrical measurements indicate lower density of interfacial defects in the sample with Al2O3 oxide than the one with SiO2. Our analysis indicates that the interface between Ga2O3 and SiO2 is sharper than the interface between Ga2O3 and Al2O3. The latter contains an interfacial region probably corresponding to interdiffusion between Ga and Al. Our methods demonstrate how TEM-EELS can be used to analyze wide-bandgap materials beyond SiC, with various gate oxides and processing conditions. The correlation between electrical measurements and EELS data from samples made under different conditions will be presented.
2:00 PM - EM04.11.03
Strain Engineering and Two-Dimensional Electron Gas in Polar ε-Ga2O3 Heterostructures
Sung Beom Cho 1 2 , Rohan Mishra 1 2
1 Mechanical Engineering and Materials Science, Washington University in St. Louis, Saint Louis, Missouri, United States, 2 Institute of Materials Science and Engineering, Washington University in St. Louis, St. Louis, Missouri, United States
Show AbstractAs a wide gap semiconductor, Ga2O3 is rapidly emerging as a promising candidate for power electronics applications. While most of the studies have focused on its stable β-phase, there are a handful of reports on its metastable polar ε-phase having a spontaneous polarization. Numerous experimental groups have recently attempted to stabilize ε-Ga2O3 using epitaxial strain using substrates such as Al2O3(0001)1, GaN(0001)1, AlN(0001)1, MgO(111)2 and SiC(0001)3. However, due to the lack of an understanding of the stability of various Ga2O3 phases under epitaxial strain, these trial-and-error based attempts have been of limited success, so far. All the films are observed to be of inherently poor quality. There are also diverging reports on the structure and properties of the deposited thin films. A recent experimental report of ε-Ga2O3 grown on Al2O3 substrate has even suggested the film to be ferroelectric, where the direction of the spontaneous polarization could be switched with an external electric field. It implies that the stabilization of ε-Ga2O3 will open new avenue of polarization engineering in Ga2O3 power electronics4.
We have used first-principles density functional theory (DFT) calculations in combination with coincidence site lattice theory to develop a phase-diagram of Ga2O3 under epitaxial strain. We show that all the previously used substrates impose an epitaxial strain over 3% on ε-Ga2O3, which explains the poor structural quality of the deposited thin films. In this presentation, we will discuss identify promising commercially available candidates that can stabilize ε-Ga2O3 with epitaxial strain < 1%. We will discuss the electronic structure of ε-Ga2O3 under epitaxial strain, including properties such as the band gap, polarization constants and its ferroelectric nature. Finally, we will theoretically demonstrate a way to achieve two-dimensional electron gas (2DEG) in ε-Ga2O3 heterostructure simply by using polarization engineering.
1. Y. Oshima, E.G. Víllora, Y. Matsushita, S. Yamamoto and K. Shimamura: Journal of Applied Physics 118, 085301 (2015).
2. H. Nishinaka, D. Tahara and M. Yoshimoto: Japanese Journal of Applied Physics 55, 1202BC (2016).
3. X. Xia, Y. Chen, Q. Feng, H. Liang, P. Tao, M. Xu and G. Du: Applied Physics Letters 108, 202103 (2016).
4. F. Mezzadri, G. Calestani, F. Boschi, D. Delmonte, M. Bosi and R. Fornari: Inorganic Chemistry 55, 12079 (2016).
2:15 PM - EM04.11.04
Theoretical Characterization of the Vibrational, Thermal and Electron Transport Properties of β-Ga2O3 from First Principles
Kelsey Mengle 1 , Emmanouil Kioupakis 1
1 , University of Michigan, Ann Arbor, Michigan, United States
Show AbstractThe monoclinic phase of gallium oxide (β-Ga2O3) is a promising wide-band-gap semiconductor for applications in high-power and high-temperature electronics in addition to optoelectronics (such as deep-UV photodetection). However, a better understanding of its fundamental electron and thermal-transport properties are needed to facilitate its commercial deployment. In this work, we perform predictive calculations based on density functional theory (DFT) and density functional perturbation theory (DFPT) to investigate the phonon, phonon-phonon coupling, and electron-phonon coupling properties of β-Ga2O3. Our results for the directionally dependent phonon dispersion, atomic displacements, infrared and Raman-active modes, LO-TO splittings, sound velocities, and heat capacity provide atomistic insights into experimental results. We also evaluate the vibrational properties of the rhombohedral α-polytype of Ga2O3 to relate the measured properties to the fundamental atomic arrangements of the two polytypes. We further determine the overall and the individual-mode Grüneisen parameters to understand the anharmonic phonon-phonon interactions and the low thermal conductivity of this material. Our results for the electron-phonon coupling matrix elements provide atomistic understanding into the mobility and dielectric breakdown properties. We have identified a particular polar-optical mode with a phonon energy of 31 meV that limits the mobility at room temperature. While there are other modes with larger electron-phonon coupling matrix elements, the low energy of the identified mode, which is comparable to kT at room temperature, gives rise to large occupation numbers and a dominant contribution to the overall electron scattering. We further apply the calculated matrix elements to estimate the breakdown field as a function of crystallographic direction. Our theoretical characterization sheds light on the microscopic origins of the electron mobility, thermal conductivity, and breakdown field, and guides experiment for the development of materials for superior high-power electronics performance. This research was supported by the National Science Foundation through Grant No. DMR-1534221 and the GRFP through Grant No. DGE 1256260. Computational resources were provided by the DOE NERSC facility under Contract No. DE-AC02-05CH11231 and by XSEDE, supported by NSF grant ACI-1053575.
2:30 PM - EM04.11.05
Study of Phonon Transport in Pristine and Defective β-Ga2O3
Zhequan Yan 1 , Satish Kumar 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractBecause of the large bandgap and the resultant large electrical breakdown strength, β-Ga2O3 emerged as a promising semiconductor which can sustain large voltages, making it attractive for high-power devices. However, high power dissipation in these devices can cause critical challenges, e.g., it can significantly affect the performance and reliability of these devices. A good understanding of thermal properties of β-Ga2O3 is important to control the hot spot temperature in active devices and also for the design of packaging and thermal solutions. Due to the imperfection in growth processes, the crystal lattice of the β-Ga2O3 contains unintentional localized defects such as vacancies. Although in the presence of crystal imperfection, high transmission in the visible spectral range can be obtained due to the wide band gap, the defects can significantly influence the thermal properties of β-Ga2O3 which is not well understood yet. To better understand the influence of defects on the phonon transport mechanism, we perform first-principles density functional theory (DFT) along with Boltzmann Transport Equations (BTE) to predict the phonon transport properties of pristine and defective β-Ga2O3. The thermal conductivities of β-Ga2O3 single crystals along three different crystal directions are calculated based on the iterative solution of the BTE. A model is built to elucidate the phonon scattering by the missing atom mass and the change of force constants between the under-coordinated atoms near the vacancies. Results indicate a significant anisotropy of the thermal conductivity along three directions. The [001] direction has the largest thermal conductivity among all directions, which has the value of 31.5 W/mK at the room temperature. The thermal conductivity along the [010] direction indicates the smallest value of 10.8 W/mK, which is about 1/3 of that along the [001] direction. In addition, the oxygen vacancies indicate a significant influence on the thermal conductivity of β-Ga2O3, which results from the phonon scatterings caused by the missing atom mass. The phonon scatterings caused by the change of force constants between the under-coordinated atoms near the vacancies don’t have much influence on the thermal conductivity due to the large effective coordination number in bulk materials. Furthermore, based on our defect model, the introduction of defect presents different influence on the thermal conductivity of β-Ga2O3 along different directions. The results from this work will help us understand the mechanism of phonon transport considering the influence of defects and provide insights for the future design of β-Ga2O3-based high electron mobility transistors.
2:45 PM - EM04.11.06
Structural and Optical Properties of Composition Tuned Wide Bandgap ZnxMg1-xO Nanostructures Grown by Vapor Transport Method
Jignesh Vanjaria 1 , Hongbin Yu 1
1 , Arizona State University, Tempe, Arizona, United States
Show AbstractWide Bandgap semiconductor structures have attracted significant attention in recent years due to their unique features and possible applications in current and future devices in the fields of chemical-biological detection, energy trapping and conversion, microsensing and the like. Amongst the wide variety of semiconductor materials, zinc oxide (ZnO) is emerging as a promising candidate for designing nanostructures with applications in the field of short wavelength optoelectronic devices. ZnO has several features that make it note worthy, such as a direct and wide band gap of 3.37 eV, a large exciton binding energy of 60 meV, and its ability to form a variety of nanostructured configurations. To date, various ZnO nanostructures have been successfully synthesized, including nanotubes, nanowires, nanosheets, and nanobelts. In this work, 1D ZnMgO nanostructures with different magnesium concentration and different morphologies were grown directly on zinc oxide-coated silicon substrates by thermal evaporation of zinc oxide, magnesium boride and graphite powders. Highly well-defined Mg-rich ZnMgO nanorods with a rock salt structure and Zn-rich ZnMgO nanostructures with a wurtzite structure have been deposited individually by careful optimization of the source mixture and process parameters. Structural and optical properties of the deposited products were studied by scanning electron microscopy, energy dispersive x-ray spectroscopy, X-ray diffraction, and Raman spectroscopy. Cathodoluminescence measurements demonstrate the tuning of the optical bandgap from 3.3 eV in Mg poor ZnMgO structures to 4.8 eV in Mg rich nanostructures. A mechanism for the formation and achieved diverse morphology of the ZnMgO nanostructures was proposed based on the characterization results.
3:30 PM - EM04.11.07
Bandgap Engineering and MOCVD Growth of High Quality β-(Al,Ga)2O3/ Ga2O3 Heterostructures for Power Switches
Andrei Osinsky 1 , Fikadu Alema 1 , Brian Hertog 1 , Elaheh Ahmadi 2 , Feng Wu 2 , James Speck 2 , Benjamin H Nieters 3 , Timothy Vogt 3
1 , Agnitron Technology Inc, Eden Prairie, Minnesota, United States, 2 Materials Department, University of California, Santa Barbara, Santa Barbara, California, United States, 3 Electrical and Computer Engineering, Saint Cloud State University, Saint Cloud, Minnesota, United States
Show AbstractBeta-gallium oxide (β-Ga2O3) has emerged as a potentially disruptive wide bandgap semiconductor with properties suitable for applications in high power devices. In this paper, we report on the bandgap tunable (Alx,Ga1-x)2O3 or AlGaO alloyed thin films using MOCVD. The developed low to medium pressure MOCVD process enables growth of AlGaO at temperatures above 800 oC with improved solubility of Al2O3 in β-Ga2O3 preventing formation of volatile suboxides. AlGaO alloy films with various Al composition were grown on sapphire and bulk β-Ga2O3 substrates at temperatures above 850 oC. Incorporation of Al into the β-Ga2O3 increasing bandgap and observed by blue shift of fundamental optical absorption edge from transmission measurements. XRD of (-201) refection confirmed that incorporation of Al in the films reduces lattice constant of the AlGaO alloy. (Alx, Ga1-x)2O3 alloys are of β-phase with Al composition x of up to 40%, as estimated from the bandgap. In the presentation, we also discuss epitaxial growth of high quality β-(Al,Ga)2O3/Ga2O3 heterostructures and superlattices. N-type doping has been achieved in a wide range of electron concentrations from 1e16 to 1e20 1/cm3. Device quality low resistance Ohmic contacts have been fabricated to heavily doped β-(Al,Ga)2O3/Ga2O3 structures. Schottky diodes for (Alx, Ga1-x)2O3 alloys with different Al composition have been fabricated and their turn on voltage, reverse bias and breakdown characteristics have been analyzed. We will discuss band gap engineering in this semiconductor material system in terms of its potential application in high power high voltage switches.
3:45 PM - EM04.11.08
Synthesis and Physical Properties of Doped Gallium Oxide
Manuel Alonso-Orts 1 , Jaime Dolado 1 , Marco Peres 2 , Katharina Lorenz 2 , Iñaki Lopez 3 1 , Emilio Nogales 1 , Javier Piqueras 1 , Bianchi Mendez 1
1 , Univ of Complutense, Madrid Spain, 2 , Insituto Superior Tecnico, Bobadela Portugal, 3 , Istituto Nazionale Ottica, Florence Italy
Show AbstractGallium oxide has recently aroused the interest of the scientific community for ultraviolet and power device applications due to its ultra-wide band gap (around 4.9 eV) and large breakdown electric field (7 MV/cm approx.). A successful synthesis route for Ga2O3 nanowires and nanobelts has been achieved by a thermal evaporation method using metallic gallium as the main precursor material and compacted gallium oxide powder as substrate. As a further step, doping of the Ga2O3 nanomaterials with the impurities of choice has been carried out by adding the suitable chemical sources to the precursors. Here, we report the doping of gallium oxide nanowires with Sn and Cr. The consequences of the in-situ doping by thermal diffusion are twofold. First, Sn impurities lead to an increase in the electrical conductivity and Cr doping leads to a quite intense red luminescence of the nanowires [1]. Secondly, the impurities play an additional role during the growth process of the nanowires driving the formation of complex nanostructures, such as branched or crossing wires, due to their out-diffusion towards the surface, which modifies the surface properties [2]. In this work, we present measurements of both optical and electrical properties of Cr and Sn doped gallium oxide nanowires to demonstrate their potential in future optoelectronic devices where an ultra-wide band gap material is needed.
[1] I. López, E. Nogales, B. Méndez, J. Piqueras, A. Peche. J. Ramírez-Castellanos, J. González-Calbet, J. Phys. Chem. C, 117, (2013) 3036−3045.
[2] M. Alonso-Orts, A. M. Sánchez, S. A. Hindmarsh, I. López, E. Nogales, J. Piqueras, B. Méndez. Nano Lett. 17, 2017, 515-522.
4:00 PM - EM04.11.09
Epitaxial Growth of Cu2O on C-Axis Oriented ZnO Films by Atomic Layer Deposition
Claudia de Melo Sanchez 1 2 , Maud Jullien 1 , Jean-Francois Pierson 1 , Yann Battie 3 , Aotmane En Naciri 3 , Francois Montaigne 1 , Frank Muecklich 2 , David Horwat 1
1 , Institut Jean Lamour, UMR CNRS, Nancy France, 2 Department of Materials Science and Engineering, Saarland University, Saarbrücken Germany, 3 Institut Jean Barriol, Université de Lorraine, Metz France
Show AbstractZnO is a very promising material in the semiconductors industry since it has a direct and wide band gap of 3.37 eV at room temperature, a large exciton binding energy, high electron mobility and high thermal conductivity [1]. These properties make it a suitable candidate in a wide range of devices as light emitting diodes for solid state lighting, photodetectors, transparent thin film transistors, UV detectors and solar cells [2-4]. The intrinsic n-type conductivity of ZnO make difficult the creation of a homojunction. Therefore, in photovoltaic application Cu2O could be an appropriate p-type partner layer due to its high absorption coefficient in the visible region and high room temperature mobility, that could reach 100 cm2/Vs in single crystal Cu2O [5]. These properties together with the fact that ZnO and Cu2O consist of non-toxic, earth abundant and inexpensive materials make interesting the study of p-type Cu2O/ n-type ZnO heterojunctions, as possible candidates for low-cost solar cells. Interface optimization is a particularly important issue.
In this work Cu2O films were grown by atomic layer deposition (ALD) on top of ZnO and Al-doped ZnO (AZO) films deposited via reactive magnetron sputtering. X-ray diffractograms show that copper oxide films were textured on the c-axis oriented polycrystalline ZnO with (220) Cu2O || (002) ZnO. The thickness and roughness of the films were determined by ellipsometry measurements and confirmed later by TEM images. Micrographs of the samples taken with transmission and scanning electron microscopes allow determining the different morphologies, grain size and to follow the evolution of the Cu2O film with increasing the number of the ALD cycles. High-resolution TEM images and their fast Fourier transform patterns taken at the interface between Cu2O and ZnO prove local epitaxial relationship where [001] Cu2O || [010] ZnO films. The dielectric functions of Cu2O and ZnO films were determined by ellipsometry and the band gap energy was estimated at 2.3 eV for Cu2O and 3.4 eV for ZnO. Finally, by changing the conductivity of ZnO and AZO films it was possible to tune the deposited material from Cu2O to pure metallic Cu, having in between a mixture of both phases.
[1] A. Janotti and C. G. Van de Walle, Rep. Prog. Phys. 72 (2009) 126501.
[2] Q. Qiao, B.H. Li, C.X. Shan, J.S. Liu, J. Yu, X.H. Xie, Z.Z. Zhang, T.B. Ji, Y. Jia, D.Z. Shen, Mater. Lett. 74 (2012) 104.
[3] X. Liu, L. Gu, Q. Zhang, J. Wu, Y. Long and Z. Fan, Nat. Commun. 5 (2014) 4007.
[4] Y. Ievskaya, R.L.Z. Hoye, A. Sadhanala, K.P. Musselman, J.L. MacManus-Driscoll, Sol. Energy Mater. Sol. Cells 135 (2015) 43.
[5] Z. Q. Yao, S. L. Liu, L. Zhang, B. He, A. Kumar, X. Jiang, W. J. Zhang and G. Shao, Appl. Phys. Lett. 101 (2012) 042114.
4:15 PM - EM04.11.10
Gallium Antimonate—A Wide-Bandgap Semiconductor with Hidden Ordering
Adam Jackson 1 , W. Winnie Leung 1 , Alex Ganose 1 , Ian Godfrey 1 , G Sankar 1 , R. Palgrave 1 , David Scanlon 1
1 , University College London, London United Kingdom
Show AbstractIn the modern built environment, transparent oxide coatings are used on large surfaces as part of photovoltaic devices, display screens and functional glass windows. There is value in searching for more materials as any improvements in performance, supply chain or device architectures could impact these large-scale applications. Novel energy materials are often related to existing ones by isoelectronic substitutions (e.g. Si → ZnS → CuInS2).[1] This design approach also leads from the transparent conductor SnO2 to gallium antimonate (GaSbO4). Early experiment suggested that this would adopt a fully disordered rutile structure, and there was little subsequent interest in its electronic properties.[2] Using ab initio calculations and cluster-expansion methods we show that an ordered ground state exists which is substantially lower in energy and widens the bandgap of the material.[3] GaSbO4 was synthesised by high temperature reaction of the binary oxides and found to have an optical band gap of ~3.7 eV. The arrangement of the cation sublattice, which is not discernable by X-ray diffraction, was examined using X-ray absorption spectroscopy. Band structure calculations show a low conduction-band effective mass, supporting the application of this material as a new n-type TCO.
[1] B. Pamplin (1964) J. Phys. Chem. Solids, 25 675
[2] J. D. Donaldson, A. Kjekshus, D. G. Nicholson, T. Rakke & U. Skoglund (1975) Acta. Chem. Scand., 29A 803
[3] A. J. Jackson, W. W. Leung, A. M. Ganose, I. J. Godfrey, G. Sankar, R. G. Palgrave & D. O. Scanlon (2017) in prep.
4:30 PM - EM04.11.11
Dual Emitting Doped Oxide Nanostructures for Fluorescence Intensity Ratio Based Optical Temperature Sensing
Subrata Senapati 1 , Karuna Kar Nanda 1
1 , Indian Institute of Science, Bangalore, KA, India
Show AbstractHere, we demonstrate the design of dual-emitting co-doped oxides that can be exploited for a wide range flourescence intensity ratio (FIR) based optical temperature sensing. Eu and Er co-dped ZnO (EuEr:ZnO) microrods prepared by hydrothermal method. Emission peaks corresponding to Eu3+ and Er3+are observed suggesting dual emission from co-doped ZnO. By taking ratio of two emission intensity variation with temperature, numerous factors that affect the sensor functionality such as concentration, excitation power, optoelectronic drift, etc. can be neglected. Interestingly, here, the peak intensity corresponding to Er3+ decreases and that of Eu3+ increases with increase of temperature which is pre-requisite for high sensitive temperature sensing. The sensitivity of co-doped ZnO is found to be superior to that reported sensing materials. The photoinduced electron transfer from the defect levels of ZnO host to Eu3+ and not to Er3+ is the key for the observed temperature-dependency. Overall, our results pave the way in designing dual emission and the temperature-dependency in various nanostructures which can be explored in temperature sensing. As an example, we probe temperature dependency of congo-red and polyvinyle alcohol (PVA) composite using EuEr:ZnO as optical probe for temperature sensing.