Symposium Organizers
Oliver Bierwagen, Paul Drude Institute
Masataka Higashiwaki, National Institute of Information and Communications Technology
Anderson Janotti, University of California-Santa Barbara
Tim Veal, University of Liverpool
O2: Ga2O3
Session Chairs
Masataka Higashiwaki
Zbigniew Galazka
Monday PM, December 01, 2014
Hynes, Level 3, Ballroom A
2:30 AM - *O2.01
Homo-Epitaxial Growth of High-Purity Films of beta;-Ga2O3 and ZnO by Halide Vapor Phase Epitaxy
Yoshinao Kumagai 1 Rie Togashi 1 Hisashi Murakami 1 Akinori Koukitu 1
1Tokyo University of Agriculture and Technology Tokyo Japan
Show AbstractSingle crystals of β-Ga2O3 and ZnO are promising materials for use in the fabrication of high-power electronic devices [1] and blue light emitting devices [2] owing to their wide bandgap energy and availability of large diameter high-quality bulk substrates. However, these bulk substrates generally show n-type conductivity due to impurities and vacancies. Hence, development of homo-epitaxial growth methods of high-purity and high-quality films of β-Ga2O3 and ZnO are important to explore device fabrication.
After the success of preparation of high-quality and high-purity freestanding nitride substrates of GaN [3] and AlN [4] by halide vapor phase epitaxy (HVPE), our group have been investigating HVPE of β-Ga2O3 and ZnO films on bulk β-Ga2O3 and ZnO substrates, respectively. The advantages of employing HVPE are high-purity crystal growth at high temperatures and high growth rates due to chloride chemistry. We first examined possible precursors for HVPE as well as influence of growth parameters by means of thermodynamic analysis. Then, we constructed HVPE reactors based on it.
In HVPE of β-Ga2O3, GaCl and O2 can be used as precursors, and the growth is expected up to 16000C under an atmospheric pressure using N2 carrier gas. In our HVPE system, GaCl generated in the upstream region maintained at 8500C by the reaction between Ga metal and Cl2 gas was mixed with O2 in the downstream region to grow β-Ga2O3. Growth was performed on bulk β-Ga2O3 substrates with various orientations at temperatures ranging from 800 to 10500C with various growth rates (0-20 mu;m/h). X-ray diffraction (XRD) and secondary ion mass spectrometry (SIMS) measurements revealed high structural quality of the grown layer almost identical to the substrate and low concentrations of impurities below their background levels.
In HVPE of ZnO, both ZnCl2-H2O-N2 and ZnCl2-O2-N2 systems were investigated. In both cases, ZnO can be grown even at high temperature of 10000C on ZnO (0001) substrate. XRD measurements of the grown layers revealed high structural quality almost identical to the substrate. SIMS measurements also revealed low impurity concentrations of H, C, Si and Cl below the background levels. High-frequency C-V measurements for metal-oxide-semiconductor (MOS) diodes using the grown layer revealed n-type conductivity with a concentration of ND-NA of 1x1015 cm-3, which gives a platform to investigate growth of p-type ZnO layers by HVPE.
[1] M. Higashiwaki et al., Appl. Phys. Lett. 100 (2012) 013504.
[2] K. Nakahara et al., Appl. Phys. Lett. 97 (2010) 013501.
[3] K. Motoki et al., Jpn. J. Appl. Phys. 40 (2001) L140.
[4] Y. Kumagai et al., Appl. Phys. Express 5 (2012) 055504.
3:00 AM - O2.02
Halide Vapor Phase Epitaxy of beta;-Ga2O3 Films on (001) beta;-Ga2O3 Substrate
Hisashi Murakami 1 Kazushiro Nomura 1 Ken Goto 2 Katsuaki Kawara 1 Rie Togashi 1 Yoshinao Kumagai 1 Akito Kuramata 2 Shigenobu Yamakoshi 2 Akinori Koukitu 1
1Tokyo University of Agriculture and Technology Koganei Japan2Tamura Corporation Sayama Japan
Show Abstractβ-Ga2O3 exhibits large band gap energy of ~4.8 eV, which implies the material is a promising candidate for UV-sensors and next-generation power device applications [1]. Compared with SiC and III-nitrides from the viewpoint of device fabrication, it is advantageous that single crystal substrates with large-diameter and high quality can be fabricated by melt growth. Nowadays, 2 inches in diameter β-Ga2O3 wafers with low dislocation densities (~104 cm-2) have been reported [2]. Although high purity (low impurity concentration) crystals are needed to exploit the superior potential of β-Ga2O3 for power devices, it is difficult to control the impurity levels as low as 1016 cm-3 by melt growth. One promising approach to obtain a high purity β-Ga2O3 crystal is using halide vapor phase epitaxy (HVPE). Recently, our group has theoretically predicted the possibility of HVPE growth of β-Ga2O3 by thermodynamic analysis [3]. In this study, we report the first demonstration of homo-epitaxial β-Ga2O3 growth by HVPE.
Sn-doped (001) β-Ga2O3 substrate was used. The source gases were gallium chloride (GaCl) and oxygen (O2). GaCl was generated in the upstream region of the reactor by the reaction between high-purity Ga metal and chlorine (Cl2) gas at 8500C. GaCl and O2 were separately introduced to the β-Ga2O3 substrate by N2 carrier gas, and growth of β-Ga2O3 was performed. Growth temperatures were ranging from 800 to 10500C. The input partial pressure of GaCl was fixed at 5.0x10-4 atm and the input VI/III ratio was varied from 5 to 25 by changing the input partial pressure of O2.
Single crystalline (001) β-Ga2O3 layers could be obtained at the growth temperatures of 800-10500C, which was confirmed by 2theta;-omega; X-ray diffraction. It was revealed that the growth rate of β-Ga2O3 was almost constant at around 5 mu;m/h in this temperature range under the condition of VI/III=5. Thus, the growth process is limited by mass transportation in these temperatures. Furthermore, the growth rate increased to 10 mu;m/h by increasing VI/III ratio to 25. These results agree well with the thermodynamic analysis, which implies that the HVPE growth of β-Ga2O3 is thermodynamically controlled [3]. Impurity concentration in HVPE-grown β-Ga2O3 was measured by secondary ion mass spectrometry (SIMS). The impurity concentrations of Si, C, H and Sn (dopant of substrate) were below the background levels of the SIMS chamber. Therefore, HVPE growth of β-Ga2O3 is quite promising approach to achieve a high purity and high quality crystal.
[1] M. Higashiwaki et al., Appl. Phys. Lett. 100 (2012) 013504.
[2] H. Aida et al., Jpn. J. Appl. Phys. 47 (2008) 8506.
[3] K. Nomura et al., J. Cryst. Growth, to be published.
3:15 AM - O2.03
Synchrotron X-Ray Topography Observation of beta;-Ga2O3 Single Crystals
Makoto Kasu 2 Satoshi Masuya 2 Ryuichi Murakami 2 Akito Kuramata 1 Kosho Koshi 1
1Tamura Corporation Sayama Japan2Saga University Saga Japan
Show Abstractβ-Ga2O3 is a semiconductor with a wide bandgap of 4.8eV and is expected to become high-power device materials [1]. However, defects in β-Ga2O3 crystal are not well understood. Here, we performed synchrotron light X-ray topography observation of β-Ga2O3 single crystals.
β-Ga2O3 single crystals were grown by the Edge-defined Film-fed Growth (EFG) method at Tamura Corporation, where pulling direction was the [010] direction. We observed (-201) and (010)-orientated plates cut from the single crystal. X-ray topography was performed where the incident monochromatic X-ray with a photon energy of about 9 keV was used and the sample was set in reflection geometry.
We found that defects run in the [010] direction, and some of them seem low angle grain boundaries, and noticed twinning on the (100) plane.
1) M. Higashiwaki, K. Sasaki, A. Kuramata, T. Masui, and S. Yamakoshi, Appl. Phy. Lett. 100 (2012) 013504.
3:30 AM - O2.04
Quantitative Surface Coverage Calculations via Solid-State NMR for Thin Film Depositions of Ga2O3 from a Novel Amidinate Precursor
Peter George Gordon 1 Peter Jackson Pallister 1 Sydney C Buttera 1 Sean T Barry 1
1Carleton University Ottawa Canada
Show AbstractThe nature of the surface species formed by an atomic layer deposition (ALD) precursor is intrinsic in understanding the chemistry of thin film deposition, and is a key element in designing precursor compounds. We have recently adapted a modified model for fitting 29Si signals in solid-state NMR to allow us to determine the fraction of #9567;Si-OH surface species (both single and geminal) that have been occupied after exposure to a pulse of precursor vapour.1 N,N'-diisopropylacetamidinatediethylgallium(III) (1) was found to have excellent volatility with no decomposition during a ramped thermogravimetric analysis experiment. Stepped-isotherm experiments showed a 1 Torr vapour pressure at 64°C. The initial chemisorption of a new gallium(III) alkyl amidinate compound was investigated on high-surface area silica for NMR analysis.
To study the resulting surface species, 1 was exposed to a pre-treated high-surface area silica substrate at 100°C, 200°, and 300°C. Stable, persistent chemisorbed surface species were observed at all three temperatures. Substrates were analyzed by 29Si and 13C solid-state nuclear magnetic resonance spectroscopy (SS-NMR) and 1H high-resolution NMR. At 100°C and 200°C the reactivity of compound 1 to geminal and lone hydroxyl surface sites varied slightly: either one or both ethyl groups were eliminated to produce an alkylated (or non-alkylated) gallium acetamidinate on the silica surface, producing fractional coverages of 0.17 - 0.18. At 300°C there was a larger degree of reactivity producing a minor amount of the same surface species as at 100°C and 200°C. Additional chemisorbed products were also produced at 300°C, likely arising from the decomposition of the ligand framework but ultimately giving a fractional coverage of 0.31 on hydroxyl-terminated silica.
This presentation will discuss surface coverage and surface chemistry in the context of the deposition of gallium oxide thin films from 1, and the general thermal characteristics of this precursor (melting point, volatility, thermal stability). The details of subsequent experiments using 1 and water for atomic layer deposition of Ga2O3 thin films will also be presented.
[1] F. Zaera, Coord. Chem. Rev. 257 (2013) 3177-3191
3:45 AM - O2.05
Thermodynamic Analysis on Halide Vapor Phase Epitaxy of beta;-Ga2O3
Kazushiro Nomura 1 2 Ken Goto 2 Rie Togashi 1 Hisashi Murakami 1 Akito Kuramata 2 Shigenobu Yamakoshi 2 Akinori Koukitu 1
1Tokyo University of Agriculture and Technology Koganei Japan2Tamura Corporation Sayama Japan
Show Abstractβ-Ga2O3 has attracted attention as a material for the fabrication of high power devices owing to its wide band-gap of approximately 4.9 eV. One of the important feature of β-Ga2O3 is that the bulk single crystal grown by melt growth [1] is available as a substrate for homoepitaxial growth. However, the bulk crystal generally exhibits n-type conductivity due to impurity incorporation and formation of oxygen vacancy. Therefore, in order to fabricate the high-voltage power devices, the growth technique of β-Ga2O3 with high quality and high purity is required. Our group proposes β-Ga2O3 growth by halide vapor phase epitaxy (HVPE) which is possible to grow high-quality and high-purity crystal at high temperatures and high growth rates. In our previous studies, suitable growth conditions for the HVPE growth of GaN [2], AlN [3] and ZnO [4] were estimated by thermodynamic analyses, which results were in good agreement with the experimental results. Therefore, thermodynamic analysis of β-Ga2O3 growth by HVPE is also useful for selecting precursors and growth conditions.
In this study, the prospect of HVPE growth of β-Ga2O3 is investigated by thermodynamic analysis and results are compared with experimental results. GaCl and O2 gases were selected as precursors for HVPE growth of β-Ga2O3 by comparing equilibrium constants of the reactions between Ga- and O-precursors. The GaCl and O2 gases with input partial pressure (denoted as P0GaCl and P0O2, respectively) were supplied to the HVPE system by carrier gases of H2 and/or inert gas (IG). In the calculation, the following eleven gaseous species were chosen as neccesary gaseous species over Ga2O3 crystal: GaCl, GaCl2, GaCl3, (GaCl3)2, Ga2O, Cl2, O2, H2, HCl, H2O and IG. The equilibrium partial pressures of each gaseus species and driving force for the β-Ga2O3 growth (ΔPGa2O3) were calculated with given growth conditions.
When H2 is not included in the carrier gas, the ΔPGa2O3 is larger than zero up to 16000C, which indicates that the β-Ga2O3 growth is expected. With increase of H2 in the carrier gas, however, the ΔPGa2O3 decreases. Therefore it is preferable to use non-hydrogenous carrier gas for the growth of Ga2O3 by GaCl-O2 system. In the non-hydrogenous carrier gas at 10000C, the ΔPGa2O3 increases with increase of P0O2 below input VI/III ratio (2P0O2/P0GaCl) of 1. The equilibrium partial pressures drastically change at input VI/III ratio of arround 1, and the ΔPGa2O3 is almost constant above input VI/III ratio of 1. The calculated growth rates from the ΔPGa2O3 are in good agreement with the experimental growth rates, which indicates the growth of β-Ga2O3 by HVPE can be thermodynamically controlled.
[1] M. Higashiwaki et al., Phys. Status Solidi A 211 (2014) 21.
[2] A. Koukitu et al., Jpn. J. Appl. Phys. 37 (1998) 762.
[3] Y. Kumagai et al., Phys. Status Solidi (b) 243 (2006) 1431.
[4] T. Fujii et al., J. Cryst. Growth 314 (2011) 108.
4:30 AM - *O2.06
Ga2O3 Homoepitaxial Growth on Single-Crystal beta;-Ga2O3 Substrates by Molecular Beam Epitaxy
Kohei Sasaki 1 2 Masataka Higashiwaki 2 Akito Kuramata 1 Shigenobu Yamakoshi 1
1Tamura Corporation Sayama Japan2National Institute Information and Communications Technology Koganei Japan
Show Abstractβ-gallium oxide (β-Ga2O3) possesses material properties suitable for power device applications, such as an extremely large bandgap of 4.8-4.9 eV and large breakdown electric field strength of 8 MV/cm [1]. The electron mobility is estimated to be around 300 cm2/(Vmiddot;s) for electron densities of 1015-1016 cm-3. Baliga&’s figure of merit, a basic parameter showing how suitable a material is for power devices, of Ga2O3 is several times larger than that of 4H-SiC or GaN. Another important feature is that large single-crystal β-Ga2O3 substrates can be fabricated with melt-growth methods such as floating-zone and edge-defined film-fed growth. This fact would directly lead to easy and low-cost mass production. This is a big advantage of Ga2O3 over 4H-SiC, GaN, and diamond substrates. For these reasons, we consider that Ga2O3 is a very attractive new semiconductor for power device applications because of its material properties and ease of mass production.
For power devices, the growth technique has to be capable of fabricating film a few microns thick in which the carrier concentration can be precisely controlled in the range of 1015-1017 cm-3. There have been a few reports on epitaxial growth of Ga2O3 films on β-Ga2O3 (100) substrates by MBE. These films had atomically flat surfaces because of the step-flow growth mode. However, there was a fatal problem with the growth on the (100) plane in that the rate was only a few tens of nanometers per hour.
To overcome the above problem, we studied the relation between the growth rate and the Ga2O3 substrate orientation and succeeded in increasing the rate to several micrometers per hour by changing the orientation from (100) to (010) [2]. Next, we investigated the growth temperature dependence of Sn-doped Ga2O3 homoepitaxial films on the (010) plane. Smooth surfaces were obtained at growth temperatures of 550-650°C. On the other hand, a doping delay that was probably due to segregation of Sn occurred at higher than 600°C. Therefore, it was found that the optimum growth temperature for obtaining high-quality Sn-doped Ga2O3 homoepitaxial films is in a narrow range between 540°C and 570°C. By optimizing the growth temperature, we succeeded in precisely controlling the carrier concentration in the range of 1016-1018 cm-3 [3].
In this paper, we describe the Ga2O3 MBE growth technique for power device applications. We show that a high growth rate and precise carrier concentration control are possible by changing the substrate orientation and optimizing the growth temperature. Part of this work was supported by NEDO, Japan.
[1] M. Higashiwaki, K. Sasaki, et al.: Appl. Phys. Lett. 100 (2012) 013504. [2] K. Sasaki et al.: Appl. Phys. Express 5 (2012) 035502. [3] K. Sasaki et al: J. Cryst. Growth 392 (2014) 30-33.
5:00 AM - O2.07
MOCVD Grown Homoepitaxial beta;-Ga2O3 Layer Studied by Transmission Electron Microscopy
Robert Schewski 1 Guenther Wagner 1 Michele Baldini 1 Daniela Gogova 1 Toni Markurt 1 Tobias Schulz 1 Tilo Remmele 1 Zbigniew Glazaka 1 Reinhard Uecker 1 Martin Albrecht 1
1Leibniz Institute for Crystal Growth Berlin Germany
Show AbstractGallium oxide is a wide band gap (4.9 eV) semiconductor with potential applicability as a transparent semiconducting oxide. Homoepitaxy of β-Ga2O3 thin film has been performed in the last years mainly by molecular beam epitaxy (MBE), realizing first Schottky barrier diodes and field-effect transistors (MESFETs) [1-3]. In contrast, the possibilities using MOCVD, which is more close to thermodynamical equilibrium and suitable for large scale production, are less explored.
In this presentation we study homoepitaxial growth of β-Ga2O3 on on-axis and off-axis (100) substrates. Trimethylgallium (TMGa) and H2O are used as precursors for Ga and O, respectively. Growth is performed in the temperature range between 750°C and 850°C and chamber pressures between 5 and 100 mbar [4]. Structural studies are performed by aberration corrected TEM as well as by Z-contrast STEM using an HAADF detector.
TEM analyses reveal that in case of on-axis substrates and at low chamber pressures the layer is characterized by a high density of planar defects, primarily stacking faults and stacking mismatch boundaries, while the surface is rough and exhibits elongated islands of several nm height. We conclude that the stacking faults result from possible double positioning of 2D nuclei, which form stacking mismatch boundaries upon coalescence. This is caused by low surface diffusion of the ad-atoms and cannot be overcome by intentional miuscuts up to 1.5°. This shows that the diffusion length is typically smaller than approximately 20 nm even at the highest growth temperatures of 850°C. Addition of Indium however enables perfect step flow growth for a miscut angle of 1 °. The layers are free of planar defects and in some cases In2O3 is found at the growth surface. We explain these findings by In segregation to the surface where it is present as a thin metallic film increasing the mobility of the ad-atoms. This behavior is promoted by the lower thermal stability of In2O3 compared to that of Ga2O3 and resemble the surfactant behavior of In the case of III-Nitrides.
[1] T. Oshima et al. Thin Solid Films 516 5768-5771 (2008)
[2] K. Sasaki et al. Appl. Phys. Express 5 035502 (2012)
[3] M. Higashiwaki et al. Appl. Phys. Lett. 103 123511 (2013)
[4] G. Wagner et al. Phys. Status Solidi A 211 27 (2013)
5:15 AM - O2.08
Stabilization of alpha;-Ga2O3 Interlayer in the Growth of beta;-Ga2O3 on Sapphire by PLD, MBE and MOCVD
Robert Schewski 1 Gamp;#252;nther Wagner 1 Daniela Gogova 1 Michele Baldini 1 Tilo Remmele 1 Toni Markurt 1 Tobias Schulz 1 Oliver Bierwagen 3 Patrick Vogt 3 Holger von Wenckstern 2 Marius Grundmann 2 Martin Albrecht 1
1Leibniz Institute for Crystal Growth Berlin Germany2University of Luebeck Leipzig Germany3Paul Drude Institute Berlin Germany
Show AbstractEpitaxial growth of semiconducting α-gallium oxide on insulating aluminium oxide is potentially of high interest for device applications. The moderate misfit and high difference in the band gap (4.9 eV for gallium oxide, 8.7 eV for aluminium oxide) make the system interesting for two-dimensional electron gases and intersubband devices. Unfortunately α-Ga2O3 is thermodynamically metastabe under ambient conditions. The formation of α-Ga2O3 has, however been reported at 1000°C and at pressures as high as 44kbar [1].
In this talk, we will show by means of transmission elctron microscopy that the α phase of Ga2O3 in a heteroepitaxial growth process on a sapphire substrate (0001) can be stabilized by strain up to a thickness of 4 monolayers. Similar is known from conventional semiconductors previously. An example is the epitaxial stabilization of α-Sn on InSb.
The α-Ga2O3 layers were grown onto c-oriented sapphire substrates by meteal organic vapour deposition, molecular beam epitaxy and pulsed laser deposition at temperatures of 800°C. The samples were analysed by Z-contrast STEM in FEI Titan at 300 keV. Contrats simulations were done by frozen phonon calculations. Independent on the growth method applied we find a closed coherent 3 monolayer thick layer of α-Ga2O3 on top of the sapphire. Because of the lack of experimental data on the elastic constants we derive the pressure induced by the lattice mismatch of 4.8% based on the compression modulus derived in high pressure experiments by Lipinska et al. [2]. We find a value of 14GPa, which is good agreement with literature data on the phase transitions between α-Ga2O3 and β-Ga2O3. We show further that strain relaxation beyond a critical thickness leads to formation of the β-phase and leads in consequence to the domain like structure found in epitaxial growth of β-Ga2O3 on sapphire.
[1] J. P. Remeika et al. Appl. Phys. Lett. 8, 87 (1966)
[2] Lipinska-Kalita et al. Phys. Rev. B 77, 094123 (2008)
5:30 AM - O2.09
Schottky Barrier Diodes on PLD-Grown (InxGa1-x)2O3 Thin Film
Holger von Wenckstern 1 Daniel Splith 1 Anna Werner 1 Florian Schmidt 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractWe report on Schottky barrier diodes (SBD) on binary bixbyte In2O3 and monoclinic Ga2O3 and SBDs on alloyed (InxGa1-x)2O3 thin films. Highly rectifying Schottky contacts are routinely obtained for various metals on b-Ga2O3 realized by thermal evaporation or a reactive sputtering process. The diodes are highly stable at elevated temperatures. This allows studying such diodes at temperatures at which non-idealities induced by a laterally varying Schottky barrier potential are negligible [1]. The ideality factor of the diode is then determined by image force lowering, the barrier height obtained from current-voltage and capacitance-voltage measurements are the same.
Barriers on In2O3 show up to now rectification up to 4 orders of magnitude; lowering the free electron concentrations in such thin films by compensation with Mg will be explored to increase the rectifying properties.
Schottky contacts on ternary (InxGa1-x)2O3 have best properties for low Indium contents. The rectification and the barrier height decrease systematically with increasing x.
The group-III sesquioxides find potential application in high power applications, as deep-UV photodetectors or QWIPs. For these applications the realization of rectifying metal-semiconductors is a prerequisite. Realization of Schottky diodes on b-Ga2O3 was already reported and is rather straightforward. However, the commonly observed surface electron accumulation layer on In2O3 [2] has hindered realization of high-quality Schottky contacts thereon. Recently a promising reactive sputtering approach was used to create SBDs with current rectification of four orders of magnitude on In2O3 thin films grown by molecular beam epitaxy. We used this reactive sputtering process to realize SBDs on In2O3 thin films grown by pulsed-laser deposition and show that the rectification of such diodes exceeds that reported in the literature if the contact metal is deposited In2O3:Mg layer having a reduced free electron concentration due to compensation.
The SBDs on ternary (InxGa1-x)2O3 were realized on a single 2” wafer having a lateral variation of the In content x. The thin film was created employing a recently introduced facile approach to grow films with a continuous composition by pulsed-laser deposition [4]. This approach enables us in principle, to investigate thin film properties for any In admixture x.
[1] Daniel Splith et al., phys. stat. sol. (a) 211, 40 (2014)
[2] P. King et al., Phys. Rev. 101, 116808 (2008).
[3] H. von Wenckstern et al. , APL Mater. 2, 046104 (2014)
[4] H. von Wenckstern at al., CrystEngComm 15, 10020-10027 (2013) #8232;
5:45 AM - O2.10
A Multiple Quantum Well Fe2O3/Ga2O3 Fabricated Under Atmospheric Pressure by Mist Chemical Vapor Deposition Based on Leidenfrost Effect
Toshiyuki Kawaharamura 2 3 Giang T. Dang 1 Noriko Nitta 2 4 Martin Ward Allen 1
1University of Canterbury Christchurch New Zealand2Kochi University of Technology Kami Japan3Kochi University of Technology Kami Japan4Kochi University of Technology Kami Japan
Show AbstractDevelopment of quantum devices, such as quantum wells and topological insulators, has been getting more active. Thin film fabrication processes, such as MBE and MOCVD, which enable control of precursor materials in an atomic scale, are normally employed in order to prepare the quantum devices. Especially, migration of precursor materials on the surface is one of the most important issues for fabrication of highly crystalline and homogeneous thin films using these processes. For example, highly oriented GaAs thin films of satisfactory crystallinity can be prepared at temperature of 600°C by MBE [1,2]. However, high temperature is required to increase migration length since migration length decreases with temperature [3,4]. In order to prepare highly crystalline thin films at low temperature, usage of surfactants such as bismuth (Bi) or antimony (Sb) has been developed. However, high growth temperature above 400°C is still required.
On the other hand, mist CVD is a technique for functional thin film growth under open-air atmospheric pressure using solution precursors. Therefore, this method is an environmental friendly process. It would be very innovative (or “interesting”), if the quantum devices can be fabricated by such a simple cost-effective technology.
Recently, high quality thin films can be grown by mist CVD [5]. This is because precursor materials react in vapor phase as a result of Leidenforst effect, which enables “super migration” over 10 cm in the reaction field [6]. Thus, growth of multiple quantum well Fe2O3/Ga2O3 by mist CVD was attempted. As a result, a multiple quantum well of 20 periods of alternating 6 nm Fe2O3 and 14 nm Ga2O3 layers was successfully fabricated by mist CVD at temperature of 400°C. TEM images and XRD measurement show a highly ordered structure with both Fe2O3 and Ga2O3 layers of highly single crystallinity. This indicates that high quality thin films and the high performance devices can be prepared not only by the MBE and MOCVD but also by an environmental friendly technique such as mist CVD.
We would like to report in detail and discuss it in the meeting.
[1] S. Nagata and T. Tanaka, J. Appl. Phys., 48 (1977) 940
[2] J. H. Neave, et al., Appl. Phys. Lett., 47 (1985) 100
[3] F.A.Trumbor, Bell Syst. Tech. J., 39 (1960) 205
[4] K. Sakamoto, et al., Jpn. J. Appl. Phys., 32 (1993) L204
[5] T. Kawaharamura, et al., Phys. Status Solidi C 10 (2013) 1565
[6] T. Kawaharamura, Jpn. J. Appl. Phys., 53 (2014) 05FF08
O3: Poster Session I: Oxide Semiconductors I
Session Chairs
Anderson Janotti
Tim Veal
Monday PM, December 01, 2014
Hynes, Level 1, Hall B
9:00 AM - O3.01
Annealing Effects on Metal-ZnO Interface for Improvement Performance of Thin-Film Transistors
Miguel Dominguez 1 Francisco Flores 1 Adan Luna 1 Salvador Alcantara 1 Javier Martinez 1 Jose Luna 1 Pedro Rosales 2 Claudia Reyes 2
1CIDS-BUAP Puebla Mexico2INAOE Puebla Mexico
Show AbstractCurrently, Zinc Oxide (ZnO) films can be obtained by several techniques, where spray pyrolysis offers low-cost, simplicity, is useful for large area applications and no need of high vacuum. These advantages make of great potential the use of ZnO by spray pyrolysis as active layer of low-cost thin-film transistors (TFTs) applications. Low-cost TFTs applications are possible by the use of low-cost plastic substrates. Although, ZnO TFTs fabricated by spray pyrolysis have already been demonstrated, the temperature of deposition to obtain high performance devices is still high enough to be compatible with low-cost electronics.
In this work, the annealing effects at 180°C in Aluminum-ZnO contacts as function of time were studied. Also, the characterization and application in TFTs of ZnO films obtained at low-temperature (200°C) are presented. The ZnO films obtained by ultrasonic Spray Pyrolysis at 200 °C were deposited over Aluminum contacts on SiO2/Si wafers to demonstrate the use of active layer in thin-film transistors.
9:00 AM - O3.02
ZnO Thin-Film Transistors with Organic-Inorganic-Hybrid Gate Dielectrics Deposited from Bis-Amino-Silane by PECVD
Chen-Hsuan Wen 1 Yun-Shiuan Li 1 I-Chun Cheng 1 Clement Lansalot-Matras 2
1National Taiwan University Taipei Taiwan2Air Liquide Laboratories Korea Seoul Korea (the Republic of)
Show AbstractOrganic-inorganic hybrid materials can be deposited from organic precursors diluted with oxidants using plasmas technology. The main advantage for this technology is the property modulation capability through the dilution ratio of the oxidants during the deposition process. The film can be polymer-like (organic-like) or oxide-like (inorganic-like) depending on the amount of oxidant in the source gases. The most representative organic-inorganic hybrid material that is deposited from Hexamethyldisiloxane and oxygen by plasma-enhanced chemical vapor deposition (PECVD) has been applied as corrosion protection coatings for metals, interfacial medium coatings for biomaterials, encapsulation materials for solar cells and organic light emitting diodes, and gate dielectrics for thin-film transistors. In this study, we investigate the organic-inorganic hybrid film deposited from a newly-emerging organic Bis-amino-silane precursor (Bis-DiethylAminoSilane, Si(NEt2)2H2) diluted with oxygen by PECVD. We further demonstrate its usage as the gate dielectric for ZnO thin-film transistors (TFTs).
The deposited films reveal >90% optical transmittance from ~400 to ~2600 nm in wavelength. The films become more inorganic-like (SiO2-like) when the [O2]/[Bis-amino-silane] flow rate ratio increases, as verified by the Fourier transform infrared spectroscopy and contact angle measurements. The hybrid material is then used as the gate dielectrics for ZnO TFTs. An on/off current ratio of >106, threshold voltage of 3 V, and subthreshold swing of 0.48 Vdec-1 are achieved for the TFT using the gate dielectric deposited at an [O2]/[Bis-amino-silane] flow rate ratio of 50 and a process power of 55 W. In comparison with commonly used gate dielectric material SiO2 for TFTs, the appealing features of this organic-inorganic hybrid material are its flexibility offered by organic components along with adjustable interfacial properties. Further results will be reported in the symposium.
9:00 AM - O3.03
Preparation of Fully Transparent Indium Gallium Zinc Oxide Thin-Film Transistors on Flexible Polyethylene Naphthalate Substrate
Yao-Hsu Sun 1 Tsung-Eong Hsieh 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractAmorphous oxide semiconductors (AOSs) such as indium gallium zinc oxide (a-IGZO) has been widely applied to flexible electronics due to the advantages of high carrier mobility, good film uniformity, low processing temperature and production cost. The first transparent a-IGZO thin-film transistor (TFT) was prepared on flexible polyethylene terephthalate (PET) substrate by using pulse laser deposition (H. Hosono, J. Non-Cryst. Solids, 35(2006), 851). In Hosono&’s work, the device with the field-effect mobility about 10 cm2/V#8729;sec was achieved. Afterward, sputtering, chemical vapor deposition (CVD) and the so-called “wet” processes were extensively studied in order to realize the large-area TFT production.
This work demonstrates the preparation of fully transparent a-IGZO TFT with bottom-gate structure on flexible polyethylene naphthalate (PEN) substrate. First, the double-layer SiNx/SiOy gate dielectrics with various thickness ratios (20 nm/180 nm, 35 nm/165 nm and 50 nm/150 nm) were deposited on PEN substrates containing indium tin oxide (ITO) electrodes by employing the plasma-enhanced CVD at temperature below 150°C. The 40-nm thick a-IGZO serving as the active channel layer and 400-nm thick indium zinc oxide (IZO) serving as the source/drain electrodes of TFT were then deposited in sequence by radio-frequency sputtering at room temperature to complete the sample preparation. UV-visible spectroscopy measurement indicated that the average transmittance of such a TFT is about 85 % in visible wavelength range. At a low operating voltage of 5 V, the field-effect mobility of 46.8 cm2/V#8729;sec, threshold voltage of 1.5 V, subthreshold swing of 1.3 V/decade, and on/off current ratio about 105 were achieved in the TFT containing SiNx/SiOy gate dielectric with thickness ratio of 35 nm/165 nm as revealed by the electrical characterizations.
9:00 AM - O3.04
RF Sputtering and Characterization of Highly-Aligned Nanocrystalline (CAAC) InGaZnO4
David M Lynch 1 Bin Zhu 1 Barnaby D. A. Levin 2 David A. Muller 2 Dieter G. Ast 1 Raymond G. Greene 3 Michael O. Thompson 1
1Cornell University Ithaca USA2Cornell University Ithaca USA3Corning Incorporated Corning USA
Show AbstractWithin the class of amorphous oxide semiconductor devices, c-axis aligned crystal InGaZnO4 (CAAC IGZO) transistors have been shown to possess dramatically improved device stability and reduced leakage currents. This work explored the RF sputtering conditions required to form CAAC IGZO thin films, and characterized the long-range crystallographic texturing. Strong c-axis alignments, with large in-plane domains, were obtained under a broad range of deposition conditions.
Films were deposited on thermally oxidized Si wafers by reactive RF sputtering in varying O2/Ar plasmas (0-100% O2) with substrates heated from room temperature to 400°C. Crystallinity was quantified by X-ray diffraction and the crystallographic texture determined using a Bruker General Area Detector Diffraction System (GADDS). Selected samples were further characterized using high-resolution transmission electron microscopy (HRTEM) with an FEI Tecnai F20 TEM to image in plan-view and cross-section.
For substrate temperatures below 190°C, amorphous IGZO characterized by a broad X-ray peak at 2theta;=32° was observed. Above 190°C, a transformation to nano-crystalline films with an X-ray peak at 2theta;=30° (009 planes) occurs. GADDS shows increasingly strong c-axis texture up to deposition temperatures near 300°C. Under optimal conditions at 310°C and with 10% O2, films deposited exhibited a c-axis texture full width at half maximum (FWHM) of 20°. At higher temperatures, increasingly random orientation was observed. CAAC formation was also found to depend on the O2/Ar ratio during sputtering. Formation requires reactive sputtering, with loss of alignment at O2 fractions above 70%. At the optimal temperature, sputtering in 100% O2 increases the width of the c-axis texture peak to 35° FWHM.
HRTEM of films deposited under optimal conditions show a high degree of crystallographic alignment. Cross-section images show alignment of crystal planes perpendicular to the substrate with alignment variation of ±9° over a 15nm x15 nm field of view, consistent with the GADDS measurements. Plan-view images with a 15nm x 15nm field of view exhibit expected hexagonal symmetry with FFT diffractograms suggesting a single orientation with ±15° angular variation. These results indicate formation of much longer alignment of grains than previously reported, and suggest near single crystal structure over 15 nm length scales. With loss of the c-axis alignment, HRTEM show films that appear increasingly “polycrystalline”. These results will be discussed in light of nucleation and growth models for CAAC films.
9:00 AM - O3.05
Morphology Control of Solution-Processed Indium-Gallium-Zinc Oxide Thin Films
Nobuko Fukuda 1 Shintaro Ogura 1 Hirobumi Ushijima 1
1National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan
Show AbstractMorphology of indium-Gallium-Zinc oxide (IGZO) thin films is an important factor affecting the mobility. We have observed a drastic improvement of the mobility of the IGZO thin film attributed to reduction of the roughness. The aqueous IGZO-precursor solution was carefully spin-coated on a gate insulator layer in the air. In order to discuss the relationship between the morphology and the annealing process, the coated IGZO-precursor films were annealed under the condition of various temperatures less than 300 0C and times in the air. Thin film transistor (TFT) structure was fabricated by rf-sputtering of aluminum source and drain electrodes on the annealed IGZO thin films for estimating the mobility. The surfaces of the IGZO thin films were observed with an atomic force microscope (AFM). When grain aggregation is observed on the surface, the mobility tends to be lower. This is because carrier transportation would be interrupted due to the grain boundary. The appropriate condition of annealing prevents shrinkage and discontinuous parts of the coated film. The resulting continuous IGZO films give the high mobility (> 2 cm2 V-1 s-1). We also discuss the morphology of the solution-processed IGZO thin films prepared onto the plastic substrate.
9:00 AM - O3.06
Interface Engineering of Solution-Processed Oxide Thin-Film Transistors
Wangying Xu 1 Jianbin Xu 1
1The Chinese University of Hongkong Hongkong Hong Kong
Show AbstractMetal-oxide thin-film transistors (TFTs) have attracted considerable attention over the past decade due to their high carrier mobility and excellent uniformity. Besides, the solution processability and transparency have opened new horizons for low-cost printable and transparent electronics on flexible substrates. My thesis is focused on the interface engineering of solution-processed oxide thin-film transistors
Firstly, a simple passivation method is developed to overcome the water susceptibility of solution-processed InZnO thin-film transistors (TFTs) by utilizing octadecylphosphonic acid (ODPA) self-assembled monolayers (SAMs). The unpassivated InZnO TFTs exhibit large hysteresis in their electrical characteristics due to the adsorbed water at the semiconductor surface. Formation of a SAM of ODPA on the top of InZnO removes water molecules weakly absorbed at the back channel and prevents water diffusion from the surroundings. Therefore, the passivated devices exhibit significantly reduced hysteretic characteristics.
Secondly, we developed a simple and environmentally friendly spin-coating method for high-k dielectrics (AlOx, ZrOx,YOx and TiOx). These materials were used as gate dielectrics for solution-processed nanocrystalline In2O3 or amorphous InZnO TFTs with a maximum processing temperature of 300 C. The role of high-k dielectrics in device performance was systematically studied. Among the high-k dielectrics, the AlOx based devices showed the best performance with mobilities of 21.7 cm2 V1 s1 in an In2O3 TFT and 11.6 cm2 V1 s1 in an InZnO TFT with the on/off current ratio exceeding 106. Furthermore, the devices exhibited ultra-low operating voltages (<3 V) and negligible hysteresis. A comprehensive study suggests that the high performance of the AlOx-based devices could be attributed to the smooth dielectric/semiconductor interface and the low interface trap density besides its good insulating properties. Therefore, the solution-processed AlOx can be used as a promising high-k dielectric for low cost, low voltage, high-performance oxide electronic devices.
Future research related to oxide TFTs based biosensors and Oxide/2D material heterostructures will also be discussed.
Reference:
1 Xu, Wangying, et al. "Facile passivation of solution-processed InZnO thin-film transistors by octadecylphosphonic acid self-assembled monolayers at room temperature." Applied Physics Letters 104.17 (2014): 173504.
2 Xu, Wangying, et al. "Role of solution-processed high-κ gate dielectrics in electrical performances of oxide thin-film transistors." Journal of Materials Chemistry C (2014).DOI: 10.1039/c4tc00334a
9:00 AM - O3.07
High-Resolution Direct Printing Technique for Oxide Semiconductor Transistors with High Performance Electrical Properties
So-Yun Kim 1 minji Kim 1 heejoo Lee 1 Yun-Yong Nam 2 Young Hwan Hwang 2 Byeong-Soo Bae 2 Jang-Ung Park 1
1UNIST Ulsan Korea (the Republic of)2KAIST Daejeon Korea (the Republic of)
Show AbstractAmorphous oxide semiconductors (AOSs) as channel layers in thin film transistors (TFTs) have emerged as promising materials in display industry due to its excellent electrical properties that include high field-effect mobility (above 5cm2/Vbull;s) and optical transparency compared with amorphous Si-based TFTs. Although most previous researches on the fabrication of AOSs were mainly investigated via vacuum deposition technique, solution coating method, especially ink-jet printing system offer opportunity for direct patterning in large area and enable the fabrication of low-cost electronics. However, coarse printing resolution and low performance of ink-jet printed AOSs electronics restrict their potential use in the manufacturing processing of TFT backplanes.
In this talk, we present high-resolution electrohydrodynamic inkjet (e-jet) printing system for fabrication of AOS-TFTs with high performances. E-jet printing enables to achieve line width from 2 µm in minimum to above with uniform thickness, and also to easily tune the thickness by repeating printing times. E-jet printed AOS-TFTs show superior mobility value exceeding 100cm2/Vbull;s with high on/off ratios (> 107). Also, we fabricated all-printed AOS-TFTs composed of e-jet printed S/D/G electrode, channel, interconnects and passivation layers. These all-printed devices exhibited excellent improvement under bias stability test without any degenerative changes in electrical performances. Finally, ultra flexible AOS-TFT arrays demonstrated with e-jet printing provide the possibility in fields of flexible display and wearable devices.
9:00 AM - O3.08
HfZnO Thin Films and HfZnO/ZnO Heterostructures Fabricated Using Low-Cost Large-Area Compatible Sputtering Processes
Chih-Hung Li 1 Jian-Zhang Chen 1 I-Chun Cheng 2 3
1National Taiwan University Taipei City Taiwan2National Taiwan University Taipei City Taiwan3National Taiwan University Taipei City Taiwan
Show AbstractOxide heterostructures and interfaces exhibit many interesting properties, which attract much attention to both academic society and industry. Highly conductive interfaces or two-dimension electron gases (2DEGs) have been identified in many oxide heterostructures such as LaTiO3/SrTiO3, LaAlO3/SrTiO3, and MgZnO/ZnO. Usually, high quality crystals are essential for the existence of 2DEGs. However, highly conductive interfaces also have been noted in amorphous SrTiO3-based oxide interfaces [1] and defective rf-sputtered MgZnO/ZnO heterostructures fabricated on foreign substrates (glass, polyimide, and stainless steel substrates) [2-4]—the former is attributed to the oxygen vacancies generated during thin film growth, whereas the latter can be achieved with thermal annealing or atmospheric pressure plasma jet treatment on ZnO prior to the MgZnO deposition [2-4]. This initiates the possibility for fabricating oxide heterostructure electronic devices using low-cost large-area compatible processes with which high quality crystals are difficult to grow.
We first investigate HfZnO films fabricated using low-cost large-area compatible rf-sputtering processes. Room-temperature sputter-deposited HfZnO tends to become amorphous as the Hf content increases. The Hf/Zn ratios of the deposited films double those of the targets. The built-in stresses of as-deposited films are compressive; the stresses are relaxed and even become tensile after thermal annealing. All the films exhibit high transmission of 80 % in the visible wavelength region. The optical bandgap increases with the Hf content but decreases with the annealing temperature. Hf doping increases the resistivity of ZnO owing to the disorder of the material structure and the higher bandgap, which result in more carrier traps and less thermally excited carriers in the conduction bands [5].
We then fabricate HfZnO/ZnO heterostructures on glass substrates using rf-sputtering technique. The resistance drops around 1-3 orders of magnitude after HfZnO thin films deposited on thermally annealed ZnO thin films. This implies the presence of highly conductive interfaces at the HfZnO/ZnO heterojunctions. The thermal annealing on ZnO prior to the depositions of HfZnO is critical for the formation of the highly conductive interface in this HfZnO/ZnO heterosturcture. With a fixed annealing duration of 30 min, this drop of resistance becomes more apparent as the annealing temperature exceeds 500 °C. After depositing Hf0.05Zn0.95O (target composition) on ZnO, the carrier mobility and carrier concentration increase from 0.47 to 14.3 cm2V-1s-1 and from 2.27'1012 to 1.93'1013 cm-3, respectively.
Reference
[1] Y. Chen et al., Nano Lett. vol.11 p.3774 (2011).
[2] H. Chin et al., J. Appl. Phys. vol.108 p.054503 (2010).
[3] S. T. Lien et al., J. Phys. D: Appl. Phys. vol.46 p.075202 (2013).
[4] T. H. Wu et al., J. Phys. D: Appl. Phys. vol.47 p.255102 (2014).
[5] C. H. Li et al., J. Appl. Phys. vol.114 p.084503 (2013).
9:00 AM - O3.09
Structural Characterization of Zn-Rich SrZnO on Al2O3(0001) Grown by Pulsed Laser Deposition
Anwar Alanazi 1 Essa Alfaifi 1 Hassan Alshahrani 1 Ahmed Alyamani 2 Zeyad Alahmad 1 Ahmed Elnaggar 1 Joselito Labis 3 Hamad AH Albrithen 1 2 3
1King Saud University Riyadh Saudi Arabia2King Abdulaziz City for Science and Technology Riyadh Saudi Arabia3King Saud University Riyadh Saudi Arabia
Show AbstractSrZnO films, with SrO/(SrO+ZnO) le; 0.25, were grown by pulsed laser deposition using different SrO ratios, x. The stoichiometry of the films was achieved by employing targets with the desired film stoichiometry. The effects of temperature and oxygen pressure during growth on the films&’ structural properties were investigated. XRD results indicate that the film crystallinity was improved as the temperature and O2 pressure increased up to 650 oC and 0.5 Torr, respectively. However, the crystallinity decreased as the SrO content in the films increased. No results obtained for the crystalline films showed any orientation of significant peaks besides the peak attributed to the (0001) plane, suggesting epitaxial growth. The magnitude of the c-lattice constants for films grown at 650 oC and an Oshy;2 pressure of 0.5 Torr showed a slight increase as the SrO content increased, which is attributed to the larger atomic radius of strontium compared to that of zinc. Growth at a higher temperature, 750 oC, resulted in a sharp (0002) peak at a low SrO content (5%), whereas growth at a higher SrO content (10%) resulted in a non-crystalline film with minute crystallites with a (1120) orientation. This orientation was attributed to the fact that the film underwent a transition from a wurtzite to a rock-salt structure, leading to a reduction in the (1120)/(0001) surface energy ratio. Funding was provided by the Saudi National Plan for Science and Technology (project # 10-NAN1197-02 )
9:00 AM - O3.10
Ag Doped p-Type ZnO and ZnO Based p-i-n Junctions Deposited by Magnetron Sputtering
Makoto Nakazumi 1 Yasutaka Nishi 1 Koichiro Iwahori 1 Yusuke Taki 1
1Nikon corporation Sagamihara Japan
Show AbstractZinc oxide (ZnO) is a transparent oxide semiconductor (TOS) with a wide band gap. It is expected that the light emission diode (LED) using ZnO shows the high luminance efficiency, because ZnO has a large exciton binding energy (60meV) compared with GaN (25meV). However ZnO tend to be n-type property because of O vacancy and naturally carrier doping. Therefore it is very difficult to achieve p-type property in ZnO. The main reasons for the difficulty of achieving p-type ZnO are the formation of native donor defects such as O vacancies and Zn interstitial impurities, and unstable acceptor doping. Currently, the deposition methods of p-type ZnO are almost MBE and pulse laser deposition (PLD), but it is little reported about easier deposition method like sputtering. In a reactive sputtering process, controlling the O2 gas flow is generally important, which is difficult because of occurring frequently the oxygen desorption during deposition. Therefore, doping N as acceptors without self-compensation by oxygen desorption is poorly reported in sputtering.
In this paper we report about fabrication method of p-type ZnO and ZnO p-i-n junctions using sputtering. We focused silver (Ag) as acceptor. Ag is a group-IB element, which is found to substitute for Zn(#8545;) site in the würtzite ZnO lattice. Ag doped ZnO was grown by Rf-spuettering in vacuum. By optimizing the deposition parameter such as substrate temperature, sputtering pressure and target-sample distance, we designed to synthesize intrinsic ZnO films without O vacancy of oxygen desorption. As the result of measurement of electron spin resonance (ESR), ZnO films deposited in the optimized sputter condition were not appeared the peak derived from oxygen adsorption. Thus sputtering parameters and conditions without oxygen absorption were obtained from above and other experimental results, which is used in fabrication of p-type ZnO. Ag doped ZnO is deposited by co-sputtering of ZnO and Ag under the oxygen-rich condition. As the result, ZnO:Ag films showed high crystallization from the results of X-ray diffraction and cross sectional SEM. In order to observe the band structure around Fermi level in ZnO:Ag, it is measured by UPS. The band structure of ZnO:Ag films around the VBM showed the signature electronic states derived from p-type, which presented that ZnO:Ag films is p-type semiconductor. It was found by hole measurement that the hole mobility and carrier concentration are 0.72 cm2/V#12539;s and 1×1016 cm-3, respectively.
#12288;Next, we produced the ZnO p-i-n junction formed by above method. The p-i-n junction is deposited on fused quartz substrate, which is consisted by multilayer structure of n-type ZnO:Al/ intrinsic-ZnO/ p-type ZnO:Ag. The result of I-V measurement showed a nonlinear curve like a diode, which appeared the success of fabricating the p-i-n junctions.Since this device showed sharp electronic reaction with respect to UV light, it is assumed that ZnO p-i-n junction can be used as the UV sensor.
9:00 AM - O3.12
Control of Microstructure by Self-Buffer Layer and Its Effects on Properties of Ga Doped ZnO Films
Lukman Nulhakim 2 Hisao Makino 1 2
1Kochi University of Technology Kami, Kochi Japan2Kochi University of Technology Kami, Kochi Japan
Show AbstractGa doped ZnO (GZO) films have wide potential application as transparent electrodes in optoelectronic devices such as thin films solar cells, organic light emitting diodes and flat panel displays because of their high transparency, low electrical resistivity, non-toxicity and abundance in nature. GZO films deposited on heated substrates at around 200°C showed better electrical and optical properties compared to those deposited at room temperature (RT) without heating substrates. In order to improve RT deposition, it is needed to understand the effect of heating substrates on growth of polycrystalline GZO films. It has been pointed out that some kinds of defects could be annealed out during the deposition of Al doped ZnO on heated substrates. On the other hand, it is well known that polycrystalline ZnO films deposited on heated glass substrates commonly shows high orientation in c-axis normal to the substrate surface. However, the effects of c-axis orientation on transport properties have not yet well understood. In this study, we investigated the effects of heating substrates on electrical and optical properties of GZO films from the view points of defects and structural properties by combining control of microstructure and post deposition annealing.
GZO films with thickness about 200 nm were deposited on glass substrates at RT by RF magnetron sputtering system using a ceramic target of ZnO:Ga2O3 5.7 wt%. The structural properties were controlled by inserting self-buffer layer of GZO deposited at 200°C. The GZO films deposited on bare glass substrates at RT showed poor c-axis orientation, while we obtained high c-axis orientation by inserting a 40 nm thick GZO film deposited at 200°C as a buffer layer. It was found that the electrical and optical properties cannot be improved by controlling microstructures. The GZO films were annealed at 200°C in the deposition chamber under flowing Ar gas environment after deposition of GZO films. Carrier concentration and optical transmittance increased regardless of presence of a buffer layer after the annealing process. These observations can be understood as annealing out of defects. However, significant improvement in hall mobility was only observed for highly c-axis oriented GZO films deposited on the self-buffer layer. We evaluated the contribution of grain boundary scattering on hall mobility by analyzing transmission and reflection spectra based on the conventional Drude model. The results show that the contribution of grain boundary clearly exists in the GZO films without a buffer layer, while it is nearly negligible in the case of well oriented GZO films deposited on the buffer layer. We conclude that the independent effects of heating substrates for growth of GZO films on glass substrates are improvement of c-axis orientation and annealing out of defects. These two contributions are necessarily discussed separately.
9:00 AM - O3.13
Long Throw Magnetron Sputter Deposition of Al-Doped ZnO with Oblique Incident Angles
Yasutaka Nishi 1 Makoto Nakazumi 1 Koichiro Iwahori 1 Yusuke Taki 1
1Nikon Corporation Sagamihara-City Japan
Show AbstractTransparent conductive oxide (TCO) films have been used for various optoelectronic devices because of their high visible transmittance and low electrical resistivity. Al-doped ZnO (AZO) films should be promising potential alternatives to indium-based TCO films, such as ITO. They are potential candidates as Indium-based TCO films for the applications of transparent electrodes.
In this study, AZO films have been prepared by rf magnetron co-sputtering using two ceramic targets because of its advantage of uniform deposition. AZO films were deposited on various substrates under incident angles of sputtered particles at approximately 30°.The distance (d) between the target and the substrate is set within the range of 0.5-1.5 times the mean free path of the constituent element in a sputtering gas. A distance d were adjusted in the range of 190 mm from the 105 mm, by forming AZO films, electron mobility and the relation between the distance d is examined.
High Radio frequency power of ZnO target (phi;3 inch in size) 200W on the power, the power of the Al target(phi;3 inch in size) 0-22.5W discharging simultaneously. In an experiment in advance, and the ratio of input power and Al is doped, ZnO film formed between the electric resistivity since that is the minimum value, and the optimum auto doping conditions in the device.
All the XRD patterns showed a strong peak at 2theta;= 34.5° corresponding to ZnO (002) indicating that the films were polycrystalline structure with the <001> preferred orientation. The SEM images show columnar structure of ZnO. Carrier density increased with increasing Al concentration and Hall mobility decreased with increasing Al concentration. The lowest electric resistivities of AZO films were 7.7×10 -4Omega;cm for the films deposited on unheated glass substrate. The substrate temperature during the deposition was lower than 50 o C for the unheated substrate. The carrier density and Hall mobility were 5.6×10 20 cm -3 and 14.3 cm 2/V#12539;s, respectively. We could obtain low resistivity and low absorption coefficient AZO films on unheated substrates. Normally, during deposition, the substrate is heated to promote crystallization of the film. However, in this case, such as an acrylic resin substrate, is deformed by heat, the substrate cannot be used are apt to deteriorate. AZO films deposited on an acrylic resin were showing a same degree or more of high Hall mobility.
On the other hand, the lowest electric resistivity of AZO films were 4.5×10 -4Omega;cm for the films deposited on heated glass substrate at 260°C.The carrier density and Hall mobility were 5.0×10 20 cm -3 and 27.5 cm 2/V#12539;s, respectively. Transmittances in visible region for these AZO films were more than 85%.
9:00 AM - O3.14
Analysis of Defects and Grain Boundary Properties in Co-Doped ZnO by the Experimental Results and First-Principles Calculations
Youn-Woo Hong 1 Sang-Il Hyun 1 Young-Jin Lee 1 Sei-Ki Kim 1 Jong-Hoo Paik 1
1Korea Institute of Ceramic Engineering amp; Technology Seoul Korea (the Republic of)
Show AbstractZnO is a wide band gap (3.3 eV) semiconductor with numerous optical and electrical applications, such as optoelectronics, transparent electronics, spintronic devices, sensors, and varistors. All of these applications are either affected by, or depend on, defects and grain boundary properties according to various dopants (Bi, Pr, Co, Mn, Ni, Cr, Cu, Li, H, N, P, Sbhellip;). ZnO is strictly a non-stoichiometic material of Zn1+xO (n-type) in which Zn interstitials are too mobile to be stable at room temperature. The deep or shallow defects commonly found in nano and bulk ZnO are intrinsic zinc interstitial and oxygen vacancy, and extrinsic donors and acceptors. These defects controlled by doping elements and/or post-heat treatments also have improved the electrical and optical properties of ZnO. It is known that cobalt oxide added to ZnO varistors improves the nonlinear current-voltage characteristics, which is presumably due to the formation of the Schottky-type double barriers at the grain boundaries. Also it is found that the presence of a large Bi or Pr ions induces the concentration of native defects of acceptor type in the grain boundary of doped ZnO. However, it is not known about the relationship between the defects and grain boundary properties in the simple two component system of Co3O4-doped ZnO polycrystalline revealed a good varistor property.
In our study, we investigated the effects of Co3O4 doping in ZnO on the defects and grain boundary properties using dielectric functions (Z*, M*, ε*, Y*, and tanδ) including admittance and impedance spectroscopy and the first-principles calculations. It will provide information about the relationship between the formations of donor or acceptor defects at the bulk or grain boundary for the double Schottky barrier theoretically and experimentally. Experimentally Co-doped ZnO sintered at 1000#8451; in air have two kinds of defects (Zn interstitial and O vacancy) according to its doping levels, a single grain boundary (apparent activation energy Ea=0.6~1.0 eV), and a good varistor properties (nonlinear coefficient α=35). Also the first-principles calculations are conducted to analyze the bulk defects and interface states in Co-doped ZnO. The origin of varistor behavior in ZnO-Co3O4 system has been discussed in relation to grain boundary traps and defect chemistry by the experimental results and the first-principles calculations.
9:00 AM - O3.15
Characterization of Defects and Grain Boundary Properties in Doped ZnO by ESD
Youn-Woo Hong 1 You-Bi Kim 1 Young-Jin Lee 1 Sei-Ki Kim 1 Jong-Hoo Paik 1 Mann-Ho Cho 2
1Korea Institute of Ceramic Engineering amp; Technology Seoul Korea (the Republic of)2Yonsei University Seoul Korea (the Republic of)
Show AbstractPolycrystalline ZnO is a typical n-type ceramic semiconductor with the optical band gap of 3.3 eV, and thus has been applied to various electrical and optical applications such as gas sensors, piezoelectric transducers, phosphors, transparent conducting films, and varistors. To control the figure of merits of these devices it is essential to understand the behavior of defects and interface states in doped ZnO, especially in ZnO varistors. Up to day many researchers have studied to improve the ESD (Electrostatic Discharge) and surge characteristics for a ZnO chip varistor compare with TVS-diode in order to extend market share. Recently it is reported that the difference in the ESD withstand capability between the Bi-based ZnO and the Pr-based ZnO chip varistors is only to differences in their distributions of high-resistance regions and the existence of the Schottky barrier. It is necessary to characterize the dynamics of the potential barrier after the application of ESD pulses and identify the degraded region such as gran boundaries, electrode interfaces, defects, and secondary phases systematically. Few studies have been carried out on the ability to withstand ESD and the degradation mechanism in ZnO varistor.
In the present study, we investigated the characterization of the local electrical properties in the grain and grain boundary of ZnO chip varistor before and after the application of ESD. Bi-based and non Bi-based ZnO chip varistors were prepared by a multilayered chip device process to analyze the effects of ESD. We have applied 15 kV ESD pulses for 10 times on chips and measured I-V, C-V, and admittance and impedance changes with frequency (100 Hz to 10 MHz) and temperature (-170 ~ 500#8451;). Bi-based ZnO chip samples were degraded dramatically but non Bi-based ones which a new varistor composition developed by us not changed in I-V characteristics with ESD. In the study of impedance and modulus spectroscopy, the activation energy of grain boundary of Bi-based ZnO was changed from 0.67 eV to 0.46 eV accompanied by the change of capacitances. From admittance spectroscopy it was revealed that the defect levels in Bi-based samples slightly changed from 0.20 eV to 0.18 eV but Bi-free samples not changed. From these results we will discuss the factors of improving the electric and dielectric properties on the ESD withstand capability of the Bi-based ZnO chip varistors.
9:00 AM - O3.16
Heavy and Light Ion Irradiation Effects on Electrical and Optical Properties of ZnO Films
Chiara Portesi 1 Laura Gozzelino 2 Eugenio Monticone 1 Gianluca Ghigo 2
1INRIM Torino Italy2Politecnico di Torino Torino Italy
Show AbstractZinc oxide is a n-type semiconductor with a direct band gap of 3.3 eV. Its high optical transparency and its electrical conductivity make it one of the promising candidates for several optoelectronic applications such as liquid crystal displays, transparent electrodes in solar cells, thin film-based transistors. Moreover its radiation hardness, much higher than other widegap semiconductors, can be exploited for applications in environments with potential radiation hazard (space satellites, large scales facilities as particle accelerators or nuclear reactors).
Here we present a study on the effect of irradiation on ZnO thin films both with heavy and light ions. The aim is twofold. Heavy ion irradiation is used as a mean to tune/enhance the optical and optoelectronic properties of the material. On the other hand, light particles irradiation is a powerful means to create in the material defects like those expected for permanence in harsh environment.
ZnO films are 500 nm thick, deposited on (0001) sapphire, and are patterned both in Van der Paw and in Hall-bar geometry by optical lithography and chemical wet etching. The contacts on ZnO are defined by sputtering of 100 nm of Al.
Heavy ion irradiations are performed using 250 MeV Au-ions at several fluences up to 2×1013 cm-2. This ion energy is expected to introduce defects mainly by electron scattering and can guarantee a homogeneous damage all along the film, since the ions implant deeply in the substrate. Light particle irradiations are carried out using 5.5 MeV He-ions. In order to evaluate both the radiation hardness threshold as well as to investigate the possible creation of new electron traps, irradiation at fluences ranging over five orders of magnitude are performed.
The irradiation is performed after protecting half sample by a Ta mask and electrical resistivity and Hall voltage are then measured. This allows the direct comparison of the Hall-effect measurements, performed both on the irradiated region and in the non-irradiated region. After irradiation with heavy ions, the resistivity was found to decrease with increasing the ion fluence, with an increase of the carrier concentration of about one order of magnitude for fluence of about 1013 cm-2. This behavior could be ascribed to the creation of oxygen vacancies and zinc interstitials. The mobility increased too, of about 60%. By optical analysis, it has been noticed a red shift of the absorption edge and an increasing of optical absorption at wavelengths of about 600 nm.
A radiation hardness threshold higher than 1×1014 cm-2, corresponding to an average displacement per atom (dpa) of 4×10-5 is detected after helium particle irradiation, dpa higher than that expected for a ten year long permanence in spacecraft environment. Here the zinc vacancies are expected to be the major irradiation-induced defects. Above the threshold, the resistivity is found to increase with the fluence, twice in the film irradiated with 1×1015 He/cm-2.
9:00 AM - O3.17
Towards the Enhancement of the UV Photoluminescence of ZnO Films via Annealing
Dinesh Thapa 1 Jesse Huso 1 Hui Che 1 Amrah Canul 1 Matt McCluskey 2 Caleb Corolewski 2 Leah Bergman 1
1Department of Physics, University of Idaho Moscow USA2Department of Physics and Astronomy, Washington State University Moscow USA
Show AbstractZnO is an excellent luminescent material in the UV-range of ~ 3.4 eV. However, many as-grown ZnO samples require certain annealing treatment in order to eliminate the luminescence due to its inherent intrinsic defects, which is in the visible range. Under the proper annealing conditions, the intrinsic defect-related luminescence can be minimized and the desirable UV light emission can be significantly enhanced. In this research, we present a route to achieve these objectives via annealing in different environments. The ZnO samples were grown on sapphire substrates utilizing a magnetron DC-sputtering technique. The films were grown at 250 C for 2hr using a Zn metallic target in an Ar-O2 environment at a pressure of 11 mT and at 30 W of delivered power. The SEM images show that the morphology of the films consists of nano-grains and the XRD indicate that the films have the Wurtzite structure with preferred c-axis orientation. The optical properties of the ZnO samples were analyzed via photoluminescence (PL). The as-grown samples were found to exhibit a prominent visible PL at 2.80 eV, attributed to Zn interstitials, and weak UV-PL at 3.25 eV. In order to understand the route toward enhanced UV-PL, one set of the as-grown ZnO films were annealed under O2 atmosphere and the other set in Ar atmosphere both at ~ 900 C for one hour. The PL spectra of O2 annealed films indicated that the light emission due to Zn interstitials was diminished, while the UV-PL was enhanced. However, an O2 -related defect emission peak at ~ 2.18 eV was evolved. These defect dynamics can be attributed to the out-diffusion of Zn interstitials which is a result of their low migration barrier energy and to the formation of oxygen-rich surface. The ZnO films that were annealed under Ar atmosphere were found to exhibit significantly enhanced UV emission with nearly completely quenched visible emissions. Like in the case of the O2 annealed samples, Zn interstitials were removed from the crystal lattice during the annealing process. From both experiments it can be concluded that annealing under Ar environment conditions is essential for achieving strong UV-PL, and Zn interstitials are non-radiative center defects responsible for quenching the UV light emission. In order to gain an insight into the origin of the UV light emission of the Ar annealed sample, PL was performed at 77 K. The optical analysis indicated that the PL emission was dominated by the donor-bound exciton at 3.35 eV and by structural-defect related PL at 3.31 eV. We acknowledge the US Department of Energy, Office of Basic Energy Science, Division of Materials Science and Engineering under Grant No. DE-FG02-07ER46386. J.H. who contributed in discussion acknowledges the National Science Foundation under Grant No. DMR-1202532.
9:00 AM - O3.18
Copper Doping of ZnO Crystals by Transmutation of 64Zn to 65Cu
Matthew C. Recker 1 M. Shamaun Holston 1 John W. McClory 1 Eric M. Golden 1 Nancy C. Giles 1 Larry E. Halliburton 2
1Air Force Institute of Technology Wright-Patterson Air Force Base USA2West Virginia University Morgantown USA
Show AbstractWe use electron paramagnetic resonance (EPR) and gamma spectroscopy to observe the transmutation of 64Zn to 65Cu in a ZnO bulk crystal grown by the seeded-vapor-transport method at Eagle-Picher (USA). The same transmutation effects are observed in a ZnO crystal grown by the hydrothermal method at Tokyo Denpa (Japan). Nuclear transmutation results in uniform copper doping of ZnO at precisely controlled levels.1 Our crystals were irradiated for 20 hours in the nuclear reactor at Ohio State University; the total neutron flux was ~2.1 x 1013 neutrons cm-2s-1 and the thermal neutron flux was ~1.3 x 1013 neutrons cm-2s-1. During the irradiations, 64Zn nuclei absorb thermal neutrons and form unstable 65Zn nuclei. These 65Zn then slowly decay to 65Cu via electron capture or positron emission (the half-life of 65Zn is 244 days). Major features in the gamma spectrum of a neutron-irradiated crystal include the primary 1115.5 keV gamma rays from the 65Zn decay and a positron annihilation peak at 511 keV. Our observation of these peaks confirms the successful transmutation of 64Zn nuclei to 65Cu. Additional direct evidence for transmutation is provided by the EPR spectra of Cu2+ (3d9) ions. The 63Cu and 65Cu hyperfine lines are well resolved in these EPR spectra and the relative concentrations of 63Cu and 65Cu nuclei in a crystal are easily determined. EPR spectra from isolated Cu2+ ions acquired approximately 180 days after the irradiation with neutrons show only hyperfine lines from 65Cu nuclei. The absence of 63Cu lines in these spectra demonstrates that the observed 65Cu signals are due to transmuted 65Cu nuclei resulting from the neutron irradiation (63Cu nuclei are not produced by transmutation). Copper impurities are ubiquitous in nearly all ZnO materials and must be accounted for when investigating the transmutation of 64Zn to 65Cu. In our as-grown ZnO crystals, these copper ions are present as Cu+-H complexes. The Cu+-H complexes are not affected by the neutron irradiation, but they dissociate when a crystal is heated to 900 °C. This thermal behavior of the as-grown copper complexes allowed us to use EPR to distinguish between the copper that was present before the neutron irradiation and the copper that was produced as a result of the neutron irradiation. Besides the transmutation of Zn to Cu, a second major effect of the neutron irradiation was the formation of zinc and oxygen vacancies as a result of momentum-conserving collisions initiated by the high-energy neutrons. Singly ionized charge states of the vacancies are monitored at low temperature with EPR.
1 F. A. Selim, M. C. Tarun, D. E. Wall, L. A. Boatner, and M. D. McCluskey, Appl. Phys. Lett. 99, 202109 (2011).
9:00 AM - O3.19
Cu-Doped P-Type ZnO by Molecular Beam Epitaxy
Mohammad Zahir Uddin Suja 1 Sunayna Binte Bashar 1 Muhammad Morshed 1 Jianlin Liu 1
1University of California Riverside Riverside USA
Show AbstractCu-doped p-type ZnO films were grown on c-sapphire substrate by plasma-assisted molecular beam epitaxy (MBE). The effect of Cu doping on ZnO films was investigated by low temperature photoluminescence (PL) experiments. An acceptor bound exciton (A0X) emission was observed at 3.353 eV at 14 K. From temperature dependent PL study, the acceptor energy level for the Cu dopant is estimated to be 0.14 eV above the valence band edge. Both Hall bar and van der pauw Hall effect measurements were performed on Cu-doped ZnO samples. Hall effect results indicate that a growth window was found for the formation of p-type ZnO thin films and the best conductivity was achieved at the substrate temperature of 500 °C, Cu cell temperature of 600 °C, Zn cell temperature of 340 °C and oxygen flow of 2 sccm. The sample exhibited a high hole concentration of 1.54×1018 cm-3, a low resistivity of 0.6 Omega; cm and a moderate mobility of 6.60 cm2/V s at room temperature. Seebeck measurements was performed by a homemade Seebeck system on Cu-doped ZnO samples to further study the p-type behavior. A positive slope (i.e. positive Seebeck coefficient) determined that the samples were p-type. A metal oxide semiconductor (MOS) capacitor device was also fabricated by using our MBE grown Cu-doped ZnO film as semiconductor layer, atomic layer deposition of aluminum oxide as oxide layer and e-beam evaporated Au as metal layer. The characteristics of capacitance-voltage (C-V) measurements of the fabricated MOS capacitor device also demonstrated that our MBE grown Cu-doped ZnO thin film was p-type. Other measurement results such as XRD, XPS, Raman, transistor characteristics will also be presented to further elucidate the p-type mechanism. This study suggests that Cu should be an excellent dopant for reliable and reproducible p-type ZnO.
9:00 AM - O3.20
Electronic Structures of Polar and Non-Polar ZnO Surfaces Studied by Polarization Dependent Hard X-Ray Photoemission Spectroscopy
Shigenori Ueda 1 Motohiro Suzuki 2 Naoki Ohashi 3
1National Institute for Materials Science Sayo Japan2Japan Synchrotron Radiation Research Institute (JASRI) Sayo Japan3National Institute for Materials Science Tsukuba Japan
Show AbstractOver the last decade, ZnO has attracted much attention as a semiconductor material and has been extensively investigated for applications in ultraviolet light emitting diodes [1]. Since wurtzite-type semiconductors such as ZnO and GaN are polar semiconductors, ZnO-based junction properties have to be considered in the relation to the polarization. In our previous work, we found that the valence band spectra of single crystalline ZnO obtained by hard X-ray photoemission spectroscopy (HAXPES) depend on the polarity of the surface [2,3], although HAXPES technique is a bulk-sensitive probe [4]. To further investigate the electronic structures of ZnO with polar and non-polar surfaces, the detail analysis on the band symmetry in the valence band is needed. It is known that the atomic orbital cross-section depends on the polarization of photons. In this work we have performed polarization dependent HAXPES for various single crystalline ZnO surfaces to identify the band symmetry in the valence band electronic states. The HAXPES measurements at room temperature were performed at the undulator beamline BL15XU of SPring-8 [5,6]. Total energy resolution was set to 240 meV at the photon energy of 5.95 keV. A diamond phase retarder was used to switch the polarization of X-rays. In the hard X-ray region, the polarization of X-rays can be controlled by a diamond phase retarder. The polarization dependent valance band spectra of ZnO with polar and non-polar faces clearly showed that the Zn 3d and 4s states were spread over the whole valence band regions and the spectral shapes of the valence band strongly depend on the polarity of the ZnO surfaces. The detail analysis on the band symmetry in the valence band of ZnO with polar and non-polar surfaces will be presented.
References
[1] D. C. Look and B. Clafin, Phys. Stat. Sol. B241 (2004) 624.
[2] T. Osawa et al., Appl. Phys. Lett. 92 (2008) 232108.
[3] N. Ohashi et al., Appl. Phys. Lett. 94 (2009) 624.
[4] Y. Takata et al., Nucl. Instru. Methods Phys. Res., Sect. A547 (2005) 50.
[5] S. Ueda et al., AIP Conf. Proc. 1234 (2010) 403.
[6] S. Ueda, J. Electron Spectrosc. Rel. Phenom. 190 (2013) 235.
9:00 AM - O3.21
First Principles Study of Strain, Thickness, Defects in Two Dimensional Graphene-Like ZnO
Jaeseoung Park 1 Minseok Choi 2 Junwoo Son 1
1Pohang University of Science and Technology (POSTECH) Pohang Korea (the Republic of)2Korea Institute of Materials Science Changwon Korea (the Republic of)
Show AbstractTwo dimensional materials, graphene or silicene, have attracted considerable interest due to their high carrier mobility. The semi-metallic nature with zero-bandgap, resulting from the linear dispersion relation, is however considered as a barrier to overcome for optoelectronic applications. Meanwhile, two dimensional graphene-like ZnO, having a direct bandgap, was experimentally discovered from few monolayer thick ZnO (0001) grown on Ag (111), showing the depolarizing effect that compensates the spontaneous polarization of ZnO. An earlier density functional study reported a bandgap of 1.68 eV, implying the potential application for optoelectronic devices. However, understanding of structural effect (strain and film thickness) and point defects in graphene-like ZnO are still limited.
Through first-principles calculations within density functional theory, we investigate the effects of strain and film thickness on the atomic structure and electronic properties of graphene-like ZnO. The calculations were carried out using a screened hybrid functional implemented in VASP, providing us the accurate atomic structure and electronic properties of oxides. We attempt to identify stable graphene-like ZnO that relies on film thickness and strain perpendicular to [0001]. We find that the band structure of graphene-like ZnO strongly correlates with film thickness and strain. The electronic density of states has considerable correlation with the angle of O-Zn-O within ZnO sheet; in other words, graphene-like ZnO is found to have sp2 hybridized orbitals rather than sp3 hybridized orbitals. Native defects (e.g., antisite defects, vacancies) and hydrogen in the oxide are also investigated. The results will be discussed in terms of the orbital overlapping of each defect or atom.
9:00 AM - O3.23
Advanced Oxidation Process via Photolysis and Ozonation of Hydrogen Peroxide in Solution-Processed Indium Oxide Thin-Film Transistors
Mardhiah Muhamad Sabri 1 Joohye Jung 1 Hyun Jae Kim 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractSolution-processed metal oxide semiconductors are advantageous for application in large-area electronics; the exploitation of materials is feasible and the low-temperature deposition on flexible substrates is possible. We previously reported that hydrogen peroxide (H2O2) improved the negative bias stress stability (NBS) of oxide thin-film transistors (TFTs) by reducing electron trap sites.1 For a further study, here we describe how the formation of H2O2 species can be controlled for the alteration of metal oxide chains in indium oxide (In2O3) TFTs. Variations of device characteristics were attained through photolysis (UV) and ozonation (O3) of H2O2 , herein called advanced oxidation process, as the consequence of different concentration of H2O2 species generated.2 For generation of H2O2 species and optimization of its effect, the spin-coated H2O2 treated In2O3 films were irradiated with UV and O3 before annealing. After the activation, deviations of threshold voltage (Vth), sub-threshold slope (S.S), on-current (Ion), and field-effect mobility (µFE) were observed that is distinguishable from non-activated film. By employing the advanced oxidation process, In2O3 TFTs exhibited the best performance with values as following: Vth = 12.65 V, S.S = 0.45 V/decade, Ion = 3.88 x 107, µFE = 1.57 cm2/Vs. Compared to our previous work, this study enhanced the device characteristics of TFTs processed at a much lower temperature of 240oC. This change is, firstly, accredited to a controlled production of OHmiddot; (oxidation potential, Eo = 2.31 V), which scavenges and attacks carbon chains to chemically breaks them down via redox process, eliminating organic chemical-induced defect sites and residuals in In2O3 film. Following that, secondly, different oxidation pathways oxidize In(OH)3 to In2O3 and substitutes oxygen deficient sites for O2, which originates from molecular ozone reaction (O3 + H2O2 -> OHmiddot; + O2 + OHmiddot;2), resulting in increased metal oxide chains. Thus, these results represent a facile method towards development of inexpensive fabrication for large-areas.
[1] J. M. Kwon, J. Jung, Y. S. Rim, D. L. Kim, and H. J. Kim, ACS Appl. Mater. Interfaces, 3371-3377 (2014).
[2] C. W. Jones, Applications of Hydrogen Peroxide and Derivatives, The Royal Society of Chemistry, United Kingdom, p. 44 (1999).
9:00 AM - O3.24
New Route of Preparation of ITO Thin Films with High Transparency and Conductivity
Fernando Modesto Borges Oliveira 1 2 Anderson Andre Felix 2 Marcelo Ornaghi Orlandi 2 Jose Arana Varela 2 1
1Federal University of Samp;#227;o Carlos Samp;#227;o Carlos Brazil2Chemistry Institute Araraquara Brazil
Show AbstractIndium tin oxide (ITO) is a solid solution composed by Sn doped In2O3 (or vice-versa) and it is a very studied material due to its excellent optical and electrical properties which allows a wide range of applications, such as resistive films, opto-electronics devices, photothermal converters, among others. However, in order to achieve the best performance of ITO it is very important to control parameters such as chemical composition, morphology and dimensions. Microwave-assisted solvothermal (MAS) method has been one important route to prepare ITO nanoparticles allowing good control of size and morphology of resulting material. In this context, we proposed in this work a new way to manufacture transparent and conductive thin film based on ITO nanoparticles obtained by MAS method. In the first step, ITO synthesis was conducted by dissolution of 0.7 g of InCl3 .4H2O and 0.042 g of SnCl4 .5H2O in 25 ml of ethyleneglycol, in a molar ratio of Sn4+/In3+ around 5%. This solution was homogenized by constant stirring at 80 0C followed by dripping of 2.5 mol.L-1 N(CH3)4OH.5H2O base aiming the pH control of the solution at 6.5. The final solution was placed into a sealed Teflon autoclave which was taken into a microwave system using 2.45 GHz MW frequency with a maximum power of 800 W. A rate of 50 °C.min-1 was imposed to the system until the solution temperature reached 200 °C, which was kept for 30 min. After the synthesis, the precipitated powder was collected and washed with ethanol several times to eliminate residuals, and then the material was dried at 80 °C. In the second step, a thin film was obtained by preparation of an ITO nanoparticles dispersion in a polyester matrix (with viscosity around 20 cP), followed by spin-coating deposition of the suspension on amorphous quartz substrate. The organic film was heat treated at 400 °C for one hour in pure oxygen atmosphere to remove any organic material. X-ray and electron diffraction results indicated that the powder and thin film present the cubic In2O3 phase and the tin doping was observed by EDS analyses. By using FEG-SEM and TEM analyses, we observed that ITO powders were composed by spherical particles with average diameter of 4 nm resulting in very dense thin films with thickness around 200 nm. Optical and electrical measurements showed that the films present a transmittance of up to 98,5% in the visible range and optic band gap around 3,55 eV, which is very close to the ones reported on the literature. The films presents a resistivity of 3,6.10-3 #8486;.m confirming the formation of the ITO phase, since the resistivity of In2O3 phase is much higher than that observed in our films. These results showed that this two-step route is very efficient in a preparation of dense ITO films with high transparency and conductivity indicating that these films can be applied as solar cell electrode or transparent resistive heater.
9:00 AM - O3.25
Impact of Photovoltaic Effect Induced Electrostatic Modification at ITO/LiNbO3 Interface on Formation of Surface Plasmon Polaritons
Hao Wang 1 Hua Zhao 1 Liang Li 1 Jingwen Zhang 1
1Harbin Institute of Technology Harbin China
Show AbstractIndium tin oxide (ITO) is one of the well known n-type degenerate semiconductors possessing high electric conductivity and optical transparence at visible light spectrum. The imaginary part of the dielectric function of ITO is about a factor of 3 or 4 smaller than the silver which is widely used in visible light plasmonics. Inherent great loss in metallic materials motivates people to search for alternative low loss plasmonics materials while ITO was found favorable with relative low loss [1]. Thin films and nanorod arrays of ITO have been used in infrared plasmonics for further extend the range of plasmonics applications in communication and sensor [2]. Based on photovoltaic effect induced electrostatic modification at ITO/LiNbO3 interface, charge density in ITO at interface vicinity increases to a higher level leading to effective plasma resonance frequency up to visible regime. With the help of photorefractive phase grating, surface plasmon polaritons can be stimulated which can be demonstrated by several novel phenomena. The loss reduction is highly desirable for broad plasmonics applications.
150 nm ITO films were deposited onto the two optically polished surfaces of 0.1wt% Fe2O3 doped c-cut thin LiNbO3 slabs. The two surfaces were intentionally polished with a small wedge angle about 0.400. Upon exposed to a p-polarized continuous incident light at 561 nm (1.2 mm in diameter, 63.0 mW in power) along +c axis, strong photovoltaic electric field as high as 104 V/cm in Fe: LN was built, which drove charge carrier to ITO/LN interface, thus modulated the charge carrier density of ITO adjacent thin layer from 3.55×1019 cm-3 to 2.12×1020 cm-3 by a rough estimation, shifting the ITO plasma resonance frequency 1.58 µm in infrared regime to visible light regime at 648 nm [3]. In addition, multiple light beams were overlapped within the slabs and photorefractive phase gratings with grating spacing Λ=17.5 µm were written in samples after exposed to laser beam for 10 minute, corresponding to the internal beam crossing angle 0.410. In assistance with phase gratings diffracted incident light into various high orders, quasi-wavevector m(2π/Λ) was added to the x-component of incident light kxin, SPPs would be excited when wavevectors were matched. Multiple reflection and transmission light patterns, as high as 89% total reflected power and lower transmission spectra supported this explanation. Reconfigurable waveguide was formed on the slab surface while considering α=11.24 cm-1 in LiNbO3, indicating the low loss SPPs propagation.
The plasmonics application of electrostatic modification induced by PV effect at interface has a bright future and will be further discussed.
[1] P. R. West, S. Ishii, G. V. Naik, et al. Laser Photon. Rev. 4, 795 (2010).
[2] S Q Li, P J Guo, L X Zhang, et al. ACS Nano, 5, 9161 (2011).
[3] C. H. Ahn, A. Bhattacharya, M. Di Ventra, et al. Rev. Mod. Phys. 78, 1185 (2006).
9:00 AM - O3.26
Understanding the Electronic Transitions in Epitaxial LaFeO3 Using Variable Angle Spectroscopic Ellipsometry and Density Functional Theory
Mark Dominic Scafetta 1 Adam Cordi 1 James Rondinelli 1 Steven J May 1
1Drexel University Philadelphia USA
Show AbstractThe optical band gap is of fundamental importance for selecting semiconductors for optoelectronic or solar energy conversion applications. While analysis of optical absorption data in the form of a Tauc plot is widely used to determine the band gap of conventional semiconductors, whether this approach is accurate or appropriate for complex oxides remains an open question. Here, we use a combination of variable angle spectroscopic ellipsometry (VASE) and density functional theory (DFT) to investigate the nature of optical transitions in LaFeO3. Epitaxial LFO films were deposited on a variety of substrates using molecular beam epitaxy. The optical absorption spectrum of LFO was measured with VASE and computed at the single-particle wave function level. The absorption spectrum obtained from DFT with the Perdew-Burke-Ernzerhof exchange-correlation functional, in which the band gap and band dispersions are well-defined, was fit with various Tauc models to assess the most accurate fitting protocol. We find that the Tauc model for a direct, forbidden transition provides the optimal fit for determining the band gap, consistent with the near energetic degeneracy of the calculated direct and indirect transitions owing to the weakly dispersive conduction bands and d-d nature of the transition. This model is then applied to the experimental data for extraction of accurate transition energy values in thin film LFO. Using the direct-forbidden Tauc model, we obtain a band gap energy of ~2.4 eV. The implications of this work extend beyond LFO in pointing to a general approach for analyzing optical absorption spectra from various oxide films.
MS and SM were supported by the National Science Foundation (DMR-1151649); AC and JR were supported by the Army Research Office (W911NF-12-1-0133). MS acknowledges support from the Department of Education (GAANN-RETAIN, Award No. P200A100117).
9:00 AM - O3.27
Synthesis of Nanoporous Niobium Oxide and Gas Sensing Application
Rosmalini Ab Kadir 1 2 Rozina Abdul Rani 1 Ahmad Sabirin Zoolfakar 1 2 Jian Zhen Ou 1 Kourosh Kalantar-zadeh 1
1RMIT University Melbourne Australia2Universiti Teknologi MARA Shah Alam Malaysia
Show AbstractNb2O5 is a n-type semiconductor with a ~3.5 eV band gap semiconductors, has a wide range of applications due to their excellent electrical and optical properties. Various Nb2O5 morphologies such as nanowires, nanorods, and nanoporous have been widely investigated through different routes including hydrothermal, anodization and thermal oxidation method. Nb2O5 nanostructures have been studied in diverse applications such as in solar cells, electrochromic smart windows, catalyst, batteries and gas sensor.
Nano-vein like porous Nb2O5 was synthesized via an anodization process that was conducted at 50 °C in the electrolyte of NH4F/ethylene glycol, containing a small amount of water. Films were then annealed at 440 °C for 30 min at the ramp up/down of 2 °C/min for crystallization. 30 minutes of anodization result in ~1 mm thick of Nb2O5 film made of fairly organized pores with inner diameters ranging from 30 to 50 nm. In this work, the Nb2O5 Schottky diode based sensor, integrated with Pt catalytic metal, was developed and investigated. The performances of the Schottky diode based sensors under few types of gases/vapours such as hydrogen, methane and ethanol are fully studied and discussed.
9:00 AM - O3.28
The Growth of Tin Oxide Aerogels: Theoretical Modeling and Experimental Characterizations
Carlo Requiao da Cunha 1 Fabio Dias da Silva 1 Renzo Morales 2
1Universidade Federal do Rio Grande do Sul Porto Alegre Brazil2Universidade Federal de Santa Catarina Florianamp;#243;polis Brazil
Show AbstractAerogels are open-celled highly porous materials with remarkably low densities and high surface areas. These properties make aerogels very appealing for a set of applications such as dielectrics for supercapacitors. Among all materials that aerogels can be made of, tin oxide (SnO2) is particularly interesting for being a direct bandgap (3.6 eV) semiconductor. This can lead, for instance, to the development of extremely light and transparent optoelectronic devices. In this work we correlate a set of theoretical investigations on their networks to some structural, optical and chemical experimental characterizations.
On the theoretical side we show that depending on the concentration of precursors and the preparation temperature it is possible to obtain gels whose interconnecting networks of nanocrystals are either Erdös-Rényi or Zipf scale-free. This leads to different levels of localization varying from one limited by fractons up to a general Anderson localization.
Experimentally, monolithic tin oxide aerogels are often prepared by an epoxide assisted sol-gel route. We show that, although it is a versatile technique, this route unintentionally produces an electrically semi-insulating and opaque material. X-ray diffraction (XRD), diffusive reflectance spectroscopy (DRS), particle induced X-ray emission (PIXE), transmission electron microscopy (TEM) and photoluminescence (PL) were used to deeply investigate the origin of this behavior.
TEM shows a set of crystalline regions embedded on an amorphous matrix. XRD measurements revealed that the crystalline regions are composed of ~2.5 nm wide Cassiterite crystallites. DRS measurements indicate a pseudo-bandgap of ~4.6 eV caused by a Burstein-Moss shift. We found from PIXE that Cu, Zn and Fe ions are naturally occurring impurities in the gel matrix substituting Sn+4 ions. Finally, we found from PL measurements that the impurity ions form positively charged complexes with oxygen vacancies causing high electron trapping.
Since unreacted species strongly limit the electrical conduction, novel chemical routes related to the epoxide strategy have recently been studied by our group in order to produce purer SnO2 monolithic aerogels. Preliminary results based on a peroxide technique will be presented showing a significant reduction in the density of positively charged complexes.
9:00 AM - O3.29
Electrical and Optical Properties of Transparent Conductive p-Type In-Doped SrTiO3 Thin Films
Wei Huang 1 Riad Nechache 1 Federico Rosei 1 Shun Li 1 Mohamed Chaker 1
1INRS Varennes Canada
Show AbstractThe typical transparent conductive oxide Sn-doped In2O3 (ITO) is one of the most widely used as thin-film electrodes in photovoltaic (PV) cells because of its low resistivity (~10minus;4Omega;middot;cm) and high optical transparency (ge;80% over the visible to near infrared wavelengths). However, ITO films are unfavorable for use as transparent conductive thin-film electrodes in pervoskite-based PV cells because of a distinct mismatch of ITO films with pervoskite-structured materials, such as ~60% lattice mismatch with BiFeO3. Thus, an interface formed between the pervoskite active layer and the ITO top electrode hinders the effective transport of the photogenerated electrons and holes, resulting in a low solar-to-electric conversion efficiency. Nowadays, with the emergence field of ferroelectric perovskite based photovoltaic devices, doped Strontium titanate (SrTiO3; STO) based TCO becomes an extremely attractive as a transparent conductive layer in such devices owing to its chemically stable structure, smooth surface and low lattice mismatch with most ferroelectric perovskite oxides. Integration of crystalline doped STO based TCO in ferroelectric photovoltaic heterostructures will open an alternative way to achieve highly efficient devices in various technological applications including photovoltaics. Fully understand of the electrical conduction and optical transparency behavior in doped STO films is required for designing high-efficiency perovskite-based PV cells. Here I will review the recent progress of our group in the exploration of TCO based on p-type In-doped SrTiO3 films (SrInxTi1minus;xO3). We will present, the controlled growth and characterization of thin films via pulsed laser deposition and molecular beam epitaxy. The optimization of optical and electrical properties of such system will be also discussed.
9:00 AM - O3.30
Rapid Thermal Annealed SnO Thin-Film Transistors
Jyun-Ci He 1 Yu-Hao Jiang 2 Jian-Zhang Chen 2 I-Chun Cheng 1
1National Taiwan University Taipei Taiwan2National Taiwan University Taipei Taiwan
Show AbstractOxide semiconductor thin-film transistors (TFTs) have attracted much attention owing to their high field-effect mobilities in comparison to the current industrial standard, hydrogenated amorphous silicon (a-Si:H) TFTs. The development of n-type oxide-semiconductor TFTs is more mature; high-performance TFTs made of indium gallium zinc oxide (IGZO) and zinc tin oxide (ZTO) have been demonstrated. However, the development of p-type oxide TFT is much lagged, especially for those fabricated at low processing temperature. P-type oxide TFTs with satisfactory performance are desired because they are essential for the development of oxide CMOS technology.
In this study, SnO thin films were first characterized, and SnO thin-film transistors (TFTs) were then demonstrated. The SnO thin films were sputter-deposited at room temperature, followed by the thermal annealing at 245°C in air using infrared rapid thermal furnace. The X-ray diffraction pattern reveals the amorphous nature of the as-deposited film. After 2.5-min thermal annealing, SnO crystalized and the grain size increased to 23 nm, whereas the Tauc bandgap increased from 1.8 to 2.73 eV. Hall measurement indicates a resistivity of 15.7 Omega;-cm, hall mobility of 0.53 cm2V-1s-1, and hole concentration of 7.45×1017 cm-3. Next, we investigated the performance of inverted-staggered bottom-gate SnO TFTs. The best achieved TFT shows a linear mobility of ~1 cm2V-1s-1, threshold voltage of 2.75 V, subthreshold swing of 1.37 V dec-1, and on/off ratio of >104. The output characteristics of the TFT show clear linear and saturation regions without current crowding at low source-drain voltage. Gate-bias stability was tested under gate-bias voltage of +10 V with source and drain grounded. The threshold voltage shift is 0.81 V after 10000 s stressing. The threshold voltage shift can be fitted using a stretch-exponential equation, suggesting that the dominant mechanism for the instability is charge trapping at the interface or in the gate dielectric. The TFT characteristics with SnO thin films under corresponding rapid thermal annealing conditions will also be presented in the conference.
9:00 AM - O3.31
Angle and Pressure Dependent Raman Investigations of the Different Phases of SnxOy
Christian T Reindl 1 Martin Becker 1 Yinmei Lu 1 Bruno K Meyer 1 Thomas Sander 1 Peter J Klar 1
1Justus-Liebig University Giessen Germany
Show AbstractThe two widely known tin oxide phases SnO2 and SnO are easily distinguished by examining their Raman spectra. Such Raman spectra contain information about the crystal structure and orientation as well as its quality, impurities, etc. Ion beam sputtered samples of SnO2 and SnO with well-defined orientations are investigated using rotational Raman spectroscopy, a technique where the sample is rotated in plane with respect to the incident laser polarization. The intensity of the scattered light is analyzed for different polarizations with respect to the incident light. The data obtained is used to confirm the assignments of Raman modes appearing in the spectra of SnO2 and SnO and to determine the values of the corresponding Raman tensor elements. Samples grown in the regime between the formation of these two phases yield completely different Raman spectra implies the formation of a third tin oxide phase in this intermediate regime. We present the third phase a first identification of the Raman modes of this additional SnxOy phase. Furthermore, naturally grown crystals are investigated and compared to the samples grown by ion beam sputtering and chemical vapor deposition.
In addition to angle dependent measurements, pressure dependent measurements were performed using a diamond anvil cell. The results of these measurements are presented up to more than 10 GPa for the three examined phases. This is the first report of pressure dependence of the third phase&’s Raman spectra and an extension of the previous work conducted on SnO2 and SnO under pressure.
9:00 AM - O3.32
Structural and Photoluminescence Study of Eu3+ Doped Ag2WO4 Synthesized by the Co-Precipitation Method
Ivo Mateus Pinatti 1 Paula F. S. Pereira 2 Jose Arana Varela 2 Elson Longo 2 Ieda L. V. Rosa 1
1UFSCar Samp;#227;o Carlos Brazil2UNESP Araraquara Brazil
Show AbstractRare earth compounds have been extensively studied due to their outstanding properties which are employed in various fields, including phosphors, laser, catalysis and other functional materials based on their electronic, optical, and chemical characteristics. Silver Tungstate has many applications including a novel ozone gas sensor, antibacterial agent, photoluminescence and photocatalytic properties. Recently, a novel process of Ag growth on α-Ag2WO4 surface when it is bombarded with an electron beam has been discovered. However, there are no records on the luminescence of rare earth ions doping Silver Tungstate. Emission bands of europium ions are easy to study in the visible region due to the structure of the energy levels, such as fine lines of absorption and emission. It occurs because of electron transitions within the partially filled 4f layer which is protected from the environment by the 5s and 5p external electrons, and enables their use as a spectroscopic probe for site symmetry determination. This dopand can enhance the efficiency and purity of this material color or even produce new LEDs phosphors besides structural modification due to vacancies in Ag+ sites. Silver Tungstate (Ag2WO4) and Europium doped Silver Tungstate (Ag2WO4:Eu3+) powders were synthesized by co-precipitation method at 80 °C for 15 minutes using Sodium tungstate dehydrate (Na2WO4.2H2O), Silver nitrate (AgNO3) and Europium Oxide (Eu2O3) as precursors. Trivalent rare earth ions presenting in different molar ratio concentrations were introduced into the Ag2WO4 lattice aiming to study their structural and photoluminescence properties. This methodology was used because it is simple, cheap, does not need high production temperatures, is industrially favorable, as well as yields materials with homogeneous shape and size without deleterious phases. X-ray diffraction presented a single phase indexed as orthorhombic structure with space group Pn2n (PDF#34-0061), showing crystallinity at long-range. The optical properties of these microcrystals were investigated by ultravioletminus;visible (UVminus;vis) spectroscopy and photoluminescence (PL) measurements. The emission spectra excited at 350.7 nm (krypton-ion laser) showed the characteristic 5D0→7FJ (J=0, 1, 2, 3 and 4) transitions of Eu3+ resulting in a red luminescence emission corresponding to the stronger 5D0→7F2 at around 615 nm, and a broad band in the blue region (~450 nm) related to the structural defects and electronic structure of the Ag2WO4. Micro-Raman were used to analyze the degree of structural orderminus;disorder at short-range and revealed the presence of at least 5 high intensity Raman-active vibrational modes. The FE-SEM micrograph showed hexagonal rod-like elongated α-Ag2WO4 microcrystals with agglomerate nature and average height of 1 mu;m. These results show novel and interesting properties for these new materials.
9:00 AM - O3.33
THz Wave Absorption Spectra of Widegap Semiconductor beta;-Ga2O3 Single Crystals
Shingo Saito 1 Takeyoshi Onuma 2 1 Kohei Sasaki 3 1 Akito Kuramata 3 Norihiko Sekine 1 Akifumi Kasamatsu 1 Masataka Higashiwaki 1
1National Institute of Information and Communications Technology Koganei Japan2Tokyo National College of Technology Hachioji Japan3Tamura Corporation Sayama Japan
Show AbstractThere is great demand for the development of the energy-saving technology in the worldwide. Many intensively researches for power electronic devices in the high voltage switching applications based on wide-bandgap semiconductors such as SiC and GaN have been studied to deliver on the properties of the higher breakdown voltage and lower loss than those of Si devices.
From the viewpoint of the properties of bandgap, an oxide semiconductor, β-gallium oxide (β-Ga2O3) is a strong contender for the power electronic device materials. And we achieved the large single crystal synthesis of β-Ga2O3 by the melt-growth methods and the first demonstration of Ga2O3 transistors.
It is important to clarify the carrier density of materials for the crystal growth process. We measured THz transparent spectra of doped β-Ga2O3 samples using with THz time-domain spectroscopy which has an advantage that the spectra can be measured without electrical contacts process and estimated the carrier density from the plasma frequency. This is the first report on THz transmittance measurement of various doped β-Ga2O3 crystals to the authors' best knowledge.
Heavily-Si-doped β-Ga2O3 sample did not transmit THz wave and well-compensated, semi-insulating sample transmitted THz wave. Comparing with the results obtained by Hall-effect measurements, our THz wave transmission results are explained by the reflection caused by plasmon and by its cut-off frequency which depends on the carrier density.
9:00 AM - O3.34
Tunable Crystallinity and Morphology in Semiconducting Cupric Oxide Thin Films Grown by Seed Layer-Assisted Chemical Bath Deposition (SCBD)
Changqiong Zhu 1 Matthew J. Panzer 1
1Tufts University Medford USA
Show AbstractCupric oxide (CuO), an intrinsic p-type semiconductor, possesses a narrow bandgap (1.2 eV) that enables strong light absorption across the visible portion of the solar spectrum, suggesting its great potential for solar energy conversion. A growing number of reports have demonstrated interest in utilizing CuO in photovoltaic devices. However, few efforts have been made to grow high quality CuO films on transparent, conductive substrates (e.g. tin-doped indium oxide (ITO)-coated glass) controllably and efficiently. In addition to photovoltaic devices, CuO has also been used as a pseudocapacitor electrode material; in this application, CuO possessing a low degree of crystallinity is preferred. It remains a substantial challenge to prepare high quality, adhesive, and morphology-/crystallinity-controllable CuO thin films on ITO in an efficient manner.
In this work, we report the preparation of high quality CuO thin films on ITO/glass substrates using a novel seed-layer assisted chemical bath deposition (SCBD) technique, which is a safe and environmentally friendly process involving an aqueous solution at moderate temperatures (~100 °C). A CuO seed-layer is first formed by the electrodeposition of Cu2O on ITO/glass substrates for 10 sec, followed by thermal annealing in air (15 min) to convert Cu2O to CuO. The seed layer is found to be essential to promote the growth of adhesive, high quality CuO films on ITO/glass substrates, since no substantial CuO films form without the seed layer present. We have found that the morphology and relative crystallinity of the CuO films can also be tuned by adding sodium lactate to the SCBD solution. CuO films deposited from a solution without sodium lactate are highly crystalline, exhibiting a resistivity of 3.3 × 105 Omega;middot;cm. CuO films grown from a solution with a lactate:copper molar ratio equal to 1.0 are largely amorphous, and possess a larger resistivity (7.2 × 105 Omega;middot;cm). While CuO films grown via SCBD from a solution without lactate show higher crystallinity and electrical conductivity than the CuO films deposited from lactate-containing solution, the latter CuO films demonstrate much greater performance as a pseudocapacitor electrode material because of their more amorphous character.
9:00 AM - O3.35
Raman Scattering Study of alpha;-Ga2O3 Single-Crystal Films Grown by Mist CVD
Luis Artus 1 Nuria Domenech-Amador 1 Ramon Cusco 1 Takumi Hatakeyama 2 Tomohiro Yamaguchi 2 Tohru Honda 2
1Institut Jaume Almera (CSIC) Barcelona Spain2Kogakuin University Tokyo Japan
Show AbstractGa2O3 is a wide band gap III-oxide semiconductor which is attractive for applications in optoelectronic and electronic devices. Ga2O3 is known to have five polymorphs. The stable β-phase of Ga2O3 has cubic structure whereas the metastable α-phase has corundum-like structure.
Single crystalline α-Ga2O3 samples have been grown on c-oriented sapphire substrates by means of mist Chemical Vapor Deposition (mist CVD). Ga acetylacetonate was used as source material. The acetylacetonate was solved in deionized water with a small amount of hydrochloric acid. The solution was atomized using an ultrasonic transducer at 2.4 MHz and the formed aerosols were transferred to a quartz tube using a carrier gas. The samples were grown at 460 0C on the substrate holder in the quartz tube.
The samples were characterized by means of XRD and EDAX. The XRD 2theta;-theta; scan profiles show, in addition to the diffraction peak corresponding to the sapphire of the substrate, a single peak corresponding to the (0006) reflection of a α-Ga2O3 crystal with corundum structure, which indicates that single crystalline α-Ga2O3 films without inclusion of any other crystal structures were successfully grown on sapphire substrate by using mist CVD.
The space group of the α-Ga2O3 crystals is D3d6, with two formula units per unit cell. The irreducible representations of the optical modes in the crystal are 2A1g + 2A1u + 3A2g + 2A2u + 5Eg + 4Eu. Among these optical modes, seven are Raman active modes (2A1g + 5Eg) whereas six are infrared active modes (2A2u + 4Eu).
The c-oriented α-Ga2O3 crystals, with a thickness of about 300 nm, were analyzed by means of Raman spectroscopy by using a T64000 Jobin-Yvon spectrometer. The Raman measurements were performed by exciting with the 514.5 nm laser line and using a 100x objective in backscattering configuration. Since the α-Ga2O3 crystals are transparent to the 514.5 nm laser line, the Raman spectra obtained also contain the modes corresponding to the sapphire of the substrate. To clearly distinguish the Raman peaks corresponding to the α-Ga2O3 crystals from those corresponding to the substrate, we have also measured the Raman spectrum of a sapphire crystal.
Thus, we have been able to detect most of the Raman active modes of the α-Ga2O3 crystals, which span over a 200 - 800 cm-1 frequency range. The width of the Raman peaks obtained proves the good quality of the grown samples. A discussion about the various peaks of the Raman spectra is carried out to unambiguously identify their nature and the contribution of the respective atomic motions to the Raman scattering of the compound. Since the sapphire substrate presents the same crystalline structure (corundum structure) as α-Ga2O3, a comparison between the respective modes of both compounds allows one to discuss the variations that take place in the lattice dynamics of these compounds when a Ga atom replaces an Al atom in the crystal structure.
9:00 AM - O3.36
First Principle Calculations of Wurtzite beta;-CuGaO2 and beta;-AgGaO2
Issei Suzuki 1 Hiraku Nagatani 1 Masao Kita 2 Yuki Iguchi 3 Chiyuki Sato 3 Hiroshi Yanagi 3 Naoki Ohashi 4 Takahisa Omata 1
1Osaka University Suita Japan2Toyama National College of Technology Toyama Japan3University of Yamanashi Kofu Japan4National Institute for Materials Science Tsukuba Japan
Show AbstractWurtzite β-CuGaO2 (energy band gap, Eg = 1.47 eV) and β-AgGaO2 (Eg = 2.2 eV) and their alloys with ZnO are the promising semiconductors that are applicable to optoelectronic devices working in visible-NIR wavelength region [1,2]. The knowledge of electronic structures of the materials enables us to understand their properties. In present study, therefore, we calculated the electronic structures of β-CuGaO2 and β-AgGaO2 by first principle calculations.
The geometry optimizations of β-CuGaO2 and β-AgGaO2 crystals and the calculations of their band structures were conducted using density functional theory (DFT) method. For comparison, we also calculated them for the delafossite α-CuGaO2 and α-AgGaO2. LDA+U was used as functional. A 5×4×5 Monkhorst-Pack k-point sampling and the norm-conserving pseudopotential were used. The cut-off energy for the plane-wave basis set, Ecut, was 840 eV. In order to evaluate the appropriateness of the calculations, we compared the calculated valence band DOS with their XPS spectra. The XPS measurements were performed using monochromated Ag Lα X-rays (2984.2 eV).
In the energy band structure of β-CuGaO2, both valence band#12288;maximum (VBM) and conduction band minimum (CBM) were at the Γ point. This indicates that β-CuGaO2 is a direct. The VBM was dominantly consisted of Cu 3d electrons and had very large DOS. This implies that the absorption coefficient of β-CuGaO2 near the absorption edge is very high similar to CuInSe2 [3]. Taking 1.47 eV of the band gap and p-type electronic conduction into account, β-CuGaO2 is an appropriate material for the absorber of thin film solar cell. In the case of β-AgGaO2, the calculation indicated that it is an indirect semiconductor as already reported in previous paper [4].
We evaluated the effective masses of the hole and electron, mh*/m0 and me*/m0, for wurtzite-type β-CuGaO2 and β-AgGaO2 and delafossite-type α-CuGaO2 and α-AgGaO2. The mh*/m0 of the wurtzite phases were several times larger than those of delafossite phases while me*/m0 of both phases were comparable.
[1] T. Omata, H. Nagatani, I. Suzuki, M. Kita, H. Yanagi, N. Ohashi, J. Am. Chem. Soc. 136, 3378(2014).
[2] I. Suzuki, H. Nagatani, Y. Arima, M. Kita, T. Omata, Appl. Phys. Lett., 103, 222107(2013).
[3] T. Maeda, T. Takeichi, T. Wada, phys. status solidi (a), 203, 2634 (2006).
[4] Y. Maruyama, H. Irie, K. Hashimoto, J. Phys. Chem. B, 110, 23274(2006).
9:00 AM - O3.37
Phase Transition of Zn2LiGaO4-ZnO Alloy at High Temperature
Masao Kita 1 Tomoaki Fukada 1 Takahisa Omata 2
1National Institute of Technology, Toyama College Toyama Japan2Osaka University Suita Japan
Show AbstractBand gap engineering of ZnO by alloying with β-NaFeO2 type complex oxides that is one of the wurtzite-derived structures has been recently demonstrated. In the LiGaO2-ZnO system, we found out new quaternary wurtzite-derived Zn2LiGaO4 with a band gap of 4.0 eV at room temperature. An incommensurately modulated ordering was pointed out in this compound. In the present study, high temperature state of Zn2LiGaO4-ZnO alloys were studied by using an in-situ high temperature X-ray diffraction (XRD) as well as room temperature XRD up to 1673 K. The XRD results were discussed with the selected area electron diffraction (SEAD) of the samples that were quenched in liquid nitrogen after annealing.
Zn2LiGaO4 exhibited three phases, low temperature form (phase I), intermediate temperature form (phase II) and high temperature form (phase III). These three phases possess wurtzite-derived structure and they are hardly distorted as compared to ideal wurtzite structure in entire temperature range. However, the incommensurate modulations of phase I and II were different from each other and it was determined to be 0.26(a*+b*) and 0.27(a*+b*), respectively from SAED. On the other hand, only diffused superlattice diffraction was observed in XRD and SEAD of phase III. This indicates that degree of cation ordering in phase III could be lower than that in phase I and II. A short range cation ordering remained in high temperature form.
A phase diagram of x(Zn2LiGaO4)1/4(1-x)ZnO alloy system in the Zn2LiGaO4 rich side was proposed based on the XRD results. For 0.96le;xle;1, phase I and II appeared from room temperature to 1070 K and from 773 K to 1373 K respectively. Phase III were observed for 0.96le;xle;1 above 1373 K and for 0.10le;xle;0.96 above room temperature. It was found that the incommensurately modulated phase I and II were stable in the limited composition and temperature region.
9:00 AM - O3.38
VO2 (M) Like Insulator to Metal Transition Induced in Vertical Nanocomposite Homostructure Thin Filmsof VO2 (A) and VO2 (B)
Amar Srivastava 4 3 Helene Rotella 3 Surajit Saha 4 3 K. Gopinadhan 3 S. Mathew 3 Banabir Pal 2 Michel Bosman 1 D. D. Sarma 2 T. Venkatesan 4 3
1Institute of Materials Research and Engineering, A*STAR, 3 Research Link Singapore Singapore2Indian Institute of Science Bangalore India3National University of Singapore Singapore Singapore4National University of Singapore Singapore Singapore
Show AbstractThe metal insulator transition in VO2 has been studied extensively. In the linear chain of Vanadium atoms a Peierl&’s instability causes the formation of V dimers which leads to the metal insulator transition. This transition is invariably associated with a monoclinic VO2 (M) to rutile VO2 (R) phase transition. The VO2 (A) phase by itself is insulating while the VO2 (B) phase shows a broad metal insulator transition at 150 K. In this paper we show that a composite film of VO2 (A) and VO2 (B) phases also exhibits a metal insulator transition similar to the VO2 (M/R) phase transition. However, extensive TEM and temperature dependent XRD studies reveal that the film is mainly comprised of VO2 (A) and VO2 (B) phases and very little of M phase. The A phase is under compressive stress while the B phase is under tensile stress and we believe this stress leads to the dimer induced metal insulator transition in this system presumably triggered by the small amount of M phase present. This raises the question “Is a structural phase transition necessary for the MIT in VO2?”
9:00 AM - O3.39
Thermal Conductivity of VO2 Thin Film Deposited by RF-Sputtering
Motohisa Kado 1 Gaohua Zhu 2 Debasish Banerjee 2 Jyothi S Sanhu 3 David G Cahill 3
1Toyota Motor Corporation Shizuoka Japan2Toyota Research Institute of North America Ann Arbor USA3University of Illinoi Urbana USA
Show AbstractMetal-to-insulator transition (MIT) behavior in Anderson-Mott insulators maintained long standing interest ranging over several decades in both the physics and materials science communities. In particular, strongly correlated oxides, cuprates and nicklates are of major interest due to their convenient transition temperature above room temperatures. Among correlated oxides, transition temperature of vanadium dioxide (VO2) is close to room temperature (68°C) in bulk crystals. Over the last decade metal-to-insulator transition in VO2 has been demonstrated to be triggered by electrical, optical, magnetic, strain and thermal energy.[1] The MIT behavior in VO2 is attributed to insulating monoclinic phase to metallic tetragonal rutile phase transition.
Ultrafast nature of the phase transition in VO2 makes it attractive for applications in electronics and optical devices, [2, 3] however utilization of corresponding drastic change in thermo-physical properties are rarely reported.[4] In this study, we investigate thermal and electronic properties of VO2 thin films on various substrates across the transition temperature to seek possibility of utilizing VO2 based thermal switches for applications in thermal devices. All films are deposited by radio frequency (RF) sputtering on various substrates with varying lattice mismatch. The sputtering is performed in Ar environment from a V2O5 target at 550-600°C. Thermal properties are measured by time domain thermo-reflectance (TDTR) method. Electrical conductivity and carrier concentration of the films are measured by a temperature varying four-probe and Hall-Effect measurements. We will discuss interplay of phononic and electronic component to thermal conductivity in the light of Wiedemann-Franz law across MIT of VO2 films deposited under various conditions. This work sheds light on practicality of thermal switches, which is a key step towards realization of advanced thermal devices such as thermal logic gates and thermal memory.
References
[1] Z. Yang, et al., Annu. Rev. Mater. Res. 41, 337 (2011)
[2] D. Ruzmetov, et al., J. Appl. Phys. 107, 114516 (2010)
[3] T. Driscoll, et al., Appl. Phys. Lett. 93, 024101(2008)
[4] D-W. Oh, et al., Appl. Phys. Lett. 96, 151906 (2010)
9:00 AM - O3.40
Gravure-Printing Transparent Sol-Gel Conductors on Flexible Glass Substrates
William Joseph Scheideler 1 Jaewon Jang 1 Vivek Subramanian 1
1UC Berkeley Albany USA
Show AbstractGravure is an attractive patterning technique for printing high-resolution features (< 5µm) at high throughput (speeds ge; 1m/s). Here, for the first time, we extend gravure printing to a new class of inorganic materials by developing sol-gel inks and printing methods for forming transparent metal oxide electrodes on flexible Willow glass substrates. This work presents the development of gravure-printed transparent conductors from Sb-doped tin-oxide (ATO) sol-gel precursors; using this precursor, we are able to realize printed lines with excellent transparency and conductivity on par or better than comparable reports.
Viscous sol-gel precursors were prepared from SnCl22H2O and SbCl3 in a binary solvent system (ethanol/ethylene glycol), with viscosities ranging from 4 cP to 20 cP. ATO Mass loading from 10-40% was achieved using ethylene glycol as a stabilizer. Printed-lines of width 30 mu;m-40 mu;m were patterned by an engraved gravure roller with 20 mu;m nominal cell-size. Drying at 200oC (10 mins) followed by a 15 minute anneal at 500oC in compressed dry-air yields conductive lines with good conductivity and transparency. The resistivity (7X10-3 ~ 1X10-2 ohm cm) of the printed ATO compares favorably to reports of spin-coated SnO2:Sb sol-gel conductors. Optical transmission through the ATO/glass substrate exceeds 90% in the visible range. The resulting lines also show good mechanical stability; the sheet resistance of ATO lines is stable at bending strains at least up to the mechanical limits of the 100 mu;m thick glass substrate (up to 0.15% tensile and compressive strain). The bending endurance of gravure-printed ATO is examined, showing negligible degradation in sheet resistances up to 104 bending cycles.
To our knowledge, this is the first successful report of high-throughput patterning of transparent sol-gel conductors at this resolution. The mechanical stability of printed ATO lines during moderate bending strain supports the viability of high-throughput roll-to-roll processing for gravure-printed sol-gel conductors. The conductivity of the gravure-printed transparent lines may make them suitable electrodes for flexible and transparent devices such as touch screens and fully transparent thin-film transistors.
9:00 AM - O3.41
Phonon Properties of Copper Oxide Phases from First Principles
Marcel Giar 1 Thomas Sander 1 Markus Heinemann 1 Christian T. Reindl 1 Bianca Eifert 1 Peter J. Klar 1 Christian Heiliger 1
1Justus Liebig University Giessen Germany
Show AbstractWe investigate the vibrational properties of the three copper oxide phases Cu2O, Cu4O3, and CuO employing first principles density functional theory calculations using the VASP code. Phonon bandstructure and density of states for all three phases are derived from a supercell small displacement method. The splitting of the LO and TO modes at the Γ point is obtained by properly taking into account the non-analytical contributions to the dynamical matrix in the limit q → 0. We examine Raman properties by calculating Raman susceptibilities and derived Raman spectra and compare the results to our recent experiments [1]. As the Raman spectrum of Cu2O is dominated by Cu defect-activated IR-active and silent modes, special attention is paid to the particular influence copper split vacancies (VCusplit) and copper vacancy (VCu) on the Raman spectrum. Further, IR absorbance is also investigated by calculating the low frequency dielectric tensors.
[1] T. Sander, C. T. Reindl, M. Giar, B. Eifert, M. Heinemann, C. Heiliger, and P. J. Klar, Phys. Rev. B, accepted (2014)
9:00 AM - O3.42
Electron Paramagnetic Resonance (EPR) of Interstitial Silicon Atoms in Rutile TiO2 Crystals
Eric M. Golden 1 Nancy C. Giles 1 Larry E. Halliburton 2
1Air Force Institute of Technology Wright-Patterson Air Force Base USA2West Virginia University Morgantown USA
Show AbstractElectron paramagnetic resonance (EPR) is used to identify a new and unique silicon-related point defect in nominally undoped single crystals of rutile TiO2. Commercially available oxidized c plates of TiO2 were obtained from Crystec in Germany. At 20 K, exposure to 442 nm laser light produces the new S = 1/2 center and also produces neutral and singly ionized oxygen vacancies. The principal g values of the new center are 1.9159, 1.9377, and 1.9668 and the corresponding principal axes are along the [-110], [001], and [110] directions. Hyperfine lines associated with the new EPR spectrum show that the unpaired spin interacts equally with two Ti nuclei and unequally with two silicon nuclei. The two Ti ions are at regular lattice sites while one of the silicon ions is substituting for a Ti ion and the other silicon occupies an adjacent interstitial position. The silicon is present in the TiO2 crystals as an unintentional impurity. Principal values for the larger of the two silicon hyperfine interactions are 91.4, 95.4, and 316.4 MHz and the principal axes are also along the [-110], [001], and [110] directions, respectively. We propose that an interstitial silicon atom (Si0) is adjacent to a silicon ion (Si4+) substituting for a Ti4+ ion in the as- grown crystals and initially forms a neutral nonparamagnetic complex [Siint-SiTi]0. During the laser illumination at 20 K, a hole is trapped by this complex and a [Siint-SiTi]+ defect is formed with S = 1/2. Our silicon-related EPR signal disappears in two steps during a thermal anneal. The first step is between 22 and 30 K and coincides with the release of an electron from neutral oxygen vacancies. The second step is between 32 and 40 K and coincides with the release of an electron from singly ionized oxygen vacancies. The electrons released from the oxygen vacancies recombine with the holes at the silicon complexes.
9:00 AM - O3.43
Silver Nanowire - Molybdenum Oxide Nanocomposite Electrodes for Optoelectronic Applications
Sahin Coskun 1 Guler Kocak 2 Ali Cirpan 2 Husnu Emrah Unalan 1
1Orta Dogu Teknik Universitesi Ankara Turkey2Middle East Technical University Ankara Turkey
Show AbstractRecently, comparable transparency and sheet resistance values were obtained from silver nanowire networks to that of commercial standard indium tin oxide (ITO) thin films [1]. Therefore, this novel transparent conductor is promising for various optoelectronic device applications, such as solar cells, organic light emitting diodes (OLEDs) and photodetectors. However, problems such as high roughness and oxygen instability remain yet to be resolved. So far, some n- type metal oxides were investigated to both decrease the surface roughness and increase stability of silver nanowire networks. In this study, p-type molybdenum oxide (MoO3) thin film is deposited onto silver nanowire networks and optoelectronic properties of formed nanocomposite electrodes were investigated. All solution based routes for the synthesis of silver nanowires [2] and fabrication of the nanocomposite electrode capable of large area deposition was used. Depending on the oxide thickness, surface roughness of the nanocomposite and stability of silver nanowires were monitored. Lastly, an OLED was fabricated where the nanocomposite served both as an anode and hole injection layer, eliminating the need for a separate hole injection layer.
[1] S. Coskun et al. Nanotechnology 24 (2013) 125202.
[2] S. Coskun et al. Cryst. Growth Des. 11 (2011) 4963.
O1: ZnO and Related I
Session Chairs
Anderson Janotti
Tim Veal
Monday AM, December 01, 2014
Hynes, Level 3, Ballroom A
9:30 AM - *O1.01
Graphoepitaxy of Zno: Novel Selection Rule of Domain Formation on Nanopatterned Glass Surface
Akira Ohtomo 1 2 Masaaki Irino 1 Kazuki Ohashi 1 Takayoshi Oshima 1
1Tokyo Institute of Technology Tokyo Japan2Tokyo Institute of Technology Yokohama Japan
Show AbstractZnO and related materials have excellent optical and electronic properties, and recent advancements in epitaxial growth technique have spurred the investigation of these materials for applications such as ultraviolet light-emitting diodes and invisible transistors. Although reliable technique for p-type doping into ZnO is not yet completed, the crystalline quality and materials purity is now approaching ultimate limitsminus; the fractional quantum Hall effect has been observed in ultraclean ZnO/MgZnO interfaces. The majority of the applications presently envisaged can essentially be realized if single-crystalline layers glow on low-cost glass and polymer substrates in a fashion of graphoepitaxy. The notion of graphoepitaxy has been applied for many classes of materials, including semiconductors, metals, molecular compounds, and alkali halides. A rational mechanism of crystal orientations is induced by the fact that nucleation occurs under geometric constraint in a grating lithographically fabricated on the glass surface. Here we study this for the ionic oxide semiconductor, hexagonal ZnO on a quartz substrate with a square-wave relief grating structure. The epitaxial structure was obtained by two-step growth; pulsed-laser deposition (PLD) of a c-axis oriented, homogeneous nucleation layer, followed by mist chemical vapor deposition (Mist CVD) of an in-plane oriented, a few microns domains (a few microns). Surprisingly, rotational symmetry of the domains was found to be twisted by 900 from right angles commonly found in graphoepitaxy (i.e., crystal facet m-planes parallel to the side walls). We investigated surface morphology for the growth-interrupted films to identify that the m-plane facets indeed appeared perpendicular to side walls. The details of novel selection rule of the domain formation and the electronic properties of the obtained films will be presented.
10:00 AM - O1.02
Anti-Stokes Photoluminescence of ZnO Single Crystal and Its Related Intermediate Trap States
Katsushi Fujii 1 Takenari Goto 2 Takafumi Yao 3
1The University of Tokyo Tokyo Japan2RIKEN Wako Japan3AIST Tsukuba Japan
Show AbstractAnti-Stokes process is expected for an energy up-conversion process of solar cells for example. The processes of ZnO and GaN are thought to occur via intermediate trap states but the details are still obscure. Recently, a very weak and very long lifetime photoluminescence was found in ZnO. This trap is probably related to the anti-Stokes process because of its luminescent process. The detailed properties of the anti-Stokes photoluminescence and intermediate trap state are discussed here.
The near the band edge (NBE) spectra of the Stokes (excited by 325.0 nm) and anti-Stokes (excited by 441.6 nm) photoluminescence using continuous wave (cw) excitation were almost the same except for its self-adsorption near the band gap. The deep luminescence had the same 2.4 eV peak (GL) for both Stokes and anti-Stokes process under cw excitation. The luminescence with 2.25 eV peak (YL) was, however, observed after the excited light turned-off only with the excitation of smaller energy than that of the band gap (441.6 nm). The photoluminescence intensity of NBE (cw), GL (cw), and YL (0.06 ms after excited light turn-off) dependent on the excited light intensity of 441.6 nm were observed to be proportional to the n-th power of the excitation intensity and the n was not 1. This shows the luminescence had some effects like carrier loss or two-step process. The n for NBE, GL and YL were 1.41, 1.12, and 0.78. The order n for NBE is almost twice of that for YL, and the n for GL is in-between. This indicates the YL is related to the anti-Stokes process and the NBE is two-step process using the trap related to YL as the intermediate state. The excited states of the deep trap was estimated to be two pathways, that is, one is the direct recombination of GL and the other is falling much deeper trap with lattice relaxation related to YL.
The YL had the characteristics of donor acceptor (D-A) pair luminescence from the peak energy dependency of excitation light intensity. The luminescence was a highly compensated D-A pair luminescence with tunneling effect summarized from the peak energy dependence on the exciting energy and the intensity decay after the excitation turned-off. Considering with the condition of electric dipole transition like light emission and adsorption, the parity of initial state and final state has to change when the dipole transition is allowed. The trap state related to YL requires having s- and p-orbital-like characteristics in order to allow the transition from/to valence and conduction bands. The trap related to the YL is considered to be an exciton-like D-A pair with p-orbital-like envelope function distorted from the crystallographic strain field due to the highly compensated donor and acceptor considering with the experimental results.
10:15 AM - O1.03
Deep Level Analysis of Homoepitaxial ZnO Doped with N
Alejandro Kurtz 1 Adrian Hierro 1 Leonard Gura 1 Elias Munoz 1 Jean-Michel Chauveau 2
1Technical University of Madrid Madrid Spain2CHREA-CNRS Valbone France
Show AbstractThe main drawback for the development of successful ZnO optoelectronics is the lack of reliable p-type doping. This has remained a controversial topic that has been tackled from many viewpoints. Various elements have been proposed, being the most promising one N, although its electrical behavior and incorporation are still unknown. Recent studies have suggested that the formation of complex levels is a promising path towards efficient p-type doping. However, other studies have reported that N may have a very low solubility, creating deep levels instead of efficient shallow acceptor levels.
Six ZnO films have been grown by molecular beam epitaxy, homoepitaxially on ZnO substrates, in order to minimize the defect concentration. First, three undoped samples, oriented in the m-, a-, and r- planes were grown. Among them, the orientation that showed the lower residual carrier concentration was the m-plane, on which three samples were then grown with an increasing exposure to N.
PL measurements indicated a good crystalline quality, except for the highest N concentration sample. Semitransparent Schottky diodes were processed, showing good rectification properties. With the N incorporation the carrier concentrations decreased, becoming highly compensated for high N contents. Since all of the samples were highly resistive, deep level optical spectroscopy (DLOS) has been used in order to determine the presence of traps throughout the entire bandgap. In the N-doped samples the total capacitance variation (#8710;C/C0) was slightly larger than in the undoped ones. Most interestingly, the evolution of this change along the scanned energy range is highly dependent on the presence of N. In the undoped samples, only two onsets can be clearly observed. The first one, very deep, at EV+0.92 eV, and a shallower one at EV+0.25 eV, which is responsible for practically the total capacitance change. This trap distribution changes dramatically with the incorporation of N. New levels can be observed both near and far from the valence band (VB) edge. Two new shallow levels appear, with energies EV+0.21 eV and EV+0.29 eV, where the first one is responsible for nearly half of the total #8710;C/C0 change. The rest of the capacitance variation is divided among many new levels farther from the VB, with the most prominent ones located at EV+1.23 eV and EV+1.39 eV.
From these results, it is clear that N does not easily incorporate as a shallow acceptor in ZnO. Although some shallower levels arise, most of the capacitance variation appears in the mid-gap region, indicating that no efficient acceptor level has been incorporated with the addition of N in ZnO.
10:30 AM - O1.04
Aluminum Dopant Distribution in Conducting Zinc Oxide Films
Michael John Campion 1 Austin Akey 2 Harry Tuller 1
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA
Show AbstractDonor doped zinc oxide has garnered great interest as an earth-abundant, lower cost alternative to tin-doped indium oxide (ITO) in transparent conducting oxide applications. In order to reach the highest levels of conductivity, dopant levels on the order of a few atomic percent are needed. At these high dopant concentrations, the electron mobility in zinc oxide is found to be lower than predicted, perhaps due to inhomogeneous dopant distribution. In this study, pulsed laser deposition was performed under various deposition conditions to produce thin films of zinc oxide with varying aluminum concentration. These films were analyzed via atom probe tomography (APT), a method which allows for 3-dimensional compositional mapping of specimens. Using this method, the degree of clustering of dopant atoms was explored, revealing that clustering does not seem to occur to a measureable degree in aluminum doped zinc oxide. APT measurements also revealed significant segregation of aluminum to the film-substrate interface, the nature of which was explored through multiple depositions under varying experimental conditions. The measurements also revealed zinc rich areas lying at specific orientations with respect to the film-substrate interface.
10:45 AM - O1.05
UV Resonant Raman Scattering Analysis of ZnO: Al Layers: Non Destructive Methodology for Quantitative Assessment of Carrier Concentration
Cristina Insignares-Cuello 1 Carmen M Ruiz 2 Antonin Moreau 2 Veronica Bermudez 3 Edgardo Saucedo 1 Alejandro Perez-Rodriguez 1 4 Victor Izquierdo-Roca 1
1Catalonia Institut for Energy Research (IREC) Barcelona Spain2Aix-Marseille Universitamp;#233;, IM2NP Marseille France3NEXCIS Photovolt. Tech. Rousset France4IN2UB Barcelona Spain
Show AbstractZnO doped with Aluminum (ZnO:Al, AZO) is one of the most common used TCOs due to cost issues and characteristics (60meV exciton binding energy and Eg~3,3eV band gap). Assessment of the AZO conductivity is relevant because of its impact in the performance of the devices. However, this typically requires the use of specific test structures with suitable electrical contacts. Optical tools do not require specific sample preparation, are non-destructive, contactless, compatible with hazardous and chemically aggressive environments and allow also for analysis of uniformity with high (micrometric) spatial resolution. In this context, UV Resonant Raman spectroscopy is well suited to perform this assessment. It provides information of the chemico-physical and electrical relevant properties of the material, and can be applied directly on the TCO layer from finished devices. Raman scattering based techniques can fulfill the requirements needed in industry for on-line quality control and process monitoring.
In this work, an analysis on ZnO layers with different Al doping concentrations (resistivity from 25 Omega;/#61487; to >50 kOmega;/#61487;) has been performed by Hall Effect, absorption characterization and pre-resonant UV-Raman spectroscopy under 325nm excitation wavelength. The layers were grown on glass substrates by sputtering, and the doping ratio has been controlled by modifying the Ar/O2 mixture. UV Raman scattering spectra measured with UV excitation show a main peak centered about 570 cm-1 that is identified with the A1(LO) ZnO mode and a broad band peaking at about 510 cm-1 attributed to a defect induced band related to intrinsic defects in the ZnO lattices. The relative intensity of this band shows a direct correlation with the carrier density as determined by Hall effect measurements. In contrast, the Raman spectra do not show a clear dependence on the carrier mobility. This correlation allows to perform a quantitative estimation of the carrier concentration and the AZO conductivity in the AZO layers from the analysis of the Raman spectra.
This methodology has also been applied to analyze the impact of soft annealing processes of the AZO layers on their electrical conductivity, observing the possibility to achieve significant dopants activation by an annealing step at 250oC in air, optimizing doping introduced during sputtering deposition at 120oC. This is also accompanied by a significant improvement in the AZO transparency. An analysis of the impact of the carrier content on the spectral features of the Raman active vibrational modes will be presented, and the nature of the defects responsible of these effects (that are relevant because of their involvement in the AZO conduction processes) will be discussed.
11:30 AM - *O1.06
Nonpolar and Semipolar (Zn,Mg)O/ZnO Quantum Heterostructures
Jean-Michel Chauveau 1 2
1CRHEA-CNRS Sophia Antipolis France2University Nice Sophia Antipolis Nice France
Show AbstractZnMgO/ZnO Quantum well heterostructures (QWs) have attracted much attention due to their opportunity of combining band gap engineering, with large excitonic binding energies. So far studies on ZnO have mainly focused on films grown in c-(0001) orientations. The wurtzite ZnO layers exhibit built-in electric fields along the c-axis, affecting the electronic properties. Non-polar surfaces are an alternative route to the fabrication of wide QWs with no reduction of the exciton binding energies as compared to bulk in wide QWs. This property will be demonstrated in QWs grown on sapphire. Then we will show a drastic improvement of the optical and structural properties when the QWs are grown on ZnO substrates. A comparison between the different nonpolar orientations (m- or a-planes) will be given pointing out the interest of the m-plane heterostructures for their optical properties. In addition we will show that it is possible to grow high quality semipolar QWs on (10-12) ZnO bulk substrates with wide atomically flat terraces and no plastic relaxation. The photoluminescence emission of wide QWs is below the ZnO band gap owing to the presence of an electric field, which can be estimated. The emission is strongly polarized perpendicular to the projection of the c axis on the (10-12) plane, in agreement with the selection rules. Finally we will present the differences of these orientations in terms of residual and intentional doping as well as their potentialities in terms of optoelectronics devices.
12:00 PM - O1.07
Doping, Defects, and Optical Properties of Ga2O3
Anderson Janotti 1 Joel B. Varley 1 Hartwin Peelaers 1 Chris G. Van de Walle 1
1University of California, Santa Barbara Santa Barbara USA
Show AbstractGa2O3 is a wide band gap semiconductor that has recently attracted great interest for its potential application in high power transistors, transparent coatings, and resistive switching devices. In these applications, the behavior of charge carriers and defects strongly influence the material&’s performance. Using first-principles calculations based on hybrid density functional we study the electronic properties of native defects and impurities in Ga2O3. In this talk we will discuss the impact of oxygen, gallium vacancies, and hydrogen impurities on the electrical and optical properties of Ga2O3, focusing on defect related luminescence, the interaction of hydrogen with Ga vacancy, and the effect of self-trapping holes on the optical properties of Ga2O3.
12:15 PM - O1.08
Oxide Thermal Engineering with Point Defects: Interplay of Vacancy Concentration and Doping on Thermal Conductivity of Dy Doped CdO
Brian F Donovan 1 Edward Sachet 2 John-Paul Maria 2 Patrick Hopkins 1
1University of Virginia Charlottesville USA2North Carolina State University Raleigh USA
Show Abstract
Cadmium Oxide (CdO) is a well known transparent conducting oxide (TCO), used in a number of opto-
electronic applications including IR detectors, displays, and photovoltaics. Given the variably conducting nature of CdO, understanding the role and contributions of electron, phonon and defect interactions on the thermal transport properties are of utmost importance to further advance this material system in a wide array of technologies. In this study, thin films of CdO were doped with Dysprosium to modulate electronic and thermal carrier mobilities, as well as oxygen vacancy concentrations within the oxide. We use measurements of thermal conductivity via time domain thermoreflectance to analyze the effects of increasing Dysprosium impurities and decreasing oxygen vacancies on thermal transport and phonon scattering in CdO. A twin peaked maximum in thermal conductivity was observed with up to a 60% increase over undoped CdO at the 1019 minus;1020cmminus;3 doping range. This optimum doping range limits vacancy concentration without inducing overwhelming lattice strain or impurity scattering to achieve the maximum in overall thermal mobility. The two peaks in the maximum are indicative of the superposition of separate maxima in phonon and electron contributions to thermal conductivity. The phonon contribution is being impacted at a lower Dysprosium concentration than the electron thermal conductivity, since the dopants are increasing the population of carriers for the electron contribution. These findings lend significant insight into efforts in thermal management of conducting oxides in general.
12:30 PM - O1.09
Electrical and Optical Properties of Indium Doped Cd1-xZnxO Alloys
Wei Zhu 1 2 Kin Man Yu 2 Wladek Walukiewicz 2
1University of Science and Technology of China Hefei China2Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractOur previous work has shown that CdO intentionally doped with Ga or In can have electron concentration in excess of 1021 cm-3 with mobility higher than 100 cm2/Vs providing a transparent conducting oxide (TCO) with a record low resistivity of mid 10-5 Omega;bull;cm and a wide transparency window extending from 400 to 1500 nm [1]. We have also demonstrated that the intrinsic gap of CdO can be further increased by alloying with up to ~30% of ZnO [2]. In this work we carried out a systematic investigation of the electrical and optical properties of In doped Cd1-xZnxO across the full composition range. The films were synthesized with RF magnetron sputtering. Since CdO and ZnO crystallize in rocksalt (RS) and wurtzite (WZ) structures, respectively, Cd1-xZnxO alloys undergo a phase change from RS to WZ at a composition x~0.35-0.45. We have found that properties of Cd1-xZnxO:In thin films depend critically on the crystal structure. The intrinsic bandgap of RS Cd1-xZnxO alloys increases from 2.2 to 2.7 eV with increasing Zn content, while that of the WS alloys decreases from 3.3 eV for ZnO to 1.7 eV for Cd0.7Zn0.3O with increasing Cd content. In both cases the change in the band gap originates from the shift of the valence band with the conduction band edge remaining constant with respect to the vacuum level. There is a significant difference in the electrical properties of the two crystallographic phases. The RS alloys (x<0.3) have ~3 times higher mobility than the WZ alloys (x>0.45). In doped RS Cd1-xZnxO thin films (x<0.3) can have an electron concentration as high as 1x1021/cm3 with resistivity in the range of 10-4~10-5 Omega;bull;cm. The Burstein-Moss shifted absorption edge of these alloys is larger than 3.2 eV. Due to the high mobility, these RS alloys have good transmission at wavelengths as high as 1500 nm. Compared with a commercial Flourine doped Tin Oxide (FTO) TCO with sheet resistance of 7 Omega;, our optimally In doped Cd1-xZnxO thin films with similar sheet resistance have four times smaller film thickness. In addition the higher IR transparency allows ~10% more of solar spectrum photons to be transmitted through the Cd1-xZnxO. The superior IR transparency offers a unique potential of using RS Cd1-xZnxO:In for photovoltaics utilizing the near infrared part of the solar spectrum including Si PV technology.
1. K. M. Yu, M. A. Mayer, D. T. Speaks, H. He, R. Zhao, L. Hsu, S. S. Mao, E. E. Haller, and W. Walukiewicz, J. Appl. Phys. 111, 123505 (2012).
2. D. M. Detert, S. H.M. Lim, K. Tom, A. V. Luce, A. Anders, O. D. Dubon, K. M. Yu, and W. Walukiewicz, Appl. Phys. Lett., 102, 232103 (2013).
12:45 PM - O1.10
Effects of the d-Donor Level of Vanadium on the Properties of Zn1-xVxO Films
Eric Garcia-Hemme 1 2 Kin Man Yu 3 Wladek Walukiewicz 3
1Universidad Complutense de Madrid Madrid Spain2CEI Campus Moncloa Madrid Spain3Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractIt is well known that group 3-d transition metals introduce highly localized donor and acceptor states in II-VI compound semiconductors. Thus, vanadium whose localized d-donor level lies at about 5 eV below the vacuum level act as efficient donor in CdO. Interesting case is represented by ZnO in which vanadium d-level is located close the conduction band minimum (CBM). Therefore vanadium doped ZnO offers an opportunity to investigate effects of the interaction between the localized d-states of vanadium and the extended states of the ZnO host matrix. We have synthesized Zn1-xVxO thin films with 0 le; x le; 0.08 using magnetron sputtering. Polycrystalline ZnO:V films were deposited on glass substrates at 250 oC. Rapid thermal annealing of the films were carried out in N and O atmosphere. The composition of the films was determined using Rutherford Backscattering Spectroscopy (RBS). Electrical and optical properties of as grown and annealed samples were studied with Hall effect, optical absorption and photoluminescence (PL). Unexpectedly, in as grown and annealed samples the absorption edge energy increases with increasing vanadium content by as much as 0.13 eV for x = 0.08. In contrast the PL peak energy is decreasing with the vanadium content. To understand this unusual behavior we use the Band Anticrossing (BAC) model to consider the effects of close proximity of fully occupied vanadium d-donor level and the CBM of ZnO. The interaction results in an upward shift of the mostly unoccupied conduction band states and broadening of the occupied donor d-levels into a narrow band. The optical transitions from the VB to the CB are responsible for the absorption edge whereas transitions from the d-level derived narrow band to the VB are the primary origin of the PL. The composition dependence is explained by the BAC model with the d-level of vanadium at 0.12 eV below CBM of ZnO and the coupling constant of 0.67 eV.
Symposium Organizers
Oliver Bierwagen, Paul Drude Institute
Masataka Higashiwaki, National Institute of Information and Communications Technology
Anderson Janotti, University of California-Santa Barbara
Tim Veal, University of Liverpool
O6: TiO2
Session Chairs
Stephan Lany
David Scanlon
Tuesday PM, December 02, 2014
Hynes, Level 3, Ballroom A
2:30 AM - O6.01
Bulk Ionization Potentials of TiO2: Towards Improved Photocatalytic Activity
John Buckeridge 1
1University College London London United Kingdom
Show AbstractTiO2 is a widely used transparent conducting oxide with applications in solar cell technology as well as photocatalysis, for which mixed anatase- and rutile-phase samples have been found to outperform the individual polymorphs, a consequence of their energy band alignment. In this work we use a state-of-the-art hybrid quantum mechanical/molecular mechanical (QM/MM) embedded cluster approach to determine the bulk ionization potentials of eight TiO2 polymorphs, four of which are naturally occuring, and four of which are 'high pressure' phases. We also perform plane-wave hybrid-density functional theory (DFT) calculations to determine their energy band gaps, thereby providing the band alignments for a range of TiO2 polymorphs. We predict that improved photocatalytic activity should result from mixing brookite and anatase, or, if achievable experimentally, hollandite and brookite. We justify our results in the context of the effect of local oxygen coordination on the Madelung potential.
2:45 AM - O6.02
Non-Adiabatic Excited-State Dynamics Study of Methoxy Photo-Oxidation on TiO2 Surface
Grigory Kolesov 1 Dmitry Vinichenko 2 1 Georgios Tritsaris 1 Efthimios Kaxiras 1
1Harvard University Cambridge USA2Harvard University Cambridge USA
Show AbstractTitanium dioxide is one of the most thoroughly studied photocatalytic materials with numerous proposed applications, ranging from water photooxidation for hydrogen production to cleanup of environmental pollutants and self-cleaning coatings. Photocatalytic methoxy splitting on rutile TiO2 (110) surfaces that leads to formation of formaldehyde has been previously observed in STM and TPD experiments [1]. In this work we theoretically study non-adiabatic excited-state dynamics of methoxy splitting on TiO2 surfaces. Because such simulations are computationally demanding we developed an efficient methodology based on time-dependent density functional theory (TDDFT) and localized basis set. We use this methodology to study the excited-state trajectories that lead to the splitting of methoxy and examine in detail electron and hole motion that leads to the reaction. We compare electronic density during the excited-state dynamics to reference densities of the electronic ground state computed along the same trajectory. Interestingly while the excited-state time evolution is smooth, the ground state electronic density is observed to have a distinct jump that corresponds to C-H bond breaking and O-H bond formation. We discuss the importance of topology of the ground and excited state potential energy surfaces for the reaction.
[1] Phillips, K. R., Jensen, S. C., Baron, M., Li, S.-C. & Friend, C. M. Sequential photo-oxidation of methanol to methyl formate on TiO2 (110). Journal of the American Chemical Society 135, 574-577 (2013).
3:00 AM - *O6.03
Small and Large Polarons in TiO2 Rutile and Anatase Surfaces
Cesare Franchini 1
1University of Vienna Vienna Austria
Show AbstractTiO2 is a prototypical metal oxide and used in (photo)catalysis, photosensitized solar cells, and transparent conductive oxides. Industrially two forms of TiO2 are used, rutile and anatase. The behavior of charge carriers is of key importance in virtually all applications of these materials. When excess electrons are added to the conduction band of an oxide, the electron-phonon interaction may favor electron trapping, which can result in the formation of either localized (small) or delocalized (large) polarons depending on the strength of the electron-phonon coupling. By combining first principles calculations within the DFT+U method (with the U computed entirely ab initio through the constrained random phase approximation) and experimental STM and STS techniques we investigate the nature of electron polarons in rutile (110) and anatase (101) surfaces. The excess charge were provided by either oxygen vacancies or by Nb-doping. In rutile the excess electrons can localize at any lattice Ti atom, forming a small polaron. The polarons in rutile can hop rapidly among lattice Ti sites. In stark contrast to rutile, electrons in a perfect anatase lattice prefer delocalized (band-like) solution, while small and immobile polarons can only be formed near surface oxygen vacancies or at the step edges. When electrons are introduced via a dopant (Nb) that modifies the lattice structure only slightly small polaron remains favorable in rutile, whereas more delocalized electronic solutions are preferred in anatase which can be interpreted as large polarons. Our results illustrate the basic principles of electron localization in the model oxide TiO2 and help to understand the different behavior of TiO2 rutile and anatase in applications.
[1] M. Setvin, C. Franchini, X. Hao, M. Schmid, A. Janotti, M. Kaltak, C. Van de Walle, G. Kresse, U. Diebold, A direct view at polarons in TiO2 rutile and anatase, arXiv:1401.7817 (2014)
[2] M. Setvin, X. Hao, B. Daniel, J. Pavelec, Z. Novotny, G. Parkinson, M. Schmid, G. Kresse, C. Franchini, and U. Diebold, Charge Trapping at the Step Edges of TiO2 Anatase (101), Angew. Chem. Int. Ed. 53, 4714 (2014).
3:30 AM - O6.04
Electric Field Driven Point Defect Redistribution in Rutile TiO2-x
Ali Moballegh 1 Elizabeth Dickey 1
1NC State University Raleigh USA
Show AbstractThe redistribution of intrinsic charged point defects under applied voltage bias leads to spatial heterogeneities in the stoichiometry. Under direct-current (DC) biasing, the boundary conditions of the electrodes define the transport behavior of point defects near the interface. When the electrodes are impermeable to mass transport, an accumulation of point defects in the near-electrode region leads to the local electronic carrier concentration enhancement at the interface. Such defect redistribution is responsible for the time-dependent increase in the leakage current in many devices. While this leakage current enhancement is detrimental in capacitor devices, the phenomenon of lattice defect migration can be utilized to form novel functional behaviors, such as resistive switching in metal-oxides via modulation of the interface Schottky barrier at the reverse-biased cathode.
This research combines electrical characterization measurements with electron microscopy analyses to understand the mesoscopic redistribution of point defects as a function of electric field and time. Rutile TiO2 single crystals are equilibrated at specific oxygen partial pressures and temperatures to define the initial defect chemistry state, and platinum electrodes are deposited to establish Schottky contacts. The samples are then subjected to electric fields up to 200V/cm, while the leakage current is continuously monitored.
During the degradation process the migration and accumulation of the positively charged defects, e.g. oxygen vacancies and titanium interstitials, results in Schottky barrier modulation at the reverse-bias electrode, also known as field-programmable rectification switching. As the electric field is increased to higher levels, the concentration of point defects at the interface increases to the point that rutile TiO2-x is thermodynamically unstable. The severe nonstoichiometry in the near-electrode regions induces microstructural defects such as dislocations, planar defects and eventual Magnéli phase formation. Using diffraction contrast and high-resolution phase-contrast TEM imaging, the microstructure of severely reduced regions are investigated. Aberration corrected STEM microscopy from the interface region confirms that Magnéli phases form by the long range ordering of point defects into crystallographic shear planes. The Ti valence state and oxygen stoichiometry near the cathode are determined using monochromated electron energy loss spectroscopy (EELS). The implications of this defect redistribution processes and its reversibility are discussed within the context the overall electrical transport characteristics.
This work was supported by the National Science Foundation under grant number DMR-1132058.
3:45 AM - O6.05
Sonochemical Synthesis and Characterization of Anatase/Brookite Composite
Zaira Itzel Bedolla Valdez 1 Federico Gonzalez Garcia 2 Yadira Gochi 3 Gabriel Alonso Nunez 1
1Universidad Nacional Autamp;#243;noma de Mamp;#233;xico Ensenada Mexico2Universidad Autamp;#243;noma Metropolitana Distrito Federeal Mexico3Instituto Tecnolamp;#243;gico de Oaxaca Oaxaca Mexico
Show AbstractAmong the three polymorphs of titanium oxide, brookite is the least studied. Recent studies has been demonstrated that anatase/brookite composites has higher photocatalytic properties than single phase anatase or rutile. Nowadays, only a few works have reported the properties of anatase/brookite composites. The properties of this composites depends of many factors such as synthesis method, surface specific area, cristal sizes and content of each crystalline phase [1#8209;3].
In this research, titanium isopropoxide was used as Ti precursor to synthesized anatase/brookite composites under ultrasonic irradiation at 50°, 60° and 70 °C without the addition of any acid and any surfactant. The obtained samples were labeled S50, S60 and S70. To study the phase transformation the materials were subjected at different heat treatments under ambient conditions to 250-750 °C.
Selected materials were characterized by TEM and SEM. All samples were characterized by XRD diffraction. XRD data were refined by Rietveld method to determine the crystal sizes and content of each phase before and after heat treatments. The nitrogen adsorption was performed to determinate the specific surface area by the BET method and pore size distribution by BJH method. The band gap was determined by UV-Vis spectroscopy by means of Kubelka-Munk method. The vacancies in fresh materials were determined by XPS.
Anatase/brookite composites were succefully synthesized by ultrasonic irradiation. Fresh materials S50, S60 and S70 have a specific surface area of 260 m2 g-1. At 750 °C anatase and brookite are transformed to rutile. In general, the sample S70 has the best physico#8209;chemical properties, such as narrow pore size distribution and lowest band gap energy.
The authors acknowledge to CONACyT 174689 and PAPIIT IN104714 projects. For techical support to Israel Gradilla, Francisco Ruiz, David Domínguez and Ricardo Rosas.
References
[1] A. Di Paola, M. Bellardita, L. Palmisano. Brookite. Catalyst 2013; 3: 36#8209;76.
[2] H. Zhao, L. Liu, J. M. Andino, Y. Li. Journal of materials Chemistry A 2013; 1: 8209#8209;16.
[3] N. Tzikalos, V. Belessi, D. Lambropoulou. Environmental Science and Pollution Research 2013; 20: 2305#8209;20.
4:30 AM - *O6.06
Electron Traps in Rutile TiO2 Crystals: Oxygen Vacancies, Impurities, and Intrinsic Small Polarons
Larry E. Halliburton 1
1West Virginia University Morgantown USA
Show AbstractThe rutile TiO2 lattice is well known for its ability to “trap” photoinduced electrons at Ti4+ ions and form Ti3+ ions with an unpaired d1 electron. This has been shown experimentally to result in a large family of similar, yet slightly different, Ti3+-related centers that include both intrinsic small polarons and donor-bound small polarons. In these latter centers, the Ti3+ ion is located next to an oxygen vacancy or an impurity such as fluorine, lithium, or hydrogen. The small polarons are easily formed in commercially available bulk crystals of rutile TiO2 by illuminating the nominally undoped and oxidized samples at temperatures between 10 and 30 K with sub-band-gap laser light (e.g., 442 nm). Once formed, the ground state of the defects can be readily studied using electron paramagnetic resonance (EPR) and electron-nuclear double resonance (ENDOR) techniques as long as the sample is not warmed. Detailed information about the ground-state model of each electron trap is extracted from the g matrices and the hyperfine matrices. Differences and similarities of the various Ti3+ centers will be described and illustrated in this presentation. The oxygen vacancy is particularly interesting in rutile TiO2, as two of its possible charge states are paramagnetic. The singly ionized oxygen vacancy with one trapped electron is an S = 1/2 defect and hyperfine lines from 47Ti and 49Ti nuclei show that the unpaired electron is localized on only one of the three titanium ions adjacent to the vacancy (i.e., the spin is not shared by two or more of these titanium neighbors). The neutral oxygen vacancy with two trapped electrons has a triplet (S= 1) ground state and consists of two nearest-neighbor, exchange-coupled Ti3+ ions aligned along the [001] direction and equidistant from the vacancy. Illumination at 20 K forms the neutral oxygen vacancies. As the crystal is slowly warmed in the dark, the neutral vacancies (S = 1) thermally decay between 25 and 30 K and convert to singly ionized vacancies (S = 1/2) by releasing an electron. The singly ionized vacancies then thermally decay between 35 and 40 K. An estimate of the activation energy for the neutral oxygen vacancy is 63 meV. Another important result is related to hydrogen in rutile TiO2. The EPR signal presently assigned to the hydrogen-related small polaron was initially reported in 1961 (labeled Center A at that time) and the spectrum has often been erroneously attributed to an interstitial Ti3+ ion in the otherwise regular lattice. Recent studies, however, show that this Center A is the neutral hydrogen donor (i.e., small polaron) with the unpaired spin localized primarily on a titanium ion adjacent to an OH- molecule. Thus far, there is no EPR evidence that interstitial Ti3+ ions are present in slightly reduced rutile TiO2 crystals.
5:00 AM - O6.07
Epitaxial Growth of TiO2 on MgF2 by Molecular Beam-Epitaxy
Jessica MR Burton 1 Christopher C Evans 2 3 Jin Suntivich 1 Darrell G Schlom 1 3
1Cornell University Ithaca USA2Cornell University Ithaca USA3Cornell University Ithaca USA
Show AbstractTitanium dioxide (TiO2) is an emerging material for integrated optics due to its wide transparency and high refractive index; however, unlocking TiO2&’s potential demands large-area single-crystal films. We have identified magnesium fluoride (MgF2) as a nearly ideal substrate on which to grow single-crystal rutile TiO2 for photonic applications, having a lattice match of 1.1%-3.3% (depending on direction) and both the wide transparency and low refractive index necessary to compliment rutile&’s optical properties. In this presentation, we will report on the first growth of epitaxial rutile TiO2 thin-films on MgF2 substrates using reactive molecular-beam epitaxy (MBE). Using in situ reflective high-energy electron diffraction (RHEED) and ex situ four-circle x-ray diffraction, we demonstrate the epitaxial growth of TiO2 on multiple orientations of MgF2 single crystals. We optimize growth parameters using (001) oriented MgF2 and control for substrate temperature, titanium flux, as well as oxidant type (ozone or oxygen) and partial pressure. Epitaxial TiO2 films with the highest crystalline quality were achieved at a growth temperature of 500°C, a titanium flux of 1×1013(±10%) atoms/(cm2sec), and using pure oxygen as the oxidant. We will discuss how to adapt these growth parameters for epitaxial growth on other MgF2 orientations. Our optimized conditions enable the growth of crack-free TiO2 films that are over 100 nm thick with excellent crystallinity (rocking curve full width at half maximum of 30 arc sec). Lastly, we will analyze how the high refractive index (n=2.45, ordinary axis, at lambda;=800 nm), low surface roughness (down to 2.3 nm) affect planar waveguiding losses and discuss the future of rutile TiO2 integrated optics.
5:30 AM - O6.09
Investigation of Titanium -Silicon Mixed Oxide Thin Films Deposited at Low Temperature for Wide Band Gap Device Technology
Stephane Elisabeth 1 Michele Carette 1 Antoine Goullet 1 Agnes Granier 1
1Institut des matamp;#233;riaux Jean Rouxel, IMN Nantes Cedex 3 France
Show AbstractTitanium silicon mixed oxide thin films (TiSiO) are attractive for a large range of applications including high-k materials, optical coatings and waveguides as far as they benefit from the homogeneity and insulating properties of silicon oxide and high values of refractive index and permittivity of titanium oxide [1]. PECVD is a low temperature deposition method which allows the accurate tuning of film properties like titanium dioxide [2]. Here we investigate the deposition of TiSiO films in O2/TTIP/HMDSO (oxygen/titanium tetraisoproxide / hexamethyldisiloxane) diffusion plasma of an rf ICP source (3 mTorr, 400 W) which can be operated in continuous (CW) or pulsed mode. This study is devoted to the investigation of inorganic titanium silicon mixed oxide film properties for optical and electrical applications. The optical properties and the thickness of the films were investigated by in and ex situ UV-Visible phase modulated ellipsometry. The surface temperature was evaluated by irreversible temperature sensitive labels stuck at the substrate backside.
By varying the relative Ti and Si precursor ratio, XPS results show that Ti1-xSixO2 films with tunable fraction of Si can easily be obtained. Increasing the silicon content (x) from 0.5 up to 1 leads to homogeneous and amorphous films as evidenced on SEM views and XRD spectra. The optical index (@ 633nm) and low frequency permittivity evolves from 2.2 and 90 (for pure TiO2, x=0) to 1.46 and 4.6 (for pure SiO2, x=1). Coupling ellipsometry and XPS allowed us to propose schematic energy diagrams, showing that the films are n-type wide band gap (Eg) semiconductors for which Eg increases as a function of x.
In addition, to obtain a process compatible with sensitive substrates like polymers, pulsed plasmas were investigated in order to limit the thermal budget. Thus by applying a frequency and duty cycle in the 0.25-1 kHz and 10-100% range, respectively, we could successively decrease the deposition temperature from 130°C to 40°C without degrading the film optical properties.
The electrical performance is currently under evaluation. The use of pulsed plasmas, which allows to reduce the of ion bombardment, is expected to improve the electrical performance of the material as it was previously shown in the case of SiO2 films deposited in pulsed O2/HMDSO plasmas [3] .
[1] F. GRACIA, F. YUBERO, J.P. HOLGADO, J.P.ESPINOS, A.R. GONZALEZ-ELIPE, T. GIRARDEAU, Thin Solid films 500, 19-26 (2006)
[2] D. LI, M. CARETTE, A. GRANIER, J.P. LANDESMAN, A. GOULLET, Thin Solid Films 522, 366-371 (2012)
[3] A. BOUSQUET, A. GOULLET, C. LETEINTURIER, N. COULON, A. GRANIER, The European Physical Journal Applied Physics 42, 3-8 (2008)
5:45 AM - O6.10
Compositional Variations of Electronic and Optical Properties of Si-Doped Titanium Dioxide
Pavel Ondracka 2 3 1 David Holec 1 Daniel Franta 2 3 Eva Kedronova 2 3 Stephane Elisabeth 4 Antoine Goullet 4 Lenka Zajickova 2 3
1Montanuniversitamp;#228;t Leoben Leoben Austria2Masaryk University Brno Czech Republic3CEITEC - Central European Institute of Technology Brno Czech Republic4Universitamp;#233; de Nantes Nanter France
Show AbstractTitanium dioxide thin films are good candidates for designing optical integrated waveguides or optical devices such as filters or resonators due to their high refractive index and low absorption in the visible range. However, they grow in columnar structure and have a relatively low band gap. Mixed TixSiyOz materials open new possibilities to overcome some of the limitations imposed by TiO2.
In the present work, we investigate the compositional variation of optical properties of Si-doped TiO2 by means of Density Functional Theory. Special Quasi-random Structures method is used to generate structural models of SixTi(1-x)O2 disordered solid solutions for x=0.0625, 0.125, 0.1875, 0.25, 0.5 and 0.75 for anatase and rutile phases. These initial supercells are structurally optimized (i.e. optimized with respect to the cell shape, size, and atomic positions) using the Vienna Ab initio Simulation Package. Electronic structure and optical constants of the resulting structures are calculated by the linearized augmented plane wave method as implemented in the Wien2k full potential all electrons code together with the recently developed modified Becke-Johnson exchange-correlation potential allowing precise prediction of the band gap.
Our calculations show that the rutile phase is favoured over the anatase structure up to ~0.8 mole fraction of SiO2. At these high Si contents are, however, other phases (or amorphous structure) expected as is the case of SiO2. Hence we conclude that alloying Si into TiO2 preserves its thermodynamically preferred phase. The calculated dielectric function is compared to the experimental data obtained by fitting the optical measurements (ellipsometry, spectrophotometry) carried out on TiO2 films containing rutile and anatase phases and TixSiyOz films prepared by plasma enhanced chemical vapor deposition. This comparison reveals very good agreement for pure TiO2 phase, while for higher concentrations of Si our calculations suggest drop of the optical band gap. We show that this drop is related to the presence of Si induced states on O sites. Nevertheless, the optical response as measured by the imaginary part of the dielectric function is very low in the range ~0.5eV above the calculated optical band gap, thus rationalising the experimental data where this drop is not observed (instead almost no change of the optical band gap is suggested up to ~0.4 SiO2 mole fraction).
O4: Oxide Sensors
Session Chairs
Tuesday AM, December 02, 2014
Hynes, Level 3, Ballroom A
9:30 AM - *O4.01
Metal Oxide Based Chemical Sensors: Material Engineering or Clever Operation Procedures?
Maximilian Fleischer 1 Roland Pohle 1 Kerstin Wiesner 1 Oliver von Sicard 1 Polina Davydovskaya 1 Stefan Stegmeier 1 Karen Fuchs 1
1Siemens AG Munich Germany
Show AbstractSolid state chemical sensors, often in a MEMS approach, became a mature technology and create product innovations in building, medical, automotive, consumer and industrial technologies. The key feature of each chemical sensor is the sensitive layer that undergoes a reversible interaction e.g. with gas species to be detected. The result is finally translated into an electrical output signal. Metal oxides, due to their chemical robustness and longevity are very frequently used as sensitive layers at few 100 °C. However, since surface reactions occur with a large variety of gases, these sensors respond similar to various gases with similar chemical reactivity. Certain applications, like measuring the overall amount of smell in room air are demanding this. Applications like the detection of explosive natural gas / toxic CO or breath ethanol require one gas to be selectively detected. Applications like the recognition of fire related gas patterns or the smell pattern in human breath for medical diagnosis require a differentiated detection of quite complex gas patterns.
Surface engineering, for a long time, has been the answer of tailoring the gas reactivity of metal oxide surfaces to the needs of the application, keeping the oxides surfaces at a constant temperature. Options are the choice of the preferred chemical reaction at a stable oxide surface by usage of the proper temperature, the application of catalytic filters that oxidize interfering gases before they reach the surface and coating the surface with material that add the desired chemical reactivity - these may be other oxides, but a lot of catalytically active noble materials showed nice results here. Nanosized materials have been successfully used to improve the benefits. The research on these materials however recently revealed, that pre-adsorbed species from former measurements play an increasingly important role here. One example is the intermitted usage of higher temperatures, temporarily creating activated oxygen species that then convey nice gas reactions even at room temperature.
This leads to a recent paradigm change, the trend here is called transient operation of metal oxides, usually performed by rapid changes of the operation temperature. This technique distinctly employs non equilibrium conditions of the surface and plays with adsorption/desorption time constants in the range of 0.1-10s by changing temperatures with a similar rate. This is made feasible by sensor constructions where with oxides placed on temperature cycled membrane structures. The sensor signal is monitored as a function of time and multivariate approaches or functional data analysis is employed to reveal the chemical information. It is astonishing to see which differentiated analysis of multi-component gas mixtures can be obtained with a single oxidic surface, employable e.g. to distinguish between the smells of different fire states or to distinguish between the smells of different human activities.
10:00 AM - *O4.02
Metal Oxides Nanowires Chemical Sensors: Preparation and Electrical Characterisation
Elisabetta Comini 2 Angela Bertuna 2 Dario Zappa 1 Guido Faglia 2 Giorgio Sberveglieri 2
1SENSOR, CNR INO Brescia Italy2University of Brescia Brescia Italy
Show AbstractNanotechnology and the different methods for the preparation of nanostructures are in continuous evolution. Easy and cheap growth techniques for the production of nanostructures in a variety of morphologies are constantly proposed by the research community. Key features are the capability to control the composition, the particle shape and size distribution since in chemical sensing and many other applications these nanostructures exploit properties related to crystallographic features.
In 2002, the field of metal oxide nanowires underwent a significant expansion and became one of the most active research areas in nanoscience. Stimulating advances have been made at an extraordinarily fast rate in different laboratories all over the world, following curiosity, discovery or hypothesis driven research. Nowadays it is more than a decade from the first presentation of metal oxide nanowires as chemical sensors. Significant advances have been made both in terms of preparation procedures and their integration into functional sensing devices, while progress in fundamental understanding of their functional properties is slow-moving. In fact, the full integration still remains a challenge that has been wisely approached in different ways.
Metal oxides in forms of nanowires are interesting materials for chemical sensors. Their peculiar morphology assures a high surface to volume ratio necessary to maximize surface related properties like the ones governing chemical sensing transduction principles. Their exceptional crystalline features guarantees stable crystalline and therefore electrical properties over long-term operation, a required quality for an industrial application of any kind of sensor or device in real environments. We have thoroughly studied the deposition using evaporation and condensation from powder in controlled environment using different experimental set up. Metal oxide nanowires were integrated in functional devices for chemical sensing and then tested towards a wide range of chemicals.
The most recent developments in bottom up and top down approaches for chemical sensing application will be reviewed, and the recent achievements obtained at SENSOR laboratory will be presented. N-type metal oxide such as titanium, tungsten, niobium and zinc oxide and p-type metal oxide such as copper oxide were prepared using different techniques starting from metal/ metal oxide powders and films and their chemical sensing properties were studied in different operating conditions.
Acknowledgements
The research leading to these results has received funding from the European Community&’s FP7-ICT-2013-10, MSP— Multi-Sensor-Platform for Smart Building Management under the project n° 611887.
10:30 AM - O4.03
Gas Sensing Properties of In2O3 Nanowires Grown via Carbothermal Reduction
Jordi Sama 1 Sven Barth 2 Albert Romano-Rodriguez 1
1Universitat de Barcelona (UB) Barcelona Spain2Vienna Technical University Vienna Austria
Show AbstractMetal oxide (MOX) materials, like SnO2, In2O3, ZnO, hellip;, are employed in the development and fabrication of solid state gas sensors due to the well-known interaction between the MOX surface and the different gases, which leads to a variation in the electrical characteristics of the metal oxides. In fact, several commercial gas sensors of different providers worldwide are based on them. Generally, the gas sensing properties are a consequence of the adsorption-desorption processes that take place at the surface of the MOX in which the oxygen-poor surface plays a key role in the sensing mechanism. Different accepted models for the sensing have been presented and are commonly accepted to interpret the observed gas sensing behavior.
MOX nanowires (NWs) have emerged in the last two decades as a step forward in the development of gas sensors, when compared to thin or thick film MOX materials, due to their high aspect ratio. Especially monocrystalline materials have become important to achieve effective and known interactions of their surface in gas sensors.
Among the different MOX materials, In2O3 is receiving relatively little attention due to the fact that its synthesis window is much more reduced than that of SnO2 or ZnO, and that it leads to either semiconducting or metallic behavior, being its reproducibility of the material complicated. For sensing applications, In2O3, however, shows some interesting features like a low level of detection of NOx compounds in air and a reduced sensitivity to CO, which gives rise to an enhanced selectivity as compared to the 2 above-mentioned compounds.
In this work we will present the synthesis of In2O3, as well as the fabrication and characterization of gas sensors based on them. The synthesis is carried out in a horizontal furnace using the carbothermal reduction process from In2O3 and graphite powders, and using Ar and O2 as carrier gases. Depending on the concentration of O2 in the gas phase, on the flow used, on the vacuum level in the furnace and on the furnace and substrate temperatures, either continuous layers or bundles of NWs have been synthesized on Au-seeded Si or Al2O3 substrates. NWs have been removed from the surface where they grew, have been dispersed on prepatterned Si substrates and have been contacted using Focused Electron and Focused Ion Beam techniques. Characterisation towards the different gases has been carried out on a self-constructed gas testing chamber.
The material&’s growth, structural and electrical characteristics will be presented as a function of the growth conditions and the correlation with the gas sensing properties discussed with the view on published sensing mechanisms.
10:45 AM - O4.04
Tuning the Surface and Electrical Properties of SnO2 Nanobelts via Thermal Annealing for FET Sensors
Timothy Keiper 1 Jorge Barreda 1 Jim P Zheng 2 Peng Xiong 1
1Florida State University Tallahassee USA2FAMU/FSU College of Engineering Tallahassee USA
Show AbstractNanoscale field effect transistors (FETs) are one of the most promising methods for chemical and biological sensing based on solid-state devices. In fact, many two- and three-dimensional materials have been implemented in sensing applications, but quasi 1-dimensional nano structures hold the potential of significantly improving the sensitivity and speed of the sensor responses. Here we focus on optimizing the current-voltage relationship and gating response of tin dioxide (SnO2) nanobelt (NB) FETs, a promising material that has been demonstrated as effective for channel-limited gas [1], pH [2] and protein [3] sensors. FETs are fabricated with individual SnO2 NBs. The NBs are grown by a physical vapor-liquid-solid process in a horizontal tube furnace in an argon environment at 300 torr and 1000 °C over 2 hrs. The growth recipe utilized produces NBs with nominal thicknesses down to 30 nm and width to thickness ratios typically approaching 10:1. The lengths are up to millimeters on the growth substrate. The dimensions are desirable for FET application, however the electrical characteristics of the as-grown materials are often not optimum for high-performance FETs. We have developed a multistep thermal annealing procedure in low vacuum ranging from 150 to 250 °C and oxygen environment at atmospheric pressure and 600 °C to increase the conductivity by more than 103. The multistep annealing process is necessary to consistently obtain FETs with low resistance, Ohmic contacts as determined via comparison of 4- and 2-probe measurements which differ by <5%. Utilizing a typical backgate geometry the device is transitioned from the on state to the off state over a gate voltage range of less than 30 V through a thick 250 nm SiO2 dielectric layer. The On/Off ratio is as large as 104. We believe the oxygen annealing effectively activates the NBs while the vacuum annealing both helps clean the material and tune the carrier density at the surface, affecting metallization. The reactivity of the surface makes oxides ideal for chemical functionalization of the surface enabling specific biodetection. We use a proven method of surface functionalization through binding of a self-assembled monolayer of a common amine-terminated silane, APTES, by immersion. We can utilize the amine group to attach a chain consisting of biotin and streptavidin leaving the device functional for further biological specification. We verify the process by observation of fluorescently tagged streptavidin compared to the background. Potential applications of these devices as highly portable, real-time biomedical sensors will be discussed.
[1] L.L. Fields et al., Appl. Phys. Lett. 88, 263102 (2006).
[2] Yi Cheng et al., Nano Lett. 8, 4179-4184 (2008).
[3] Yi Cheng et al., Biosensors and Bioelectronics 26, 4538-4544 (2011).
O5: p-Type Oxides
Session Chairs
Tuesday AM, December 02, 2014
Hynes, Level 3, Ballroom A
11:30 AM - *O5.01
Bipolar Oxide Electronics - Materials and Devices
Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractRecent advances in the fabrication of oxide and transparent p-conducting thin films are reported. In conjunction with n-type epitaxial ZnO and In2O3 on Al2O3 and amorphous ZTO (zinc tin oxide) on glass thin films, bipolar diodes are reported. We will present three materials approaches: (i) p-type amorphous material derived from ZnCo2O4 spinel (a-ZCO), (ii) p-type zincblende cuprous iodide (CuI), and (iii) p-type amorphous nickel oxide (a-NiO).
We report the (by far) highest rectification (r>1010) for bipolar oxide diodes (a-ZCO/c-ZnO, a-NiO/c-ZnO), fully amorphous ZTO/ZCO pn and pin-diodes (r>106), fully transparent CuI/ZnO diodes (r>106), and a-ZCO/In2O3 and a-NiO/In2O3 diodes with rectification of 104.
We will compare different fabrication methods (pulsed laser deposition, sputtering, evaporation) on the diode properties, discuss the nature of current in type-II bipolar heterostructures (NiO/ZnO and CuI/ZnO) and demonstrate applications in electronics and photovoltaics.
12:00 PM - O5.02
Defects, Doping, and Conductivity in p-Type Co2ZnO4 and Co-Zn-Ni-O Alloy Spinels
J. D. Perkins 1 A. Zakutayev 1 T. Paudel 1 P. F. Ndione 1 Y. Shi 2 N. H. Perry 3 V. Stevanovic 1 S. Lany 1 P. A. Parilla 1 T. O. Mason 3 M. F. Toney 2 A. Zunger 4 D. S. Ginley 1
1National Renewable Energy Laboratory Golden USA2SLAC National Accelerator Laboratory Menlo Park USA3Northwestern University Evanston USA4University of Colorado Boulder USA
Show AbstractA2BO4 spinel oxides can be classified into four Doping Types depending on the relative energy ordering and level within the gap of the cation anti-site defect acceptor and donor levels. Doping Type II (DT-2) spinels where the acceptor lies above the donor and, in addition, the donor lies in valance band, are natural host materials for p-type conduction. High-throughput theoretical screening finds Co2ZnO4 to be the prototype DT-2 material. In particular, the CoTd site defect level is resonant in the valence band, making it electrically neutral thus allowing the electrically active ZnOh acceptor to yield p-type conductivity, independent of the concentration of CoTd defects. Resonant elastic x-ray diffraction (REXRD) site occupancy measurements on bulk ceramic samples grown in air at 800 °C confirm this basic prediction. Further, intentional non-equilibrium growth to increase the ZnOh concentration due to either quenched-in cation-site-occupancy disorder or incorporation of excess Zn should be an effective doping strategy. Experiments using combinatorial co-sputtered Co2-xZn1+xO4 thin film “libraries” with intentional composition gradients on 2”x2” glass substrates support this. More definitive REXRD site occupancy measurements of as-deposited and annealed Co2ZnO4 and Co2NiO4 epitaxial films grown on SrTiO3 by pulsed laser deposition confirm this. Finally, 17 candidate extrinsic dopants were evaluated theoretically yielding Li, Mg and Ni as the most promising. Ni doping was tested via combinatorial co-sputtering and found to be effective. In fact, the conductivity of Co2Zn1-xNixO4 increases monotonically with increasing Ni all the way to Co2NiO4 for which σ asymp; 100 S/cm. In addition to presenting the specific materials results discussed above, we will also describe our Inverse Design approach to theory-guided Materials-by-Design.
12:15 PM - O5.03
Integral and Element Specific Magnetic and Structural Properties of the p-Type Transparent Conducting Oxide ZnCo2O4
Bastian Henne 1 Verena Ney 1 Fabrice Wilhelm 2 Katharina Ollefs 2 Andrei Rogalev 2 Andreas Ney 1
1Johannes Kepler Universitamp;#228;t Linz Linz Austria2European Synchrotron Radiation Facility (ESRF) Grenoble France
Show AbstractConducting oxides are key materials in nowadays electronic devices. Especially transparent conducting oxides (TCOs) are astonishing materials due to their unique optical and electrical properties and their wide range of possible applications. One of these systems is the ZnCo2O4 spinel. Although known for some time as dye and under recent discussion as anode material for lithium batteries [1] and as gate material in transistors [2], only very little effort has been made to investigate the magnetic properties [3].
As a known p-type material, ZnCo2O4 is a promising candidate for ferromagnetism in oxide semiconductors, since the lack of p-type dopability is one of the major limitations in the related system Co:ZnO, which was proposed as a possible room temperature dilute magnetic semiconductor [4]. As secondary phase ZnCo2O4 in Co:ZnO has furthermore been suspected as the reason for the observed ferromagnetism [5].
We present the successful growth of crystalline ZnCo2O4 thin films by means of magnetron sputtering on c-plane sapphire substrates. Additional samples were fabricated to study the transition from highly cobalt doped n-type wurtzite ZnO to the cubic p-type ZnCo2O4 in dependence of preparation conditions. Standard characterization using SQUID magnetometry, XRD, AFM and TEM has been done and the transport properties of the thin films have been measured. Additionally, the samples were investigated using element specific synchrotron techniques like x-ray absorption near edge structure spectroscopy (XANES) and x-ray linear dichroism (XLD) to determine the valence of the Co and to exclude metallic aggregations. High field x-ray magnetic circular dichroism (XMCD) was used to quantify the behavior of the Co sublattice magnetization up to 17 T. Magnetic ordering below ~12 K was observed in SQUID and the low temperature M(H)-hysteresis show history dependent behavior reminiscent of an exchange bias.
The combination of its semiconducting, magnetic and optical properties makes ZnCo2O4 an interesting system for possible spintronic applications.
[1] Sharma, Y., et al. Advanced Functional Materials 17 (2007): 2855
[2] Schein, F., et al. Electron Device Letters, IEEE 33 (2012): 676
[3] Kim, H. J., et al. physica status solidi (b) 241 (2004): 1553
[4] Dietl, T., et al. Science 287 (2000): 1019
[5] Liu, Y. and MacManus-Driscoll, J.L. Applied Physics Letters 94 (2009): 022503
12:30 PM - O5.04
Structure Property Relationships in Wurtzite-Derived CuMO2p-Type Oxide Semiconductors
David O. Scanlon 1 2 Aron Walsh 3
1University College London London United Kingdom2Diamond Light Source Didcott United Kingdom3University of Bath Bath United Kingdom
Show AbstractCuMO2 semiconductors crystalize naturally in the delafossite mineral structure, and have been shown to possess large optical band gaps and to display p-type conductivity. These attributes led to an explosion of interest in these materials for p-type transparent conducting oxide (TCO) applications, but also for water-splitting applications. It is now realised that these materials are limited by indirect band gaps and deep acceptor levels, which limits their range of utility.
Recently, however, β-CuGaO2 which crystalizes in a wurtzite-derived orthorhombic β-NaFeO2 structure has been synthesized, displaying a direct band gap of 1.5 eV, ideal for visible light conversion. The discovery of a direct band gap, highly absorbing oxide is extremely exciting, as at present, the only current oxide solar absorber is Cu2O, which currently displays photovoltaic conversion efficiencies of ~5%. In this presentation we investigate the electronic structure of a range of possible β-CuMO2 materials. We analyse the structure-property relationships between the delafossite and β-NaFeO2 structure, and rationalise the experimentally observed change in properties between β-CuGaO2 and delafossite CuGaO2. Finally, we identify other β-CuMO2 compounds that could have interesting technological applications.
12:45 PM - O5.05
Delafossite-Type CuAlO2 as a Potential Photoluminescence Host for Rare Earth (Eu) Doping
Yin Liu 1 Yiquan Wu 1
1Alfred University Alfred USA
Show AbstractDelafossite-type CuAlO2 ternary oxides have been widely studied as p-type transparent conducting oxides for applications in various optoelectronics devices. However, so far there have been few reports investigating CuAlO2 as a potential phosphor material. In light of developments in field emission displays and other flat display technologies, a new generation of phosphors exhibiting both good luminescence and conductivity are required. CuAlO2 is an intrinsic p-type transparent conducting oxide which may serve as a host material to various trivalent rare earth dopants at the Al site. Such an alteration does not change the hole transport along the O-Cu-O path. Therefore both luminescent and electrical properties could be sustained by the doped CuAlO2. We hereby used the substitution of trivalent Eu3+ into the Al3+ sites of the delafossite structure to prepare CuAl1-xEuxO2 (x=0.001, 0.003, 0.01, 0.03, 0.05 and 0.1) fibers via a cost-effective electrospinning method. This method could reduce the annealing temperature so that the single-phase Eu-doped fibers could be be obtained via one-step annealing in air. Photoluminescence measurements indicated that under the excitation wavelengths of 390nm and 420nm, the CuAl1-xEuxO2 exhibited emission behaviors from both intrinsic near-band-edge violet emission and Eu3+ 5D0 → 7FJ red emission. The variation in intensity between the five 5D0 → 7FJ emissions channels resulted from changes in the Eu3+ local symmetry. At dopant levels above 3 at% Eu, luminescence was absent due to concentration quenching. Further electrical characterization confirmed the p-type conductivity and the temperature-resistance dependence of this semiconductor-like material. Delafossite CuAlO2 materials may develop to be promising options in dual channel light emitting and other optoelectronic applications.
Symposium Organizers
Oliver Bierwagen, Paul Drude Institute
Masataka Higashiwaki, National Institute of Information and Communications Technology
Anderson Janotti, University of California-Santa Barbara
Tim Veal, University of Liverpool
O8: Amorphous Oxides, TFTs and Related
Session Chairs
Marius Grundmann
Holger von Wenckstern
Wednesday PM, December 03, 2014
Hynes, Level 3, Ballroom A
2:30 AM - O8.01
Light Induced Instability Mechanism in Amorphous InGaZn Oxide Semiconductors
John Robertson 1 Yuzheng Guo 1
1Cambridge University Cambridge United Kingdom
Show AbstractAmorphous InGaZn oxide (IGZO) is of great interest as a higher mobility large area electronic oxide for display applications. It has a higher mobility than a-Si:H. However, it suffers from an instability, the negative bias illumination stress instability, whose cause is widely debated. Oxygwen vacancies are often given as the cuase. Here, a model of the instability is presented, based on the photo-excitation of electrons from oxygen interstitials. The O interstitials are present to compensate accidental hydrogen donor impurities. The O interstitials are found to spontaneously form in O-rich conditions for Fermi energies at the conduction band edge, much more easily than in related oxides. The excited electrons give rise to a persistent photoconductivity due to an energy barrier to recombination. The formation energy of the O interstitials varies with their separation from the H donors, which leads to the observed voltage stress dependence on the compensation.
2:45 AM - O8.02
Ultra-High Mobility a-IGZO TFTs Formed by CO2 Laser Spike Annealing
Chenyang Chung 1 Bin Zhu 1 David M. Lynch 1 Dieter G. Ast 1 Raymond G. Green 2 Michael O. Thompson 1
1Cornell University Ithaca USA2Corning Corning USA
Show AbstractAmorphous InGaZnO4 (a-IGZO) is a promising semiconductor for transparent and flexible thin film transistors (TFTs), and exhibits significantly improved performance compared to conventional a-Si:H based TFTs. Post-deposition annealing of a-IGZO is critical to achieve the high mobility required for applications in high performance displays. Previous studies have focused on furnace annealing under controlled ambient, or under nanosecond time scale excimer laser annealing. This work explores the use of post-TFT fabrication millisecond timeframe anneals using a scanned CO2 laser, with TFTs exhibiting saturation field-effect mobilities above 70 cm2/Vs.
IGZO films (50 nm thick) were RF sputtered from an oxide target (In2O3:Ga2O3:ZnO = 1:1:1) onto thermally oxidized Si wafers (100 nm SiO2), with substrates heated to 260oC during deposition. TFTs were formed by wet chemical etch followed by liftoff patterning of Ti/Au source/drain contacts; back channels were not intentionally passivated. TFTs were subsequently annealed either in a furnace at 350oC in dry air for 30 minutes, or by exposure to a scanned CO2 laser spike annealing (LSA) beam. Under LSA, a line-focused continuous wave CO2 laser beam (lambda;=10.6 µm) is scanned over the substrate, rapidly heating the surface to a peak temperature which subsequently cools by thermal conduction into the substrate as the beam passes. Laser and scan conditions were established for a 1 ms dwell at a peak temperature of ~600oC. In addition to the shorter anneal time, LSA also has a much faster quench to room temperature enabling formation of metastable structures. Furnace annealed samples typically cooled at 2 K/s while LSA samples cooled at ~350,000 K/s.
IGZO films remained X-ray amorphous after both furnace and LSA annealing. The saturation mobility of devices as deposited was typically 10-12 cm2/Vs with a large negative Von. With furnace annealing, the mobility increased to typically 16 cm2/Vs with Von shifting to +5 V. Anneals under LSA, in contrast, resulted in devices with saturation mobilities above 70 cm2/Vs and turn on voltages near -5V. Annealing is not complete, however, as the sub-threshold slope is high indicating a large trap density remaining after the high temperature quench.
These high mobility devices remained stable for extended time (24 days) even without back channel passivation. However, subsequent furnace annealing erases the LSA annealing benefit and results in devices comparable to furnace annealing only. This suggests that LSA anneals result in a metastable structure that can be later thermally relaxed. These results are discussed within a model of metastable hydrogen donor activation, from traps near the conduction band edge, during a fast thermal quench.
3:00 AM - O8.03
Study of Back-Channel Defect States on Bottom-Gate IGZO TFTs Using Capacitance-Voltage Analysis
Tarun Mudgal 1 Nathaniel Walsh 1 Nicholas Edwards 1 Robert Manley 2 Karl D. Hirschman 1
1Rochester Intsitute of Technology Rochester USA2Corning Incorporated Corning USA
Show AbstractThis work investigates the quality of back-channel passivation applied to sputter-deposited IGZO bottom-gate TFTs. B-staged bisbenzocyclobutene-based (BCB) resins, alumina and SiO2 are used as passivation materials. Sputtered quartz and PECVD SiO2 rendered the IGZO channel material highly conductive (ρ < 0.01 Omega;#903;cm) due to defect states created at the back-channel during deposition. The BCB spin-coat deposition and curing processes enhanced the material conductivity slightly, while subsequent annealing in air at 250 °C resulted in TFTs with a threshold voltage VT ~ 0 V and improved stability compared to unpassivated devices. Devices passivated with electron-beam evaporated alumina followed by annealing in air at 400 °C for 30 min also demonstrated improved stability, with VT ~ 1 V, channel mobility µch ~10 cm2/V#903;s and subthreshold swing SS ~ 250 mV/dec. Compositional changes of the IGZO layer induced by the passivation and annealing processes are quantified using XPS and EDX analysis. These are correlated with electrical measurements obtained from TFTs and interdigitated capacitors, with an emphasis on multifrequency C#8209;V and C-time analysis for evaluation of defect states at the back-channel interface between the IGZO and passivation material.
3:15 AM - O8.04
Extracting Density of States from Transient Photoconductivity in Amorphous InGaZnO Thin Films
Jiajun Luo 2 D. Bruce Buchholz 1 Robert P. H. Chang 1 Alexander Adler 1 Thomas O. Mason 1 Jeremy Smith 3 Xinge Yu 3 Tobin J. Marks 3 1 Matthew Grayson 2
1Northwestern University Evanston USA2Northwestern University Evanston USA3Northwestern University Evanston USA
Show AbstractAmorphous InGaZnO (a-IGZO) is a promising candidate material for thin film transistors in display devices. One major challenge for mass application is its instability under illumination. Previous reports show a large and slow photoconductivity response in a-IGZO thin films. By identifying the energetic distribution of defect states from transient photoconductivity, we can optimize the fabrication process and improve device stability.
In this work, we measured transient photoconductivity of a-IGZO thin films prepared under various conditions over an extended day-long time scale. The first set of samples studied was 50 nm InGaO3(ZnO)2 thin films deposited using pulsed laser deposition. The initial conductivity of each sample was tuned by varying the oxygen pressure during deposition (PO2). With increasing PO2, the initial conductivity strongly decreased over 3 orders of magnitude. The samples were then illuminated using an array of 385 nm wavelength UV LED for 24 hours. Amplitude of photoconductivity response depended strongly on PO2, with the relative amplitude of photoconductivity increasing from less than two-fold to over 200-fold with increasing PO2. For all samples tested, dark relaxation of the conductivity after turning off the LED array was slower and weaker compared to photo-excitation, implying different relaxation mechanisms.
From the measured transient photoconductivity, we extracted its time constant distribution, and thus the activation energy and density of states distribution. In a-IGZO thin films, conductivity changed very rapidly right after the LED array was switched on/off, but was still changing slowly after a whole day of excitation/relaxation, suggesting that multiple time constants were involved. We fitted each transient curve with both stretched exponential fitting and multi-exponential fitting for comparison. Density of states (DOS) distribution of the activation energies responsible for photoconductivity was then extracted through inverse Laplace transform. DOS spectrum with a wide continuous peak was observed in all samples regardless of fitting methods. The peak position and line-width of DOS showed little dependence on PO2, and only the amplitude decreased with increasing PO2.
Stretched exponential fitting of transient photoconductivity provides a powerful tool to study deep level DOS in a-IGZO. It allows one to extrapolate the long-time photoresponse with relatively short measurement. The peak position, line-width, and amplitude of DOS spectrum can be directly predicted from the three fitting parameters of stretched exponential fitting. This analysis method will be applied to data from additional thin films prepared by sputtering, spin-coated combustion method, and spray-coated combustion method. Comparison of DOS spectra from samples using different fabrication methods will be presented.
4:30 AM - O8.05
Electrical and Optical Properties of PLD Grown Amorphous Zinc-Tin Oxide Thin Films with Different Sn/Zn Ratios Realized by a Continuous Composition Spread Approach
Peter Schlupp 1 Sofie Bitter 1 Holger von Wenckstern 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractRoom-temperature fabrication of semiconducting thin films is promising due to low cost and the possibility to deposit on flexible substrates. Zinc-tin oxide (ZTO) fullfills the working hypothesis of Hosono [1] and has with that a high conductivity even in the amorphous state. Up to now, only few different Sn/Zn ratios were realized and studied experimentally [2,3,4]. In order to tune the film properties as desired more information of the dependence of the properties on the Sn/Zn ratio are needed.
We present ZTO thin films deposited by pulsed laser deposition (PLD) using a continuous composition spread method [5]. Within this approach the materials were deposited from a segmented ceramic PLD target onto a 50×50 mm2 glass wafer. Using energy dispersive X-ray analysis the spatial dependence of the Sn/Zn ratio was mapped. The amorphous phase was confirmed with X-ray diffraction measurements. After that the sample was divided along the compositional gradient into pieces. Absorption edge was obtained by transmission and reflection measurements and shows a systematic dependence on the Sn/Zn ratio. Electrical properties were determined by both room-temperature and temperature dependent Hall-effect measurements. For selected Sn/Zn ratio the results were compared to those of thin films fabricated by a conventional PLD approach.
[1] Hosono, H., Kikuchi, N., Ueda, N., and Kawazoe, H. Journal of Non-Crystalline Solids 198-200,165 (1996)
[2] Chiang, H. Q., Wager, J. F., Ho man, R. L., Jeong, J., and Keszler, D. A. Applied Physics Letters 86, 013503 (2004)
[3] Erslev, P. T., Chiang, H. Q., Hong, D., Wager, J. F., and Cohen, J. D. Journal of Non-Crystalline Solids 354, 2801 (2008)
[4] Schlupp, P., von Wenckstern, H., and Grundmann, M. MRS Proceedings 1633, 101 (2014)
[5] von Wenckstern, H., Zhang, Z., Schmidt, F., Lenzner, J., Hochmuth, H., and Grundmann, M. CrystEngComm 15, 10020 (2013)
4:45 AM - O8.06
Ab Initio Study of Structural Characteristics of (non)Stoichiometric Amorphous In-X-O with X=Zn, Ga, Sn, Sc, Y, La, or Ge
Rabi Khanal 1 Julia E. Medvedeva 1
1Missouri University of Science amp; Technology Rolla USA
Show AbstractIn recent years, there is an increasing shift towards the use of wide bandgap materials in their amorphous form owing to several technological advantages and the fact that amorphous oxides exhibit similar or even superior properties to those observed in their crystalline counterparts. To understand how the structural properties change upon amorphization and how chemical composition affects the local and long-range structure of the amorphous oxides, we employ first-principles molecular dynamics to generate amorphous In-O and In-X-O where X=Zn, Ga, Sn, Ge, Y, Sc, or La with 4:1 ratio of In:X, and compare their structural features to those obtained for amorphous indium oxide and relevant crystalline binary oxides. We find that the Metal-Oxygen polyhedra are generally well preserved upon amorphization, and the mobility in the amorphous state is governed by how the MO polyhedra are connected into a network. In particular, the In-In distance distribution exhibits strong asymmetry towards longer distances near the crystalline-to-amorphous transition where the observed mobility is highest. Furthermore, in a-IO and all a-IXO, Indium remains undercoordinated with little dependence on X, whereas all X atoms reach their natural coordination. This finding suggests that the carrier generation is primarily governed by In atoms, in agreement with transport measurements in In-based amorphous oxides. At the same time, the presence of X affects the number of six-coordinated In atoms which correlates well with the observed variation in the carrier concentration as a function of the substitution fraction in a-IXO.
5:00 AM - O8.07
Side-by-Side Comparison of Solution- and Vapor-Deposited Amorphous Oxide Semiconductors for Thin-film Transistors
Vasily Gouliouk 1 Kevin A Stewart 2 Kevin A Archila 2 Rick E Presley 2 John F Wager 2 Douglas A Keszler 1 Ta-Ko Chuang 3 Robert G Manley 3 Darwin G Enicks 3
1Oregon State University Corvallis USA2Oregon State University Corvallis USA3Corning Incorporated Corning USA
Show AbstractAmorphous oxide semiconductors (AOSs) based on In2O3 have found recent applications as channel layers in thin-film transistors (TFTs) for active-matrix displays. Additional metal oxide components in the In2O3 system are selected based on contribution to mobility, free carrier control, and amorphous phase stability. Common constituents such as SnO2 and ZnO are incorporated to stabilize an amorphous phase, while sustaining high electron mobility. Free carrier generation is proposed to be suppressed via addition of insulating (large band-gap) metal oxides, with the majority of focus on Ga2O3. Targeting field-effect mobility > 20 cm2 V-1 s-1 we report findings on AOS materials with rarely investigated carrier suppressing additives to the In2O3 system, comparing two distinct thin-film deposition methods. TFTs were fabricated on p++ Si substrates with 100 nm of SiO2 dielectric and Al S/D contacts in a staggered, bottom-gate structure. Solution processed AOS thin-films were hindered by densities of < 90 % (relative to crystalline bulk density) and thus resulting TFTs exhibited field-effect mobility < 7 cm2 V-1 s-1, while sputtered thin-films possessed densities ge; 95 % and field-effect mobility as high as 20 cm2 V-1 s-1 was attained. In this presentation we present results on a side-by-side comparison of solution processed and sputtered In2O3-based AOS thin-films, to uniquely highlight the influence of processing and film structure on TFT performance.
5:15 AM - O8.08
ZnO TFTs and Circuits on Thin Flexible Polyimide Substrates
Haoyu U Li 1 2 Thomas N Jackson 1 2
1The Pennsylvania State University University Park USA2The Pennsylvania State University University Park USA
Show AbstractWe have fabricated oxide semiconductor thin film transistors (TFTs) and circuits on thin flexible substrates using a lamination and transfer free process. Oxide semiconductor TFTs have high mobility and good stability and have found commercial application in active matrix displays. Low processing temperatures for some oxide semiconductors makes them of particular interest for flexible substrate applications, including flexible displays. Here we report ZnO TFTs fabricated on thin polyimide substrates. The polyimide substrates were spin cast from Hitachi Dupont MicroSystems PI-2611 polyimide precursor on Si wafers and cured at 300 #730;C in air. The thickness of the cured polyimide was about 5 mu;m. Staggered bottom gate structure TFTs were used in this work. First, chromium was deposited and patterned by wet etching as the gate layer. Next, Al2O3 and ZnO layers were deposited by plasma enhanced atomic layer deposition (PEALD) at a substrate temperature of 200 #730;C as gate dielectric and semiconductor active layers. Aluminum was then deposited and patterned by lift off to form source and drain contacts. Finally, a 30 nm Al2O3 layer was deposited by atomic layer deposition (ALD) as a passivation layer. Passivated ZnO TFTs on thin flexible polyimide substrates typically have mobility of 14 cm2/V#8729;s, sub-threshold slope < 300 mV/dec, and on/off ratio of > 108. The device characteristics on plastic substrates are very similar to those of devices fabricated on glass substrates. Greater than 99% device yield was obtained for samples with 80 TFTs. After device fabrication and initial testing, the thin polyimide layer was removed from the Si wafer carrier. Fortuitously, the adhesion of the polyimide layer to the Si wafer is sufficiently good to allow device fabrication, but sufficiently low to allow simple mechanical stripping. The TFTs on released substrates had excellent stability against bending, with no noticeable degradation under bending radii as small as 5 mm. Inverters and ring oscillators fabricated on thin polyimide also functioned properly and had characteristics similar to circuits fabricated on glass.
5:30 AM - O8.09
Design Freedom in ZnO Thin-Film Electronics
Carolyn R. Ellinger 1 Shelby F. Nelson 1
1Eastman Kodak Co. Rochester USA
Show AbstractGlobally there has been a strong interest in both metal oxide semiconductor thin-film transistors and in printed electronics. In our lab we use selective area deposition of metal oxides as an alternative approach to printed electronics. In this “patterned-by-printing” approach, an inhibiting polymer ink is printed and the active materials are deposited via spatial atomic layer deposition (ALD). This approach separates the printable ink requirements from the active materials requirements, while maintaining the advantages of additive device fabrication.
In this talk we will discuss how the use of selective area deposition has opened up the design space for ZnO thin-film electronics, enabling the facile fabrication of devices and circuits. By using digital printing techniques to print a polymer inhibitor, we can fabricate unique device architectures and rapidly screen variations on a design theme. With the “patterned-by-printing” approach there is no need to be concerned about relative etch rates of the material layers or solvent compatibility when printing multiple material layers. Device isolation and vias between layers of conductor are accomplished by simple changes in the printed pattern. Additionally, by careful consideration of the process flow, device interfaces can be controlled and device defects mitigated. For illustration, we will provide example architectures that are easily achievable by “patterned-by-printing” and that are, in many cases, correspondingly difficult to achieve through subtractive processing methods. Data from a variety of ZnO transistor geometries will be presented, including top gate and bottom gate transistors, as well as information on circuits using these transistors.
We will briefly review the fundamentals of the “patterned-by-printing” approach and provide a high level overview of our current understanding of the process space, including process time and temperature. We will use this as background for the discussion of the potential breadth of the “patterned-by-printing” design space.
5:45 AM - O8.10
Complementary Circuits with Oxide Semiconductors Employing P-Channel SnO and N-Channel ZnO Thin-Film Transistors on Glass Substrates
Yun-Shiuan Li 1 I-Chung Chiu 1 Min-Sheng Tu 1 Jyun-Ci He 1 I-Chun Cheng 1 2
1National Taiwan University Taipei Taiwan2National Taiwan University Taipei Taiwan
Show AbstractComplementary TFT inverter technology, requiring both n-channel and p-channel TFTs, is an essential building block for realizing integrated logic circuits for large-area or flexible electronics with low power consumption. In the past decade, n-channel oxide-based TFTs have been demonstrated with many attractive properties, such as high carrier mobility, high transparency, and low-temperature process compatibility. However, to develop p-channel oxide-based TFTs with comparable performance via low-temperature process is still a challenge.
In the past few years, p-channel oxide TFTs based on tin monoxide (SnO) have made a great progress. SnO TFTs with high hole mobility, good reliability in air ambient, small transfer hysteresis, and low-temperature process compatibility (<185°C) have been demonstrated. However, up to the present time, only few inverters based on SnO TFTs have been implemented.
In this work, we report a complementary oxide-based TFT inverter comprising a p-channel SnO TFT and an n-channel ZnO TFT fabricated at a maximum process temperature of 250°C. The SnO TFT exhibits a threshold voltage of 3.5 V, hole field-effect mobility of 0.33 cm2/V-s, sub-threshold swing of 2.5 V/dec and an on/off current ratio of ~103. The corresponding values for the ZnO TFT are 6.22 V, 3.5 cm2/V-s, 350 mV/decade, and >106. The inverter has balanced noise margins and shows a dc gain of ~17 at a supply voltage of 10 V, which is larger than that of the complementary TFT inverters using p-channel SnO TFTs reported previously. The relatively high gain may be attributed to the improvement in the sub-threshold swing and on/off ratio of the p-type SnO TFT.
O9: Poster Session II: Oxide Semiconductors II
Session Chairs
Masataka Higashiwaki
Oliver Bierwagen
Wednesday PM, December 03, 2014
Hynes, Level 1, Hall B
9:00 AM - O9.01
Capacitance-Voltage Characteristics of ZnO Grain Boundaries According to Temperature, Voltage, and Frequency
Youn-Woo Hong 1 You-Bi Kim 1 Young-Jin Lee 1 Sei-Ki Kim 1 Jong-Hoo Paik 1 Mann-Ho Cho 2
1Korea Institute of Ceramic Engineering amp; Technology Seoul Korea (the Republic of)2Yonsei University Seoul Korea (the Republic of)
Show AbstractZnO a typical n-type semiconductor has been applied to various electrical and optical applications such as gas sensors, piezoelectric transducers, phosphors, transparent conductors, and varistors. ZnO-based varistors have been widely used for voltage stabilization or transient surge suppression in electronic circuits and electric power systems. Excellent non-ohmic current-voltage characteristics are attributed to grain boundary properties in relation to phase formation in sintering and dopant-induced defects. The bulk trap levels and interfacial state levels formed by adsorbed oxygen and transition ion dopants are the key to the clarification of the improvement of ZnO varistor properties. Both ZnO chip varistor and TVS diode have the same function to protect the device from overvoltage or surge. However the ESD (electrostatic discharge) withstand capability, performance, and reliability of ZnO chip varistor is not good than TVS diode. A lot of efforts to overcome these inferior characters in ZnO chip varistor are still required.
In the present study, to find out the factors improving the performance of the chip varistor while varying the temperature, voltage, and frequency has been studied using capacitance-voltage characteristics (dc bias of 0~15 V, frequency of 1 kHz~1 MHz), admittance and impedance spectroscopy (20~480#8451;, 100 Hz~10 MHz, zero bias), and I-V characteristics analysis. The specimens doped with Cr2O3, Co3O4, La2O3, and CaCO3 were prepared by a typical chip manufacturing process to make 1005 mm chip sintered at 1150#8451; for 2 hours in air. As a result, the breakdown voltage of the chip (Vn) of ~12 V, non-linear coefficient (α) of 14, and the dielectric constant (1 MHz) of 509 were calculated with excellent ESD properties. At room temperature, Z&’&’ and M&’&’ peaks increasing the applied voltage were shifted to a high frequency regions and the grain boundary capacitance measured with applied voltage and temperature decreased from 60 pF to 72 pF. And also donor concentration, barrier voltage, interface state density, and depletion layer width were calculated with temperature. On the basis of these various results, we will discuss the factors of improving the ESD withstand capability of the ZnO chip varistors.
9:00 AM - O9.02
Grain Boundary Modification of Aqueous Solution Fabricated Alumina-Doped Zinc Oxide (AZO) Nanocrystals for High-Performance Transparent Electrode
Qiong Nian 2 1 Michael Callahan 3 Dave Look 4 Timothy Cooper 4 Harry Efstathiadis 5 John Bailey 3 Gary Cheng 2 6 1
1Purdue University West Lafayette USA2Purdue University West Lafayette USA3Greentech Solutions, Inc. Hanson USA4Wright State University Dayton USA5University of Albany Albany USA6Purdue University West Lafayette USA
Show AbstractIn commercial scale application of aqueous solution fabricated transparent electrode, it is critical to improve its polycrystalline film conductance without hurting transparency. Here we demonstrated that grain boundary modification results in dramatically high Hall mobility, which strengthen AZO thin film conductance without any transparency compromise like increasing film thickness or carrier concentration. This grain boundary modification includes grain boundary density decrease and electron traps passivation at grain boundaries, which are accomplished by ultraviolet laser crystallization of the nanocrystals-ink. This technique enables a low cost method to produce AZO films with outstanding structural and optoelectronics properties, suggesting a potential for large-scale manufacturing. The AZO film exhibits low resistivity of 1×10-3 Omega; cm, high mobility of 18.1 cm2 V-1 s-1, and low sheet resistance of 75 Omega;/sq. The high transmittance (T) of 88%-96% @550nm is obtained. The sheet resistance and transparency are compared with those in other techniques. The performance in this work is the best among all the solution based deposition and comparable to vacuumed based deposition techniques. In addition, HAZE measurement shows AZO film scattering transmittance as low as 1.8%, which is superior over most transparent electrode alternatives. This technique of grain boundary modification and physical analysis of polycrystalline film inner scattering minimization can be applied to other polycrystalline metal oxide thin films.
9:00 AM - O9.03
ZnO:Al Thin Films by Successive Chemical Solution Deposition for Transistors Applications
Luis A Gonzalez 1 Sara E Ramirez 1 Martin I Pech 1
1CINVESTAV-Saltillo Ramos Arizpe Mexico
Show AbstractZnO is a n-type semiconductor extensively studied because of its optical and electrical properties. Its applications involve the development of gas sensors, solar cells, light emitting diodes and transistors. The suitable doping of ZnO with Al can cause modifications on its electric conductivity and optical transparency. Successive ionic layer adsorption and reaction (SILAR) is a simple and flexible method that offers an easy way to dope films. Here, we show preliminary results on the deposition of ZnO:Al thin films by the SILAR method which is implemented with an automatized system. The growing of the films was performed by sequentially immersing glass and SiO2/Si substrates in water at temperatures close to the boiling point, a precursor reaction solution, water at room temperature and ultrasonic water bath. The precursor reaction solution was prepared with solutions of Zn(CH3COO)2 1 M, Al(NO3)3 1M (3, 5 and 7%) and a mixture of TEA-NH4OH. The resulting ZnO:Al films were transparent and well adhered to the substrates. From X-ray diffraction analysis was determined that the ZnO:Al films have hexagonal wurtzite structure with a preferential orientation along the c-axis. Changes in the morphology of the films were obtained: spike-shaped aggregates for the undoped ZnO films and spherical-shaped aggregates for the ZnO:Al films. The optical transparency of about 80% and wide optical bandgap (3.25-3.28) suggest the application of the ZnO:Al films in transparent semiconductor devices. Thin film transistors were fabricated with ZnO:Al films as active layers which showed a transfer characteristic with good saturation.
9:00 AM - O9.04
Blue and White Light Emission from ZnO Nanoforests
Nafisa Noor 1 Venkata Manthina 2 3 Helena Silva 1 Alexander G. Agrios 2 3 Ali Gokirmak 1
1University of Connecticut Storrs USA2University of Connecticut Storrs USA3University of Connecticut Storrs USA
Show AbstractWe have observed emissions of blue and white light of wide range of intensities from ZnO nanorods under high DC [1] and pulsed electrical stress. These nanorods have been grown on 3 different substrates - fluorine doped tin oxide (FTO) glass, poly-crystalline silicon and bulk p-type silicon. The measured optical spectra show fine and consistent lines corresponding to various atomic electron transitions (AETs), mostly in the blue range. In certain cases, a broad spectrum white light is observed. The non-linear DC I-V characteristics suggest dielectric breakdown of air and ZnO, sublimation of ZnO and subsequently initiation of a plasma. The characteristics of the emitted light exhibit strong dependency on substrate choice and pulse parameters. With a probe separation of ~20 µm, the breakdown has been triggered even with a pulse duration of 1 µs on FTO glass substrate while it took at least 100 ms to do that on other two substrates. Moreover, it was possible to control the light emission from the nanorods on FTO glass by adjusting the pulse amplitudes and durations. Longer pulses (of several milliseconds) with amplitudes above 20 V on this substrate lead to high intensity blue-white light and cause severe damage of the tungsten probe tips, indicating a high gas temperature associated with a thermal plasma. In contrast, shorter pulses (1-100 µs) with moderate amplitude (20 - 50 V) result in low intensity blue light emission on this substrate comprising AETs of excited neutral zinc atoms, and cause minimal damage of the probe tips suggesting existence of non-thermal equilibrium state inherent in cold plasmas.
References
[1] L. Lucera, L. Adnane, K. Cil, V. Manthina, A. Agrios, H. Silva and A. Gokirmak, "Light emission from electrically stressed ZnO nanorods," Bulletin of the American Physical Society, vol. 57, 2012.
9:00 AM - O9.05
Growth of ZnO on Sapphire and Si (100) Using a Pulsed Electron Beam Deposition (PED) Process
Nazmul Arefin 1 Matthew H Kane 2 3 Jijun Qiu 1 Preston Larson 4 Vince R Whiteside 5 Brittany N Pritchett 6 Ian Sellers 5 Matthew B Johnson 5 Patrick J McCann 1
1University of Oklahoma Norman USA2Texas Aamp;M University at Galveston Galveston USA3Texas Aamp;M University College Station USA4University of Oklahoma Norman USA5University of Oklahoma Norman USA6Oklahoma Geological Survey Norman USA
Show AbstractThis presentation will describe results recently obtained with pulsed electron beam deposition (PED) of ZnO on c-plane sapphire and silicon (100) substrates. The PED technique is potentially useful for growth of wide bandgap materials at lower substrate temperatures, a capability that can allow use of new buffer layer materials, introduction of chemically dissimilar lattice-matched materials, help solve wafer bowing and cracking problems during growth, and allow for the high energy deposition of materials that are transparent to standard laser wavelengths. The introduction of this technique could lead to improvements in device quality and fabrication of vertical device structures. In this study, ZnO thin films were deposited on sapphire and Si (100) at substrate temperatures of 300°, 500°, and 700°C in an Ar (7 mTorr) atmosphere. A high power electron gun pulse (Neocera Inc.) was used to ablate the ZnO target (1” dia. x 0.250” thick, 99.99% pure) stationed at 5 cm vertical distance from the substrate. The electron pulses were generated at 12KV, 0.3 J/pulse at 1 Hz for initial few nm of growth, and then increased to a 3 Hz pulse rate. Higher pulse rates (5 and 7 Hz,) were explored to study the impact of pulse rate on the film properties. Scanning electron microcopy (SEM), x-ray diffraction (XRD), Rutherford backscattering (RBS), photoluminescence (PL) and Hall Effect measurement were performed to evaluate structural, morphological, optical and electrical properties. SEM imaging confirmed a rough surface morphology with the presence of 30 nm to 100 nm scaled ZnO crystallites (for the ZnO/Sapphire samples), while smaller but more coalesced crystallites of 30-70 nm size were observed for ZnO/Si (100) samples. We observed increased thickness of deposited film for increment in substrate temperature (52, 94, and 120 nm for 300°, 500°, and 700°C growth temperature, respectively, for the ZnO/sapphire samples), for a fixed number of pulses in a specific chamber pressure. XRD theta;-2theta; scans from 2theta; = 0° to 2theta; = 70° on the ZnO on sapphire showed only two other peaks near 2theta; = 34.3°, besides the peaks from the sapphire. The peaks consist of a stronger peak at 34.320° and a much weaker peak at 35.951° corresponding to the (0002) and (10-11) orientations for ZnO, respectively. XRD for the ZnO on Si (100) samples showed of a strong peak at 2theta; = 34.402° corresponding to polar ZnO (0002) plane and a very weak peak at 2theta; = 61.67° corresponding to ZnO (103) plane, besides the Si substrate peaks. Room temperature PL measurements showed near band edge (NBE) emission in the region of 3.3 eV. Hall measurements confirmed growth of n-type ZnO films with mobility in the range of 10-15 cm2/V-sec. These results demonstrate that PED-grown ZnO is highly c-axis oriented and has the potential for the initial growth of ZnO on various substrate materials for initial buffer layer growth for future optoelectronic and device applications.
9:00 AM - O9.06
Deposition of ZnO on Silicon Substrates with Porous Silicon Buffer Layer by Wet Chemical and Electrochemical Techniques
Vitaly Bondarenko 1 Marco Balucani 2 Eugene Chubenko 1 Alexei Klushko 2
1Belarussian State University of Informatics and Radioelectronics Minsk Belarus2Rome University "La Sapienza" Rome Italy
Show AbstractZnO is a wide band-gap semiconductor with a number of outstanding properties which are promising for many applications. In spite of obvious advantages, an industrial application of ZnO is still suppressed by the absence of large diameter ZnO wafers at the market. Several ways of attack could be explored to overcome this hurdle. One consists in further development of ZnO crystal growth technology to produce ZnO wafers of large diameter. Another strategy aims at a development of methods providing deposition of ZnO onto the cheap Si substrates of large diameter. Fabrication of ZnO-on-Si structures will make possible direct integration of ZnO based devices with Si electronic circuitry on the same substrate. Direct deposition of ZnO on the surface of Si substrate is not a trivial task, because ZnO and Si have different types of crystal lattices and different thermal expansion coefficients which lead to high strain between the Si substrate and ZnO layer. To decrease the strain the mesoporous silicon (PS) buffer layer can be used.
In this paper we restrict ourselves to the main technological problems that were solved on the way from making an idea to use PS as buffer layer to the pilot line experiments. We show that with respect to cost and material minimization it is very important to deposit ZnO materials onto the Si substrate by cheap wet chemical and/or electrochemical techniques. To deposit ZnO onto the Si wafers three wet techniques were investigated: (a) electrochemical; (b) hydrothermal chemical; (c) chemical displacement of Al sacrificial layer to ZnO.
We show that ZnO films of good uniformity, adhesion and appropriate quality can be deposited by electrochemical method onto the PS buffer layer. The fabricated deposits were highly textured polycrystalline ZnO films with an intensive visible luminescence. The influence of process parameters on the characteristics and morphology of the ZnO deposits is analysed.
The features of hydrothermal chemical deposition that allowed to obtain arrays of high-quality ZnO nanocrystals are presented. Deposited ZnO nanocrystals are characterized by an intensive UV photoluminescence at room temperature.
Chemical growth of thin nanostructured ZnO films using Al sacrificial layer is also presented. Fabricated ZnO films are shown to have polycrystalline nature, consisting of particles with sizes from few to several nanometers, having stoichiometric composition and demonstrating strong photoluminescence in a near-UV region. They show high adhesion to the substrate.
Possible applications of ZnO materials deposited onto Si with PS buffer layer are discussed.
This work is part of the Belarus Government Research Programs “Electronics and Photonics” and “Nanomaterials and Nanotechnologies” and is financially supported by the Grants No 1.1.03 and 1.09.
9:00 AM - O9.07
Reproducible Growth Processes at Low Temperatures for Two-Dimensional ZnO Nanostructures to be Used as a Transparent Conductive Oxide (TCO) Layer for Thermoelectric Device
Matthew Erdman 1 Tito Busani 1 Olga Lavrova 1 Julio Martinez 2
1University of New Mexico Albuquerque USA2New Mexico State University las Cruces USA
Show AbstractZnO nanostructures are typically grown via Chemical Vapor Deposition at temperatures higher than 400 °C or in aqueous solution between 70 and 100 °C. Application of ZnO in efficient energy harvesting requires to lower the associated growth costs. We propose a reliable aqueous solution and a vapor process to grow ZnO nanostructures at 50 °C. A 1:1 molar aqueous solution of Zinc Nitrate Hexahydrate and Hexamethylenetetramine at a temperature of 75 °C was used to grow nanowires with a typical diameter of 50 nm and a length of 300 nm. The vapor method uses the same aqueous solution as a precursor for the reactant, while the sample temperature is maintained at 50 °C. In this case two dimensional nanoplatelets were grown with a diameter less than 750 nm, and a length of 20 nm. By varying the temperature of the aqueous solution, either nanowires, interwoven nanoplatelets, or single nanoplatelets can be grown. Only single nanoplatelets have been observed in the vapor growth method. The substrate used for the seeding layer was an Al doped ZnO thin film deposited on glass or crystalline Silicon via physical vapor deposition. The grown nanoplatelets appear to be the first stage growth of large diameter nanowires, but are limited in the growth of the C-crystal plane due to the available concentration of reactants and the limited thermal energy of the solution. Scanning electron microscope images show the same hexagonal structure between the nanowires and nanoplatelets, and the transmission electron microscopy (TEM) diffraction patterns and X-Ray diffraction (XRD) patterns of the two structures confirms they share a Wurtzite crystal structure. Analysis of the lattice parameters via TEM and XRD, transmissivity via a spectroscope, and conductivity using contact conductive atomic force microscopy for single nanoplatelets, nanowires, and interwoven nanoplatelets has been studied. The structures have large bandgap of approximately 3.2-3.3 eV depending upon the growth conditions. Both the nanoplatelets and the nanowires present a very low resistivity found as low as 2x10-4 ohm/cm and a very high transitivity in the ultraviolet/visible range to be approximately 85-91%. We propose a mechanism of the growth of the nanoplatelets and the aspect ratio dependence of the transmissivity. Integration of the ZnO nanostructures as Transparent Conductive Oxide (TCO) with hybrid solar cell and thermoelectric devices shows a very low defect density at the interface of the inorganic material and the ZnO nanostructures, resulting in a promising low cost solution for energy harvesting systems.
9:00 AM - O9.08
Impurity-Defect Band Mediated Ferromagnetism in Gd Doped ZnO Thin Films
Venkatesh S 1 Joseph B Franklin 3 Mary P Ryan 3 Martyn A McLachlan 3 Neil Alford 3 Jun-Sik Lee 2 Hendrik Ohldag 2 Udo Schwingenschloegl 1 Iman S Roqan 1
1King Abdullah University of Science and Technology (KAUST) Thuwal Saudi Arabia2Stanford Synchrotron Radiation Light source (SLAC) Melno Park USA3Imperial College London London United Kingdom
Show AbstractGd-doped ZnO thin films were prepared by pulsed laser deposition technique with Gd concentrations varying from 0.0224 at% to 0.225 at% and at different oxygen pressures (5 - 500 mTorr). We demonstrate that room temperature ferromagnetism can be controlled by background oxygen pressure during deposition. Only ZnO films with low Gd dopant concentrations (<0.12 at%) prepared at low oxygen pressure (<25 mTorr) exhibited ferromagnetic behaviour at room temperature. These samples display negative-magnetoresistance and electric transport properties; we propose that the exchange is mediated by a spin-split defect band, formed by localized defects related to oxygen deficiency (such as oxygen vacancies), near the Fermi level. The density of localized carriers at those defect states were estimated by variable range of hopping conduction. SQUID magnetometry and in-situ vacuum annealing X-ray magnetic circular dichroism studies show that the ferromagnetic exchange could be mediated by complexes of these defects with Gd3+ ions. Furthermore, anisotropic magnetization loops at room temperature and variation of c-lattice parameter with Gd doping suggest that the exchange is strongly influenced by inter-atomic distance. From our findings, we propose that low Gd concentrations (le;0.0224 at%), a high density of oxygen deficiency related defects and localized carriers are prerequisites to achieve room temperature ferromagnetism in Gd-doped ZnO thin films. The elucidation of such controlled synthesis criteria permits the design of Gd-doped ZnO as a practically usable diluted magnetic semiconductor for spintronic applications.
9:00 AM - O9.09
Effect of Oxygen on Photoluminescence and Magnetic Properties of Band Gap-Engineered MgZnO Thin Films Obtained by Pulse Laser Deposition
Venkatesh Singaravelu 1 Mufasila M Muhammed 1 Iman S Roqan 1 Ansar Masood 2 Anastasia Riazanova 2 Lyuba Belova 2 K. V Rao 2
1King Abdullah University of Science and Technology Thuwal Saudi Arabia2KTH Royal Institute of Technology Stockholm Sweden
Show AbstractWe present studies of the role of defect structure, and the oxygen ambience dependence on the room temperature Photoluminescence and Magnetization of Band gap engineered Thin films of Mg0.05Zn0.95O deposited on quartz and sapphire substrates by pulse laser deposition. The structure and surface morphology of the films were investigated by x-ray diffraction and our focused ion beam/SEM Nanolab set up. The band gap emission for the films grown on sapphire and quartz substrates was measured as 357.5 and 357.4 nm respectively. Furthermore, Mg doped ZnO films exhibit a blue shift as compared to undoped ZnO (~368 nm) on both types of substrates. This could be a contribution arising from Mg incorporation into ZnO since Mg increases the ZnO band gap. In addition, a dominant broad blue defect band centered around ~ 385 nm is found to dcrease in intensity as the oxygen pressure in the ambience during deposition is increased. There are weaker green and red bands that are due to oxygen and zinc vacancies, respectively. A comparison of the differences due to the sapphire and quartz substrates observed as the oxygen pressure increases for the films grown will be discussed. The band edge disappears completely for the film deposited in 89.5% Opp indicating the dependence of band crystal quality compared to sapphire samples in agreement with XRD results. The magnetic properties of the films were measured at room temperature. The saturation magnetization (Ms) of MgZnO films on sapphire and quartz substrates increased from 0.23-0.86 and 0.1-0.37 emu/cc respectively for oxygen partial pressures from 74-89.5%. However, an abrupt change in the values of Ms has been observed in 89.5% of Opp for both types of films. These results indicating the sensitivity of the film properties and the substrate effects will be discussed. Finally the effect of nitrogen ambience during deposition on the blue band will also be presented.
9:00 AM - O9.10
High Performance Indium Gallium Zinc Oxide Transistors via Spray Coating Deposition
Xinge Yu 1 Nanjia Zhou 1 Jeremy Smith 1 Antonio Facchetti 1 Tobin Marks 1
1Northwestern University Evanston USA
Show AbstractMetal-oxide (MO) semiconductors have emerged as the next generation thin film electronics, owing to their high carrier mobility, even in the amorphous state, large-area uniformity, low-cost, optical transparency, which can be utilized for flat-panel displays, sensor arrays, and flexible circuitry. Impressive progresses in solution-processed MO electronics have been made using several methodologies including “sol-gel”, “DUV” irradiation, pre-formed nanostructures, and “combustion synthesis”. However, due to the gaseous production during the condensation and densification, high-quality solution-processing MO films with technologically-relevant thicknesses achievable in one step still be a challenge. Therefore, the thickness has to be controlled thin enough to form high quality oxide films. While referring to MO TFTs application in circuits and active-matrix display backplanes, a technologically relevant oxide semiconductor thickness is about 50 nm or even thicker. Here we report a new route to high performance solution-processed MO electronics with thickness control by using spray-coating deposition technique, which demonstrates for the first time indium gallium zinc oxide (IGZO) thin-film transistors with semiconductor thicknesses, electron mobilities, stability, and process conditions compatible with conventional sputtering deposition operations.
9:00 AM - O9.11
Effect of Ti/Zn Flux Ratio on the Properties of TixZn1-xO Thin Films Grown by RF/DC Magnetron Sputtering
Muhammad Baseer Haider 1 Mohammad F Al-Kuhaili 1 Iman Roqan 2
1King Fahd University of Petroleum amp; Minerals Dhahran Saudi Arabia2KAUST Jeddah Saudi Arabia
Show AbstractSpintronics is an emerging field where not only charge but spin degree of freedom of the electrons or holes can be utilized. Diluted magnetic semiconductors are potential candidate for Spintronics applications. Wideband gap semiconductors, like ZnO and GaN, are predicted to have Curie temperature above room temperature, so diluted magnetic semiconductors based on these materials can be readily used for practical applications.
There are many studies on the thin film growth of magnetic transition metal Co, Mn, Cr, doped ZnO reporting ferromagnetic behavior in these materials. The origin of ferromagnetism in these materials is controversial because ferromagnetism can originate either from ZnO based dilute magnetic semiconductor or from magnetic nano-clusters of transition metal compounds.
Here we are reporting the thin film growth of Ti doped ZnO. Titanium oxide clusters are not know to be ferromagnetic.
We deposited Ti doped ZnO by RF and DC magnetron sputtering. Growth was performed at different Ti/Zn flux ratio ranging from 3% to 20%. After the growth surface morphology was observed by atomic force microscopy. Energy dispersive x-ray spectroscopy was performed to quantify the presence of Ti in the film. We also performed photospectrometry of the grown films to study any change in the bandgap as a function of Ti concentration in the film. There is a slight change in the band gap with the increase in the Ti concentration. We also performed X-ray diffraction to determine the crystallinity of the film. We found all the films are highly crystalline and found no secondary phases of TixOy. We have also observed that a shift in the ZnO peak with the increase in the Ti concentration indicating change in the lattice constant. Effect of Ti/Zn flux ratio on the magnetic properties of the films will be presented.
This work was supported by deanship of scientific research at KFUPM through internal research grant IN111035.
9:00 AM - O9.12
Optoelectronic Properties of Semiconducting Zinc Oxysulfide ZnO1-xSx Thin Films Synthesized by Diffusion Limited Sulfurization of Zinc Oxide in Di-tert-butyl Disulfide (TBDS) Vapor Flux
Avinav Verma 1 2 Sandeep Singh 1 2 Alok C Rastogi 1 2
1Binghamton University Binghamton USA2Binghamton University Binghamton USA
Show AbstractZinc oxide, a n-type semiconductor with wide band gap ~ 3.34 eV and large (60 meV) exciton binding energy has applications in blue light emitting diodes (LED), UV lasers and solar cells. Cation alloying at Zn-sites are used to tailor device specific properties, such as Ga or Al for high n-type conductivity in solar cells and Mg and Cd for wide spectrum LED. Anion doping by substituting O-atoms with chalcogens (S, Se) is relatively more important since it significantly alters electronic and optical properties of ZnO due to electronegativity and ionic radii differences relative to oxygen. Anions (S) at O-sites create gap-states and strong valence band offset bowing due to overlapping of Zn-S like bonds. This could facilitate p-type ZnO by shallow acceptor doping which would be an important milestone in futuristic transparent electronics based on p-n junction components. Only limited studies on S-alloyed by sputtering of ZnS in O2 or by laser ablation of ZnO-ZnS target in small composition range are reported. Major challenge is ZnO - Zn(S) phase stability and secondary phase prevention. In this work, we report on the formation of ZnO1-xSx by sulfurization of ZnO and investigate structural phases, O-substitution kinetics and optical properties in ZnO converted into ZnO1-xSx and bilayer ZnO1-xSx/ZnO structural forms.
ZnO1-xSx and ZnO1-xSx/ZnO bilayer structures were synthesized by surface conversion of ZnO thin films by S radicals derived from pyrolysis of di-tert-butyl disulfide (TBDS). Chemical vapor sulfurization of sputter deposited 35-75 nm thick ZnO film is done at 400°C under 100 sccm flow of TBDS vapor preheated to 100°C. S radical by diffusion in ZnO substitute at O-sites to produce S-alloyed layer ZnO1-xSx at the surface. Controlled anion (S) doping was achieved by TBDS flow rate and diffusion time to achieve S-alloying with x=0.1-0.9. X-ray diffraction study at variable sulfurization times show systematic diminishing of ZnO(002) and evolution of ZnS(111) diffraction and at x=0.9, only ZnS peaks confirming S-diffusive-alloying of ZnO. S-diffusion profile was established by x-ray photoelectron spectroscopy and ion etching across depth of ZnO1-xSx (x=0.9) film which is modeled to expound S-diffusion kinetics. Raman spectra of ZnO1-xSx films show distinctive changes compared with ZnO and ZnS spectra and interpreted for structural phase by S-substitution at O-sites. Band gap of ZnO1-xSx and ZnO1-xSx/ZnO bilayer structures was studied by optical absorption spectroscopy and a systematic shift of absorption edge with varying x in ZnO1-xSx was observed. Using Tauc relation for direct band gap and absorption coefficient, decrease in optical band gap from ZnO value 3.21 eV to 2.4 eV was determined consistent with variation in x in the time evolution of the S-alloying process from ZnO to ZnO1-xSx. This paper will report the results and interpretations of these investigations.
9:00 AM - O9.13
Improved Negative Bias and Photostability of a-InGaZnO TFTs by Sputtering Method and Plasma Treatment
Jong Woo Kim 1 2 Sung Haeng Cho 1 Minki Ryu 1 Sang-Hee Ko Park 3 Chi-Sun Hwang 1 Byeong-Kwon Ju 2
1Electronics and Telecommunications Research Institute (ETRI) Daejeon Korea (the Republic of)2Korea University Seoul Korea (the Republic of)3Korea Advanced Institute of Science and Techanology (KAIST) Daejeon Korea (the Republic of)
Show AbstractAmorphous oxide semiconductor (AOS) thin film transistors (TFTs) are candidates for the next generation of silicon TFTs. The manufacturing process of AOS TFTs is not different from the amorphous silicon (a-Si) TFTs. The AOS TFTs provide high mobility (>10cm2/Vs, larger than the conventional a-Si TFTs with a low mobility of 1cm2.Vs), great uniformity and visible light permeability. Moreover the device fabrication has the advantage which can be applied to the transparent flexible display in the low temperature. Since most of the proposed uses of the a-IGZO TFT will expose the TFT to a backlight or ambient light during operation, the stability of a-IGZO TFTs under light illumination is critical.
The photosensitivity issue caused by the backlight or ambient light illumination, particularly, ultraviolet (UV) light has hindered a-IGZO TFTs from being more applicable for transparent electronic devices. It was reported that the threshold voltage would shift to negative direction under negative bias illumination stress (NBIS) with UV-light, since the photo-induced holes are either trapped at the interface between gate insulator (GI) and active layer or injected into the gate dielectric bulk.
Therefore, we approached two types of method conditions. In the first instance, employing the gate dielectric/channel interface engineering in the bottom-gate, RF-sputtered a-IGZO TFTs. a-IGZO is grown under low oxygen partial pressure condition to minimize the damage from highly energetic oxygen anion bombardment into the substrate during sputtering. Because high oxygen patial pressure is employed during the bulk growth of active layer to reduce the oxygen vacancy related defects in active film.
Second, The plasma treatment has been employed to enhance the film quality, suppress the back-channel current and reduce the metal-semiconductor contact resistance. For practical application, it is necessary for oxide transistors to exhibit a fine reliability, suffering from the stability phenomena known as gate-bias stress and visible-light illumination.
In this paper, we propose the fabrication of high performance a-IGZO TFTs by low oxygen partial pressure condition and post treatment.
9:00 AM - O9.14
Novel Gated-Multi-Probing Method for Oxide-Based Thin-Film Transistors
Jaewook Jeong 1 Joonwoo Kim 1 Soon Moon Jeong 1
1Daegu Gyeongbuk Institute of Science and Technology Daegu Korea (the Republic of)
Show AbstractDevice physics concerning about amorphous oxide-based thin-film transistors (AOS TFTs) has been tremendously investigated in order to analyze various electrical and optical properties and to improve device performance of the TFTs. To detect the internal potential distribution in the channel region of TFTs, gated-four-probe method has been widely used not only for conventional amorphous silicon TFTs but for oxide-based TFTs. By increasing the number of probe electrode, additional electrical and physical properties can be studied. Here, we demonstrate the novel gated-multi-probing method to detect the electrical properties using amorphous indium-gallium-zinc-oxide (a-IGZO) TFTs which is the representative oxide-based TFTs. By comparing conventional gated-four-probe method, there are several advantages for the gated-multi-probe method. First, mapping a channel potential can be possible as a function of the position. This enables the studied of channel potential variations depending on gate-to-source (VGS) and drain-to-source (VDS) voltages similar with scanning Kelvin probe microscope. Second, the effect of back electrode can be studied for different probe electrode. We found that additional probe electrodes induce channel shortening effect depending on the workfunction probe electrode, which improves effective field-effect mobility. All the experimental results were compared with ATLAS (from Sivaco Inc.) simulation results.
9:00 AM - O9.15
Fmax Measurements of PEALD ZnO TFTs for High-Frequency Oscillators
Yasmin Afsar 1 Warren Rieutort-Louis 1 Naveen Verma 1 James C. Sturm 1 Sigurd Wagner 1
1Princeton University Princeton USA
Show AbstractWe have measured and simulated the unity power gain fmax of ZnO thin-film transistors (TFTs) in order to design transistor oscillators that will robustly operate above ft. These TFTs are to be integrated into hybrid systems combining large-area electronics and CMOS ICs. Previously demonstrated systems based on a-Si TFTs and CMOS have enabled applications in energy harvesting, wireless sensing, and communication [1]. MHz-frequency oscillators based on resonant circuit topologies are key components in such systems because they permit operation at frequencies above the ft of low-mobility a-Si TFTs. Transistor oscillators can operate above ft so long as the transistors can provide power gain that is greater than unity, i.e., before the fmax frequency is reached. Hence, transistor fmax is a critical performance metric that must be evaluated to determine the high-frequency potential of a TFT technology.
Our TFTs are based on plasma-enhanced atomic layer deposition (PEALD) ZnO [2], and have mobilities >10cm2/Vs, Vth = 3V, and <200mV/decade subthreshold slope. TFTs on glass substrates employ a 100nm thick Cr gate metal, 32nm PEALD Al2O3 gate dielectric, 10nm PEALD ZnO active layer, 28nm PEALD Al2O3 back-channel passivation, and 100nm Ti/150nm Au source and drain metal. Fmax was extracted directly from the 0dB crossing of the maximum available gain, which was determined from S-parameter measurements. Fabricated devices with W/L ratios of 600um/30um and gate-source/drain overlaps Xov = 15um yield fmax values of 930kHz, in excellent agreement with predictive models we developed with extracted device parameters.
There are several parasitic factors that limit fmax. Analytically, fmax = ft/radic;((2π*ft*Cgd*Rg) + Rg/ro), where Cgd ~ W*(L+2*Xov), Rg is the gate resistance, and ro is the output resistance. Because our ZnO TFTs have ro values >100kohms, the Cgd*Rg term becomes the dominant parasitic to minimize to boost fmax. To address the high Cgd resulting from 15um overlaps, we developed a self-aligned process that reduces Xov to 0.7um [3]. To reduce high Rg values (~3kohm) in our TFTs, we replaced the 100nm thick Cr gate metal with a 30nm Cr/ 50nm Al/ 20nm Cr stack. Self-aligned devices with W/L = 600um/30um and Rg = 700ohms have measured fmax values of 5MHz, a dramatic 5X improvement over our Cr gate, non-self aligned devices. In a further effort to reduce Cgd, shorter-channel (400um/20um) self-aligned devices with Rg = 925ohms were made, which exhibit fmax values of 6.8MHz, an additional improvement.
Fmax can be further improved by shrinking the channel length and reducing Rg with higher conductivity metals, thicker gates, and/or multifinger layouts. Oscillator work is also ongoing--to date, PEALD ZnO TFTs have yielded LC oscillators that operate >3MHz.
[1] Y. Hu et al., VLSI Symp., June 2013 [2] T. Moy et al, DRC, June 2014. [3] D. A. Mourey et al., IEEE TED, Feb. 2010. [4] Y. Afsar et al, ITC, Jan. 2014.
9:00 AM - O9.17
Sputtered CuxO as an Alternative Uncooled Bolometer Active Material
Berk Berkan Turgut 1 2 Sami Bolat 3 1 2 Dogan Gul 3 1 2 Burak Tekcan 3 1 2 Ali Kemal Okyay 3 1 2
1UNAM-National Nanotechnology Research Center Ankara Turkey2Institute of Materials Science and Nanotechnology Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractCuxO, a semi-transparent metal oxide, has become popular due to its promising electrical and optical properties. Especially, due to their p-type electrical conductivities, Cu2O and CuxO phases of this material have been employed as p-channel layer in thin film transistors. In addition, use of this material has also been demonstrated in optical applications including metal-semiconductor-metal (MSM), and p-n heterojunction photodetectors. Though being investigated intensively in several applications, the thermistor properties of this material has not been reported up to date. In this study, we investigate the temperature dependent resistivity of CuxO, for the first time. In addition, structural properties, as well as optical characteristics of this material have been studied, in a detailed manner.
Resistors with interdigitated contact structures are fabricated on thermally-grown oxide-coated silicon wafer. Fabrication starts with the standard RCA cleaning of the substrate. Next a 22-nm-thick CuxO layer is sputtered at room temperature. This layer is patterned via optical lithography and BCl3 based dry etching. Ohmic contact formation is achieved via the sputtering and lift-off of the Ni/Au metal stack. Chemical compositions and bonding states are determined by X-ray photoelectron spectroscopy (XPS) using Thermo Scientific K-Alpha spectrometer with a monochromatized Al Kα X-ray source. In order to obtain crystal properties, grazing-incidence X-ray diffraction (GIXRD) measurements are carried out in a PANalytical X'Pert PRO MRD diffractometerusing Cu Kα radiation.
Structural properties obtained by using the linear profile analysis (LPA), in the XRD spectra of the CuxO thin films reveal the polycrystalline nature of as-deposited layers. As the result of the elemental characterization, performed with the XPS using depth profile, % 43.78 Oxygen, % 51.5 Copper, and % 1.13 Silicon are detected in the film. Temperature-dependent resistance values are obtained on resistor structures formed on such layers at temperature levels ranging from 15#730;C to 35#730;C. Obtained results demonstrate that the temperature coefficient of resistance (TCR) of this material is obtained to be as high as -4%/K. In conclusion, with its promising electrical and structural properties, CuxO can be a strong candidate to be used in next generation uncooled microbolometers.
9:00 AM - O9.18
A Study of Nickel Oxide Thin Films Deposited Using Atomic Layer Deposition
Zachary Gray 2 Wook Jun Nam 2 1 Stephen J Fonash 2 1 3
1The Pennsylvania State University University Park USA2The Pennsylvania State University University Park USA3Solarity LLC State College USA
Show AbstractNickel Oxide (NiO) thin film material has useful characteristics (e.g., wide energy band gap, high work function, and high transmittance) and has become of interest in many areas such as sensors, solar cells, antiferromagnetic layers, LEDs, and oxygen evolution catalysts. In solar cell applications, the aforementioned material properties are very critical for both optical buffer layer and hole transport/electron blocking (HT/EB) layer functions. The former function can enhance the trapping of impinging light, and the latter enables selective photo-generated carrier collection at the device anode. Many techniques are employed to deposit NiO thin films including pulsed laser deposition (PLD), sputtering, evaporation, sol-gel deposition, chemical bath deposition, and metal organic chemical vapor deposition (MOCVD). In addition, a new deposition approach using atomic layer deposition (ALD) has rapidly emerged due to its attributes of precise thickness control, relatively low process temperature, stoichiometry control, and conformality on irregular and complex surface topographies.
In this report, we present characterization results for 20nm ALD NiO thin films deposited by applying alternating pulses of Nickel Alkyl Amidinate (Ni-amd) and water at 200C. The as-deposited and annealed films were characterized using UV-Vis spectrometry, XPS, XRD, AFM, spectroscopic ellipsometry, and FESEM. Annealing was performed on a hotplate at 435C in air, and was found to significantly modify transmittance and resistivity. The transmittance of an annealed film was about 20% better than that of the as-deposited film. The electrical conductivity was also enhanced dramatically after the annealing step. This latter, surprising behavior is very different from that of post annealed NiO thin films deposited by reactive sputtering. For that deposition process, both optical transmittance and electrical resistivity are reported to increase with annealing. We will present our characterization data as well as a model based on those results to explain these interesting differences between ALD and reactively sputtered NiO.
9:00 AM - O9.19
Fabrication and Physical Properties of Nanostructured SnO2:F Thin Films for Solar Cells
Vincent Consonni 1 Gael Giusti 1 Germain Rey 1 Etienne Puyoo 1 2 Herve Roussel 1 Beatrice Doisneau 1 Daniel Bellet 1
1CNRS - Univ. Grenoble Alpes Grenoble France2Institut des Nanotechnologies de Lyon Lyon France
Show AbstractThin films with a combination of high electrical conductivity and optical transparency are crucial for many modern devices such as touch panels, organic light-emitting diodes, liquid-crystal displays, or solar cells. In this respect, polycrystalline fluorine-doped SnO2 (FTO) thin films have lately received increasing interest due to their very good electrical and optical properties as well as interesting thermal and chemical stability. In this work, the interplay between structural, electrical and optical properties of FTO thin films grown by ultrasonic spray pyrolysis is investigated with a special emphasis on texture and electron scattering mechanisms [1-3]. It is found that texture transitions from <110> or <101> to <100> and <301> crystallographic orientations occur as film thickness is increased [1] or growth temperature is raised [2]. The texture effects are described in the framework of the thermodynamic approach considering the minimization of total free energy as the driving force for grain growth and texture maps are deduced as a function of elastic strain, film thickness and surface energy [1,2]. It is further shown that the predominant electron scattering mechanisms include ionized impurity scattering as well as grain and twin boundary scattering [3]. Importantly, in order to enhance the Haze factor/light scattering of these FTO thin films, we additionally demonstrate the simple and low-cost fabrication of ZnO/FTO nanocomposite thin films by integrating ZnO nanoparticles (NPs) in FTO thin films [4]. By readily modulating their initial concentration, these nanocomposites exhibit controllable high haze factor from 0.4 to 64.2% in the visible range, while maintaining low sheet resistance (i.e., ~10 Omega;/sq) and high average optical transmittance (i.e., >80%) [4]. The physical properties of these nanocomposites are discussed in detail and related to their structural properties.
[1] V. Consonni et al., J. Appl. Phys. 111, 033523 (2012).
[2] V. Consonni et al., Acta Materialia 61, 22 (2013).
[3] G. Rey et al., J. Appl. Phys. 114, 183713 (2013).
[4] G. Giusti et al., submitted (2014).
9:00 AM - O9.20
Chemical Sensor Performance of SnO2, SnO and Sn3O4 Nanobelts Synthesized by Carbothermal Reduction Method
Pedro H. Suman 1 2 Anderson A. Felix 1 2 Jose A. Varela 1 Harry L. Tuller 2 Marcelo O. Orlandi 1
1Samp;#227;o Paulo State University (UNESP) Araraquara Brazil2Massachusetts Institute of Technology (MIT) Cambridge USA
Show AbstractThe development of new chemical sensors made from semiconductor nanostructures has attracted great interest in recent years. It is expected that these devices may be able to detect pollutant gases at ppm, and even ppb levels, with high sensitivity, selectivity and response speed in order to satisfy a wide range of requirements in several areas. SnO2 is the most studied and best known gas sensing material, however the gas sensor properties of tin oxides with unusual stoichiometries have been reported only recently. In this work, the chemical sensor performance of tin oxide nanobelts with different stoichiometries (SnO2, SnO and Sn3O4), synthesized by a carbothermal reduction method, is presented. Analysis by X-ray diffraction (DRX), field emission scanning electron microscopy (FEG-SEM) and transmission electron microscopy (TEM) showed that these nanomaterials are single-crystalline with homogeneous size along the growth direction. The results also indicated that SnO2, SnO and Sn3O4 nanobelts grow in the tetragonal, orthorhombic and triclinic structures, respectively. Gas sensor measurements showed that the sensor based on Sn3O4 nanobelts exhibits the highest sensor response to 50 ppm NO2 at 200 °C with an approximately 155-fold increase in electrical resistance. Moreover, at this operating temperature, Sn3O4 nanobelts were found to display the highest selectivity to NO2 relative to CO while SnO nanobelts exhibited the highest selectivity to NO2 relative to H2 and CH4. We discuss the unusual surface electronic structure of these novel tin oxides that may contribute to their enhanced sensitivity. Overall, these results show that tin oxide semiconducting nanomaterials, with the unusual oxidation states of SnO and Sn3O4, show great promise as alternatives to SnO2 for use in high performance gas sensor devices.
9:00 AM - O9.21
Electrical Properties of SnOx Thin Films and Their Field Effect Transistors Made by Reactive Sputtering of a Sn Metal Target
Chanjong Ju 1 Hoonmin Kim 1 Chulkwon Park 1 Useong Kim 1 Kookrin Char 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractWe report on the electrical properties of SnOx thin films made by reactive sputtering of a Sn metal target on glass and r-plane sapphire substrates. We investigated the electrical properties of SnOx films as a function of the oxygen pressure and the growth temperature. The mobility of the SnOx films on glass substrates was as high as 16.1 cm2/Vs after post-deposition annealing at 400 C. Its carrier density was 2.91 × 1018 cm-3. By x-ray diffraction we have found that the films are mixture of SnO and SnO2 phases, suggesting possibility of further enhancement of the electrical properties. We will present our efforts to produce SnO2 single phase films for higher mobility as well as the performance of their field effect transistors using the atomic-layer-deposited Al2O3 as the gate oxide.
9:00 AM - O9.23
Field Effect Transistors Based on Perovskite BaSnO3
Chulkwon Park 1 Useong Kim 1 Chanjong Ju 1 Jisung Park 1 Kookrin Char 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractRecently, it was found that La-doped BaSnO3 (BLSO) has high electrical mobility at room temperature and excellent oxygen stability even at high temperatures. By taking advantage of its atomically flat surface, we demonstrated field effect transistors, the most fundamental device for oxide electronics. We fabricated an n-type accumulation-mode field effect transistor on undoped BSO buffer layers on SrTiO3 (STO) substrates using 0.14% La-doped BSO as the semiconducting channel and amorphous Al2O3 as the gate insulator, grown by pulsed laser deposition (PLD) and atomic layer deposition (ALD), respectively. 4% La-doped BSO was used as the source and the drain electrodes and Sn:In2O3 (ITO) as the gate electrode. At room temperature, we achieved the field effect mobility value of 14.73 cm2/Vs and the on/off ratio value higher than 105 for VDS = 1 V. These values are consistent with the known material parameters of BLSO and Al2O3, providing further evidence for the excellent surface quality of BLSO and its stability.
9:00 AM - O9.24
Quantitative Magnetic Moments Analysis and Electron Magnetic Circular Dichroism Study in Spinel Oxide Thin Films
Loukya Chowdary Boddapati 2 1 Devendra Singh Negi 2 1 Ranjan Datta 1 2
1Jawaharlal Nehru Centre for Advanced Scientific Research Bangalore India2JNCASR Bangalore India
Show AbstractEpitaxial thin films of NiFe2Oshy;4 (NFO), CoFe2O4 (CFO) and NiCo2O4 (NCO) grown by direct liquid injection chemical vapor deposition technique (DLI-CVD)1shy; are studied by Electron Magnetic Circular Dichroism (EMCD)2 technique to analyze the site specific quantitative spin and orbital magnetic moments. EMCD is analogous to the widely known X-ray magnetic circular dichroism (XMCD) performed using synchrotron source. XMCD allows one to extract the individual spin and orbital magnetic moments by using the sum rules first proposed by Thole and Carra et al.3 The EMCD technique is a recently developed novel technique in a transmission electron microscope to study magnetism in materials without the availability of spin polarized electrons. This technique is based on the principle of simultaneous momentum transfer occurring at two different locations on the Thales circle where the momentum transfer is perpendicular to each other from the π/2 phase shifted direct and diffracted beams generated by the crystal planes. This technique so far has been utilized to determine the magnetic orbital and spin moments ratio values (mL/mS) using the sum rules4 but individual components determination is not reported yet. Individual magnetic moments calculation needs evaluation of the parameter ‘K&’ in the EMCD sum rule equation that depends on various experimental conditions like the sample thickness, orientation, detector positions, excitation error etc.4 We have attempted to determine ‘K&’ parameter for a given sample thickness and reciprocal lattice vector (g) to show for the first time that dichroic signal over individual L3and L2 edges varies with the corresponding change in the ‘K&’ parameter. We also present the role of particular momentum transfer direction on the imbalance of dichroic signal on the respective L3 and L2 edges and thereby demonstrate the difficulty in quantifying magnetic moments using the EMCD sum rules. Possible approaches to overcome this difficulty are also outlined.
References:
1 N. Li, Y. H. A. Wang, M. N. Iliev, T. M. Klein, A. Gupta, Chemical Vapor deposition 17 (2011) 261
2 P. Schattschneider, S. Rubino, C. Hébert, J. Rusz, J. Kunes, P. Novák, E. Carlino, M. Fabrizioli, G. Panaccione, G. Rossi, Detection of magnetic Circular Dichroism using a Transmission Electron Microscope, Nature 441 (2006) 486
3 B. T. Thole, P. Carra, F. Sette, G. V. Laan, X-ray Circular Dichroism as a probe of Orbital Magnetization, Physical Review Letters 68 (12) (1992) 1943
4 J. Rusz, S. Rubino, P. Schattschneider, First-principles theory of chiral dichroism in electron microscopy applied to 3d ferromagnets, Physical Review B 75 (21) (2007) 214425
9:00 AM - O9.25
UV-Assisted Low Temperature Processed Oxide Dielectric Films at 150 oC for TFT Applications
Jaeeun Hwang 1 Kyungmin Lee 1 Yesul Jeong 2 Yong Uk Lee 2 Christopher Pearson 2 Michael C Petty 2 Hongdoo Kim 1
1Kyung Hee University Yongin Korea (the Republic of)2Durham University Durham United Kingdom
Show AbstractSolution-processed metal oxide thin-film transistors (TFTs) have been widely studied for flexible, large-area, printed-electronics applications. The research effort has focused on improving the semiconductor materials, devising dielectric material formulations with optimized structures, and on using low-temperature processing in order to achieve compatibility with plastic substrates. For the successful realization of printed or plastic electronics, high quality dielectrics, deposited via a solution-based process, are also required with desirable requirements for gate insulators include large permittivities, low leakage current densities, an optimized structure with smooth interfaces and also the possibility of low temperature processing. In this work, inorganic nitrate salts such as zirconium oxynitrate and aluminum nitrate were used to form ZrO2 and Al2O3 oxide dielectric layers below 150 °C utilizing the decomposition property of nitrate under UV-assisted thermal annealing. The dielectric constants for ZrO2 is 14.6 at 1 kHz, which is within the range of previously reported values. In the case of Al2O3, the dielectric value of our sample is 7.04 at 1 kHz, which is at the lower end range of the literature values. Using ellipsometric analysis, the averaged void concentrations are estimated to be about 15% for the ZrO dielectrics and 25% for the Al2O3 dielectrics. The leakage current densities of ZrO2 and Al2O3 dielectrics are less than about 10-9 A/cm2 at 1 MV/cm and are comparable to those formed by annealing at high temperature. To test the performance of formed oxide dielectric layer, solution-based ZnO TFT was fabricated using the ZrO2 gate insulator. This device could be operated at less than 3 V and exhibited excellent electrical characteristics with a mobility of 1.37 cm2/V.s and an off-current density of 10-12 A/cm2. Moreover, since the highest process temperature used was around 150 °C, our device fabrication process is compatible with plastic substrates. These results augur very well for the commercial development of metal oxide thin film transistors.
9:00 AM - O9.26
NixMg1-xO: A Wide Band Gap Semiconductor for Applications in Ultraviolet Light Emitting Devices
Christian Niedermeier 1 Mikael Rasander 1 Robert Palgrave 2 Michelle Moram 1
1Imperial College London London United Kingdom2University College London London United Kingdom
Show AbstractThe major challenge in current ultraviolet light emitting diode (UV LED) technology employing group III nitride based semiconductors is the reduction of dislocation densities which reduce radiative recombination efficiencies [1]. Low defect density single crystalline wide band gap semiconductor thin films are required for use in UV-LEDs, but so far, no material system has been developed which meets this criterion. Therefore, this work investigates the use of NixMgshy;1-xO alloys, which are closely lattice matched to inexpensive MgO substrates. NiO is an inherently p-type direct band gap semiconductor with a band gap energy of 3.7 eV which can be readily tuned by alloying with MgO to form homogeneous NixMgshy;1-xO solid solutions [2] reaching direct band gaps around 4.8 eV (260 nm) appropriate for the application of UV light emitters in water disinfection.
Compositionally homogeneous, single crystalline NixMgshy;1-xO thin films with 0 < x < 1 were grown epitaxially on MgO substrates by pulsed laser deposition. High resolution X-ray diffraction 3D reciprocal space maps of the NixMgshy;1-xO (200) diffraction peak confirmed the high crystalline quality of all NixMgshy;1-xO thin films as well as the absence of any strain gradients or composition gradients within the specimens. The PLD-prepared NixMgshy;1-xO thin films showed atomically smooth surfaces with a mean-square surface roughness in the order of 5 Å, making them well suited for integration in nano-structured multilayer devices.
UV-visible absorption measurements indicated strong optical band gap bowing for NixMgshy;1-xO thin films, despite the close lattice matching of NiO and MgO. A linear increase in band gap from 3.7 eV to 4.5 eV for pure NiO up to Ni0.23Mgshy;0.77O. The band gap increases sharply up to the MgO band gap for alloys with higher MgO contents. This indicates that NixMgshy;1-xO alloys with appropriate band gaps for device applications can be grown successfully.
The electrical resistivity rises steeply from 103 Omega; cm for pure NiO to 109 Omega; cm for Ni0.41Mgshy;0.59O, indicating a reduction in the densities of (or compensation of) native point defects including Ni3+ cations. The achievement of highly resistive NixMgshy;1-xO alloy films is an essential starting point for future device applications.
We also report results from X-ray photoelectron spectroscopy studies of NixMgshy;1-xO thin films and from density functional theory calculations to offer further insight into the physics of this semiconductor alloy system.
[1] M. Kneissl. Advances in group III-nitride-based deep UV light-emitting diode technology. Semicond. Sci. Technol. 26, 014036 (2011).
[2] J. W. Mares, R. C. Boutwell, M. Wei, A. Scheurer and W. V. Schoenfeld. Deep-ultraviolet photodetectors from epitaxially grown NixMg1-xO. Appl. Phys. Lett.97, 161113 (2010)
9:00 AM - O9.27
Influence of Morphological Aspects on the Photoluminescence Response of BaWO4 Powders
Julio Cesar Sczancoski 1 2 Maximo Siu Li 3 Elson Longo 1
1Universidade Estadual Paulista - Jamp;#250;lio de Mesquita Filho Araraquara Brazil2Universidade Federal de Samp;#227;o Carlos Samp;#227;o Carlos Brazil3Universidade de Samp;#227;o Paulo Samp;#227;o Carlos Brazil
Show AbstractIn the last decades, oxide semiconductors at micro and nanoscale with controlled sizes and well-defined shapes have received a special attention in the area of materials science because of their technological applications in catalysts, optical devices, magnetorecording, energy storages, and sensors. In terms of morphological studies, the great challenge found by scientists is the development of general synthetic approaches capable to control, manipulate and stabilize in aqueous medium, the wide diversity of architectures presented by the inorganic materials. Particularly, these scientific aspects have the strategic interest of the electro-optical industries for the improvement or development of optical devices of the next generation, for example: light-emitting diodes, scintillators, lamps, solid state lasers and displays. Based on this purpose, the barium tungstate (BaWO4) can be considered a promising candidate because of their blue and green luminescence emissions. In our study, the influence of different reaction times (from 0 h to 24 h) was investigated in the growth processes and optical properties of BaWO4 powders synthesized by the rapid injection-based route in aqueous solution at room temperature and 90 °C. The X-ray diffraction patterns indicated that all samples have a scheelite-type tetragonal structure with a high degree of preferred orientation along the (200) crystallographic plane. In addition, the Raman spectra revealed the presence of intense and well-defined vibrational bands, suggesting a matrix structurally ordered at short-range. The scanning electron microscopy micrographs showed that the powders obtained at room temperature resulted in the formation of rice-like microparticles, while those synthesized at 90 °C with different reaction times promoted the origin of spine-like microstructures. In these microstructures, the existence of a spontaneous self-organization of nanocrystals in a common crystallographic orientation suggests a growth process governed by oriented-attachment mechanism. The increase of reaction time in the synthesis performed at 90 °C also resulted in a surface densification of these microstructures, indicating the occurrence of a matter transport phenomenon. Differences in the band gap energies were also verified by means of ultraviolet visible spectra and related to the modifications in the distribution and organization of intermediary energy levels within the forbidden region. These energy levels are arising from the high concentration of structural defects into the matrix. According to the particle shape evolution, the photoluminescence spectra demonstrated a significant shift of the maximum emission band from blue to yellow in the visible electromagnetic spectrum. In this case, the morphological change can be considered a key parameter to control the final PL response of BaWO4 phase.
9:00 AM - O9.28
High-Speed Hydrogen Gas Detection Using the Single Crystal WO3
Yuki Yamaguchi 1 Hiroki Mizuma 1 Kenjiro Fujimoto 1 Keishi Nishio 2 Yasushi Idemoto 1 Shigeru Ito 1
1Tokyo University of Science Noda-shi Japan2Tokyo University of Science Katsushika-ku Japan
Show AbstractPlatinum catalyst assisted tungsten trioxide (Pt/WO3) is well known as a gasochromic material. Gasochromism means a reversible color change phenomenon by exposing to reactive gas. Actually, Pt/WO3 is colored to blue usuing hydrogen gas and expected as a visible hydrogen gas leakage sensor. Protons and electrons arose on loaded Pt particles worked as electrical carrier by injecting to WO3 lattice when Pt/WO3 was exposed to hydrogen gas. From this mechanism, Pt/WO3 can detect hydrogen gas. And, protons and electrons move to surface and contact to oxygen gas in air when the atmospheric condition changed to air. Generally, WO3 hydrogen gas sensor is fabricated as polycrystalline thin film on glass substrate and Pt particles are deposited on the film surface. These thin film sensors take long time to recover from blue color to transparent. Therefore, it is difficult to use at room temperature. Thus, we have to use Pt/WO3 hydrogen sensors around 200 - 300 0C.
In this study, we developed the WO3 single crystal hydrogen gas sensor with Pt electrode. The WO3 single crystals were prepared by sublimation method. And, Pt electrodes were deposited on a part of WO3 crystal surface by DC sputtering. The Pt electrode also worked as a catalyst for gasochromism. The single crystals obtained were approximately 0.5 mm in size, and the crystal phase showed orthorhombic from X-ray diffraction pattern. The electrical conductivity in hydrogen gas increased 100 times compared with the atmospheric condition.
The highly important point was that the conductivity reached equilibrium state within 3 seconds at room temperature. It means that the WO3 single crystal hydrogen gas sensor shows very quick response and recovery. On the other hand, Pt/WO3 thin film prepared by sol-gel process showed the large electrical conductivity and quick response by exposing to hydrogen gas. However, the recovery of the conductivity by exposing to dry air was very slow.
From these results, WO3 single crystal is promising as novel hydrogen gas sensor compared with commercial sensors at room temperature.
9:00 AM - O9.29
Fabrication and Characterization of Sputtered TiO2 Thin Films
Arezoo Hosseini 1 2 Hasan Huseyin Gullu 1 2 Mehmet Parlak 1 2 Rasit Turan 1 2 Cigdem Ercelebi 1 2
1METU Ankara Turkey2Center for Solar Energy Research and Applications (Gamp;#220;NAM) Ankara Turkey
Show AbstractIn this study, very thin layers of TiO2 nanoparticle films were deposited on glass and ITO coated glass substrates by sputtering method. These films were sputtered by different RF powers from 60 W up to 120 W, for one and two successive runs, while the substrate temperature was adjusted to 200 0C.
In order to determine the diffraction patterns, the phases and orientations of the deposited TiO2 films, XRD measurements were used. The films coated on glass substrates all showed amorphous behavior while the films sputtered on ITO coated glass substrates showed polycrystalline natures with high intensity diffraction angle 2Θ about 35°. The thicknesses of the samples were measured by using a Dektak 6M thickness profilometer, which shows that while increasing the RF power cause an incensement in thickness of the films, the second run time does not have any significant change on the thickness of the produced film compared to one run time TiO2 sputtered films for the same RF powers. Optical measurements of the deposited TiO2 films, which were determined to be n-type by hot-probe technique, exhibited direct band-gap energy of around 3.4-3.5 eV with absorption coefficient in the order of 105 at room temperature.
9:00 AM - O9.30
Influence of V Ions on the Structural and Electronic Properties of VxTi1minus;xO2 Nanostructures
Waldir Avansi 1 Raul Arenal 2 Vagner Romito 3 Caue Ribeiro 4
1UFSCar Samp;#227;o Carlos Brazil2Laboratorio de Microscopias Avanzadas (LMA), Instituto de Nanociencia de Aragon (INA), Universidad de Zaragoza Zaragoza Spain3UFSCar Samp;#227;o Carlos Brazil4Embrapa Samp;#227;o Carlos Brazil
Show AbstractAmong the metal-oxide nanostructures, doped titanium dioxide (TiO2) nanostructures have been widely investigated because of its unique physicochemical properties [1, 2]. A facile and environmentally friendly synthesis approach for the production of vanadium doped titanium dioxide (VxTi1minus;xO2) nanostructures was demonstrated via hydrothermal decomposition of vanadium and titanium peroxo-complexes. The effect of vanadium addition on the structural and morphological properties of VxTi1minus;xO2 (x=0, 5, 10, 20 mol %) nanostructures was investigated by X-ray diffraction (XRD), X-ray absorption spectroscopy (XAS) and electron microscopy techniques. XRD analysis showed that all VxTi1minus;xO2 samples presented only the TiO2 anatase crystalline phase and, despite the different amounts of vanadium ions, the single crystalline nature was preserved. Transmission electron microscopy (TEM) shows that increasing V contents resulted in morphological evolution, from anisotropic to isotropic structures. EELS and XAS results demonstrated that the nature and the distributions of defects strongly depend on the concentrations of the dopants. In fact the dopants are localized mainly on crystal surface by STEM-EELS done with atomic resolution. XAS measurements at the K-edges (for V and Ti) revealed that V ions occupy the Ti 4+ -site, which confirms the doping effect. Furthermore, high-angle annular dark-field (HAADF) imaging, combined with EELS mapping, indicated that the vanadium ions were homogeneously distributed in the structure without any kind of segregation. These morphological and compositional modifications upon vanadium addition led to evolution of the TiO2 optical properties. The as-obtained nanostructures also were found to be active for photocatalytic degradation of Methilene Blue (MB) solution, under visible-light irradiation (lambda;>420 nm).
[1] T. M. Inerbaev, J. D. Hoefelmeyer and D. S. Kilin, Journal of Physical Chemistry C 117 (2013), p. 9673.
[2] W. Kim, T. Tachikawa, H. Kim, N. Lakshminarasimhan, P. Murugan, H. Park, T. Majima and W. Choi, Applied Catalysis B-Environmental 147 (2014), p. 642.
9:00 AM - O9.31
Modeling the Effect of Free Energy Sources on the Stability of Single Phase Mixed Metal Oxide
Muhammad N Huda 1 Cedric L Mayfield 1 Vaidyanathan Subramanian 2
1University of Texas at Arlington Arlington USA2University of Nevada Reno USA
Show AbstractBi2Ti2O7 (BTO) is a photocatalyst with a band gap of 2.8eV, and its catalytic activity can be enhanced by cation manipulations. Recently, iron doped BTO (Fe-BTO) has been synthesized by using a surfactant assisted wet chemical reverse micelle-based approach. Upon activation by visible light, a peaked H2 evolution was seen around 1% Fe concentration and then declined at higher concentration. Subsequent observation discovered coexistence of Fe2O3 at Fe loading around 2%. We sought to understand the fundamental reason for the occurrence of this phase and how to prevent this secondary phase from forming. The theoretical model determined that Fe substitution to be more stable at Bi sites and identified the doping configurations which lead to the formation of the secondary phase (Fe2O3). In addition, by analyzing free energy landscape, a suitable chemical environment has been predicted for the synthesis of single phase Fe-BTO.
9:00 AM - O9.32
Ultrafast Transient Reflectance of Epitaxial Semiconducting Perovskite Thin Films
Sergey Y. Smolin 1 Mark D. Scafetta 2 Glenn W. Guglietta 1 Jason B. Baxter 1 Steven J. May 2
1Drexel University Philadelphia USA2Drexel University Philadelphia USA
Show AbstractAlthough perovskite oxides are a promising material system for solar energy conversion applications due to their visible band gaps, chemical stability, abundance, non-toxicity, and tunability, there is a lack of basic understanding regarding carrier dynamics in these materials despite the critical role that such an understanding plays. Ultrafast spectroscopy can rectify this limited understanding by providing a direct method to measure photoexcited carrier dynamics.
In this work, ultrafast pump-probe transient reflectance (TR) spectroscopy was used to quantify lifetimes and clarify recombination mechanisms in epitaxial perovskite oxide thin films of LaFeO3 (LFO) grown by molecular beam epitaxy on (LaAlO3)0.3(Sr2AlTaO6)0.7 (LSAT). TR measures the change in reflectance, #8710;R, with time following photoexcitation with an optical pump. Because the reflectance is modulated by changes in free carrier density, TR is an ideal technique to track the relaxation of photoexcited carriers in epitaxial thin films on the femtosecond to nanosecond time scales relevant to carrier recombination in semiconductors. Recombination mechanisms were clarified by manipulating the pump fluence to control the free carrier density and by comparing kinetics at different energetic transitions,
This work reveals two negative transients in reflectance with local maxima at ~2.5 eV and ~3.5 eV which correspond to two optical transitions in LFO as determined by ellipsometry; the former being the band gap transition. The kinetics at these transients were best fit with an exponential decay model with fast (5 - 40 ps), medium (~200 ps), and slow (3 ns) components that we attribute mainly to recombination of photoexcited carriers. The fast component (5-40 ps) decreased with increasing pump fluence, indicating that carrier-carrier interactions are important, as is the case with Auger and radiative recombination. The medium and slow time constants were both essentially independent with pump power and probe energy, suggesting that these time constants could represent Shockley-Read-Hall and/or surface recombination. Regardless of the mechanisms, more than 10% of carriers remain for longer than 3 ns. This work establishes the approach of using TR to quantify carrier dynamics in thin (< 20 nm) epitaxial oxide films and will enable future studies that can probe the effects of strain, thickness, cation ordering, and the presence of interfaces on carrier lifetimes and recombination mechanisms in epitaxial perovskite films. The ability to use these strategies accessible in oxide heterostructures to engineer ultrafast carrier dynamics in perovskite films may provide new means for enhancing their applicability as photovoltaic and photocatalytic materials.
9:00 AM - O9.33
Tailoring the Optical and Electronic Properties of VO2 for Use in Technological Applications
David W. Scott 1 Jonathan R. Skuza 1 Aswini K. Pradhan 1
1Norfolk State University Norfolk USA
Show AbstractVanadium dioxide (VO2) has been heavily researched in recent years due to its metal-insulator transition near room temperature, which is accompanied by unique changes in optical and electronic properties (e.g. large change in the electrical conductivity and optical transmission in the infrared). These unique properties allow VO2 to be tailored for use in various smart material applications such as sensors and switches. A detailed study on the growth of VO2 by oxygen plasma-assisted pulsed laser deposition and radio frequency reactive sputter deposition is presented with a focus on the optimization, control, and correlation of its structural, optical, and electronic properties. These properties can then be exploited for technological applications such as smart windows by integrating VO2 with other materials into specific structures (e.g. multilayer stacks).
9:00 AM - O9.34
Identifying the Transition Temperatures in Lightly-Substituted VO2 by Near Infrared Reflectance Measurements
Sara C Barron 1
1National Institute of Standards and Technology Gaithersburg USA
Show AbstractDespite remaining questions regarding the nature of the transition, the metal-semiconductor transition in VO2 at 68 C was one the first and best-studied correlated electron systems and has been applied as electronic switches, thermochromic smart windows, and bolometers in infrared cameras. In this paper, we report the depression of the transition temperature by transition metal substitution in the range of < 1 % to 15 % for the vanadium. The transitions in the polycrystalline thin films were characterized by near infrared reflectance over temperatures from 5 C to 85 C from 1 mm2 spot. While the technique is neither fast enough nor sensitive enough to distinguish the electronic and structural phase transitions, the switch in near infrared reflectance is of particular interest for smart window or bolometer applications, and provides a rapid, low-cost, and easily interpretable probe for the transition. The films studied were of the general composition V1-xMxO2, with M = W, Nb, Mo, Hf, or another transition metal, and x varying continuously across the 76.2 mm diameter silicon substrate. These ‘combinatorial&’ thin film libraries permitted a high-throughput experiment in which > 100 unique film compositions could be probed for the phase transition in a single one day experiment. We find that W, Nb, or Mo substitutions at less than 15 % depress the VO2 transition temperature to less than 40 C. Higher impurity rates can stabilize a crystalline phase structure that does not undergo a phase transition in the investigated temperature range. Other transition metal substitutions, such as Hf, do not depress the transition temperature. High throughput x-ray diffraction of these libraries reveal that while the (011) VO2 peak is shifted to higher d-spacings by incorporation of metals that depress the transition (W, Nb, Mo), it is unchanged by Hf.
9:00 AM - O9.35
ZnO Bio-Sensor as a Three Terminal Device on Flexible Substrates
Rujuta Munje 1 Anjan Panneer Selvam 1 Sriram Muthukumar 2 Shalini Prasad 1
1University of Texas at Dallas Richardson USA2University of Texas at Dallas Richardson USA
Show AbstractWe demonstrate a three terminal zinc oxide biosensor on flexible substrates for the detection of target agents in human sweat. Pulsed laser deposition method was used to deposit zinc oxide thin films on flexible substrates. Electrodes were patterned and fabricated to form a zinc oxide device on sensor substrates. A gate-source voltage (VGS) specific to this sensor configuration was applied to ensure the formation of the conduction channel between the source to drain at the interface of the zinc oxide and sensor substrate. ZnO surface was functionalized with Dithiobis succinimidyl propionate (DSP) linker and α-cortisol antibody to setup the biosensor immunoassay. Current flow between source and drain was modulated by binding of the target agent α-cortisol antibody immobilized onto the ZnO surface and through the flexible substrate. As a control, we performed testing with α-cortisol without DSP linker. When human sweat containing target agent (cortisol) comes in contact with the ZnO surface, an electrical change in charges at the ZnO-solution interface was observed. This was as a result of the binding of target agent to the antibody at the ZnO-solution interface. This change due to the binding of specific concentrations of cortisol was subsequently characterized by the current flow between source and drain. Specificity of cortisol binding was validated using electrochemical impedance spectroscopy (EIS). DC measurements were performed on this device in the presence of varying gate voltages. AC measurements were carried out to evaluate the C-V characteristics of the sensor device. The molecular binding events at the ZnO-solution interface were validated through EIS. Further, we performed experiments to demonstrate the changes in (IDS) for different concentrations of cortisol for calibration of the sensor over the clinically relevant concentration range of 8 ng/mL - 140 ng/mL.
9:00 AM - O9.36
Temperature Dependent Optical Properties of SrTiO3
Dirk Johannes Kok 1 Klaus Irmscher 2 Martin Naumann 2 Christo Guguschev 1 Zbigniew Galazka 1 Reinhard Uecker 1
1Leibniz Institute for Crystal Growth Berlin Germany2Leibniz Institute for Crystal Growth Berlin Germany
Show AbstractSrTiO3 is an important component for oxide based two dimensional (2D) free electron gas systems [1,2,3]. It is also frequently used as a substrate for strain engineered dielectric and multiferroic materials (i.e. at least two of ferroelectric, ferromagnetic and ferroelastic phases co-exist) and high temperature superconductors. For these applications, the crystal quality is critical. It has been shown that edge dislocations in SrTiO3 destroy interfacial conductivity of the 2D free electron gas system in a 5 nm radius around them [4]. Commercially available substrates lack the required quality. They typically have etch pit densities in the 105 (optical floating zone method) to 106 cm-2 (Verneuil method) range.
Crystal quality can be improved by growing from stoichiometric [5] or non-stoichiometric melts [6]. To optimize such growth methods, it is important to understand the high temperature optical properties since these determine most of the heat transport through the crystal during growth.
For that reason, the optical absorption edge and IR absorption of SrTiO3 were measured at temperatures from 4 to 1703 K. The absorption edge decreases from 3.2 eV at room temperature to 1.9 eV at 1703 K and the extrapolated value at the melting point (2350 K) is 1.3 eV. The IR transmission decreases rapidly above 1400 K and it is about 50% of the room temperature value at 1675K. This absorption is caused mainly by free carriers. These are generated by thermal excitation of electrons over the band gap. Estimates of the free carrier density and absorption based on the temperature dependent optical gap are in good qualitative agreement but predict the rise in absorption at a much lower temperature. The red shift of the optical absorption edge and the rising free carrier absorption impede radiative heat transport through the crystal, which can cause growth instabilities or spiral formation and affect the design of SrTiO3 based devices operating at elevated temperatures.
[1] A. Ohtomo, D. A. Muller, J. L. Grazul, and H. Y. Hwang, Nature419 (2002) 378-380.
[2] A. Ohtomo and H. Y. Hwang, Nature427 (2004) 423-426.
[3] Y. Hotta, T. Susaki, and H. Y. Hwang, Phys. Rev. Lett. 99 (2007) 236805.
[4] S. Thiel, C. W. Schneider, L. Fitting Kourkoutis, D. A. Muller, N. Reyren, A. D. Caviglia, S. Gariglio, J.-M. Triscone, and J. Mannhart, , Phys. Rev. Lett.102 (2009) 046809.
[5] V. Aleksandrov, M. Vishnyakova, Y. Voron'ko, V. Kalabukhova, E. Lomonova, V. Myzina, and V. V. Osiko, Izv. Akad. Nauk SSSR19 (1983) 104-107
[6] Guguschev, C.; Klimm, D.; Langhans, F.; Galazka, Z.; Kok, D.; Juda, U. & Uecker, R, Cryst.Eng.Com 16 (2014) 1735-1740.
9:00 AM - O9.37
Fabrication of NiO-Based Transparent Thin-Film Transistor by Reactive RF Sputtering Method
Ryo Sakai 1 Daisuke Kawade 1 Yasuyuki Watanabe 3 Shigefusa F. Chichibu 2 Mutsumi Sugiyama 1
1Tokyo University of Science Noda Japan2Tohoku University Sendai Japan3Tokyo Univ. of Science, Suwa Chino Japan
Show AbstractTransparent thin-film transistors composed of wide-bandgap p-type NiO were fabricated by a scalable reactive RF sputtering method using an inexpensive metallic Ni target.
Thin-film transistors (TFTs) composed of metallic oxides have long been attracting much interest.#12288;In addition, transparent conducting oxide (TCO) films are widely used in flat-panel displays, solar cells, and touch panels. Most of the current research activities have been focused on n-type TFT and n-type TCO because of their controllability of transparency, resistivity, and mobility. To realize complementary circuits, both n-type and p-type transistors are required. However, fabrication of high-performance p-type oxide semiconductors and their p-type transistors is still a challenging task.
Binary NiO shows a p-type conductivity with a bandgap of 3.7 eV. Both Ni and O are cheaper and less toxic than any other element that constitutes semiconductor materials. In addition, both elements are safe to handle and do not pollute the environment. Nowadays, NiO thin films have been obtained by various methods, including sputtering, pulsed laser deposition, oxidation of Ni film, and chemical deposition. Among these methods, sputtering is the most suitable for economically depositing large-area films with well-controlled compositions. There have been few reports to date on a p-type NiO TFT, although some works have reported on TFTs using p-type oxides, such as copper oxide and tin monoxide. In this meeting, we will present “invisible” thin-film transistors composed of wide-bandgap p-type NiO fabricated using RF sputtering and an inexpensive metallic Ni target.
Approximately 300-nm-thick NiO thin films were deposited [1] on an insulating SiO2 layer and several conducting substrates (back-gate channel) by reactive RF sputtering without the intentional substrate heating. The deposition was carried out at a pressure of 3.8 Pa. The O2 fraction in the sputtering gas was 0.5%. The source and drain electrodes (50-nm-thick Au) were deposited onto the sample through a metal mask.
The fabricated NiO-based p-channel TFT was operated using p-type NiO, which shows an optical transmittance of ge;70% and a carrier concentration of 1016 cm-3. The gate capacitance decreased with decreasing the negative gate voltage (10 - 0V). By using NiO, we may be able to easily fabricate invisible and scalable TFTs using conventional RF sputtering equipment and cheap Ni metal targets.
[1] M. Warasawa, Y. Watanabe, J. Ishida, Y. Murata, S. F. Chichibu, and M. Sugiyama, Jpn. J. Appl. Phys. 52 (2013) 021102.
9:00 AM - O9.38
Spectroscopy of Trion States in Non-Polar ZnO Quantum Wells and Their Use to Monitor Spin Injection
Christian Morhain 1 Christiane Deparis 1 Monique Teisseire 1 Borge Vinter 1 2 Jean-Michel Chauveau 1 2 Jesus Zuniga-Perez 1
1CRHEA-CNRS Valbonne Sophia-Antipolis France2University of Nice Sophia Antipolis Nice France
Show AbstractWe have grown high quality non-polar m-plane ZnO/ (Zn,Mg)O quantum well (QW) heterostructures on ZnO substrates. The photoluminescence of the structures display narrow and well resolved lines from the QW emission. They are identified as the free exciton line, X, and the trion singlet ground state line, XS. We have performed the optical spectroscopy of the structures. Under external magnetic field, we could identify for the first time in ZnO QWs, the first excited states of the trions, XT, the first state having 2 electrons ferromagnetically aligned. We will report on the electronic structure and properties of the singlet, XS, and triplet states, XT.
In addition, ZnO QW heterostructures containing a thin (Zn,Mg,Mn)O spin aligner were grown, the ZnO QW acting as a spin detector. We will show that there is no possibility to analyze the spin injection in nonpolar ZnO QWs by studying the circular polarization of the emitted light, as traditionally done, for example, in spin LEDs. Instead, we propose to monitor the spin injection using the XT/XS intensity ratio. For 50 nm thick spin aligners containing 2% of Mn, this ratio is found to increase by a factor of ~20 at low fields, which demonstrates a successful spin injection in ZnO QW.
O7: In2O3, Ga2O3 and Other Oxides
Session Chairs
Elisabetta Comini
Larry Halliburton
Wednesday AM, December 03, 2014
Hynes, Level 3, Ballroom A
9:30 AM - O7.01
First-Principles Computation of Optical-Absorption Spectra of In2O3 and Ga2O3
Joel Varley 2 Andre Schleife 1
1University of Illinois at Urbana-Champaign Urbana USA2Lawrence Livermore National Laboratory Livermore USA
Show AbstractAs suggested by their name, transparent conducting oxides provide the technologically desirable combination of nearly metallic conductivity with a high degree of optical transparency over the entire visible spectrum. Despite being so widespread in applications ranging from electronics to sensors and photovoltaics, the fundamental properties of these materials remain quite poorly understood. In order to explore their suitability for novel applications, e.g. in the context of plasmonic materials, a thorough understanding of their optical properties is necessary. For TCOs some of the open questions can be traced back to the intricate interplay of free carriers (free electrons in the case of In2O3 and Ga2O3) and excitonic effects.
Here, in order to understand their optical properties, we shed light on excitonic effects and important features of the optical absorption spectra of ideal, undoped In2O3 and Ga3O3. We use modern theoretical-spectroscopy techniques based on the solution of the Bethe-Salpeter equation for the optical polarization function to compute the dielectric functions of these materials over a large photon energy range. This allows us to achieve an accurate description of optical properties (including excitonic and local-field effects) from first principles.
Our ab-initio calculations clearly point to a strong influence of excitonic and local-field effects on the complex frequency-dependent dielectric functions. We find that optical features near the absorption onset can be attributed to bound excitonic states. In addition, we predict the absorption spectra across a large photon energy range and discuss the strong optical anisotropy of Ga2O3 both at low as well as high photon energies.
9:45 AM - O7.02
In Situ Investigation of the Ga2O3 and In2O3 Growth Kinetics during Plasma-Assisted Molecular Beam Epitaxy
Oliver Bierwagen 1 Patrick Vogt 1
1Paul-Drude-Institut (PDI) Berlin Germany
Show AbstractGa2O3 and In2O3 are n-type oxide semiconductors used in gas sensors and in their highly doped variety as transparent contacts. Beyond these rather conventional applications, Ga2O3 is a potential material for high-performance UV sensors and power electronics. The band gaps of In2O3 (2.8eV) and beta-Ga2O3 (4.8eV) provide the potential for band-gap engineering by alloying and even the formation of heterostructures. For conventional III-V and III-N semiconductors molecular beam epitaxy (MBE) is an established method to grow high-quality layers, alloys, and heterostructures. Likewise, high-quality Ga2O3 and In2O3 has been demonstrated by MBE.
This contribution provides insight into the MBE growth kinetics of Ga2O3 and In2O3. In-situ laser reflectometry was used to measure the growth rates of both materials as a function of oxygen flux, metal flux, and growth temperature. In addition, in-situ line-of-sight quadrupole mass spectrometry was used to identfy the desorbing species from the growth surface. All these information allowed us to develop a detailed understanding of the processes at the substrate surface.
These processes include the formation of volatile Ga2O (but not GaO) that results in decreasing growth rates above the stoichiometric O/Ga-ratio, and even in etching of an existing Ga2O3 film under a Ga-flux. Comparison of the growth rate (with oxygen flux present) and etching rate (without oxygen flux) perfectly matches the chemical reactions: 2Ga+3O -> Ga2O3 and 4Ga+Ga2O3 -> 3Ga2O. In addition, there is evidence for an attenuated direct formation of Ga2O from the Ga and oxygen flux.
In2O3, in comparison, forms easier (likely also from O-species that cannot oxidize Ga) and does not suffer from a growth-rate reduction due to suboxide formation.
Beyond the metal and oxygen flux the growth temperature influences the growth rate by desorption of the incoming species which differs for Ga and In due to their difference in vapor pressure.
Understanding the growth kinetics of the Ga2O3 and In2O3 will help controlling and understanding the growth of Ga2O3-In2O3 alloys.
10:00 AM - *O7.03
Optical Properties of In2O3 - The Dielectric Function and Effective Electron Masses
Martin Feneberg 1
1Otto von Guericke University Magdeburg Magdeburg Germany
Show AbstractThe optical properties of cubic (bixbyite) In2O3 are under intense discussion. There is not even a consensus about the direct or indirect nature of the fundamental band gap. Here, I will present recent results obtained by spectroscopic ellipsometry from the phonon region in the far infrared up to 40 eV using several different instruments including synchrotron radiation. As samples a bulk (111) In2O3 crystal and several epitaxial (001) thin films on (001) yttria stabilized zirconia grown by plasma-assisted molecular beam epitaxy are investigated.
These studies yield the dielectric function of In2O3 and by analyzing certain peculiarities of ellipsometric data the fundamental band gap energy is found (2.75 ± 0.02 eV). The data hint towards an indirect nature of the fundamental band gap. Post-growth treatments of Sn doped heteroepitaxially grown samples leads to free electron concentrations in the region between 1017 and 1021cm-3 as confirmed by Hall experiments. The optical response in the infrared spectrum yields up to 8 infrared active transverse optical phonon modes. Additionally, the free-carrier density dependent screened plasma frequency of In2O3:Sn is detected and the effective electron mass as a function of the doping concentration is established.
A pronounced nonparabolic curvature is found in the degenerately filled conduction band. Implications on the absorption onset on Burstein-Moss effect and band gap renormaliztaion are discussed.
In the last part, a comprehensive survey on results obtained from different metal oxide semicondcutors is presented.
10:30 AM - O7.04
Bipolar Oxide Heterodiodes Comprising In2O3 Thin Film
Holger von Wenckstern 1 Stefan Lanziger 1 Daniel Splith 1 Peter Schlupp 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractWide bandgap oxide semiconductors show in general a doping asymmetry and therefore bipolar devices can only be realized via heterojunctions. Several approaches to bipolar diodes comprising ZnO have already been investigated. Heterostructures with p-Si, p-GaAs, p-GaN have been widely studied but also all-oxide bipolar heterodiodes, e.g. p-ZnCo2O4/n-ZnO or p-NiO/n-ZnO with extraordinary high rectification of more than 10 orders of magnitude are feasible. In this contribution we adopt the latter approach to create bipolar heterojunctions with In2O3 as n-type oxide semiconductor and have achieved rectification of about 4 orders of magnitude using p-NiO or p-ZnCo2O4 as p-conducting layer.
Recently, the interest on In2O3 extends beyond application as transparent electrode and properties of semiconducting In2O3 thin films were reported [1,2]. Transport measurements, IR reflectivity in combination with photoemission studies revealed that In2O3 tends to form a surface electron accumulation layer [3,4]. This high free electron concentration at the surface makes the formation of rectifying contacts non-trivial. So far, an ex-situ plasma cleaning step prior to contact deposition enabled weakly rectifying Schottky barrier diodes [5]. A somewhat better rectification was recently reported for diodes for which a reactive sputtering process of the Schottky contact metal was employed [6]. However, highest rectification at room temperature is below four orders of magnitude for best diodes and not sufficient for most applications (e.g. field-effect transistors). Therefore, the exploitation of pn-heterodiodes presents an additional, interesting approach towards higher rectification.
We investigated nominally undoped In2O3 thin films with a compensated In2O3:Mg surface layer with different thickness (0 nm, about 10 nm and about 100 nm). The thin films were deposited by pulsed-laser deposition at 600°C and 0.016 mbar. On top, NiO (ZnCo2O4) was deposited at room temperature and 0.1 mbar (0.03 mbar) through a photolithographic mask, defining circular pillar-like pn-diodes having diameters between 150 µm and 750 µm. Arrays of such diodes were investigated by current-voltage measurements (IV) at room temperature. Best rectifications of p-NiO/n-In2O3 and p-ZnCo2O4/n-In2O3 are with 4 orders of magnitude better than that of best Schottky barrier diodes on In2O3 thin films grown by molecular beam epitaxy [6]. Further, temperature-dependent IV and the breakdown behavior of the diodes will be discussed.
1 A. Bourlange, et al.,J. Appl. Phys. 106, (2009).
2 O. Bierwagen, M.E. White, and M.Y. Tsai, Applied Physics hellip; 95, 262105 (2009).
3 P. King, T.D. Veal, D.J. Payne, and A. Bourlange, Phys. Rev. 101, 116808 (2008).
4 P.D.C. King et al., Phys. Rev. B 79, (2009).
5 O. Bierwagen, J.S. Speck, T. Nagata, and T. Chikyow, Applied Physics hellip; 98, 172101 (2011).
6 H. von Wenckstern, D. Splith, F. Schmidt, M. Grundmann, O. Bierwagen, and J.S. Speck, APL Mater. 2, 046104 (2014).
10:45 AM - O7.05
Electronic Structure of Potassium Titanate Hollandite Series, Ky(MxTi8-x)O16, Where M = Sc - Ni
Pouya Moetakef 1 Timothy Stacy 1 Amber M. Larson 1 Daniel D. Taylor 2 Jakoah Brgoch 3 Karen Gaskell 1 James R. Williams 4 Efrain E. Rodriguez 1 2
1University of Maryland College Park USA2University of Maryland College Park USA3University of California Santa Barbara Santa Barbara USA4University of Maryland College Park USA
Show AbstractHollandite-type compounds exhibit one-dimensional channels of octahedrally coordinated metal atoms, which was originally studied by mineralogists for nuclear waste immobilization. The structure also provides an intriguing target for catalysis, energy storage in batteries, and energy conversion applications. Due to mixed valence state of the octahedrally coordinated metal atoms, unique properties such as metal-insulator transition in V-based, ferromagnetism in Cr-based, and high ionic conductivity in Ti-based hollandites were observed. To harness and employ the unique properties of hollandites in electronic devices, understanding the electronic structure would be the key study for interface and device engineering.
In this work, the electronic structure of a new family of oxide semiconductors, potassium titanate hollandite series, were studied. The growth of metastable Hollandite-type Ky(MxTi8-x)O16 (M = Sc, Ti, V, Cr, Mn, Fe, Co, and Ni) single crystals was achieved using a molten salt evaporation technique. X-ray diffraction and energy dispersive spectroscopy were employed for structural characterization and stoichiometry determination, respectively. Temperature dependent electrical properties showed insulating behavior for the whole series. X-ray photoelectron spectroscopy, and electron energy loss spectroscopy techniques were used to map the valence and conduction band density of states, respectively. Electronic structure calculations were performed and the results were matched to that of the experimental data, which allowed for band-gap and respective band alignment determination of the whole series. UV-Vis spectroscopy was employed to further confirm the optical band-gap. The results showed that for M = Sc, Cr, Fe, Co, and Ni the band-gap is above 1.2 eV with Sc having the largest gap, while for M = Ti, V, and Mn the band-gap is smaller than 1.0 eV making UV-Vis spectroscopy inefficient in determining the optical band-gap.
11:30 AM - *O7.06
Bulk Single Crystals and Properties of Transparent Semiconducting Oxides
Zbigniew Galazka 1
1Leibniz Institute for Crystal Growth Berlin Germany
Show Abstractβ-Ga2O3, In2O3, and SnO2 are attractive transparent semiconducting oxides (TSOs) with wide bandgaps of 4.8, 2.8, and 3.5-3.8 eV, respectively. They are however, chemically unstable at elevated temperatures and tend to decompose, therefore growing such single crystals from the melt is a very challenging task. Bulk single crystals of β-Ga2O3 (melting point, MP=1820°C) were grown from the melt by the Czochralski method; In2O3 (MP=1950°C) - from the melt with the use of our novel crystal growth technique under the name Levitation-Assisted Self-Seeding Crystal Growth Method (LASSCGM); while SnO2 (MP>2100°C) - from the gas phase by the physical vapor transport (PVT), since melt growth of SnO2 is rather not possible due to its very high instability at high temperatures. In addition to these TSOs a novel, melt-grown wide band gap (5 eV) transparent semiconducting oxide will be introduced. This report will focus on basic aspects of crystal growth, crystal quality and electrical / optical properties of both as-grown and annealed crystals. An extensive characterization of the crystals showed that their structural quality is fully compatible with their use as substrates for epitaxial deposition. Availability of bulk TSO single crystals combined with their unique properties offers opportunities for developing novel devices, such as for high power electronics, transparent electronics and light detectors.
12:00 PM - O7.07
Defects in p-Type Cr2O3:Mg and Their Effects on the Electronic, Optical and Electrical Properties
Elisabetta Arca 1 Cormac McGuinness 1 Daragh Mullarkey 1 Stephen Callaghan 1 Leo Farrell 1 Igor Shvets 1
1Trinity College Dublin Dublin Ireland
Show Abstractp-type transparent conducting oxides show remarkably lower properties in comparison to their n-type counterpart. In order to overcome this shortcoming, it is important to understand the fundamental properties of the band structure and how this is affected by the presence of defects. As a case study, we chose Cr2O3 and its further modification by Mg doping to assess the evolution of the electronic, electrical and optical properties and correlate them to the presence of defects. High quality epitaxial films have been deposited by molecular beam epitaxy (MBE) or pulsed laser deposition (PLD). Defects have been introduced in a controlled and selective manner and both undoped and Mg-doped films have been grown and characterized. For undoped Cr2O3, the energetic position and composition of both the valence band maximum and conduction band minimum has been determined by spectroscopic techniques, namely x-ray photoelectron spectroscopy (XPS), x-ray emission (XES) and x-ray absorption (XAS). Following native stoichiometric defects, were introduced and their presence was probe by x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS) and x-ray emission (XES).
Finally doping with Mg was performed. Conductivity of the films was observed to change over several orders of magnitude depending both on the technique used for the deposition (PLD vs MBE), on the material used as a source (Cr versus Cr2O3) and the oxygen partial pressure. The origin of these differences was investigated by a combination of structural measurements (XRD), electrical measurements and x-ray photoelectron spectroscopy. Investigating the fundamental properties of epitaxial films will allow us to add to our understanding of the role of defects in p-type TCOs, helping to improve the growth of this class of material
12:15 PM - O7.08
Detection of Oxygen Vacancies and Other Elusive Defects in Oxides with Positrons
Filip Tuomisto 1 Ilja Makkonen 1
1Aalto University Aalto Finland
Show AbstractPositron annihilation spectroscopy is a very powerful technique for the detection, identification and quantification of vacancy-type defects in semiconductors and oxides [1]. This statement is unfortunately rather strongly limited to cation vacancies that possess significant open volume and suitable charge (negative of neutral). On the other hand, oxygen vacancies in oxide semiconductors are a widely debated topic: the properties attributed to oxygen vacancies include the inherent n-type conduction, poor p-type dopability, coloration (absorption), deep level luminescence and non-radiative recombination. However, the only direct experimental evidence of their existence has been obtained on the crystal surface [2]. Small subsitutional cation defects, such as Li on Zn site in ZnO have been predicted to trap holes in polaronic states on O sites surrounding the impurity [3], but experimental detection and identification of such defect states is difficult. Interestingly, positrons are trapped at LiZn in ZnO [4].
Through combining state-of-the-art positron annihilation experiments and ab initio computational approaches, we show that O vacancies may be directly detected in semiconducting oxides, in cases where they are complexed with cation vacancies. Examples cover such materials systems as ZnO, In2O3, and SnO2. Theoretical modeling shows that the very same defects that are predicted to exhibit polaronic hole trapping also trap positrons in an unexpected manner. We will compare and discuss the physical origins of the trapping of these positively charged particles.
[1] F. Tuomisto and I. Makkonen, Rev. Mod. Phys. 85, 1583 (2013)
[2] R. Schaub et al., Science 299, 377 (2003).
[3] S. Lany and A. Zunger, Phys. Rev. B 80, 085202 (2009)
[4] K. M. Johansen et al., Phys. Rev. B 83, 245208 (2011).
12:30 PM - O7.09
Electronic Transport Properties of Bismuth Vanadate Single Crystals
Alexander J. E. Rettie 1 Jeffrey Lindemuth 2 William Chemelewski 4 John S McCloy 3 Luke Marshall 5 Jianshi Zhou 4 Buddie Mullins 1 6
1University of Texas at Austin Austin USA2LakeShore Cryotronics Westerville USA3Washington State University Pullman USA4University of Texas at Austin Austin USA5Northeastern University Boston USA6University of Texas at Austin Austin USA
Show AbstractBismuth vanadate (BiVO4) is a champion photoanode material for water splitting, but fundamental studies of this material are lacking. Electronic transport studies are especially uncommon, likely due to difficulties in synthesizing high quality samples and measuring high resistivity, low mobility materials. Recently, we reported the synthesis of both undoped and tungsten doped BiVO4 single crystals using the floating zone technique. We showed that electrons in this material move via thermally activated small polaron hopping transport between 250 and 400 K, and that the AC Hall mobility is low at room temperature: ~0.2 cm2 V-1 s-1. Unlike in conventional, wide-band semiconductors, in small polaron conductors the Hall and drift mobility may be vastly different and therefore require independent verification. To achieve this, the resistivity, Seebeck coefficient and AC Hall effect were measured in these crystals as functions of temperature and orientation. Comparison with small polaron theory will yield information about the energy barriers, hopping type (adiabatic or non-adiabatic), hop geometry and any anisotropy. The goal of our study is to gain insight into the nature of small polarons in this material, as well as reporting a true drift mobility and hopping activation energy. We hope our approach will be applicable to elucidating transport in many low-mobility metal oxides.
12:45 PM - O7.10
Novel Ternary Wurtzite-Type Semiconductor; beta;-CuGaO2
Issei Suzuki 1 Hiraku Nagatani 1 Hiroshi Yanagi 2 Naoki Ohashi 3 Takahisa Omata 1
1Osaka University Suita Japan2University of Yamanashi Kofu Japan3National Institute for Materials Science Tsukuba Japan
Show Abstractβ-NaFeO2 structure is an orthorhombic wurtzite-derived structure, in which divalent zinc ions in wurtzite ZnO are regularly replaced by monovalent sodium and trivalent iron ions similar to the structural relationship between the zincblende and chalcopyrite structures. The oxides with this structure are very attractive materials because of their wide variety of energy band gap, such as β-LiGaO2 (Eg=5.6 eV), β-AgAlO2 (Eg=2.8 eV) and β-AgGaO2 (Eg=2.2eV). The compounds containing monovalent copper usually shows isostructure with the compounds containing monovalent silver, such as delafossite α-AgInO2 and α-CuInO2. However the β-NaFeO2 type copper oxides had not been reported. Recently, we successfully synthesized the β-NaFeO2 type β-CuGaO2 [1]. In the present paper, we report its structural, optical and electrical properties.
β-CuGaO2 was synthesized by the ion-exchange from Na+ to Cu+ in the β-NaGaO2 precursor that possesses β-NaFeO2 type structure. Rietveld analysis of the obtained powder after the ion-exchange indicated that β-CuGaO2 with the β-NaFeO2 structure was successfully obtained. The pseudohexagonal wurtzite lattice parameters of β-CuGaO2, a= 3.231 Å and c = 5.278 Å, are very close to those of ZnO, a =3.250 Å and c = 5.207 Å; that is, the lattice mismatch between β-CuGaO2 and ZnO is only 0.6% in the ab-plane and 1.4% along the c-axis. This is an advantage of the β-CuGaO2 in order to fabricate multilayered thin films with ZnO.
Optical absorption spectrum of β-CuGaO2 indicated that its energy band gap was 1.47 eV; this is the energy band gap realizable maximum conversion efficiency of the single junction solar cell [2]. The electrical conductivity at room temperature of the β-CuGaO2 sinter without intentional doping was very small, 1×10-6 Scm-1, but the thermoelectromotive force was +400 mu;VK-1. This indicates that β-CuGaO2 exhibits a p-type semiconductor.
The calculated electronic band structure indicated that β-CuGaO2 is a direct semiconductor and shows intense absorption of light near the band edge. These properties render this new material promising as an absorber in solar cells.
[1] T. Omata, H. Nagatani, I. Suzuki, M. Kita, H. Yanagi, N. Ohashi, J. Am. Chem. Soc. 136, 3378(2014).
[2] W. Shockley, H. J. Queisser, J. Appl. Phys. 32, 510(1961).
Symposium Organizers
Oliver Bierwagen, Paul Drude Institute
Masataka Higashiwaki, National Institute of Information and Communications Technology
Anderson Janotti, University of California-Santa Barbara
Tim Veal, University of Liverpool
O11: ZnO and Related II
Session Chairs
Thursday PM, December 04, 2014
Hynes, Level 3, Ballroom A
2:30 AM - O11.01
Theoretical and Experimental Study of Dynamics of Photo-Excited Carriers in ZnO
Sara Shishehchi 2 Gregory A. Garrett 1 Sergey Rudin 1 Michael Wraback 1 Enrico Bellotti 2
1US Army Research Laboratory Adelphi USA2Boston University Boston USA
Show AbstractThe study of the dynamics of photo-excited carriers provides valuable information on the interaction mechanisms and relaxation processes. These studies immensely improve our understanding of time scales of carrier recombination, relaxation and transport in semiconductor materials and devices which lead to optimizing the operation of optoelectronic devices, more specifically, emitters and detectors. The main goal of this work is to investigate the dynamics of photo-excited carriers in ZnO. Specifically, we study the luminescence spectrum and the effect of various dephasing mechanisms on the photo-excited carrier densities. At the same time, experimental studies on ZnO samples are performed. These results were obtained in Army Reseach Laboratory using time-resolved photoluminescence method on a Zn-faced ZnO sample grown along the c-axis. In the theoretical model, interaction with a photo-excitation laser pulse is treated coherently and a generalized Monte-Carlo simulation is used to account for scattering processes. The scattering mechanisms included are carrier interactions with polar optical phonons and acoustic phonons, and carrier-carrier Coulomb interactions. The screening effects are also considered within the simulation. We consider the electronic structure of bulk ZnO as a two band model that includes a non-parabolic conduction band and a parabolic valence band which is accurate enough for the purpose of this work. The results of the normalized luminescence intensity obtained from the simulation are in very good agreement with those of experiments. Furthermore, on the theoretical side, we also present the effect of temperature on the luminescence spectra. According to these results, the luminesence rise time decreases as the temperature increases due to the stronger effect of polar optical scattering mechanism at higher temperatures. We also show the effect of various interaction mechanisms on the carrier densities at different excitation energies obtained by the simulation. These results help understand the role of interaction mechanisms in relaxing the photo-excited material.
2:45 AM - O11.02
The Effect of Crystallographic Imperfections on the Photoluminescence of ZnO Thin Films
Matthew Kelly 2 Tom Oder 3 C. Virgil Solomon 1
1Youngstown State University Youngstown USA2Youngstown State University Youngstown USA3Youngstown State University Youngstown USA
Show AbstractZnO thin films were synthesized by RF magnetron sputtering of high purity ZnO solid targets on sapphire substrates. Depositions were carried out at selected temperatures between 200C and 9000C, and post-deposition annealing was performed at 9000C for 3 min in an oxygen atmosphere. Samples for electron microscopy investigations were prepared by lift-out technique in a multi beam FIB/SEM instrument. The ZnO thin film shows uniform thickness (about 1 µm), as determined by dark-field scanning transmission electron microscopy imaging. Irrespective of the deposition temperature, the ZnO thin films are polycrystalline. Individual grains have a columnar morphology with the long axis oriented perpendicular to the ZnO/sapphire interface. The grain size varies with the deposition temperature, and a direct correlation between grain size and photoluminescence have been observed. The intensity of 3.317 eV peak decreases with increasing grain size. Beside grain boundaries, dislocations have been observed in the investigated materials by atomic resolution TEM. The dislocations/photoluminescence relationship is not clear. The purpose of this study is to quantify the observed crystallographic imperfections and understand their role on the photoluminescence of undoped ZnO thin films deposited on sapphire substrates.
3:00 AM - *O11.03
Native Defect Formation and Interface Segregation in ZnO, (Mg,Zn)O, and Complex Oxides
Leonard J. Brillson 1 James Perkins 1 Geoffrey M. Foster 1 Meredith Myer 2 Saba Mehra 2 Jean Michel Chauveau 3 4 Adrian Hierro 5 Andres Redondo-Cubero 5 Wolfgang Windl 1
1The Ohio State University Columbus USA2Columbus School for Girls Columbus USA3CRHEA-CNRS Nice France4University of Nice Sophia Antipolis Nice France5Dpto. Ingenieria Electronica and ISOM, Universidad Politecnica de Madrid Madrid Spain
Show AbstractThere is now tremendous interest in the II-VI semiconductor ZnO for next generation opto- and microelectronics. On a fundamental level, the high sensitivity of ZnO&’s properties to growth and processing provides an excellent test bed for probing physical phenomena that may be generic to semiconductors in general. Likewise, as the scope of ZnO device applications continues to expand, there is increasing interest in controlling not only its bulk but, just as important, its surface and interface properties - particularly those related to surface charge transfer and electrical contacts. We now know that oxygen and zinc vacancies, VO and VZn, respectively, are present in most ZnO crystals, that they can segregate to surfaces and interfaces, that they are electrically-active, and that their densities can reach values sufficient to dominate charge transport across interfaces. Depth-resolved cathodoluminescence spectroscopy (DRCLS) and self-compensation studies in degenerate n-type Ga-doped ZnO confirm their electrical activity. ZnO defect segregation to surfaces and interfaces extends to other oxide semiconductors as well including (Mg,Zn)O alloys and the complex oxide SrTiO3, for which the extent of interface defect segregation depends sensitively on overlayer growth conditions. Mechanisms that can drive these phenomena include surface states, piezoelectric fields, electric fields within surface space charge regions, and the free energy change across band bending regions.
Recent studies of isostructural MgxZn1-xO alloys over a wide (0<x<0.56) range reveal how the energies, densities, and spatial distributions of these native point defects vary systematically. DRCLS results reveal similar segregation of both VZn and VO, independent of piezoelectric fields. Both DRCLS defect densities exhibit pronounced minima at ~44% Mg corresponding to similar a and c parameter minima at ~52%. The corresponding 3.36% cell volume decrease may serve to inhibit defect formation due to electrostatic repulsion as observed in SrTiO3 and other complex oxides. DFT calculations confirm these defect/alloy composition variations. Surface segregation decreases with decreasing native defect density to zero at the defect density minimum. We established absolute densities of defects in these materials using both positron annihilation spectroscopy and transient surface photovoltage spectroscopy, which provides a non-contact technique to measure bulk trap densities.
Applying electric fields, we found major changes in oxide defects and their distributions. Similarly, hyperspectral imaging of ZnO nanostructures revealed qualitatively the same defect segregation as in bulk ZnO crystals. Because these native point defects can be charged and mobile, the free energy associated with surfaces, interfaces, and lattice unit cell dimension can be a major factor in carrier transport and doping of these oxide semiconductors.
Supported by NSF Grant DMR-1305193 (Charles Ying and Haiyan Wang).
3:30 AM - O11.04
Chemical Vapor Deposition of ZnO Radial p-n Junction for Light-Emitting Device Applications
Jinkyoung Yoo 1 Gyu-Chul Yi 2
1Los Alamos National Laboratory Los Alamos USA2Seoul National University Seoul Korea (the Republic of)
Show AbstractP-type doping in zinc oxide (ZnO) is the holy grail for the optoelectronic applications of ZnO. Though there have been numerous reports of p-type doping in ZnO, the achievements have mainly been limited to thin films though ZnO nanowires (NWs) have been major building ingredients for nanotechnology. P-type doping in ZnO NWs have been achieved by ion implantation and dopant diffusion followed by thermal annealing. However, the conventional methods are not suitable to fulfill the requirements of doping in nanoscale, conformal formation of p-type layer and precise control of dopant concentration, since ion implantation has inherent line-of-sight problem on incorporating dopants and diffusion method is difficult to control the dopant profile. Thus, depositing p-type ZnO layer is one of the most powerful approach to form p-type ZnO region in nanoscale. Chemical vapor deposition (CVD) can be a proper approach to prepare p-type ZnO layer since CVD for crystalline material is compatible with conventional semiconductor processing in wafer scale.
Here we present the epitaxial growth of phosphorus doped ZnO shell layer on the surfaces of n-type ZnO nanotubes (NTs) via metalminus;organic chemical vapor deposition (MOCVD). The vertically aligned and position controlled n-type ZnO NTs were prepared by MOCVD with the precursors of diethylzinc, oxygen, and triethylgallium. Subsequently ZnO:P layers were grown on the surfaces of ZnO NTs. After the ZnO:P shell growth the top-openings of core ZnO NTs were capped. To activate the p-type dopants thermal annealing under nitrogen atmosphere was performed. The p-type conductivity was confirmed by Hall measurements at room temperature. The doping concentration and optical characteristics of ZnO:P layer were investigated by Hall measurement and low-temperature photoluminescence spectroscopy. The ZnO:P growth technique was employed to form ZnO radial p-n junction arrays in position controlled manner. The electroluminescence of ZnO radial p-n junctions prepared by chemical vapor deposition will be also discussed.
3:45 AM - O11.05
Active Site-Mediated Mechanism for Engineering Oxygen Defects in ZnO
Prashun Gorai 1 Elif Ertekin 1 Edmund G Seebauer 1
1University of Illinois Urbana USA
Show AbstractControl of native oxygen defects in transition metal oxides like ZnO plays an important role in photovoltaic, catalytic, photonic and electronic applications. The interaction chemistry between bulk point defects and active sites on clean semiconductor surfaces is comparable in richness to the reaction of gases with surfaces. Surfaces of metal oxides can be used to manipulate the concentrations and spatial distributions of oxygen defects, particularly oxygen vacancies. Such surface-based defect engineering methods should play an especially important role in nanostructured devices where the surface to volume ratio is high. The present work discusses a surface-based mechanism for injection of O interstitials (Oi) into the bulk from the c-plane ZnO under O-rich conditions. The injected Oi subsequently annihilate O vacancies that are harmful for electronic and photonic applications. By employing a complementary combination of isotopic oxygen gas-solid exchange experiments and ab initio density functional theory calculations, we show that the clean ZnO (c-plane) polar surfaces are capable of injecting highly mobile Oi into the bulk. Measured oxygen self-diffusivities are several orders of magnitude higher than that reported in the literature so far. First principles calculations predict the preponderance of Oi under O-rich conditions. The present work also offers much more definitive experimental evidence to support this prediction. The kinetic barrier of ~1.7 eV for injection of Oi calculated using climbing-image nudged elastic band method is in good agreement with the experimentally-measured activation energy of O injection. The defect injection rate exhibits a stark contrast in its temperature-dependence on the Zn-terminated and O-terminated surfaces, suggesting differences in the nature of active sites on the polar surfaces. The tunability of defect injection rates by varying polar surface orientation allows us to develop design principles for defect engineering using polar oxide surfaces.
4:30 AM - *O11.06
Controlling the Electrical Conductivity of ZnO
John L Lyons 1
1UCSB Goleta USA
Show AbstractWith a large band gap of 3.4 eV, a large exciton binding energy of 60 meV, and a wide availability of single crystals, zinc oxide has often been regarded as a promising material for light-emitting diodes and lasers. However, recent theoretical and experimental has indicated that p-type conductivity may not be achievable due to a lack of effective acceptor dopants in this material [1]. While this development seems to preclude the production of all-ZnO pn junctions, zinc oxide is still a useful electronic material for many devices. For such applications, determining which species affect electrical conductivity is still a crucial task. In this work, we examine the properties of native defects and impurities that may influence electrical conductivity in ZnO. We employ hybrid density functional calculations, which overcome the band-gap problem of traditional density functional theory and which allow for the quantitative prediction of defect transition levels, formation energies, and optical transition levels. By analyzing the stability of these defects as a function of Fermi level and chemical potential, we are able to predict which species are most likely to be present under realistic growth conditions. Finally, by predicting optical transition energies of deep defects, we are able to compare our results with experiment.
Work performed in collaboration with Anderson Janotti and Chris G. Van de Walle.
[1] J. L. Lyons, A. Janotti, and C. G. Van de Walle, “Theory and modeling of oxide semiconductors,” Semiconductors and Semimetals, volume 88 (Academic Press, 2013).
5:00 AM - O11.07
Investigating Barium Zinc Oxide Alloy Grown by Pulsed Laser Deposition
Hamad AH Albrithen 1 2 3 Essa Alfaifi 1 Hassan Alshahrani 1 Anwar Alanazi 1 Joselito Labis 2 Ahmed Alyamani 3 Zeyad Alahmad 1 Ahmed Elnaggar 1
1King Saud University Riyadh Saudi Arabia2King Saud University Riyadh Saudi Arabia3Nano Insititute Riyadh Saudi Arabia
Show AbstractIn this study, we investigated films of BaZnO alloys grown by pulsed laser deposition. Different concentrations of Ba were tried. X-Ray diffraction showed that increasing the temperature and pressure lead to better Crystalline films. Most orientations were 0001, yet 1120was observed for certain growth temperature. Optical properties, mainly transmittance, showed transparent windows of BaZnO in the visible and infrared region (lambda; > 400 nm), and for films of 10% and 25% Ba the window was wider. Ellipsometry results provided evidence of void incorporation in film with Ba of 25%. This result was verified by scanning electron microscopy as well. Moreover, the index of refraction (extracted by Cauchy's model) of samples grown at low temperature and pressure were less than ZnO; this reduction in (n) was attributed to the porous nature of films, so air contributed to the integrated index of refraction. One last observation was that films of higher Ba were thicker, and this was attributed to: 1) ablation enhancement, 2) higher sticking coefficient; and 3) voids and pores incorporation in the film. Funding was provided by the Saudi National Plan for Science and Technology (project # 10-NAN1197-02 )
5:15 AM - O11.08
Impurities and Electronic Transport Properties in Semiconducting ZnO Crystals
Takeo Ohsawa 2 Minako Hashiguchi 2 Isao Sakaguchi 2 Naoki Ohashi 2 1
1Tokyo Institute of Technology Nagatsuta, Midori-ku, Yokohama Japan2National Institute for Materials Science (NIMS) Namiki, Tsukuba Japan
Show AbstractWe investigated impurities, defects, and associated electronic transport properties in ZnO. Possible origins of n-type onductivity in ZnO are still in debate, even though they have been long studied with experimental and theoretical points of view. One of possibilities for such difficulties is unintentional impurity doping into ZnO, in particular Li and Al, both of which are often incorporated during the crystal growth. In addition, these concentrations can be changed before/after thermal annealing. To deeply examine the effect of these impurities on the conductivity of ZnO, several ZnO crystals with different Al/Li ratios were employed in this study. Annealing them in ultrahigh vacuum and subsequent hydrogen doping in a humid environment varied dramatically the carrier concentration (Ne), especially for a quasi-stoichiometric (Al/Li~1.0) ZnO (QS-ZnO). These results indicate that Ne in the QS-ZnO can be determined as a result of Zn interstitial and hydrogen donors. We discuss such mechanism can be applicable to other ZnO crystals.
O10: Zn and Sn-Oxides, Theory and Experiment
Session Chairs
John Lyons
Andre Schleife
Thursday AM, December 04, 2014
Hynes, Level 3, Ballroom A
10:00 AM - O10.01
Low Temperature Deposition of p-Type SnO Thin Film by Atomic Layer Deposition
Jeong Hwan Han 1 Bo Keun Park 1 Hyo-Suk Kim 1 Taek-Mo Chung 1 Chang Gyoun Kim 1
1Korea Research Institute of Chemical Technology Daejeon Korea (the Republic of)
Show AbstractTin monoxide (SnO) has attracted great attention due to its p-type characteristic for the applications of complementary metal oxide semiconductor, gas sensor and other transparent oxide devices. Although lots of works were devoted for the growth of p-type SnO film, physical vapor deposition methods such as sputtering, evaporation, and pulsed laser deposition were only viable techniques to obtain stoichiometric p-type SnO. [1-2] Meanwhile, for uniform and conformal growth over complex substrate, it is required to fabricate SnO film using chemical vapor deposition or atomic layer deposition (ALD). In this work, we successfully developed the ALD process for pure SnO growth in a low temperature range of 120-210 oC from dimethyamino-2-methyl-2propoxy-tin(II) (Sn(dmamp)shy;2) and H2O. The growth behavior of SnO film showed genuine ALD self-limiting reaction as a function of Sn(dmamp)2 and H2O pulse time. The growth per cycle of SnO films were varied from 0.03 to 0.008 nm/cycle as increasing the growth temperature from 120 to 210 oC. The SnO ALD exhibited excellent conformallity inside a hole pattern where an aspect ratio is 10 (opening diameter : 100 nm, depth : 1000 nm). GAXRD patterns of the films grown at 150-210 oC showed the characteristic diffraction peak for crystalline SnO, whereas amorphous SnO film was deposited below 150 oC. From room temperature hall measurement, it can be confirmed that as-deposited films showed p-type conductivity and carrier concentrations of <1.6×1018. Further detail growth characteristics and film properties analyzed by XPS, AES, and TEM will be discussed in the presentation. [1] H. Yabuta, N. Kaji, R. Hayashi, H. Kumomi, K. Nomura, T. Kamiya, M. Hirano, and H. Hosono, Appl. Phys. Lett., 97, (2010) [2] W. Guo, L. Fu, Y. Zhang, K. Zhang, L. Y. Liang, Z. M. Liu, H. T. Cao, and X. Q. Pan, Appl. Phys. Lett., 96, (2010)
10:15 AM - O10.02
Ab-Initio Investigation of Tin Oxides
Bianca Eifert 1 Christian Heiliger 1
1Justus Liebig University Giessen Germany
Show AbstractTin forms two stable oxides, a dioxide and a monoxide. Both are of great interest for applications ranging from electrochemistry to optoelectronics. Tin dioxide (SnO2) is a wide-bandgap n-type semiconductor, while tin monoxide (SnO) is a small-bandgap p-type semiconductor with an indirect gap. SnO2 is already fairly well-investigated both theoretically and experimentally, but SnO is far less well-examined. In the present work, we gain new insights into some of the open questions concerning SnO in particular, but also in comparison to SnO2. We present calculations for electronic and optical properties using density functional theory (DFT). We also investigate the influence of the crystal geometry and the DFT exchange-correlation functional on the indirect and direct (optical) bandgap of SnO.
10:30 AM - O10.03
Physical Properties of p-Type Tin Monoxide Films Deposited by Ion Beam Sputter Deposition
Martin Becker 1 Yinmei Lu 1 Fabian Michel 1 Angelika Polity 1 Bruno Meyer 1
1Justus-Liebig-University Giessen Giessen Germany
Show AbstractTin oxide thin films were grown on sapphire substrates by using ion beam sputter deposition (IBSD) of a pure Sn metallic target under variation of gas mixture and substrate temperature. Schemes of the dependence of the films crystal phase on the oxygen pressure and the substrate temperature will be presented. X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS) served as procedures to identify composition and stoichiometry.
Tin monoxide thin films were fabricated at low temperatures and low oxygen fluxes. X-ray diffractometry and Raman scattering revealed that these films contained only SnO, whereas SnO2 was present in films grown at higher temperatures, suggesting the decomposition of SnO. Transmittance spectroscopy revealed an indirect fundamental gap of 0.7 eV and a direct gap of 2.8 eV, respectively. Thermoelectric and Hall measurements consistently indicated p-type conductivity for the films grown at temperatures below 450°C. Morphology was studied by scanning electron microscopy (SEM) and atomic force microscopy (AFM), respectively.
10:45 AM - O10.04
Low Temperature Tin Oxides Based Complementary Metal Oxide Semiconductor (CMOS) by Oxidation Effect from Capping Layer
Zhenwei Wang 1 Jesus Alfonso Caraveo-Frescas 1 Pradipta Nayak 1 Hala Al-Jawhari 2 Husam Alshareef 1
1King Abdullah University of Science amp; Technology (KAUST) Thuwal Saudi Arabia2King Abdulaziz University Jeddah Saudi Arabia
Show AbstractComplementary metal oxide semiconductor (CMOS) is the most fundamental building block in many integrated circuits (ICs), large due to its low power consumption. In the field of transparent electronics, there is a need to develop thin film transistor (TFT) CMOS devices using transparent metal oxide semiconductor based n- and p-type TFTs. However, the application of TFT-based CMOS in ICs is limited by the incompatible processing procedures required for the n- and p-type oxide TFTs. P-type tin monoxide (SnO) and n-type tin dioxide (SnO2) are promising candidate oxides for next generation transparent displaying technology, each of them with a decent electrical performance and also a large optical band gap and, accordingly, a good transparency in the visible range. Yet, different deposition parameters and post deposition annealing conditions lead to a complicated fabrication process to integrate these two oxides in the same device.
Here, we report a novel approach of transforming SnO to SnO2 at a temperature as low as 190 #8451;, which facilitates the fabrication of both n- and p-type TFTs in one step. Such a low temperature was achieved using a dual active layer structure (SnO layer / capping layer). The capping layer could oxidize SnO to form n-type SnO2 at a temperature much lower than normally required to form SnO2 by annealing single layer of SnO in air. The performance of bilayer n-type TFT was tunable and optimized by controlling the thickness of SnO layer and also the thickness of capping layer placed on top of SnO. Finally, a transparent CMOS-like inverter is successfully built by combining the p-type SnO TFT and n-type bilayer TFT, with a maximum temperature of 190 #8451; for the post annealing process.
11:30 AM - *O10.05
The Shifting Role of First-Principles Calculations from Post-Facto Explanation to Materials Design
Stephan Lany 1
1National Renewable Energy Laboratory Golden USA
Show AbstractElectronic structure theory plays an important role as a computational tool helping to understand the phenomenology of semiconductors in terms of microscopic models, e.g., for doping, defects, interfaces, or alloy compositions. Increasingly, it is becoming instrumental for the design and discovery of novel materials. The availability of quasi-particle energy calculations in the GW approximation allows now for a fairly robust band gap prediction, which is important for an accurate prediction of defect and surface/interface properties combination with density functional theory (DFT) supercell calculations [1, 2].
In SnO2, the group V dopants are considered as a viable alternative to fluorine doping. However, the group V elements (P, As, Sb, Bi) are multivalent and can assume both a +V and +III oxidation state, which implies an ambipolar doping character and the possibility to act as both electron donors and as compensating acceptors. The electrical activity of these dopants is determined by the energy difference between the conduction band minimum and the transition level between the two oxidation states. For the accurate prediction of this energy difference, we employed an approach that combines HSE06 hybrid functional calculations with GW quasi-particle energy calculations for both the band-edge energies and the defect state [3]. We find that Bi shows a clear deep-level behavior with Fermi level pinning inside the band gap, thereby preventing doping and leading to insulating behavior. As is a borderline case, and only P and Sb act as electron-donors without being affected by multivalency.
As an important materials class for TCO and contact materials, the II-VI family represents a remarkably diverse range of materials properties, allowing for great opportunities to optimize functional contacts specifically for the active layer, such as, e.g., the solar absorber material in photovoltaics. In order to support this design process, we studied the structural and chemical trends of the oxides and sulfides on Mg, Zn, and Cd, considering both the zinc-blende (ZB) and the rock-salt (RS) structures representing the tetrahedral and octahedral coordination environment, respectively [4]. Including the results for polymorphism, band-gaps and -structures, the band line-up relative to the vacuum level, as well as alloy mixing enthalpies, these results provide a basis for the rational design of tailored contact and electrode materials. An interesting observation is that there are counteracting contributions to the band-lineup arising from the atomic orbital energy ordering and the surface dipole, raising the question of design rules for band-offsets at non-epitaxial interfaces.
[1] H. Peng et al., Phys. Rev. B 88, 115201 (2013).
[2] V. Stevanovic et al., Phys. Chem. Chem. Phys. 16, 3706 (2014).
[3] H. Peng and S. Lany. (unpublished)
[4] S. Lany, Proc. of SPIE Vol. 8987, 89870K (2014), DOI: 10.1117/12.2043587
12:00 PM - O10.06
ABC of Band Engineering: From Morphological Control to Thin-Film Heteroepitaxy
Keith Tobias Butler 1 Aron Walsh 1 John Buckeridge 2
1University of Bath Bath United Kingdom2University College London London United Kingdom
Show AbstractComputational science has changed the way in which new materials are discovered. Metal oxides have been at the forefront of the computational materials design movement, with numerous novel materials and doping schemes proposed and realised in recent years. However, bulk band structures are only a part of the story; for true holistic design of devices from materials up, it is necessary to be able to match the band energies of the relevant components. Here, we present three critical concepts allowing for the prediction, understanding and control of these levels: a) Absolute binding energies and band structures, b) Bulk, surface and interface contributions to band energies, c) Crystallite nanostructure design.
The concept of absolute band energies is crucial to the matching of energy levels across devices, nonetheless traditional periodic density functional theory (DFT) calculations - the workhorse of materials design - provide only the relative electron energy levels of a system, thus forbidding the matching of levels between device components [1]. We have developed several schemes for overcoming this limitation, which will be presented [2,3].
By applying a multi-scale embedding scheme we have been able to decouple the surface and bulk contributions to band energies [4]. This understanding of the importance of the surface contribution allows us to propose methods for the tuning of band energies (on the absolute scale) through the application of ultra-thin heteroepitaxial films [4]. Finally we will explore the possibility of manipulating energy levels in oxide materials through the controlled growth of nano-crystals. In these structures the confluence of surface effects gives rise to a rich new space of tuneable energy levels.
The ability to predict absolute energy levels, coupled with knowledge of how to exploit surface and interface effects in tuning them is the next step in rational materials design. The concepts discussed in this presentation will help to open the door to the application of metal oxides in a range of new scenarios.
References:
[1] A. Walsh and K. T. Butler; Acc. Chem. Res. 47, 364 (2013).
[2] K. T. Butler, C. H. Hendon and A. Walsh; J. Am. Chem. Soc., 136, 2703 (2014).
[3] D. O. Scanlon et al;Nat. Mater., 12, 798 (2013).
[4] K.T. Butler, J. Buckeridge, C.R.A. Catlow and A. Walsh; Phys. Rev. B, 89, 115329 (2014).
12:15 PM - O10.07
Finding Low Electron Effective Mass Oxides through High-Throughput Computing
Geoffroy Hautier 1 Anna Miglio 1 David Waroquiers 1 Gian-Marco Rignanese 1 Xavier Gonze 1
1Universitamp;#233; catholique de Louvain Louvain-la-Neuve Belgium
Show Abstract
Many technologies require oxides with high electronic conductivity or mobility (e.g., transparent conducting oxides, oxide photovoltaics or photocatalysis). In this talk, we will report on a high-throughput ab initio computational search for low electron effective mass oxides.
We have screened with high-throughput computing more than 4,000 binary and ternary oxides to identify the compounds with the lowest electron effective mass. We will report on the results of this computational search, focusing on the compounds presenting exceptionally low electron effective masses. Among those, a few candidates combining large band gaps and low effective masses are highlighted as potential new n-type transparent conducting oxides (besides the already known In2O3 or ZnO). In addition, we will present chemical rules leading to low electron effective masses in oxides. Those confirm some previously known trends but also offer more surprising findings.
12:30 PM - O10.08
New P-Type Transparent Conducting Oxides through Engineering of Oxygenrsquo;s Valence Band
Amit Bhatia 1 Geoffroy Hautier 2 Tan Nilgianskul 1 Anna Miglio 2 Xavier Gonze 2 Jin Suntivich 1
1Cornell University Ithaca USA2Universitamp;#233; Catholique de Louvain Louvain-la-Neuve Belgium
Show AbstractTransparent conducting oxides (TCOs) are an integral part to many technological applications, ranging from front electrodes in flat panel displays to window layers in solar photovoltaics. Many n-type TCOs are well known, for example, indium tin oxide and zinc oxide. However, their p-type counterparts have struggled to achieve similar conductivities. We hypothesize that this is partly due to the high effective mass of the valence band, which results in the low mobility, even in the impurity-scattering-free limit. To guide the discovery of novel materials with low hole mass, we use the result from a recent high-throughput material computation to understand strategies for delocalizing the valence band. We find that good candidates can be found by introducing metal cations with energy levels similar to the O2p, which can be understood in the context of the covalency. In this contribution, we will present the synthesis, the thin-film deposition, and the structural, optical and electrical characterization of the candidate material. Band gap and hall measurement to assess the optical transparency and carrier type will be presented. We will discuss how to further engineer the electronic structure for the design and development of future p-type TCOs.
12:45 PM - O10.09
The Electronic Structure and Defect Properties of Boron Suboxide Revealed by First Principles Calculations
Joel Basile Varley 1 Anna Miglio 2 Vincenzo Lordi 1 Geoffroy Hautier 2
1Lawrence Livermore National Laboratory Livermore USA2Universitamp;#233; Catholique de Louvain Louvain Belgium
Show AbstractBoron suboxide (B6O) is a member of icosohedral boron-rich solids known for their physical hardness and stability under irradiation bombardment, but it has also recently emerged as a promising high mobility p-type transparent conducting oxide. Using a combination of hybrid functional and many-body perturbation theory calculations, we report on the electronic structure and defect properties of this material. Our calculations identify B6O has a direct band gap in excess of 3.0 eV and possesses largely isotropic and low effective masses for both holes and electrons. Of the native defects, we identify no intrinsic origin to the reported p-type conductivity and confirm that p-type doping is not prevented by intrinsic defects such as oxygen vacancies, which we find act exclusively as neutral defects rather than hole-killing donors. We also investigate a number of common impurities and plausible dopants, finding that isolated acceptor candidates tend to yield deep states within the band gap or act instead as donors, and cannot account for p-type conductivity. Our calculations identify the only shallow acceptor candidate to be a complex consisting of interstitial H bonded to C substituting on the O site, (CH)O. We therefore attribute the origins of p-type conductivity to these complexes formed during growth or more likely via isolated CO which later bind with H within the crystal. Lastly, we identify Si as a plausible n-type dopant, as it favorably acts as a shallow donor and does not suffer from self-compensation as may the C-related defects. Thus in addition to the observed p-type conductivity, B6O exhibits promise of n-type dopability if the stoichiometry and both native and extrinsic sources of compensation can be sufficiently controlled.
This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.