Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

Spring 2010 Logo2010 MRS Spring Meeting & Exhibit

April 5-9, 2010 | San Francisco
Meeting Chairs: Anne C. Dillon, Robin W. Grimes, Paul C. McIntyre, Darrin J. Pochan

Symposium E : Chemical Mechanical Planarization as a Semiconductor Technology Enabler

2010-04-06   Show All Abstracts

Symposium Organizers

Christopher L. Borst SUNY-Albany College of Nanoscale Science and Engineering
Johann W. Bartha Technische Universitaet Dresden
Darren DeNardis Intel Corporation
Satyavolu S. Papa Rao IBM T.J. Watson Research Center
E1: CMP for Advanced Technology Nodes
Session Chairs
Darren DeNardis
Tuesday PM, April 06, 2010
Room 2000 (Moscone West)

9:45 AM - **E1.1
CMP: An Enabler for Transistor Technology.

Gary Ding 1
1 Portland Technology Development, Intel, Hillsboro, Oregon, United States

Show Abstract

10:15 AM - E1.2
Manufacturable 300mm Wafer Thinning for 3D Interconnect Applications.

Jamal Qureshi 1 2 , Stephen Olson 1 2 , Raymond Caramto 1 2 , Jerry Mase 1 2 , Toshihiro Ito 3 , Eiichi Yamamoto 3
1 College of Nanoscale Science & Engineering, SUNY, Albany, New York, United States, 2 3D Interconnect, SEMATECH, Albany, New York, United States, 3 Process R&D, OKAMOTO Machine Tool Works,LTD., Annaka, Gunma, Japan

Show Abstract

10:30 AM - **E1.3
An Approach to the Integration of CMP Processes for Interconnects at the 15nm Node.

Donald Canaperi 1
1 , IBM, Albany, New York, United States

Show Abstract

11:00 AM - *
Break

11:30 AM - E1.4
Planarization Specification for 22nm and Beyond BEOL CMP.

Jihong Choi 1 , Bernhard Liegl 2 , Changan Wang 1 , Itty Matthew 1 , Eden Zielinski 1 , Wei-tsu Tseng 2 , Yongsik Moon 1 , Mark Kelling 1 , Laertis Economikos 2 , Seung-Hyun Rhee 1 , Raghavasimhan Sreenivasan 2 , Edward Engbrecht 2
1 , GlobalFoundries, Hopewell Junction, New York, United States, 2 , IBM Microelectronics, Hopewell Junction, New York, United States

Show Abstract

11:45 AM - E1.5
Molecular Diffusion Under Nanometer Scale Confinement During CMP of Nanoporous Films.

Taek-Soo Kim 1 , Reinhold Dauskardt 1
1 , Stanford University, Stanford, California, United States

Show Abstract

12:00 PM - E1.6
Cu CMP Edge Uniformity Improvement Studies for 32 nm Technology Node and Beyond.

John Zhang 1 , Laertis Economikos 2 , Wei-Tsu Tseng 2 , Jihong Choi 3 , Qiang Fang 3 , Teck Jung Tang 3 , Joe Salfelder 4 , Connie Truong 2 , Paul Ferreira 1
1 , STMicroelectronics, Hopewell Junction, New York, United States, 2 , IBM Semiconductor Research and Development Center (SRDC), Hopewell Junction, New York, United States, 3 , Global Foundries Inc, Hopewell Junction, New York, United States, 4 , Applied Materials, Hopewell Junction, New York, United States

Show Abstract

12:15 PM - E1.7
Copper CMP for TSV Applications.

Max Gage 1 , Kun Xu 1 , Feng Liu 1 , Yuchun Wang 1 , Sherry Xia 1 , Wen-Chiang Tu 1
1 CMP Division, Applied Materials, Santa Clara, California, United States

Show Abstract

12:30 PM - E1.8
High Removal Rate CMP Process on TSV Thick Cu Overburden.

Raymond Caramto 1 2 , Jamal Qureshi 1 2 , Jerry Mase 1 2
1 3D Interconnect, College of Nanoscale Science and Engineering, RF of SUNY, Albany, New York, United States, 2 3D Interconnect, SEMATECH, Albany, New York, United States

Show Abstract

12:45 PM - E1.9
Reducing Time Dependent Line to Line Leakage Following Post CMP Clean.

Donald Canaperi 1 , Satyavolu Papa Rao 2 , Trace Hurd 3 , Steven Medd 3 , T. Levin 1
1 , IBM at Albany Nanotech, Albany, New York, United States, 2 , IBM Research, Yorktown Heights, New York, United States, 3 , ATMI Inc., Danbury, Connecticut, United States

Show Abstract

E2: CMP Consumables I
Session Chairs
Chris Borst
Tuesday PM, April 06, 2010
Room 2000 (Moscone West)

2:30 PM - **E2.1
Retaining Ring Design Impact on CMP Process Stability and Optimization.

Christopher Wargo 1 , Raghava Kakireddy 1 , Andrew Galpin 1 , Joseph Smith 1 , Rakesh Singh 1 , Xiaomin Wei 2 , Ara Philipossian 2 3
1 , Entegris, Inc., Billerica, Massachusetts, United States, 2 , University of Arizona, Tucson, Arizona, United States, 3 , AracA, Inc., Tucson, Arizona, United States

Show Abstract

3:00 PM - E2.2
Real-time Control System for Improved CMP Pad Profiles.

Gregory Menk 1 , Siva Dhandapani 1 , Chad Garretson 1 , Shou-Sung Chang 1 , Chris Cocca 1 , Jason Fung 1 , Jun Qian 1 , Stan Tsai 1
1 , Applied Materials, Sunnyvale, California, United States

Show Abstract

3:15 PM - E2.3
Reducing CMP’s Cost of Consumables by Improving Its Efficiency Through Pad Surface Management.

Darryl Peters 1 , Stephen Benner 1 , Gilberto Perez 1
1 Process Technology, Confluense, Allentown, Pennsylvania, United States

Show Abstract

3:30 PM - **E2.4
Design, Characteristics and Performance of Diamond Pad Conditioners.

Douglas Pysher 1 , Brian Goers 1 , John Zabasajja 1
1 Electronics Markets Materials Division, 3M, St. Paul, Minnesota, United States

Show Abstract

4:00 PM - *
Break

4:30 PM - E2.5
Method for Ultra-rapid Determination of the Lubrication Mechanism of CMP Processes.

Yasa Sampurno 1 2 , Sian Theng 1 2 , Fransisca Sudargho 1 2 , Yun Zhuang 1 2 , Ara Philipossian 1 2
1 Chemical Engineering, University of Arizona, Tucson, Arizona, United States, 2 , Araca, Inc., Tucson, Arizona, United States

Show Abstract

4:45 PM - E2.6
Tribological and Kinetic Characterization of 300-mm Copper Chemical Mechanical Planarization Process.

Yubo Jiao 1 , Anand Meled 1 , Xiaomin Wei 1 , Zhenxing Han 1 , Jiang Cheng 1 , Yasa Sampurno 1 2 , Yun Zhuang 1 2 , Mansour Moinpour 3 , Don Hooper 4 , Ara Philipossian 1 2
1 Chemical and Environmental Engineering, University of Arizona, Tucson, Arizona, United States, 2 , Araca Inc., Tucson, Arizona, United States, 3 , Intel Corporation, Santa Clara, California, United States, 4 , Intel Corporation, Albuquerque, New Mexico, United States

Show Abstract

5:00 PM - E2.7
Effect of Mechanical and Chemical Wear on Consistency of Conditioning in Diamonds.

Yohei Yamada 1 , Kazunori Kadomura 2 , Masanori Kawakubo 1 , Takahiro Sugaya 1 , Osamu Hirai 1 , Ken Tsugane 1
1 Micro Device Division, Hitachi, Ltd., Ome-shi, Tokyo, Japan, 2 Semiconductor Group, A.L.M.T.Corp., Osaka-shi, Osaka, Japan

Show Abstract

5:15 PM - **E2.8
Selected Topics on Wear Phenomena in CMP.

Ara Philipossian 1 2 , Yun Zhuang 1 2 , Yasa Sampurno 1 2
1 , University of Arizona, Tucson, Arizona, United States, 2 , Araca Inc, Tucson, Arizona, United States

Show Abstract

E3: Poster Session: CMP as a Semiconductor Technology Enabler
Session Chairs
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)

6:00 PM - E3.1
Comparison of H2O2 and KMnO4 as Oxidizer in Ge2Sb2Te5 Chemical Mechanical Polishing.

Hao Cui 1 2 , Jae-Hyung Lim 1 3 , Jin-Hyung Park 1 , Hyung Soon Park 4 , Ungyu Paik 5 , Jea-Gun Park 1 2
1 Advanced Semiconductor Materials and Devices Development Center, Hanyang University, Seoul Korea (the Republic of), 2 Department of Electronics & Communications Engineering, Hanyang University, Seoul Korea (the Republic of), 3 Department of Nanoscale Semiconductor Engineering, Hanyang University, Seoul Korea (the Republic of), 4 Advanced Process Research & Development Division, Hynix Semiconductor Inc., Icheon Korea (the Republic of), 5 Division of Advanced Materials Science Engineering, Hanyang University, Seoul Korea (the Republic of)

Show Abstract

6:00 PM - E3.2
Effect of Slurry pH and H2O2 on Crystalline Ge2Sb2Te5 CMP Performance for PRAM Device.

Jong-Young Cho 1 2 , Jin-Hyung Park 2 , Hyung-Soon Park 3 , Ungyu Paik 4 , Jea-Gun Park 1 2
1 Department of Nanoscale Semiconductor Engineering, Hanyang university, Seoul Korea (the Republic of), 2 Advanced Semiconductor Materials and Devices Development Center, Hanyang university, Seoul Korea (the Republic of), 3 Advanced process research & development division, Hynix, Icheon-si Korea (the Republic of), 4 Division of Advanced Materials Science Engineering, Hanyang University, Seoul Korea (the Republic of)

Show Abstract

6:00 PM - E3.3
The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries.

Michael White 1 , Richard Romine 1 , Lamon Jones 1 , Jeffrey Gilliland 1
1 , Cabot Microelectronics, Aurora, Illinois, United States

Show Abstract

6:00 PM - E3.5
Digital CMP Technology for Ultra Large Wafers.

Abhudaya Mishra 1 , Rajiv Singh 1 , Deepika Singh 1 , Purushottam Kumar 1
1 , Sinmat Inc., Gainesville, Florida, United States

Show Abstract

6:00 PM - E3.6
Ultra-rapid Reactive Chemical Mechanical Polishing Process for Production of Contamination-free, Damage-free GaN Surfaces.

Arul Chakkaravarthi Arjunan 1 , Rajiv Singh 2 1 , Deepika Singh 1
1 , Sinmat, Gainesville , Florida, United States, 2 Materials Science Engineering, University of Florida, Gainesville, Florida, United States

Show Abstract

6:00 PM - E3.7
Novel Method for Ultra-smooth Polishing of Diamond Thin Films.

Arul Chakkaravarthi Arjunan 1 , Rajiv Singh 2 1 , Deepika Singh 1
1 , Sinmat, Gainesville , Florida, United States, 2 Materials Science Engineering, University of Florida, Gainesville, Florida, United States

Show Abstract

6:00 PM - E3.8
Quantification of Pump Induced Stresses on CMP Slurries by Rheological Measurements.

Aniruddh Khanna 1 , Purushottam Kumar 2 , Jaeseok Lee 1 , Myoung Hwan Oh 1 , Rajiv Singh 1
1 Materials Science & Engineering, University of Florida, Gainesville, Florida, United States, 2 , Sinmat Inc., Gainesville, Florida, United States

Show Abstract

6:00 PM - E3.9
Non-selective, High Removal Rates STI Slurries Based on Lewis Acids Abrasives/Cationic Copolymers.

Daniela White 1 , John Parker 1 , R. Nagarajan 1
1 , Cabot Microelectronics, Aurora, Illinois, United States

Show Abstract

2010-04-07   Show All Abstracts

Symposium Organizers

Christopher L. Borst SUNY-Albany College of Nanoscale Science and Engineering
Johann W. Bartha Technische Universitaet Dresden
Darren DeNardis Intel Corporation
Satyavolu S. Papa Rao IBM T.J. Watson Research Center
E4: CMP Consumables II
Session Chairs
Johann Bartha
Wednesday AM, April 07, 2010
Room 2000 (Moscone West)

9:30 AM - **E4.1
Acidic Slurry Approach to STI/ILD/PMD/HKMG CMP Applications.

Hugh Li 1 , Zhendong Liu 1 , Yi Guo 1 , Arun Reddy 1 , A. Scott Lawing 1 , Lee Cook 1
1 , Dow Electronic Materials, Newark, Delaware, United States

Show Abstract

10:00 AM - E4.2
Influence of Ionic Strength and pH-value on the Silicon Dioxide Polishing Behaviour of Slurries Based on Pure Silica Suspensions.

Kathrin Estel 1 , Ulrich Kuenzelmann 1 , Johann Wolfgang Bartha 1 , Erwin-Peter Mayer 2 , Herbert Bathel 2
1 Institute of Semiconductor, Dresden University of Technology, Dresden, Saxony, Germany, 2 , Wacker Chemie AG, Burghausen, Bavaria, Germany

Show Abstract

10:15 AM - E4.3
Slurry Particle Agglomeration Model for Chemical Mechanical Planarization (CMP).

Duane Boning 1 , Joy Johnson 1 , Gwang-Soo Kim 2 , Karson Knutson 2
1 EECS, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Intel Corporation, Hillsboro, Oregon, United States

Show Abstract

10:30 AM - E4.4
Mechanistic Investigations of Ruthenium Polishing Enabled by Heterogeneous Catalysis With Titania-based Slurries.

Daniela White 1 , John Parker 1 , R. Nagarajan 1
1 , Cabot Microelectronics, Aurora, Illinois, United States

Show Abstract

10:45 AM - *
Break

11:15 AM - **E4.5
Role of Different Additives in Ceria and Silica-based Slurries for Achieving Tunable Removal Rates of Silicon Oxide, Silicon Nitride and Polysilicon.

Suryadevara Babu 1 , Veera P.R. Dandu 1 , Naresh Penta 1
1 , Clarkson Univversity, Potsdam, New York, United States

Show Abstract

11:45 AM - E4.6
Increase in the Adsorption Density of Anionic Molecules on Ceria and Its Influence on Remaining Particles on Oxide Film During Shallow Trench Isolation (STI) Chemical Mechanical Planarization (CMP).

Ye-Hwan Kim 1 , Hyeonggyu Han 1 , Jeong Hyun Kim 1 , Jea-Gun Park 2 , Ungyu Paik 1
1 Department of Materials Science Engineering, Hanyang University, Seoul Korea (the Republic of), 2 Division of Nanoscale Semiconductor Engineering, Hanyang University, Seoul Korea (the Republic of)

Show Abstract

12:00 PM - E4.7
The Mechanism of Low pH Colloidal Silica-based Oxide Slurries.

Michael White 1 , William Ward 1 , R. Nagarajan 1 , Laman Jones 1
1 , Cabot Microelectronics, Aurora, Illinois, United States

Show Abstract

12:15 PM - **E4.8
Mechanisms of Large Particle Formation in Silica-based CMP Slurries Resulting in Mechanical and Chemo-mechanical Induced Defects.

W. Rader 1 , Tim Holt 1 , Kazusei Tamai 2
1 , Fujimi Corp, Tualatin, Oregon, United States, 2 , Fujimi Inc, Nagoya Japan

Show Abstract

E5: Novel CMP Techniques & Devices
Session Chairs
Satyavolu Papa Rao
Wednesday PM, April 07, 2010
Room 2000 (Moscone West)

2:30 PM - **E5.1
Using Combinatorial Methods to Accelerate BEOL Wafer Cleaning Process Development.

Trace Hurd 1 , Jeff Barnes 1 , Jun Liu 1 , Steve Medd 1 , Steve Lippy 1 , Steve Bilodeau 1 , Peter Wrschka 1 , Yukichi Koji 1 , Rekha Rajaram 2 , Don Canaperi 3
1 , ATMI, Danbury, Connecticut, United States, 2 , Intermolecular, San Jose, California, United States, 3 , IBM, Albany, New York, United States

Show Abstract

3:00 PM - E5.2
Characterization of Surface Processes During Oxide CMP by in situ FTIR Spectroscopy With Microstructured Reflection Elements at Silicon Wafers.

Henrik Schumacher 1 , Ulrich Kuenzelmann 1 , Johann Bartha 1
1 Intitute of Semiconductor and Microsystem Technology, Dresden University of Technology, Dresden, Saxony, Germany

Show Abstract

3:15 PM - E5.3
An Alternative Non-contact Planarization Technique by Utilizing the Electrokinetic Phenomenon.

Cheng Seng Leo 1 , Sum Huan Ng 2 , David Butler 1 2 , Steven Danyluk 3
1 Mechanical & Aerospace Engineering, Nanyang Technological University, Singapore Singapore, 2 , Singapore Institute of Manufacturing Technology, Singapore Singapore, 3 George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States

Show Abstract

3:30 PM - **E5.4
Characterization and Modeling of Pad Asperity Response in CMP.

Duane Boning 1 , Wei Fan 1
1 Microsystems Technology Laboratories, MIT, Cambridge, Massachusetts, United States

Show Abstract

4:00 PM - *
Break

4:30 PM - E5.5
Contact Pressure Distribution in the Chemical Mechanical Planarization of 450mm Wafers.

Padraig Timoney 1 , Eamonn Ahearne 1 , Gerald Byrne 1
1 Advanced Manufacturing Science Research Centre, School of Electronic, Electrical and Mechanical Engineering, University College Dublin, Dublin Ireland

Show Abstract

4:45 PM - E5.6
Microlens Topography Fabricated Using CMP for Enhanced Light Extraction From LEDs.

Purushottam Kumar 1 , Rajiv Singh 2 , Deepika Singh 1
1 , Sinmat Inc., Gainesville, Florida, United States, 2 Materials Science & Engineering, University of Florida, Gainesville, Florida, United States

Show Abstract

5:00 PM - **E5.7
How Much Slurry is Sufficient?

Len Borucki 1 , Yasa Sampurno 1 , Sian Theng 1 , Ara Philipossian 1 2
1 , Araca Inc, Tucson, Arizona, United States, 2 Department of Chemical and Environmental Engineering, The University of Arizona, Tucson, Arizona, United States

Show Abstract