Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

2007 MRS Spring Meeting Logo2007 MRS Spring Meeting & Exhibit


April 9-13, 2007
| San Francisco
Meeting Chairs: Timothy J. Bunning, Harold Y. Hwang, Debra Kaiser, Jennifer A. Lewis

Symposium B : Materials, Processes, Integration, and Reliability in Advanced Interconnects for Micro- and Nano-Electronics

2007-04-10   Show All Abstracts

Symposium Organizers

Qinghuang Lin IBM T. J. Watson Research Center
Wen-li Wu National Institute of Standards and Technology
E. Todd Ryan Advanced Micro Devices IBM - Albany NanoTech
Do Yeung Yoon Seoul National University
B1: Dielectric Materials I
Session Chairs
Qinghuang Lin
E. Ryan
Tuesday PM, April 10, 2007
Room 3002 (Moscone West)

9:15 AM - B1: Dielec Mat
Opening Remarks

Show Abstract

9:30 AM - **B1.1
Vapor Deposition of Pore-Sealing, Barrier, Adhesion and Seed Layers for Interconnects.

Roy Gordon 1 , Huazhi Li 1 , Zhengwen Li 1 , Daewon Hong 1 , Damon Farmer 2 , Youbo Lin 2 , Joost Vlassak 2 , Daniel Josell 3 , Thomas Moffat 3 , Christian Witt 4
1 Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 2 Division of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 3 Metallurgy Division, National Institute of Standards and Technology, Gaithersberg, Maryland, United States, 4 TJ Watson Research Center, IBM, Yorktown Heights, New York, United States

Show Abstract

10:00 AM - **B1.2
Interface with High Adhesive and Cohesive Strength Between SiCOH Dielectrics and SiCHN Caps.

Alfred Grill 1 , Dan Edelstein 1 , Michael Lane 1 , Vishnubhai Patel 1 , Stephen Gates 1 , Darryl Restaino 2 , Steven Molis 2 , Nancy Klymko 2 , Kang Yim 3 , V. Nguyen 3 , Alex Demos 3 , Steven Reiter 3 , Hichem M'Saad 3
1 , IBM - T.J.Watson Res.Ctr., Yorktown Heights, New York, United States, 2 , IBM SRDC, Hopewell Junction, New York, United States, 3 , Applied Materials, Santa Clara, California, United States

Show Abstract

10:30 AM - **B1.3
Interfacial Organic Layers: Tailored Surface Chemistry for Nucleation and Growth of Inorganic Barrier Layer Materials.

James Engstrom 1
1 Chemical Engineering, Cornell University, Ithaca, New York, United States

Show Abstract

11:00 AM - *
Break

11:30 AM - **B1.4
Formation of Porous Organosilicate Glasses Produced by PECVD and UV Curing

Mark O'Neill 1 , Patrick Hurley 1 , Scott Weigel 1 , Mary Haas 1 , Brian Peterson 1 , Raymond Vrtis 1 , Dingjun Wu 1 , Steven Mayorga 1
1 Electronics Technology, Air Products and Chemicals, Inc., Allentown, Pennsylvania, United States

Show Abstract

12:00 PM - B1.5
Remote Plasma Assisted Atomic Layer Deposition of Ultra-thin Pore-sealing for Self-assembled Porous Low-k Materials

Ying-Bing Jiang 1 , George Xomeritakes 2 , Zhu Chen 2 , Darren Dunphy 1 , Jiebin Pang 2 , Eric Branson 1 , Joseph L. Cecchi 2 , C. Jeffrey Brinker 1 2
1 , Sandia National Labs, Albuquerque, New Mexico, United States, 2 , Univ. of New Mexico, Albuquerque, New Mexico, United States

Show Abstract

12:15 PM - B1.6
Ash-free Porogen for Ultralow Spin-On-Dielectrics

Kun Woo Park 1 , Tae Hoon Lee 1 , Gun Woo An 1 , Sung Kyu Min 2 , Bong Jin Moon 3 , Do Young Yoon 4 , Hee Woo Rhee 1
1 Chemical & Biomolecular Engineering, Sogang University, Seoul Korea (the Republic of), 2 Thin Film Team, Hynix Semiconductor Inc., Icheon, Kyunggido, Korea (the Republic of), 3 Chemistry, Sogang University, Seoul Korea (the Republic of), 4 Chemistry, Seoul National University, Seoul Korea (the Republic of)

Show Abstract

12:30 PM - **B1.7
Robust Ultra-Low K and Directly Patterned Interlayer Dielectrics Prepared by Templating Processes.

James Watkins 1
1 Polymer Science and Engineering, University of Massachusetts, Amherst, Massachusetts, United States

Show Abstract

B2: Dielectric Materials II
Session Chairs
Wen-li Wu
Do Yoon
Tuesday PM, April 10, 2007
Room 3002 (Moscone West)

2:30 PM - **B2.1
Requirements and Constraints on Optimizing UV Processing of Low-k Dielectrics.

Ivan Berry 1 , Carlo Waldfried 1 , Kevin Durr 1
1 , Axcelis Technologies, Beverly, Massachusetts, United States

Show Abstract

3:00 PM - B2.2
Understanding the Role of UV Cure on Enhancing Glass Structure and Mechanical Reliability of Porous Low-k Thin Films.

David Gage 1 , Jonathan Stebbins 2 , Zhenjiang Cui 3 , Amir Al-Bayati 3 , Alex Demos 3 , Kenneth MacWilliams 3 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Geological and Environmental Sciences, Stanford University, Stanford, California, United States, 3 , Applied Materials, Inc., Santa Clara, California, United States

Show Abstract

3:15 PM - B2.3
The Effect of Ultraviolet Light Curing on the Fracture Properties of a k~2.5 Low-k Dielectric.

Ryan Smith 1 , Ting Tsui 2 , Paul Ho 1
1 Material Science and Engineering, University of Texas at Austin, Austin, Texas, United States, 2 Silicon Technology Development, Texas Instruments, Inc., Dallas, Texas, United States

Show Abstract

3:30 PM - B2.4
Structural Transformation During Porogen Removal Under Ultraviolet Assisted Thermal Curing on PECVD Porous Ultra low-k Material.

Aziz Zenasni 1 , Laurent Favennec 2 , Vincent Jousseaume 1 , Olivier Gourhant 2 , Julien Fort 3 , Patrick Maury 2 , Lucile Mage 1 , Samphy Hong 3 , Gerard Passemard 2
1 LETI-D2NT-LBE, CEA, Grenoble France, 2 , STMicroelectronics, Crolles France, 3 , Applied Materials, Meylan France

Show Abstract

3:45 PM - B2.5
Self-organized Nanostructures by Atmospheric Microplasma Processing.

Davide Mariotti 1 , Yoshiki Shimizu 1 , Vladimir Svrcek 1 , Dae-Gun Kim 1 , Takeshi Sasaki 1 , Naoto Koshizaki 1
1 NARC, AIST, Tsukuba, Ibaraki, Japan

Show Abstract

4:00 PM - *
break

4:30 PM - **B2.6
The Role of Pore Characterization in the Challenge to Integrate Porous low-k Dielectrics

David Gidley 1 , Richard Vallery 1 , Ming Liu 1
1 Physics, University of Michigan, Ann Arbor, Michigan, United States

Show Abstract

5:00 PM - B2.7
Mechanical Impacts of Templating Polystyrene Porogen in Methylsilsesquioxane.

Markus Ong 1 , Geraud Dubois 2 , Willi Volksen 2 , Robert Miller 2 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Almaden Research Center, IBM, San Jose, California, United States

Show Abstract

5:15 PM - B2.8
Atomic-Scale Analysis of Structural and Mechanical Properties of Amorphous Microporous and Mesoporous Silica Thin Films.

M. Rauf Gungor 1 , James Watkins 2 1 , Dimitrios Maroudas 1
1 Department of Chemical Engineering, University of Massachusetts, Amherst, Massachusetts, United States, 2 Department of Polymer Science and Engineering, University of Massachusetts, Amherst, Massachusetts, United States

Show Abstract

5:30 PM - B2.9
Organic-Functionalized Pure-Silica-Zeolite MFI and MEL Films for Low-Dielectric Constant Applications.

Christopher Lew 1 , Zijian Li 1 , Shuang Li 1 , Sonjong Hwang 2 , Dora Medina 1 , Minwei Sun 1 , Mark Davis 2 , Yushan Yan 1
1 Chemical and Environmental Engineering, University of California, Riverside, Riverside, California, United States, 2 Chemical Engineering, California Institute of Technology, Pasadena, California, United States

Show Abstract

5:45 PM - B2.10
Damage-free Etching Processes of Low Dielectric (Low-k) Films Using the Neutral Beam

Butsurin Jinnai 1 , Seiji Samukawa 1
1 Institute of Fluid Science, Tohoku University, Sendai, Miyagi, Japan

Show Abstract

B3: Poster Session: Dielectric Materials
Session Chairs
Wednesday AM, April 11, 2007
Salon Level (Marriott)

9:00 PM - B3.1
Mechanical Properties and Fracture of Ultra-low-k (ULK) Nanoporous Organosilicate Glass Coatings with Varied Porosities.

Youbo Lin 1 , Han Li 1 , Joost Vlassak 1
1 , Harvard University, Cambridge, Massachusetts, United States

Show Abstract

9:00 PM - B3.10
Effect of He, Ar, O2 Plasma Treatments on the Electrical and Chemical Properties low-k SiCOH Film Deposited by PECVD.

Sungwoo Lee 1 , Jaeyoung Yang 1 , Changrok Choi 1 , Sangmin Do 1 , Heeyeop Chae 2 , Donggeun Jung 1 , Jim-hyo Boo 3 , Hyoungsub Kim 4
1 Physics, sungkyunkwan University, Suwon, Gyunggi, Korea (the Republic of), 2 Chemical engnieering, Sungkyunkwan University, Suwon, Gyunggi, Korea (the Republic of), 3 Chemistry, sungkyunkwan University, Suwon, Gyunggi, Korea (the Republic of), 4 Materials Engineering, Ssungkyunkwan University, Suwon, Gyunggi, Korea (the Republic of)

Show Abstract

9:00 PM - B3.11
Optical Absorption and Characteristics of Low-k Films and Barrier Layers in the Ultra-Violet Range

Salvador Eslava 1 2 , Guillaume Eymery 1 , Mikhail Baklanov 1 , Francesca Iacopi 1 , Francesca Clemente 1 , Carlo Carbonaro 3 , Philippe Foubert 1 , Karen Maex 1 2
1 , IMEC, Leuven Belgium, 2 ESAT, Katholieke Univ. Leuven, Leuven Belgium, 3 Dept. of Physics, Cagliari Univ., Monserrato Italy

Show Abstract

9:00 PM - B3.12
Effects of CH4 Plasma Treatment on Porous Organosilicate Low-k Dielectrics

Hualiang Shi 1 , Junjing Bao 1 , Junjun Liu 2 , Huai Huang 1 , Paul S. Ho 2
1 Physics, The University of Texas at Austin, Austin, Texas, United States, 2 Material Science, The University of Texas at Austin, Austin, Texas, United States

Show Abstract

9:00 PM - B3.13
Spin-on Barrier-dielectric/CMP-cap for Cu/low-k Interconnect.

Shin-ya Arase 1 , Nobuhide Maeda 1 , Yoshio Takimoto 1 , Hiroshi Kawakami 1 , Kouji Sumiya 3 , Yoshio Homma 1 , Hidenori Saito 2 , Masahiro Tada 2 , Terukazu Kokubo 3
1 , Consortium for Advanced Semiconductor Materials and Related Technologies (CASMAT), Kokubunji, Tokyo, Japan, 3 , JSR Corporation, Ltd., Tsukuba, Ibaraki, Japan, 2 , Sumitomo Bakelite Co., Ltd., Yokohama, Kanagawa, Japan

Show Abstract

9:00 PM - B3.15
Effect of Environment on the Modulus of low-k Porous ILD Films Used in the BEOL.

Eva Simonyi 1 , Christos Dimitrakopoulos 1 , Stephen Gates 1 , Michael Lane 1 , Eric Liniger 1
1 , IBM, Yorktown Heights, New York, United States

Show Abstract

9:00 PM - B3.16
Dry Etching of SiC with CF4/Ar Inductively Coupled Plasmawith a Photoresist Mask.

Jie Lu 1 , Chris Thomas 1 , Mvs Chandrashekhar 1 , Michael Spencer 1
1 Electrical and Computer Engineering, Cornell University, Ithaca, New York, United States

Show Abstract

9:00 PM - B3.17
The Impact of Dielectric Films and Post-Metal Etch Wet Treatment on Charge-Induced Corrosion of Tungsten Vias.

Szetsen Lee 1 , Chi-Jung Ni 2
1 Chemistry, Chung Yuan Christian University, Chungli Taiwan, 2 Module Technology Development, Winbond Electronics, Hsinchu Taiwan

Show Abstract

9:00 PM - B3.18
Synthesis and Characterization of Porogen-bridged Silsesquioxane Monomers and Their Application to Ultralow-k Films

Woojin Lee 1 , Jae Hwan Sim 1 , David Gidley 2 , Do Y. Yoon 1
1 Department of Chemistry, Seoul National University, Seoul Korea (the Republic of), 2 Department of Physics, University of Michigan, Ann Arbor, Michigan, United States

Show Abstract

9:00 PM - B3.19
Environment-Friendly Plasma Etching of High Aspect Ratio Silicon by a Gas-Chopping Process.

Hyongmoo Rhee 1 , Chang Han Park 1 , Chang-Koo Kim 1
1 Chemical Engineering, Division of Energy Systems Research, Ajou University, Suwon Korea (the Republic of)

Show Abstract

9:00 PM - B3.2
Penetration of Tagged Organics into Caulked and Un-caulked Porous Dielectrics Measured by Rutherford Backscattering.

Robert Geil 1 , Jay Senkevich 2 , Bridget Rogers 1
1 , Vanderbilt University, Nashville, Tennessee, United States, 2 , Brewer Science Inc., Rolla, Missouri, United States

Show Abstract

9:00 PM - B3.20
Laser Thermo-Reflectance Measurement for Heat Capacity and Heat Resistance Evaluation of Low-k Films

Jiping Ye 1 , Takao Okamura 1 , Yuka Hattori 1 , Sawa Araki 1 , Shigeo Sato 1
1 Research Dept., NISSAN ARC Ltd., Yokosuka Japan

Show Abstract

9:00 PM - B3.21
Synthesis and Characterization of Novel Porogen-Bridged Silsesquioxane Polymers and Preparation of Dual Porogen Based Ultralow-k Films

JaeHwan Sim 1 , Woojin Lee 1 , David W. Gidley 2 , Do Yeung Yoon 1
1 Department of chemistry, Seoul National University, Seoul Korea (the Republic of), 2 Department of Physics, University of Michigan, Ann Arbor, Michigan, United States

Show Abstract

9:00 PM - B3.22
Process Optimization of UV Curing for Ultra Low-k Dielectrics and High-Stress SiN Liners

Masazumi Matsuura 1 , Kinya Goto 1 , Shinobu Hashii 2 , Noriko Miura 1 , Yoshihiro Miyagawa 1 , Tatsunori Murata 1 , Yoshikazu Tsunemine 1 , Koyu Asai 1
1 , Renesas Technology Corp., Itami Japan, 2 , Renesas Semiconductor Engineering Corp., Itami Japan

Show Abstract

9:00 PM - B3.25
Nanoindentation Measurements on the Instrinsic Strength of Pure-Silica-Zeolite Low-k Materials.

Christopher Lew 1 , Zijian Li 1 , Mark Johnson 2 , Minwei Sun 1 , E. Ryan 3 , David Earl 4 , Wolfgang Maichen 5 , Jeremy Martin 3 , Shuang Li 1 , Junlan Wang 2 , Michael Deem 4 , Mark Davis 6 , Yushan Yan 1
1 Chemical and Environmental Engineering, University of California, Riverside, Riverside, California, United States, 2 Mechanical Engineering, University of California, Riverside, Riverside, California, United States, 3 , Advanced Micro Devices, Inc., Hopewell Junction, New York, United States, 4 Bioengineering, Physics, and Astronomy, Rice University, Houston, Texas, United States, 5 , Teradyne, Inc., Agoura Hills, California, United States, 6 Chemical Engineering, California Institute of Technology, Pasadena, California, United States

Show Abstract

9:00 PM - B3.26
Characterization of Liquid Penetration into Advanced PDEMS® Dielectrics

Madhukar Rao 1 , Dnyanesh Tamboli 1 , Thomas Wieder 1 , Mark O'Neill 1 , Scott Weigel 1
1 , Air Products and Chemicals, Inc., Allentown, Pennsylvania, United States

Show Abstract

9:00 PM - B3.27
Profile Control for Low-k Patterning Using TaN and TiN Metallic Hardmasks.

Herbert Struyf 1 , Dirk Hendrickx 1 , Vasile Paraschiv 1 , Diana Campos Garcia 2 , Geert Mannaert 1 , Werner Boullart 1 , Serge Vanhaelemeersch 1
1 SPDT, Imec , Leuven Belgium, 2 , INSA de Lyon, Villeurbanne France

Show Abstract

9:00 PM - B3.3
Evolution of the Porosity and the Structure During the Cleaning Process for a Porous SiOCH ULK Material.

Wilfried Puyrenier 1 , Diane Rébiscoul 2 , Lucile Broussous 1 , Andre Ayral 3 , Vincent Rouessac 3
1 , STmicroelectronics, Crolles France, 2 , CEA-LETI, MINATEC, Grenoble France, 3 , IEM, Montpellier France

Show Abstract

9:00 PM - B3.4
Low-k organic Dielectric Film for Barrier-free Interconnect.

Nobuhide Maeda 1 , Yoshio Takimoto 1 , Yoshinori Sakamoto 1 , Masahiro Tada 1 , Hideo Nakajima 2 , Keisuke Funatsu 1
1 R&D Department, Consortium for Advanced Semiconductor Materials and Related Technologies (CASMAT), Kokubunji-shi, Tokyo, Japan, 2 Fundamental Research Laboratory, Sumitomo Bakelite Co., Ltd, Yokohama, Kanagawa, Japan

Show Abstract

9:00 PM - B3.5
Methods for the Determination of Porosity in Organosilicate Low Dielectric Constant Films Produced by PECVD.

Mary Haas 1 , Mark O'Neill 1 , John Zielinski 1 , John Higgins 1 , Brian Peterson 1 , Scott Weigel 1 , Raymond Vrtis 1 , Dingjun Wu 1 , Patrick Hurley 1 , Dino Sinatore 1 , Mark Bitner 1 , Michael Kimak 1
1 Electronics Technology, Air Products and Chemicals, Allentown, Pennsylvania, United States

Show Abstract

9:00 PM - B3.6
Extendibility of the PECVD Co-deposition Approach for ULK Materials.

Olivier Gourhant 1 , Vincent Jousseaume 2 , Laurent Favennec 1 , Aziz Zenasni 2 , Patrick Maury 1 , Lucile Mage 2 , Julien Fort 3 , Samphy Hong 3 , K. Yim 4 , Vu Nguyen 4 , Patrice Gonon 5 , Gilbert Vincent 5
1 , ST Microelectronics, Crolles Cedex France, 2 LETI, CEA Grenoble, Grenoble cedex 9 France, 3 , Applied materials, Meylan cedex France, 4 , Applied materials, Santa Clara, California, United States, 5 , LTM-CNRS, Grenoble Cedex France

Show Abstract

9:00 PM - B3.7
Dynamics of Moisture Uptake and Removal in Porous Low-k Dielectric Films.

Harpreet Juneja 1 , Junpin Yao 1 , Asad Iqbal 1 , Ting Tsui 2 , Farhang Shadman 1
1 NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing, University of Arizona, Tucson, Arizona, United States, 2 Silicon Technology Development, Texas Instruments, Dallas, Texas, United States

Show Abstract

B4: Poster Session: Novel Concepts and Materials
Session Chairs
Wednesday AM, April 11, 2007
Salon Level (Marriott)

9:00 PM - B4.1
Assembly and Positioning of Carbon Nanotube Bundles for Interconnect Applications

Michael Woodson 1 , Jie Liu 1 , Alexander Tselev 1
1 Chemistry, Duke University, Durham, North Carolina, United States

Show Abstract

9:00 PM - B4.10
Structural, Compositional and Electrochemical Properties of Nanocrystalline Si-Cr Alloy Anodes for Lithium Thin Film Battery.

Arun Patil 1 , Vaishali Patil 1 , Ji-Won Choi 1 , Seok Jin Yoon 1
1 Thin Film Materials Research Centre,, Korea Institute of Science and Technology ,, Seoul, seoul, Korea (the Republic of)

Show Abstract

9:00 PM - B4.11
Effect of Post-annealing on Thermal Degradation of Indium Tin Oxide Thin Films Deposited by rf Magnetron Sputtering.

Yongnam Kim 1 , Hyungyoo Shin 2 , Junkwang Song 2 , Heesoo Lee 3
1 Failure Analysis Team, Korea Testing Laboratory, Seoul Korea (the Republic of), 2 Material Testing Team, Korea Testing Laboratory, Seoul Korea (the Republic of), 3 National Core Research Center, Pusan National University, Pusan Korea (the Republic of)

Show Abstract

9:00 PM - B4.12
Frequency-dependent Piezoelectric Device for Small-power Harvesting.

Min-Soo Kim 1 , Soon-Jong Jeong 1 , Dae-Su Lee 1 , Jae-Sung Song 1
1 Advanced Materials & Application Research Laboratory, Korea Electrotechnology Research Institute, Changwon, Kyungnam, Korea (the Republic of)

Show Abstract

9:00 PM - B4.2
Direct Synthesis and Modification of Singlewalled Carbon Nanotubes-Silica Nanoparticles Functional Systems.

Yung Joon Jung 1 , Myung Gwan Hahm 1 , Ahmed Busnaina 1 , Lije Ci 1 , Pulickel Ajayan 1
1 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States

Show Abstract

9:00 PM - B4.3
Synthesis of Fe-catalyst through Spray Pyrolysis for MWNTs

Jun Ho Choi 1 , Joon He Jeong 1 , Jin Ho Lee 1
1 R&D Center, Samsung Corning, Gyeonggi-do Korea (the Republic of)

Show Abstract

9:00 PM - B4.4
Improvement of the Performances in Vertical GaN Blue Laser Diode by Backside Contact Process Optimization.

Taehoon Jang 1 , YounJoon Sung 1 , Kyusang Kim 1 , Suhee Chae 1 , Okhyun Nam 1 , Yongjo Park 1
1 Photonics, Samsung Advanced Institute of Technology, Suwon Korea (the Republic of)

Show Abstract

9:00 PM - B4.5
Synthesis and Characterization of Electronic Ink Particles for Electronic Paper

Hwa Jin Cha 1 , Hyo Sim Kang 1 , Young Soo Kang 1 , Ju Chang Kim 1 , Sun Hwa Oh 2
1 Chemistry, Pukyong National Univ., Pusan Korea (the Republic of), 2 Basic Science Research Institute, Pukyong National University, 599-1 Daeyon-3-Dong, Nam-Gu, Pusan Korea (the Republic of)

Show Abstract

9:00 PM - B4.8
A Low Temperature Photonic Crystal Technology for Integration with Modern CMOS Technologies.

Khadijeh Bayat 1 , Mahdi Farrokh Baroughi 1 , Sujeet Chaudhuri 1 , Safieddin Safavi-Naeini 1
1 ECE, University of Waterloo, Waterloo, Ontario, Canada

Show Abstract

9:00 PM - B4.9
A Modeling and Simulation Study for Control of Diameter of Si Single Crystal Ingot in Cz Furnace

Jae Hak Jung 1 , Jin Soo Park 1 , Won Shoup So 1 , Min kyo Seo 1 , Mi Jung Park 1
1 School of Display and Chemical Engineering, Yeungnam University, Gyongsan, Gyeongsangbuuk-do Korea (the Republic of)

Show Abstract

2007-04-11   Show All Abstracts

Symposium Organizers

Qinghuang Lin IBM T. J. Watson Research Center
Wen-li Wu National Institute of Standards and Technology
E. Todd Ryan Advanced Micro Devices IBM - Albany NanoTech
Do Yeung Yoon Seoul National University
B5: Emerging Interconnect Concepts and Materials
Session Chairs
Robert Miller
Dorel Toma
Wednesday AM, April 11, 2007
Room 3002 (Moscone West)

9:30 AM - **B5.2
A Novel Method to Prepare Superhydrophobic, Self-cleaning and Transparent Coatings for Biomedical Electronic Devices.

ChingPing Wong 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States

Show Abstract

10:00 AM - B5.3
Angular Orientation-Specific Directed Self-assembly Enabling the Integration of Ultra Small Dies.

Robert Knuesel 1 , Shameek Bose 1 , Wei Zheng 1 , Heiko Jacobs 1
1 Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States

Show Abstract

10:15 AM - B5.4
Stretchable Interconnects for Microelectronics.

Joyelle Jones 1 , Stephanie Lacour 2 , Prashant Mandlik 1 , Sigurd Wagner 1
1 Electrical Engineering, Princeton University, Princeton, New Jersey, United States, 2 , University of Cambridge, London United Kingdom

Show Abstract

10:30 AM - B5.5
Nano-scale Conductive Films for High Performance Fine Pitch Interconnect

Yi Li 1 , ChingPing Wong 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States

Show Abstract

10:45 AM - *
Break

11:15 AM - **B5.6
Design of Chemical Vapor Deposition Processes for Low k Dielectrics and Air Gap Formation.

Karen Gleason 1
1 , MIT, Cambridge, Massachusetts, United States

Show Abstract

11:45 AM - B5.7
Measuring Pattern Quality and Porosity of Dielectric Insulator Films Directly Patterned by Nanoimprint Lithography

Hyun Wook Ro 1 , Jones Ronald 1 , Peng Huagen 2 , Hines Daniel 3 , Hae-Jeong Lee 1 , Eric Lin 1 , Alamgir Karim 1 , Do Yoon 4 , Gidley David 2 , Soles Chris 1
1 Polymers Division, NIST, Gaithersburg, Maryland, United States, 2 Department of Physics, University of Michigan, Ann Arbor, Michigan, United States, 3 Laboratory for Physical Sciences, University of Maryland, College Park, Maryland, United States, 4 School of Chemistry, Seoul National University, Seoul Korea (the Republic of)

Show Abstract

12:15 PM - B5.9
NanoCT: Visualizing of internal 3D-Structures with Submicrometer Resolution.

Dirk Neuber 1 , David Lehmann 2 , Oliver Brunke 1
1 , phoenix|x-ray Systems + Services GmbH, Wunstorf Germany, 2 , phoenix|x-ray Systems + Services Inc., St. Petersburg, Florida, United States

Show Abstract

12:30 PM - B5.10
Monolithic Tunnel Junctions for Molecular Electronics using Atomic Layer Deposition.

Rahul Gupta 1 , Brian Willis 1
1 Chemical Engineering, University of Delaware, Newark, Delaware, United States

Show Abstract

12:45 PM - B5.11
Adhesion of Interfaces in Ultra Thin-Film Transistor Gate Structures.

Ryan Birringer 1 , Ching-Huang Lu 2 , Yoshio Nishi 2 , Steven Hung 3 , Gary Miner 3 , Reinhold Dauskardt 1
1 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Department of Electrical Engineering, Stanford University, Stanford, California, United States, 3 , Applied Materials Corporation, Sunnyvale, California, United States

Show Abstract

B6: Interconnect Reliability
Session Chairs
Alfred Grill
Junjun Liu
Wednesday PM, April 11, 2007
Room 3002 (Moscone West)

2:30 PM - **B6.1
Low-K Dielectrics — Nanostructure and Process Effects on Reliability.

Reinhold Dauskardt 1
1 , Stanford University, Stanford, California, United States

Show Abstract

3:00 PM - **B6.2
Cu Migration-induced Dielectric Breakdown Mechanism During Time Dependent Dielectric Breakdown (TDDB) Test.

Young-Chang Joo 1 , Sang-Soo Hwang 1 , Sung-Yup Jung 1
1 School of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)

Show Abstract

3:30 PM - B6.3
Charging and Aging Effects in Porous ULK Dielectrics.

Cyril Guedj 1 , Eugenie Martinez 1 , Gregory Imbert 2
1 , LETI, Grenoble France, 2 , STMicroelectronics, Crolles France

Show Abstract

3:45 PM - B6.4
Influence of Interfacial Delamination on Channel Cracking of Brittle Thin Films.

Rui Huang 1 , Yaoyu Pang 1
1 Department of Aerospace Engineering and Engineering Mechanics, University of Texas at Austin, Austin, Texas, United States

Show Abstract

4:00 PM - *
Break

4:30 PM - **B6.5
Distribution of Plasma Damage in Low-k Film and Fine Trench Structure.

Shinichi Ogawa 1 , Yuji Otsuka 2 , Miyoko Shimada 1 , Hirofumi Seki 2 , Hideki Hashimoto 2
1 , Semiconductor Leading Edge Technologies, Inc., Tsukuba, Ibaraki, Japan, 2 , Toray Research Center, Inc., Ohtsu, Shiga, Japan

Show Abstract

5:00 PM - B6.6
Improved Electromigration Lifetime for Copper Interconnects using Tantalum Implant.

Jeff Gambino 1 , Tim Sullivan 1 , Jason Gill 2 , Fen Chen 1 , Steve Mongeon 1 , Ed Adams 1 , Jay Burnham 1 , Phil Pokrinchak 1 , Ken Rodbell 3
1 , IBM Microelectronics, Essex Junction, Vermont, United States, 2 , IBM Microelectronics, Hopewell Junction, New York, United States, 3 , IBM Thomas J. Watson Reseach Center, Yorktown Heights, New York, United States

Show Abstract

5:15 PM - B6.7
Plasticity-inducing Texture in Cu Interconnects: Correlations and Implications for Electromigration Lifetime Assessment.

Arief Budiman 1 , Christine Hau-Riege 2 , Amit Marathe 2 , Paul Besser 3 , Young-Chang Joo 4 , Nobumichi Tamura 5 , Jamshed Patel 1 5 , William Nix 1
1 Materials Science & Engineering, Stanford University, Stanford, California, United States, 2 Technology & Reliability Development (TRD), Advanced Micro Devices, Inc., Sunnyvale, CA, California, United States, 3 Technology Research Group (TRG), Advanced Micro Devices, Inc., Sunnyvale, CA, California, United States, 4 Materials Science & Engineering, Seoul National University (SNU), Seoul Korea (the Republic of), 5 Advanced Light Source (ALS), Ernest Orlando Lawrence Berkeley National Laboratory (LBNL), Berkeley, California, United States

Show Abstract

5:30 PM - B6.8
Non-uniform Interconnect Geometries for Improved Electrical Conductance.

Daniel Josell 1
1 Metallurgy Division, NIST, Gaithersburg, Maryland, United States

Show Abstract

5:45 PM - B6.9
The Influence Of Microstructure On Electromigration And Stress Induced Void Nucleation And Evolution In Interconnect Structures.

Allan Bower 1 , Paul Ho 3 , Sadasivan Shankar 2
1 Division of Engineering, Brown University, Providence, Rhode Island, United States, 3 Laboratory for Interconnect and Packaging, University of Texas at Austin, Austin, Texas, United States, 2 Design and Technology Solutions, Intel Corporation, Santa Clara, California, United States

Show Abstract

B7: Poster Session: Interconnect Reliability and Packaging
Session Chairs
Thursday AM, April 12, 2007
Salon Level (Marriott)

9:00 PM - B7.10
Interfacial Behavior between Au Deposits and Electronic Substrates by Utilizing Au Nanoparicle Suspension

Tzu Hsuan Kao 1 , Jenn Ming Song 2 , In Gann Chen 1 , Teng Yuan Dong Dong 3
1 Department of Materials Science and Engineering, National Cheng Kung University, Tainan Taiwan, 2 Department of Materials Science and Engineering, National Dong Hwa University, Hualien Taiwan, 3 Department of Chemistry, National Sun Yat-Sen University, Kaohsiung Taiwan

Show Abstract

9:00 PM - B7.11
Effects of Bonding Force and Nanometer-Scale Surface Roughness on Cu-Cu Thermocompression Bonds

Hoi Liong Leong 1 4 , Chee Lip Gan 1 4 , Carl Thompson 3 4 , Kin Leong Pey 2 4 , Hongyu Li 5
1 School of Material Science and Engineering, Nanyang Technological University, Singapore Singapore, 4 , Singapore-MIT Alliance, Singapore Singapore, 3 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore Singapore, 5 , Institute of Microelectronics, Singapore Singapore

Show Abstract

9:00 PM - B7.12
Effects of Ti Content in Mo-Ti Glue Layer on the Interfacial Property of Cu-Mo Alloy and Cu-Si3N4 in Si3-N4/Cu/Mo-Ti Alloy/SiO2 Structure.

Taeki Hong 1 , Chang-Oh Jeong 2 , JeHun Lee 2 , Yang Ho Bae 2 , Jaegab Lee 1
1 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of), 2 Active Matrix Liquid Crystal Display Division, R&D Team, Samsung Electronics Co., Ltd, Yongin Korea (the Republic of)

Show Abstract

9:00 PM - B7.13
Reliability Evaluation of a Biocompatible Flip Chip Under Bump Metallization Stack for Electrode Arrays used for Neuroprothetics.

Rajmohan Bhandari 1 , Sandeep Negi 1 , Michael Toepper 2 , Matthias Klein 3 , Hermann Opermann 3 , Richard Normann 4 , Florian Solzbacher 1 4 5
1 ECE, University of Utah, Salt Lake City, Utah, United States, 2 High Density interconnect & Wafer Level Packaging, Fraunhofer Institute for Reliability and Micro Integration IZM, Berlin Germany, 3 Module Integration & Board Interconnection Technologies, Fraunhofer Institute for Reliability and Micro Integration IZM, Berlin Germany, 4 Bioengineering, University of Utah, Salt Lake City, Utah, United States, 5 Material Science, University of Utah, Salt Lake City, Utah, United States

Show Abstract

9:00 PM - B7.14
Wafer Level Chip Size Packaging Technology for Bulk Acoustic Wave Filters.

Hajime Yamada 1 , Naoko Aizawa 1 , Hiroyuki Fujino 1 , Yoshihiro Koshido 1 , Yukio Yoshino 1 , Takahiro Makino 1
1 , Murata Manufacturing Co.,Ltd., Yasu-shi Japan

Show Abstract

9:00 PM - B7.15
Chip-on-glass Process Using Cu-Sn Mushroom Bumps Fabricated by Electrodeposition

Sun-Hee Park 1 , Kwang-Yong Lee 1 , Young-Ho Kim 2 , Tae-Sung Oh 1
1 Materials Science and Engineering, Hongik University, Seoul Korea (the Republic of), 2 Materials Science and Engineering, Hanyang University, Seoul Korea (the Republic of)

Show Abstract

9:00 PM - B7.16
Improvement of LDI BUMP Stripping Process by Development of Room Temperature PR Stripper.

Dong-Min Kang 1 , Young- Sam Lim 1 , Hyun-Joon Kim 1 , Dong Chan Bae 2 , Young- Nam Kim 1 , Young Ho Kim 2 , Tae Sung Kim 1
1 Manufacturing Technology Team 1, Samsung Electronics, Yongin-City, Gyeonggi-Do, Korea (the Republic of), 2 Bump Section , Samsung Electronics, Yongin-City, Gyeonggi-Do, Korea (the Republic of)

Show Abstract

9:00 PM - B7.17
Fatigue of Damascene Copper Lines under AC Loading.

Stephane Moreau 1 , Sylvain Maitrejean 1 , Gerard Passemard 2
1 D2NT/LBE, CEA-LETI, Grenoble France, 2 , STMicroelectronics, Crolles France

Show Abstract

9:00 PM - B7.18
Mechanical Stability of Cu/low-k Interconnect Stacks: Measurement of Local Modulus and Adhesion.

Anand Vairagar 1 , H. Geisler 1 , D. Chumakov 1 , C. Zhai 2 , E. Zschech 1
1 , AMD Saxony LLC & Co. KG., Dresden Germany, 2 , Advanced Micro Devices, Sunnyvale, California, United States

Show Abstract

9:00 PM - B7.2
Surface Modification of Polycrystalline 3C-SiC Thin Films for Low Contact Resistivity Ohmic Contacts of Harsh Environment M/NEMS Applications

Gwiy Chung 1 , Chang-Min Ohn 1 , Ki-Bong Han 1
1 School of Electrical Enginnering, University of Ulsan, Ulsan Korea (the Republic of)

Show Abstract

9:00 PM - B7.3
Stress Induced Grain Structure Evolution in Copper Interconnects

Daniel Bentz 1 , Max Bloomfield 1 , Timothy Cale 1
1 Focus Center – New York, Rensselaer: Interconnections for Hyperintegration, Rensselaer Polytechnic Institute, Troy, New York, United States

Show Abstract

9:00 PM - B7.4
Electromigration Study on Sn Capped Air-gap Cu Damascenes Structure

Chih-Kai Hsu 1 , Ming-Chang Lin 1 , Chih-Chieh Hsu 1 , Fon-Shan Huang 1
1 Electronics engineering, National Tsing Hua University, Hsinchu Taiwan

Show Abstract

9:00 PM - B7.5
Solid –State Impedance Spectroscopy for Studying Copper Ionization in Porous low-k Interconnects in Integrated Circuits.

Ravi Achanta 1
1 , Rensselaer Polytechnic Institute, Troy, New York, United States

Show Abstract

9:00 PM - B7.6
Polysilicon And Metallization Local Stress Measurement In Industrial Processes

Moustafa Kasbari 1 2 , Sylvain Blayac 1 , Christian Rivero 2 , Florian Cacho 3 , Ola Bostrom 2 , Roland Fortunier 1
1 PS2, CMP-GC, Gardanne France, 2 , STMicroelectronics, Rousset France, 3 , STMicroelectronics, Crolles France

Show Abstract

9:00 PM - B7.7
The Effect of Capping Layer and Post CMP Surface Treatments on Adhesion Between Cu and Capping Layer.

Seol-Min Yi 1 , Cheonman Shim 2 , Han-Choon Lee 2 , Jae-Won Han 2 , Kee-Ho Kim 2 , Young-Chang Joo 1
1 School of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 , Dongbu electronics, Eumsung, Chungbuk, Korea (the Republic of)

Show Abstract

9:00 PM - B7.8
Mean Stress Effect on Fatigue Behavior of Thin Copper Foil for Electronic Components

Seungwoo Han 1 , Gi-Jeong Seo 1 , Wandoo Kim 1 , Hyunwoo Lee 2
1 Nano-Mechanical Systems Reseach Center, KIMM, Daejeon Korea (the Republic of), 2 Mechanical Engineering, Pusan National University, Pusan Korea (the Republic of)

Show Abstract

9:00 PM - B7.9
Hermetically Sealed Chip Size Cavity Package by a Wafer to Wafer Bonding Technique with Fitting Convex and Concave Shaped Solder Frames.

Yoshihiro Koshido 1 , Naoko Aizawa 1 , Hajime Yamada 1 , Yukio Yoshino 1 , Hiroyuki Fujino 1 , Takahiro Makino 1
1 , Murata manufacturing Co., Ltd., Nagaokakyo-shi, Kyoto Japan

Show Abstract

B8: Poster Session: Metallization
Session Chairs
Thursday AM, April 12, 2007
Salon Level (Marriott)

9:00 PM - B8.1
Electrical and Structural Properties of Ruthenium Film Grown by Atomic Layer Deposition Using Liquid-phase Ru(CO)3(C6H8) Precursor.

Sung-Hoon Chung 1 , Yong-Won Song 1 , Hyuk-Kyoo Jang 3
1 Nano-Optics Engineering, Korea Polytechnic University, Siheung Korea (the Republic of), 3 R&D Center, Mecharonics co., Ltd., Pyoungtaek Korea (the Republic of)

Show Abstract

9:00 PM - B8.10
Diffusion Behavior of Tungsten-titanium Barrier Layers in Silver Metallization.

S. Bhagat 1 , N. Theodore 1 2 , T. Alford 1
1 School of Materials, Arizona State University, Tempe, Arizona, United States, 2 , Freescale Semiconductor Inc., Tempe, Arizona, United States

Show Abstract

9:00 PM - B8.13
Electrical and Chemical Characteristics of CoWP Capping Layers Deposited on Cu by Electroless Plating.

Hyeong Jin Yun 1 , Tae Ho Kim 1 , Chang-Koo Kim 1
1 Chemical Engineering, Division of Energy Systems Research, Ajou University, Suwon Korea (the Republic of)

Show Abstract

9:00 PM - B8.14
Thermal Treatments Influence on the Microstructure of Electrochemically Deposed Cu in Damascene Trenches.

Vincent Carreau 1 2 , Sylvain Maitrejean 1 , Marc Verdier 2 , Yves Brechet 2 , Anne Roule 1 , Alain Toffoli 1 , Vincent Delaye 1 , Gerard Passemard 3
1 D2NT/LBE, CEA-LETI, Grenoble France, 2 , LTPCM (INPG-CNRS-UJF), St Martin d'Hères France, 3 , STMicroelectronics, Crolles France

Show Abstract

9:00 PM - B8.15
Porosity and its Effect on Barrier Performance of Thin Electroless Cobalt Alloy Capping layers on Cu Interconnects.

Qingyun Chen 1 , Jun Liu 2 , Elizabeth Walker 2 , Richard Hurtubise 1
1 R & D, Enthone, Inc., West Haven, Connecticut, United States, 2 R & D, ATMI, Danbury, Connecticut, United States

Show Abstract

9:00 PM - B8.17
Electroless Deposition of Gold Nanoparticles Over Silicon-based Substrates.

Hassan Borteh 1 , Nicke Ferrell 2 , Derek Hansford 2 , Randall Butler 3
1 Biophysics, Ohio State University, Columbus, Ohio, United States, 2 Biomedical Engineering, Ohio State University, Columbus, Ohio, United States, 3 Materials Scisnce and Engineering, Ohio State University, Columbus, Ohio, United States

Show Abstract

9:00 PM - B8.18
Ultra-smooth Ag Film Fabricated Using e-beam Evaporated Ge as an Intermediate Wetting Layer for Applications in Nanoscale Devices and Superlens.

Logeeswaran Vj 1 2 , Nobuhiko Kobayashi 2 , Wei Wu 2 , M.Saif Islam 1 2 , Nicholas Xuanlai Fang 3 , Shih Yuan Wang 2 , R. Stanley Williams 2
1 Electrical & Computer Engineering, Univ. of California Davis, Davis, California, United States, 2 Quantum Science Research Advanced Studies, Hewlett Packard Laboratories, Palo Alto, California, United States, 3 Mechanical Science & Engineering, University of Illinois, Urbana-Champaign, Urbana-Champaign, Illinois, United States

Show Abstract

9:00 PM - B8.19
Grain Orientation Analysis by TEM of 180 nm Cu Interconnects Using an Automated Crystallography Software.

Jin Ho An 1 , Paulo Ferreira 1
1 , University of Texas at Austin, Austin, Texas, United States

Show Abstract

9:00 PM - B8.2
Chemical Vapor Deposition of Amorphous Ruthenium-phosphorus Alloy Films for Cu Interconnect Applications.

Jinhong Shin 1 , Hyunwoo Kim 2 , Kyriacos Agapiou 3 , Richard Jones 3 , Gyeong Hwang 2 , John Ekerdt 2
1 Material Science and Engineering, University of Texas at Austin, Austin, Texas, United States, 2 Chemical Engineering, University of Texas at Austin, Austin, Texas, United States, 3 Chemistry and Biochemistry, University of Texas at Austin, Austin, Texas, United States

Show Abstract

9:00 PM - B8.20
Cu Filling Behavior and Contact Resistance of the Three-Dimensional Interconnection Structures Using Cu Vias for Chip Stack Package

Kwang-Yong Lee 1 , Teck-Su Oh 1 , Tae-Sung Oh 1
1 Materials Science and Engineering, Hongik University, Seoul Korea (the Republic of)

Show Abstract

9:00 PM - B8.22
Surface Deformation of Metal Films Under Controlled Pressure for Generating Ultra-flat Metal Surfaces.

Logeeswaran Vj 1 3 , Mei-Lin Chan 2 , M.Saif Islam 1 3 , David Horsley 2 , Wei Wu 3 , Shih Yuan Wang 3 , R. Stanley Williams 3
1 Electrical & Computer Engineering, Univ. of California Davis, Davis, California, United States, 3 Quantum Science Research Advanced Studies, Hewlett-Packard Laboratories, Palo Alto, California, United States, 2 Mechanical and Aeronautical Engineering, Univ of California Davis, Davis, California, United States

Show Abstract

9:00 PM - B8.23
Texture Evolution in Cu Films and Lines.

Chia-Jeng Chung 1 , David Field 1 , No-Jin Park 2 , Christy Woo 3
1 , Washington State University, Pullman, Washington, United States, 2 , Kumoh Institute of Technology, Gumi City Korea (the Republic of), 3 , Advanced Micro Devices, Sunnyvale, California, United States

Show Abstract

9:00 PM - B8.24
Potential of Ag Interconnect and Contact Metallization for Various Applications via Cu Additions.

Hauk Han 1 , Yeongseok Zoo 1 , James Mayer 1 , Terry Alford 1 2
1 School of Materials, Arizona State University, Tempe, Arizona, United States, 2 Flexible Display Center, Arizona State University, Tempe, Arizona, United States

Show Abstract

9:00 PM - B8.25
Evaluation of Copper Oxide to Copper Selectivity of Chemical Systems for BEOL Cleaning Through Electrochemical Investigations

Nandini Venkataraman 1 , Ashok Muthukumaran 1 , Srini Raghavan 1
1 Materials Science & Engineering, The University of Arizona, Tucson, Arizona, United States

Show Abstract

9:00 PM - B8.26
Electrochemical Corrosion Inhibition System for Photoresist Stripper for New Copper FPD Manufacturing.

Seiji Inaoka 1 , Sang In Kim 2
1 , Mallinckrodt Baker Inc., Phillipsburg, New Jersey, United States, 2 , Mallinckrodt Baker Int. Korea Inc., Pyeongtaek-City, Gyeonggi-Do, Korea (the Republic of)

Show Abstract

9:00 PM - B8.27
Copper Chemical Vapor Deposition using a Novel Cu(II) Precursor for Contact Via Filling Process

Hideaki Zama 1 , Yuuji Nishimura 1 , Michiyo Yago 1 , Mikio Watanabe 1
1 Institute for Semiconductor Technologies, ULVAC, Inc., Susono, Shizuoka, Japan

Show Abstract

9:00 PM - B8.28
The Effect of the O2 Addition on the ZrO2 Thin Film’s Characteristics

Bong-Ju Lee 1 , Young-Tae Cho 2 , Honglae Sohn 3
1 Division of Physics and Chemistry, Chosun University, Gwangju Korea (the Republic of), 2 Dept. of Manufacturing and Design Engineering, Jeonju University, Jeonju Korea (the Republic of), 3 Division of Physics and Chemistry, Chosun University, Gwangju Korea (the Republic of)

Show Abstract

9:00 PM - B8.29
In-situ Annealing Effects on the Improved Selectivity of Co Patterns Selectively Deposited on OTS Patterned Glass Surface.

Heejung Park 1 , Jeonggil Lee 1 , Jaegab Lee 1
1 , Kookmin university, Seoul Korea (the Republic of)

Show Abstract

9:00 PM - B8.30
Increased Electron Confinement Effect on High Electron Mobility in Dopant-free AlGaN/GaN Multi-quantum-well MIS-FET by MOCVD.

Jae-Min Jang 1 , Seung-Hee Go 1 , Jae-Gab Lee 1 , Woo-Gwang Jung 1
1 School of Advanced Materials Engineering, Kookmin university, Seoul Korea (the Republic of)

Show Abstract

9:00 PM - B8.4
Ultra-thin Cubic B1-TaN Diffusion Barrier for Cu Interconnects Using a TiN Seed Layer.

Roy Araujo 1 , Jongsik Yoon 1 , Haiyan Wang 1 , Xinghang Zhang 2
1 Electrical and Computer Engineering, Texas A&M University, College Station, Texas, United States, 2 Mechanical Engineering, Texas A&M University, College Station, Texas, United States

Show Abstract

9:00 PM - B8.5
Time Evolution of Nanoscale Surface Topography of Tungsten Carbide Coatings on “Hot” Silicon Carbide Electronics Devices.

Lance Wilkinson 1 , Daryush Ila 1 , Claudiu Muntele 1
1 Physics, Alabama A&M University, Normal, Alabama, United States

Show Abstract

9:00 PM - B8.6
Enhanced (111) Preferred Orientation of Ag Thin Film on Amorphous SiO2 by Cu Addition.

Yeongseok Zoo 1 , Hauk Han 1 , Terry Alford 1
1 School of Materials, Arizona State University, Tempe, Arizona, United States

Show Abstract

9:00 PM - B8.7
Texture Evolution and Stress in Silver Thin Films on Different Substrates Using X-ray Diffraction.

Yeongseok Zoo 1 , Terry Alford 1
1 School or Materials, Arizona state university, Tempe, Arizona, United States

Show Abstract

9:00 PM - B8.8
Comparing AuNi5 Thin Films Obtained by Pulsed Laser Deposition and by Sputtering for Micro-Switch Contact Application

Noha Farghal 1 , Moustafa Ghannam 2 , Amr Shaarawi 1 , Philippe Soussan 3 , Kris Baert 3
1 Yousef Jameel Science and Technology Research Center, American University in Cairo, Egypt, Cairo Egypt, 2 EE, Kuwait University, Kuwait Kuwait, 3 MCP, IMEC, Leuven Belgium

Show Abstract

9:00 PM - B8.9
High-Density, Low-Resistivity TaN Films Synthesized by Plasma-assisted ALD.

E. Langereis 1 , H. Knoops 1 , J. Klootwijk 2 , F. Roozeboom 3 , M. van de Sanden 1 , W. Kessels 1
1 Applied Physics, Eindhoven University of Technology, Eindhoven Netherlands, 2 , Philips Research, Eindhoven Netherlands, 3 , NXP Semiconductors, Eindhoven Netherlands

Show Abstract

2007-04-12   Show All Abstracts

Symposium Organizers

Qinghuang Lin IBM T. J. Watson Research Center
Wen-li Wu National Institute of Standards and Technology
E. Todd Ryan Advanced Micro Devices IBM - Albany NanoTech
Do Yeung Yoon Seoul National University
B9: Atomic Layer Deposition and Metallization
Session Chairs
Kelly Malone
Christian Witt
Thursday AM, April 12, 2007
Room 3002 (Moscone West)

9:15 AM - **B9.1
Chemical Routes to Ultra Thin Films for Copper Barriers and Liners

John Ekerdt 1 , Jinhong Shin 2 , Wyatt Winkenwerder 1 , Hyun-Woo Kim 1 , Kelly Thom 1 , Gyeong Hwang 1 , Kyriacos Agapiou 3 , Richard Jones 3
1 Chemical Engineering, University of Texas at Austin, Austin, Texas, United States, 2 Materials Science and Engineering, University of Texas at Austin, Austin, Texas, United States, 3 Chemistry and Biochemistry, University of Texas at Austin, Austin, Texas, United States

Show Abstract

9:45 AM - **B9.2
Beam Activation for Barrier Formation by ALD on Low k Dielectric Surfaces.

Junjun Liu 2 , Hualiang Shi 1 , Junjing Bao 1 , Paul Ho 1
2 , Tokyo Electron of America, Inc., Austin, Texas, United States, 1 Microelectronics Research Center, The University of Texas at Austin, Austin, Texas, United States

Show Abstract

10:15 AM - B9.3
Characterization of Atomic Layer Deposited Ultrathin HfO2 Film as a Diffusion Barrier in Cu Metallization.

Prodyut Majumder 1 , Rajesh Katamreddy 1 , Christos Takoudis 2
1 Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois, United States, 2 Departments of Chemical Engineering and Bioengineering, University of Illinois at Chicago, Chicago, Illinois, United States

Show Abstract

10:30 AM - B9.4
One Step Nitride Mediated Epitaxy of CoSi2 from Plasma Enhanced Atomic Layer Deposition Cobalt Films

Han-Bo-Ram Lee 1 , Hyungjun Kim 1
1 , POSTECH, Pohang, Gyung Sang Bukdo, Korea (the Republic of)

Show Abstract

10:45 AM - *
Break

11:15 AM - **B9.5
Interface Stability of Metal Barrier and low K Dielectrics.

Toh-Ming Lu 1
1 Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York, United States

Show Abstract

11:45 AM - B9.6
Low Temperature CVD of Ru from C6H8Ru(CO)3

Sophia Lazarz 1 , Yu Yang 1 , Navneet Kumar 1 , Do Young Kim 2 , Wontae Noh 2 , Gregory Girolami 2 , John Abelson 1
1 Materials Science and Engineering, U. Illinois at Urbana-Champaign, Urbana, Illinois, United States, 2 Department of Chemistry, U. Illinois at Urbana-Champaign, Urbana, Illinois, United States

Show Abstract

12:00 PM - B9.7
Laser-Induced Microstructural Modification of Polycrystalline Cu Films Encapsulated in SiO2.

Rong Zhong 1 , Jorg Wiezorek 1 , John Leonard 1
1 Materials Science and Engineering, University of Pittsburgh, Pittsburgh, Pennsylvania, United States

Show Abstract

12:15 PM - B9.8
Height Dependent Resistivity of Copper Interconnects in the Size Effect.

Hideki Kitada 1 , Takashi Suzuki 2 , Takahiro Kimura 2 , Tomoji Nakamura 2
1 , Fujitsu Laboratories Ltd., 10-1 Morinosato-Wakamiya, Atsugi, Kanagawa, Japan, 2 , Fujitsu Laboratories Ltd., Akiruno Technology Center, 50 Fuchigami, Akiruno, Tokyo, Japan

Show Abstract

12:30 PM - B9.9
AC Fatigue Tests of Damascene Interconnect Structures

David Read 1 , Roy Geiss 1
1 Materials Reliability Division, National Institute of Standards and Technology, Boulder, Colorado, United States

Show Abstract

12:45 PM - B9.10
Electroluminescence from Single Colloidal Quantum Dots at Room Temperature.

Hao Huang 1 , August Dorn 1 , Moungi Bawendi 1 , Vladimir Bulovic 2
1 Chemistry, M.I.T., Cambridge, Massachusetts, United States, 2 Electrical Engineering & Computer Science, M.I.T., Cambridge, Massachusetts, United States

Show Abstract

B10: Novel Interconnects and Packaging
Session Chairs
Atsushi Shiota
Albert Yee
Thursday PM, April 12, 2007
Room 3002 (Moscone West)

2:30 PM - **B10.1
Assembling Carbon Nanotube Films as Thermal Interface Materials.

ChingPing Wong 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States

Show Abstract

3:00 PM - B10.2
Impact of Fabrication Process, Layout Variation, and Packaging Process on Cu/Low-k Interconnect Reliability.

Aditya Karmarkar 1 , Xiaopeng Xu 2 , Dipu Pramanik 2 , Greg Rollins 2 , Xiao Lin 2
1 TCAD DFM Solutions, Synopsys (India) Pvt. Ltd., Hyderabad, Andhra Pradesh, India, 2 TCAD DFM Solutions, Synopsys, Inc., Mountain View, California, United States

Show Abstract

3:15 PM - B10.3
Low Temperature Direct Metal Bonding by Self Assembled Monolayers.

Xiaofang Ang 1 , Li Cheong Chin 1 , Jun Wei 2 , Zhong Chen 1 , Chee Cheong Wong 1
1 School of Materials Science & Engineering, Nanyang Technological University, Singapore Singapore, 2 , Singapore Institute of Manufacturing Technology, Singapore Singapore

Show Abstract

3:30 PM - B10.4
A Trial for Micro-Scale Evaluation of Adhesion Strength around Cu Metallization Systems.

Shoji Kamiya 1 , Hitoshi Arakawa 1 , Hiroshi Shimomura 1 , Masaki Omiya 2
1 , Nagoya Institute of Technology, Nagoya, Aichi, Japan, 2 , Tokyo Institute of Technology, Tokyo Japan

Show Abstract

3:45 PM - B10.5
Modified Creep Experiments of Polydimethylsiloxane (PDMS) Films on Si Substrate Using Nanoindenter and Flat-ended Cylindrical Tip.

Seung Tae Choi 1 , Su Jeong Jeong 2 , Seung Ryun Lee 3 , Youn Young Earmme 3 , Changyoul Moon 1
1 Micro Device and Systems Lab, Samsung Advanced Institute of Technology, Suwon, Gyeonggi-do, Korea (the Republic of), 2 Vehicle CAE team, Advanced Technology Center, Hyundai & Kia Corporate Research & Development Division, Hwaseong-si, Gyeonggi-do, Korea (the Republic of), 3 Department of Mechanical Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)

Show Abstract

4:00 PM - *
Break

4:30 PM - **B10.6
Active and Passive Photonic Components on a Silicon Chip.

Michal Lipson 1
1 , Cornell University, Ithaca, New York, United States

Show Abstract

5:00 PM - B10.7
Waveguide Couplers Induced Optically over Junction Between Organic Substances.

Andrzej Walczak 1 , Edward Nowinowski-Kruszelnicki 1
1 Institute of Computer Science, Military University of Technology, Warsaw Poland

Show Abstract

5:15 PM - B10.8
Sonochemical and Microwave Synthesis and Characterization of ZnS Nanoparticles.

Vijaya Rangari 1
1 center for advanced materials, tuskegee university, Tuskegee, Alabama, United States

Show Abstract