Symposium Organizers
Henry Ji Transmill Technologies, Inc.
Venkatesen Mannivanan Colorado State University
Binxian Ren Hebei University of Technology
Loucas Tsakalakos General Electric
Symposium Support
General Electric - Global Research
Solexant Inc
Transmill Technologies Inc
C1: Nanostructure-Based Photovoltaics & Multi-Component Thin Film PV Manufacturing I
Session Chairs
Tuesday PM, April 26, 2011
Room 2000 (Moscone West)
9:00 AM - **C1.1
Waferless High Efficiency Photovoltaics Based on Flexible Si Wire Arrays.
Harry Atwater 1
1 Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractRapid progress in silicon wire array solar cells has enabled cells with high open circuit voltage (>600 mV) and high (>90%) quantum efficiency, in wire arrays grown by a metal-catalyzed vapor-liquid-solid growth process. Following growth on a crystalline (111) Si wafer, Si wire arrays are embedded in a polymethyldisiloxane (PDMS) film and can be peeled off the growth template substrate, yielding an unusual photovoltaic material: a flexible, bendable, wafer-thickness Si absorber. Following wire array peel off, the original growth template substrate can be reused for subsequent array growth without further lithography. In this paper, I will report progress on large-area (> 10cm x 10cm) peel-off of Si wire arrays and directions for high efficiency cell and module fabrication that can enable the < $1/W module manufacturing goal.
9:30 AM - C1.2
High-efficiency Ordered Silicon Nano-conical-frustum Array Solar Cells by Self-powered Parallel Electron Lithography.
Yuerui Lu 1 , Amit Lal 1
1 , Cornell University, Ithaca, New York, United States
Show AbstractNanostructured silicon thin film solar cells are promising, due to the strongly enhanced light trapping, high carrier collection efficiency, and potential low cost. Ordered nanostructure arrays, with large-area controllable spacing, orientation, and size, are critical for reliable light-trapping and high-efficiency solar cells. Available top-down lithography approaches to fabricate large-area ordered nanostructure arrays are challenging due to the requirement of both high lithography resolution and high throughput. Here, a novel ordered silicon nano-conical-frustum array structure, exhibiting an impressive absorbance of ∼99% (upper bound) over wavelengths 400-1000 nm by a thickness of only 5 μm, is realized by our recently reported technique self-powered parallel electron lithography that has high-throughput and sub-35-nm high resolution. Moreover, high-efficiency (up to 10.8%) solar cells are demonstrated, using these ordered ultrathin silicon nano-conical-frustum arrays. These related fabrication techniques can also be transferred to low-cost substrate solar energy harvesting device applications. The fabrication of large-area ordered controllable Si nanostructure arrays needs top-down planar lithography with both high throughput and high resolution. Conventional optical lithography has high throughput, but its critical dimension (CD) is limited to a fraction of the wavelength. Traditional electron beam lithography (EBL) has the highest resolution <10 nm, but EBL suffers from high cost and low throughput due to the required electron beam raster scanning serial exposure. Nanoimprint lithography could be used to achieve nanostructured arrays, but the prospect of mask mechanical contact to substrate leads to a large number of defects and short mask life. Our recently reported technique self-powered parallel electron lithography (SPEL), using large-area planar radioactive beta electron thin film emitters to parallel expose e-beam resist through a stencil mask, demonstrated sub-35-nm resolution. Using naturally emitted high-energy beta particles, the SPEL system can be compact as the electron focusing column needed in EBL systems is no longer needed. Elimination of vacuum in SPEL will significantly simplify the overall lithography system and greatly reduce the cost, while enabling large area massively parallel high-throughput electron lithography with high resolution. Therefore, SPEL is a very promising way for large-area ordered nanostructure array fabrication, especially for solar cells applications.
9:45 AM - C1.3
Atomic Layer Deposition and Chemical Vapor Deposition of Copper Sulfide for Nanostructured Solar Cells.
Ian Carbone 1 3 , Glenn Alers 3 1 , Anna Bezryadina 3 1 , Frank Bridges 1 , Scott Medling 1 , Timothy Ohno 2 , Jonathan Kintnerr 2
1 Physics, University of California, Santa Cruz, Santa Cruz, California, United States, 3 Advanced Studies Laboratories, NASA Ames Research Center, Moffett Field, California, United States, 2 Physics, Colorado School of Mines, Golden, Colorado, United States
Show AbstractAtomic layer deposition (ALD) is a gas-phase deposition process that can penetrate into pores less than 5nm in diameter [1], making it a promising tool for the fabrication of nanostructured heterojunctions in extremely thin absorber solar cells. ALD and chemical vapor deposition (CVD) of Cu-rich CuxS has been performed on planar ZnO and nano-porous TiO2 using a new precursor (KI5) and H2S. Copper sulfides occur in five stable crystal phases ranging from Cu-rich Cu2S to Cu-poor CuS. The semiconducting Cu2S phase is a promising solar cell material consisting entirely of non-toxic and earth abundant materials. Cross-sectional SEM images taken of nanoporous TiO2 films with and without ALD treatment show backfilling and uniform coverage at penetration depths of over 200nm. X-ray absorption fine structure (EXAFS) data indicates that film crystal structures are disordered and dominated by Cu-rich phases for films deposited in the temperature range 150-400C. X-ray photoelectron spectroscopy was used to isolate the composition at the surfaces of the ALD-deposited films. Results are consistent with the Cu2S crystal phase. Optical absorption was measured using photothermal deflection spectroscopy for a wide range of CVD-deposited film thicknesses. The initial film growth (<100nm) shows high absorption at low photon energies, a characteristic of metallic, Cu-poor CuxS. As thickness increases, distinguishable direct and indirect band gaps appear in the ranges 1.11-1.15eV (indirect) and 1.81-2.03eV (direct). These values are consistent with accepted Cu2S values [2]. The sheet resistances of ALD and CVD-deposited CuxS films on planar ZnO do not scale linearly with thickness, indicating the presence of a Cu-poor material preferentially forming at the substrate/CuxS boundary. This Cu-poor region at the ZnO and TiO2 interface effectively shorts out the semiconducting Cu2S phase. [1] L. Reijnen, B. Feddes, A. M. Vredenberg, J. Schoonman, and A. Goossens, The Journal of Physical Chemistry B 108, 9133-9137 (2004). [2] O. Madelung, Semiconductors - Basic Data, 2nd ed. (Springer, 1996).
10:00 AM - C1.4
Resonating Mode Enhanced Optical Absorption in Si Hollow Nanospheres.
Yan Yao 1 , Jie Yao 1 , Zhichao Ruan 2 , Jia Zhu 2 , Ching-Mei Hsu 1 , Shanhui Fan 2 , Yi Cui 1
1 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Department of Electrical Engineering, Stanford University, Stanford, California, United States
Show AbstractAmorphous silicon is widely used in thin film solar cells, however, a large portion of incident light is reflected back from flat surface of a-Si due to its high refractive index. Certain nanostructures have been demonstrated for broadband reflection suppression. Here we report on the design and fabrication of a-Si hollow nanospheres using a wafer-scale Langmuir-Blodgett assembly technique and chemical vapor deposition. We investigated light absorption and reflection properties of these a-Si nanostructures for solar cell applications. These Si hollow nanospheres display greatly enhanced absorption to the flat control film, particularly in the spectral range from 550 nm to 800 nm. Full-wave electromagnetic simulation of the absorption in the active a-Si:H layer agrees well with experimental results. We show strong absorption peak due to resonance mode in the shell of Si nanosphere.
10:15 AM - C1.5
Performance of Ultra-Thin Film Mo/CdTe/AZO Schottky Diode Photovoltaics in a Substrate Configuration.
Chris France 1 2 , Hector Romo 3 , Sue Carter 1 2 , Glenn Alers 2 1
1 Physics Department, University of California-Santa Cruz, Santa Cruz, California, United States, 2 Advanced Studies Laboratories, NASA Ames Research Center, Moffett Field, California, United States, 3 Electrical Engineering Department, University of California-Santa Cruz, Santa Cruz, California, United States
Show AbstractWe fabricate ultra-thin Cadmium Telluride (CdTe) Schottky diode photovoltaics in a substrate geometry. Devices were comprised of a 350nm thick CdTe microcrystalline layer deposited by spin-casting and sintering colloidal nanorods onto RF sputtered Molybdenum films on glass. A transparent conducting Aluminum doped Zinc Oxide (AZO) top contact was then RF sputtered on the CdTe. A typical device has a 0.2 mA/cm2 short-circut current density (Jsc), a 100mV open-circuit voltage (Voc) and a 25% fill factor under AM1.5G, 100mW/cm2, illumination. We compare these results to our best superstrate devices with 5% power conversion efficiency on pre-patterned ITO with a structure of ITO/CdTe/Al and a 22mA/cm2 Jsc, a 520mV Voc and a 43% fill factor. Our substrate device performance is limited by the high series resistance of our RF sputtered AZO films and heat damage to the CdTe during the RF sputtering process. To alleviate this problem moving to a low-temperature solution-deposited transparent electrode is required. This work highlights the possibility of successfully fabricating a CdTe Schottky diode solar cell on Molybdenum metal foils.
11:00 AM - **C1.6
Scaling CdTe PV from Pilot Production to High Volume Manufacturing.
Fred Seymour 1
1 , PrimeStar Solar, Inc, Arvada, Colorado, United States
Show AbstractAs PrimeStar Solar transitions from CdTe PV module pilot production to high volume manufacturing we are focused on minimizing production variability and costs while maximizing yield, performance and reliability. Steps being taken include a factory design with in-line metrology and characterization to enhance real time monitoring and process control feedback; distributed flow to optimize equipment capacity and facilitate statistical process control; and careful automation to minimize product handling defects. Our equipment design is a balance between minimizing capital cost, maximizing availability and throughput as well as preserving flexibility for anticipated future process enhancements. We are also tightening source material specifications to minimize product variability. A number of the opportunities and challenges with this transition are discussed.
11:30 AM - **C1.7
Ion Beam Texturing and Reactive Deposition for Photovoltaic Materials.
Bruce Clemens 1 , J. Groves 1 , Vardaan Chawla 1 , Joel Li 2 , Garrett Hayes 1 , Charles Teplin 3
1 Electrical Engineering, Stanford University, Stanford, California, United States, 2 Materials Science and Engineering, Stanford University, Stanford, California, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractSuccessful deployment of photovoltaic power generation at the terawatt level will require synthesis techniques that can produce low-cost, large-area devices with performance that rivals single crystal materials. We report here on two physical vapor deposition approaches that have large-scale potential. The first approach utilizes ion beam assisted deposition (IBAD) to produce biaxial texture in thin films. The ion beam is incident on the substrate concurrent with deposition and at an inclined angle corresponding to a channeling direction in the growing film. This produces in-plane and out-of-plane alignment of the crystals in the film. We have developed a seeded epitaxy technique that uses a seed layer that is easily aligned with IBAD, upon which the semiconductor of interest can be grown. Here we report on Si films grown on IBAD deposited CaF2. We have used a variety of approaches for Si film growth including evaporation, sputtering and hot wire CVD. We will report on structural characterization as well as electronic properties. The second approach utilizes reactive sputter deposition to produce sulfide absorber layers. The material Cu2ZnSnS4 (CZTS) has many advantages for application in photovoltaic devices, including self-doping, favorable band-gap and no expensive or rare constituents. However, conventional growth approaches require an anneal to react with form the desired sulfide phase. Since the metal species are the fast diffusing species, formation of the sulfide is accompanied by incorporation of Kirkendal voids and defects. Our approach is to incorporate the sulfur in the growth process and directly form the desired phase. Here we report on material quality and device performance.
12:00 PM - C1.8
Synthesis of CuInSe2 Absorbers from Bilayer Compound Precursors.
Rangarajan Krishnan 1 , David Wood 1 , Vaibhav Chaudhari 1 , Andrew Payzant 2 , Rommel Noufi 3 , Timothy Anderson 1
1 Chemical Engineering, University of Florida, Gainesville, Florida, United States, 2 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractThe chalcopyrite solid solution Cu(InxGa1-x)Se2 (CIGS) is a commercially emerging thin film absorber material based on the promise of low manufacturing cost and high conversion efficiency (champion cell now exceeds 20%). The primary challenge in achieving low processing cost is increasing the synthesis rate of CIGS at lower temperature. Recognizing this challenge the national solar technology roadmap calls for decreasing the absorber synthesis time to 2 min by 2015 while retaining high efficiency. To assist in indentifying improved synthesis routes, we have been using in-situ high temperature X-ray diffraction to better understand reaction pathways and determine rate constants1. These studies have suggested that synthesis of Cu(InxGa1-x)Se2 is diffusion limited for most precursor structures. This suggests that pathways that include a liquid phase or involve an interstitial or high vacancy concentration diffusion mechanism would be good candidates.Time-resolved selenization is used to study the formation of CuInSe2 (CIS) from novel compound bilayer precursors. Specifically the bilayer structures glass/Mo/γ-In2Se3/CuSey (sample A) and glass/Mo/γ-In2Se3/β-Cu2Se (sample B) were investigated. The structures were deposited by thermal evaporation on sputtered Mo/thin sodium-free glass substrates. ICP analysis indicated both samples were copper-rich with a Cu/In ratio = 0.97 for sample A and 1.18 for Sample B. Intermediate liquid phases are expected for Cu-rich and high Se activity conditions. Initial temperature ramp experiments using the glass/Mo/γ-In2Se3/CuSey sample revealed the reaction sequence of formation of β-CuSe, selenization to CuSe2, decomposition of this compound to γ-CuSe and γ-In2Se3 to InSe, and final synthesis of CIS. The sequence for the glass/Mo/γ-In2Se3/β-Cu2Se precursor showed β-Cu2Se reacts with Se to form CuSe2, then melts peritectically giving L + γ-CuSe, and γ-In2Se3 disproportionates yielding InSe and Se, followed by final synthesis of CIS. Isothermal experiments were performed to quantitatively extract kinetic parameters using the Avrami and parabolic growth models. SEM images revealed significant grain growth for both temperature ramp annealed samples. Based on these results, these precursor structures were annealed for 2 min using rapid thermal annealing in a Se atmosphere to test the feasibility of this precursor. Interestingly the reaction was complete in 2 minutes at a very low temperature (390 οC for samples A and 370 οC for sample B) while showing large grain growth. Additionally, TEM was performed to provide compositional and structural support for the indentified pathways.[1]W. Kim, S. Kim, E. Payzant, S. Speakman, S. Yoon, R. Kaczynski, R. Acher, T. Anderson, O. Crisalle, and S. Li, Journal of Physics and Chemistry of Solids 66/11 (2005) 1915.
12:15 PM - C1.9
In-line Control Quality of Chacolpyrite Based Solar Cells by Advance Raman Spectroscopy.
Victor Izquierdo Roca 3 , Xavier Fontane 2 , Edgardo Saucedo 2 , Jesus Salvador Jaime Ferrer 1 , Jacobo Alvarez 3 , Alejandro Perez Rodriguez 2 , Juan Ramon Morante 2 , Veronica Bermudez 1
3 , IN2UB, Barcelone Spain, 2 , IREC, Barcelone Spain, 1 , NEXCIS, Rousset France
Show AbstractThe development of Raman scattering based strategies for process monitoring in chalcopyrite based photovoltaic thin film technologies is reported. Raman spectra measured at different process steps during the fabrication of the absorbers are very sensitive to features related to their crystalline quality, presence of secondary phases and polytypes and alloy composition. All these are features that have a significant impact on the characteristics of the final solar cells. New strategies based in the use of quasi-resonant Raman measurements are described for the non destructive assessment of the composition of quaternary alloys. The methodology developed can be used to monitor the fabrication of CIGS absorbers by using several techniques, such as sputtering, solution based processes, electrodeposition, .... In particular, in this work the implementation of Raman scattering for monitoring of electrodeposition processes used in the fabrication of low cost electrochemical based CuIn(S,Se)2 solar cells is reported at both on-line and in-situ levels due to the strong interest for the development of technologies with low fabrication costs of the single step electrodeposition of CuInSe2 precursors followed by a Rapid Thermal Process (RTP) sulphurisation process. The potential of using RS as a quality assessment and monitoring tool in the production of chalcopyrite absorbers is described, with a particular emphasis on the most relevant structures: CuInSe2 (CISe), CuInS2 (CIS), CuGaS2 (CGS) and CuGaSe2 (CGSe). We will discuss the most important information that can be inferred from the analysis of the Raman spectra to process monitoring, including crystalline quality, crystallographic structure, chemical composition in the case of quaternary alloys, and the presence of secondary phases. One remarkable advantage of the developed methology is the identification of Ordered Vacancy Compounds (OVCs) in CISe based absorbers. These phases arise as a result of a deficiency of Cu during the film formation, leading to the introduction of randomly distributed In[Cu] antisite defects in the chalcopyrite lattice, which are electrically compensated by Se vacancies, and which are difficult to be identified in line with other monitoring techniques. Several OVCs with different stoichiometries have been studied in this work, including CuIn2Se3.5, CuIn3Se5, and CuIn5Se8.The advantages of quasi-resonant measurements can be achieved by selecting an excitation wavelength close enough to the band-gap of the alloy is also discussed. This determines a strong increase of the intensity of the Raman modes, which allows for a significant decrease of the measuring time, improving the potentiality the implementation of this technique as an in-line in-site quality control technique.
12:30 PM - **C1.10
Rapid Printing of High-efficiency Monolithically Integrated CIGS Photovoltaic Modules.
Louay Eldada 1 , Baosheng Sang 1 , Dingyuan Lu 1 , Peter Hersh 1 , Casey Martinez 1 , Billy Stanbery 1
1 , HelioVolt Corporation, Austin, Texas, United States
Show AbstractWe describe the design, development and manufacture of monolithically integrated photovoltaic modules based on high-quality high-uniformity copper indium gallium selenide (CIGS) thin films produced with the unique combination of ink based and physical vapor deposition (PVD) based nanoengineered precursor thin films, and a reactive transfer printing method. Reactive transfer is a two-stage process relying on chemical reaction between two separate precursor films to form CIGS, one deposited on the substrate and the other on a printing plate in the first stage. In the second stage, these precursors are brought in proximity and rapidly reacted under pressure while heat is applied. The use of two independent thin films provides the benefits of independent composition and flexible deposition technique optimization, and eliminates pre-reaction prior to the synthesis of CIGS. When atmospheric deposition of inks is utilized, the approach provides lower energy consumption, higher throughput, and reduced capital equipment cost with higher uptime. High quality CIGS with large grains on the order of several microns, and of preferred crystallographic orientation, are formed in under a minute based on compositional and structural analysis by XRF, SIMS, SEM and XRD. Cell efficiencies of 14% and module efficiencies of 12% have been achieved using this method. HelioVolt commercialized the reactive transfer process on a 20 MW pilot line, and is in the process of scaling the process on multiple 125 MW lines in a mass production GW-scale factory.
C2: Solution-Based Processes I & Transparent Conductors and Coatings I
Session Chairs
Tuesday PM, April 26, 2011
Room 2000 (Moscone West)
2:30 PM - **C2.1
Molecular Precursor and Nanocrystal-ink Based Routes to CIGS and CZTS Solar Cells.
Hugh Hillhouse 1
1 Chemical Engineering, University of Washington, Seattle, Washington, United States
Show AbstractThe development of colloidal inks that can be used to yield high quality semiconductor layers are a key step in the development of low-cost solar cells since they enable the use of fast and inexpensive coating processes such as spray coating and roll coating to form a thin film photoabsorbing layer. Chalcopyrite structure copper indium gallium diselenide (CIGSe) and stannite or kesterite copper zinc tin sulfides (CZTS) are key photoabsorbing materials for thin film solar cells due to their near ideal band gap and their serendipitous defect chemistry (CIGSe) and Earth abundance (CZTS). Due to their unique defect chemistry, high quality layers of these materials can be formed from solution phases processing techniques. The presentation will focus on our recent advances in the development of molecular precursor and nanocrystal ink routes to thin film photovoltaic devices [1]. In particular, we have recently reported the solution-phase synthesis of stoichiometric chalcopyrite structured CuInSe2 nanocrystals [2], Cu(In,Ga)S2 [3], and the very first synthesis of Cu2ZnSnS4 nanocrystals [4]. The syntheses proceeds rapidly from elemental and halide reagents via a simple batch reaction without “hot injection” in a single component coordinating solvent. We have demonstrated the use of these nanocrystals for low-cost solar cells by fabricating devices without using any oxygen-free techniques (after NC synthesis) and employing a scalable roll coating method. The nanocrystal inks are first coated on a back contact (Mo coated sodalime glass in this case). The nanocrystal layer is then easily consolidated into large crystalline domains by a brief thermal treatment in a selenium rich atmosphere to prevent selenium loss or to replace sulfur with selenium. The fabricated cells are robust and increase in efficiency with time, exhibiting similar serendipitous defect chemistry as layers formed by vacuum co-evaporation. We have fabricated solar cells by roll coating CIGS or CZTS nanocrystal-inks over large areas. CIGS devices fabricated by roll coating over large areas with a device architecture of Mo/CIGSSe/CdS/i-ZnO/ITO/Ni/Al are (at the time of the abstract submission) 12.0% efficient under standard AM1.5G illumination while CZTS devices are now at 7.2%. The presentation will focus on the key aspects of the nanocrystal synthesis, ink coating, nanocrystal consolidation, and device fabrication and characterization for both CIGS and CZTS solar cells.[1] Hillhouse H.W. & Beard M.C., Current Opinion in Colloid & Interface Science, 14, 245 (2009).[2] Guo, Q.J., Kim, S.J., Kar, M., Shafarman, W.N., Birkmire, R.W., Stach, E.A., Agrawal, R., Hillhouse, H.W.,Nano Letters 8, 9, 2982 (2008).[3] Guo, Q.J., Ford, G.M., Hillhouse, H.W., Agrawal, R., Nano Lett. 9, 8 3060 (2009).[4] Guo, Q.J., Hillhouse, H.W., Agrawal, R., J. Am. Chem. Soc. 131, 11672 (2009).
3:00 PM - C2.2
Cu2ZnSn(S,Se)4 Thin Film Solar Cells from Binary and Ternary Chalcogenide Nanoparticles.
Yanyan Cao 1 , Michael Denny 1 , Jonathan Caspar 1 , Alex Ionkin 1 , Lynda Johnson 1 , Meijun Lu 1 , Irina Malajovich 1 , Daniela Radu 1 , H. Rosenfeld 1
1 , DuPont CR&D, Wilmington, Delaware, United States
Show AbstractCu2ZnSn(S,Se)4 (CZTS) is attracting rapidly growing attention as a direct gap semiconductor for use in thin film photovoltaic devices. In particular, the fact that CZTS relies only upon relatively earth abundant elements recommends it as a sustainable material which can potentially play a significant role in satisfying future energy demand. We report here a novel synthetic method employing mixtures of binary and ternary chalcogenide nanoparticles which has been used to synthesize CZTS thin films suitable for use in photovoltaic devices. Two strategies will be presented in detail. First, Cu2SnS3 and ZnS nanoparticles can be synthesized separately, formulated into a precursor ink, coated on a substrate and then converted into a CZTS thin film in an annealing step. An alternative strategy relies upon only binary sulfide nanoparticles (e.g. CuS, SnS and ZnS) which are formulated to form an ink and then cast into a film, which can again be converted into a CZTS thin film upon annealing. The CZTS thin films formed by this method are characterized via powder x-ray diffraction, x-ray absorption spectroscopy, scanning electron microscopy etc. This new method offers key advantages over alternative synthetic methods. The size of the nanoparticle precursors ensures the formation of dense precursor films with good film smoothness. Further, the Cu/Sn/Zn ratio in the final film can be easily adjusted by changing the precursor ratio in the ink. CZTS films with large grain size can be achieved with this method presumably due to reactive sintering. Photovoltaic devices have been successfully fabricated from these films. Device performance is correlated to the Cu/Sn/Zn ratio among other factors.
3:15 PM - C2.3
Microwave-enhanced Synthesis of Copper Zinc Tin Sulfide Colloidal Nanoparticle Inks.
Brendan Flynn 1 , Gregory Herman 1
1 School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, Oregon, United States
Show AbstractA variety of colloidal nanoparticle materials are currently under consideration for the low cost manufacture of photovoltaic devices. Initial efforts are primarily focused on toxic and expensive nanomaterials that are unlikely to be widely adopted for commercial photovoltaic modules. A promising approach is using solution-based methods for the deposition of earth-abundant absorber layers to reduce manufacturing costs while maintaining good cell performance. Copper zinc tin sulfide/selenide (CZTS) absorber layers are considered a leading candidate in this area and good power conversion efficiencies have recently been reported. Our efforts focus on the microwave-enhanced synthesis of binary, ternary and quaternary colloidal nanoparticle materials from the CZTS system. We have found significant control in size, shape, and crystalline structure of the binary nanoparticles by modifying the metal salt precursor, sulfur source, solvent, temperature, pH, and reaction time. Considerable care is taken to optimize the chemistries on the binary materials so that they can be directly applied to the ternary and quaternary systems. We found that we are able to synthesize the quaternary systems using environmentally benign precursors and solvents, and can form stable colloidal nanoparticle dispersions are designed to minimize the incorporation of impurities into the resulting films. Thin films are formed onto glass substrates by spin-coating nanoparticle dispersions, and we have performed annealing experiments to determine the optimal conditions to obtain high quality CZTS absorber layers. The nanoparticle materials and associated films are characterized using x-ray diffraction, scanning electron microscopy, transmission electron microscopy, secondary ion mass spectroscopy, and UV-vis spectroscopy.
3:30 PM - C2.4
Fabrication and Performance of Dual Back-contact Thin Film Photovoltaic Devices.
Daniel Josell 1 , Carlos Hangarter 1 , Behrang Hamadani 2 , Suyong Jung 2 , Jonathan Guyer 1
1 Metallurgy Division, NIST, Gaithersburg, Maryland, United States, 2 CNST, NIST, Gaithersburg, Maryland, United States
Show AbstractI will describe the fabrication and performance of photovoltaic devices with a dual back contact geometry created by depositing semiconductors onto two interdigitated comb-electrodes that have been previously patterned lithographically on insulating substrates. Widths and spacings of the individual wires in the combs are on the order of one micron, with the interdigitated comb electrodes in the 4 mm square area of the test devices each comprising thousands of parallel wires. The active devices are fabricated by electrochemical deposition of a semiconductor on one of the electrodes, independent control of the applied potentials on the electrodes permitting selective deposition, followed by deposition of a second semiconductor over the entire device. I will describe homojunction CdTe devices fabricated from a single electrolyte. I will also describe heterojnction devices, including CdS/CdTe devices with CdS electrodeposited on one electrode followed by CdTe electrodeposited over both the coated and uncoated electrode through impingement of the CdTe deposits to create active devices. I will detail the performance of devices, including i-V and external quantum efficiency, including the impact of electrode geometry, device processing, and electrode(contact) material. I will also present modeling results that explain the observed device performance. Finally, I will detail the positive aspects of the geometry and process, including electrode-selectivity enabled by electrodeposition in the first step and process generality for subsequent deposition steps, elimination of light-blocking front contact metals and UV-light blocking conducting oxides and the inherent absorption enhancing surface contouring as well as materials related issues arising from the requirement that all processing be done with both electrodes present.D. Josell, C. R. Beauchamp, S. Jung, B.H. Hamadani, A. Motayed, L.J. Richter, M. Williams, J.E. Bonevich, A. Shapiro, N. Zhitenev, T.P. Moffat, Three-Dimensionally Structured CdTe Thin Film Photovoltaic Devices with Self-Aligned Back-Contacts: Electrodeposition on Interdigitated Electrodes, Journal of the Electrochemical Society 156(8), H654-H660 (2009).D. Josell, C. R. Beauchamp, B.H. Hamadani, S. Jung, J.E. Guyer, A. Motayed, C. Hangarter, N. Gergel-Hackett, H. Xu, N. Zhitenev, Three-Dimensionally Structured Thin Film Heterojunction Photovoltaic Devices on Self-Aligned Back-Contacts, Transactions of the Electrochemical Society, Vol. 28(2), 521-532 (2010).
3:45 PM - C2.5
Analysis and Control of Plating Baths in the Electrodeposition of Copper Indium Gallium Selenide (CIGS) Films with Ion Chromatography.
Joseph Duimstra 1 , Sarah Lastella 1 , Muharrem Kunduraci 1 , Tuncay Cetiner 1 , Serdar Aksu 1 , Mustafa Pinarbasi 1
1 , SoloPower Inc, San Jose, California, United States
Show AbstractCu(In,Ga)Se2 (CIGS) is one of the most advanced absorber materials for thin film solar cells due to its direct bandgap, high absorption coefficient, and ability to yield good quality devices. CIGS-based solar cells have yielded the highest conversion efficiencies of all thin film solar cells, reaching up to about 20%. One of the techniques used to form CIGS layers is a two-stage approach, which involves deposition of a precursor layer on a substrate followed by a high temperature activation step that converts the precursor layer into solar cell grade CIGS. Although various techniques such as evaporation and sputtering have been employed to prepare precursor layers, electrodeposition is especially attractive due to its low cost, efficient utilization of raw materials and scalability to high-volume manufacturing. Electrodeposition of high-quality CIGS layers in a high-throughput manufacturing environment requires a strict control of chemical composition of the plating baths. In the present study, we demonstrate the use of ion chromatography (IC) for the quantitative analysis of all the chemical constituents in our novel one-step aqueous alkaline CIGS electroplating solutions. With the selection of appropriate complexing agents, Cu, In and Ga ions were solubilized at high pH and their reduction potentials became closer to that of the Se reduction potential in these solutions. Since no complexation occurs between Se and the complexing agents, the Se reduction potential could be independently controlled by the amount of dissolved Se. In the formulation of the plating baths, multiple complexing agents with different affinities were included to promote selective complex formation and thereby regulate plating potential of each metal ion separately. We determined that ethylenediaminetetraacetic acid (EDTA), tartaric acid, and citric acid are suitable complexing agents for the chelation of the metal ions in solution. Ion chromatography was selected due to its ability to measure the concentrations of both anions and cations in the electrolyte solution. During the analysis, main cations such as Cu, In and Ga were separated through a cation exchange column and detected with a UV-visible spectrometer detector. Similarly, anions were separated through an anion exchange column and detected with a conductivity detector. The use of this method may be further extended to detect the organic plating additives and their decomposition products. With the inclusion of a remote solution delivery system, the measurements can be carried out in an in-line fashion to adjust the dosing levels to maintain the desired chemical constitution of the plating baths.
4:30 PM - C2.6
Durable Anti-reflective Coatings for PV Module Cover Glass.
Sudip Mukhopadhyay 1 , Renato DeTorres 1 , Hai Bien 1 , Boris Korolev 1 , Ahila Krishnamoorthy 1 , VaraPrasad Desaraju 1
1 , Honeywell, Sunnyvale, California, United States
Show AbstractChallenges remain to achieve affordable solar power technologies; yet, gradual improvement of solar efficiency and cost by rapid technological advances certainly deserves recognition. Tendency to incorporate antireflective coatings (ARC) in production line for solar cells and solar cover glass to improve efficiency is gaining momentum because of the benefits these coatings bring in managing light efficiently by minimizing reflectance loss from the air-glass interface. It has been demonstrated that a thin coating of an antireflective coating on one side of a module cover glass effectively increase absolute transmittance to 2-3% in the visible region, which in turn improve the power efficiency. However requirements for low refractive index (RI~1.22), specific thickness (thickness~100-150 nm), and demand for at least 20 year life time (must pass standard accelerated durability tests) of these materials left one with only a few material choice. Although porous silica meets some of the requirements to be used as ARC, improvements needed on the adhesion and durability areas. Therefore, there is incentive to find durable ARC materials with improved adhesion and optical properties. Here we present results of optical and durability tests of some of the new ARC materials for solar cover glass that have been developed at Honeywell’s California R&D laboratory. A detail simulation results over a wide range of solar spectrum and optimization of thickness and RI of these materials will be discussed. Effect of different process parameters on durability will also be discussed.
4:45 PM - C2.7
Deposition of Aluminum Doped Zinc Oxide Using an Atmospheric, Low Temperature Plasma Deposition Process.
Mirjam Theelen 1 , Hans Winands 1 , Frank Grob 1 , Sandra Kouijzer 1 , Hans Goverde 1 , Joop van Deelen 1 , Ariel de Graaf 1 , Paul Poodt 1
1 , TNO, Eindhoven Netherlands
Show AbstractAtmospheric pressure deposition techniques like APCVD are an asset toward reducing the production costs of e.g. solar cells. However, APCVD often requires high deposition temperatures, while next generation flexible solar cells often require low temperature processing. One route towards low temperature deposition is Atmospheric Pressure Plasma Enhanced CVD (AP-PECVD). At TNO, we have developed this technology for the deposition of (Aluminum doped) Zinc Oxide (AZO). AZO is used as transparent conductive oxide in CIGS solar cells and is used in turn key solutions for the amorphous silicon solar cell industry. Using zinc acetyl acetonate and aluminum acetyl acetonate as well as dimethyl zinc and thimethyl aluminum as precursors, AP-PECVD was used to deposit zinc oxide on aluminum and polymer foils, as well as on glass and silicon to allow simple analysis. Typical deposition temperatures adopted were <200°C. Deposition rates up to 0.7 nm/sec were obtained over a deposition area of ~1.5 x 8 cm2. XPS and EDX measurements showed that the deposited layers are largely carbon free and that the Zn:O ratio is close to stochiometry, indicating adequate precursor dissociation. SEM imaging revealed that the material is deposited in the form of nano-crystals, with typical grain diameters <200 nm. The resulting high grain-boundary density is likely to be responsible for the observed high resistivity of the films.To reduce the resistivity, atmospheric pressure, low temperature plasma annealing treatments have been investigated. Improvements, up to an order of magnitude, have been obtained, the exact value depending on treatment time, gas conditions, initial resistivity and plasma intensity. Another path to increase the quality of the deposited layer is by using a seed layer. Deposition on a substrate with a thin zinc oxide layer seemed to result in an increased conductivity. This approach will be further explored. To enhance the functionality of ZnO as a transparent conductor, it can be combined with metal grids to ensure high conductivity as well as transparency. For this purpose, ZnO with such grids were applied on polymer films, which in principle can be used as substrate for thin film solar cells.
5:00 PM - C2.8
Investigation on the Discharge Formation Mechanisms and Surface Analysis of SiO2-like Layers on Polymers Synthesized Using DBD Assisted CVD at Atmospheric Pressure.
Antony Peter 1 3 , Richard van de Sanden 1 , Sergey Starostin 2 , Hindrik de Vries 2 , Mariadriana Creatore 1
1 , Eindhoven University of Technology, Eindhoven Netherlands, 3 , Materials innovstion institute (M2i), Delft Netherlands, 2 , FUJIFILM Manufacturing Europe B.V, , Tilburg Netherlands
Show AbstractThe dielectric barrier discharge is recognized as a promising tool for PECVD of thin films at atmospheric pressure. Emerging applications including encapsulation of flexible solar cells and flexible displays requires low costs production of transparent uniform and dense layers with low level of coating defects. Among the two discharges Townsend like discharge (TD) and glow like discharge (GD) the latter offers more flexibility for the high growth rates in plasma enhanced deposition. In this investigation we demonstrate the utilization of glow like discharge in, He free, industrially relevant gas mixture comprising Ar/N2/O2/HMDSO for the deposition of high quality silica like films on large area polymeric substrates (PET or PEN) in a roll-to-roll configuration. While the discharge physics exhibiting the glow like behaviour is investigated via fast ICCD camera, voltage-current waveforms and optical emission spectroscopy, the deposited silica like films is comprehensively analyzed using AFM, SEM, XPS, SE and FTIR. The time evolution of the diffuse atmospheric discharge showed several phases starting from the initial ignition of the low current Townsend-like mode followed by the transition to glow like discharge which then undergoes lateral expansion providing uniform treatment of the whole substrate width. As a generic characteristic of the developed technology, it is observed that, irrespective of precursors (TEOS or HMDSO) and process gases (Ar, N2 or air) employed, the films are smooth, both locally and globally, and of near stoichiometric silica with very low carbon content (< 2%). Detailed AFM morphology description and surface statistical analysis on SiO2 dynamics showed that no film roughening in growth front and lateral directions observed and the synthesized layers (~ 350 nm) grow in a self-similar fashion following the topology of the substrate. The films are uniform with no defects or particle being incorporated during the deposition process and exhibit excellent barrier performances towards O2 and H2O permeation.
5:15 PM - C2.9
Recent Progress in Transparent Conducting Materials by Use of Metallic Grids on Metaloxides.
Joop van Deelen 1 , Henk Rendering 1 , Bert Huis in het Veld 1 , Mirjam Theelen 1 , Paul Poodt 1 , Arjan Hovestad 1
1 Materials Technology, TNO, Eindhoven Netherlands
Show AbstractDue to many new application fields, research into transparent conductive materials has increased rapidly in the last ten years. The classic material used as a transparent conductor has been so-called transparent conductive oxides (TCOs). In this field, new amorphous materials have been discovered which have excellent characteristics and research is conducted in search of explanations. Furthermore, materials a graphene and carbon nanotubes have emerged. A comparison between the different materials will be presented, evaluating their performance. In addition, to these single material approaches, it has been discovered that the use of a combination of different materials can enhance the performance beyond the possibilities of a single material. To illustrate this, thin film photovoltaics was used as as application case. Modeling shows that the addition of metallic grids to a TCO can reduce the optical and electrical losses of a thin film solar cell by as much as 26%. Through this modeling, optimum grid dimensions were determined. Experimental work focused on patterned electrochemical deposition of metallic grids on TCOs. Various patterning methods such as laser, nano-imprint have been used to create a surface on which selective deposition was possible. By application of metallic grids on ITO on PET, the conductivity could be enhanced by more than two orders of magnitude, at the expense of only a few percent in transmittance.Lowering the coverage, while keeping the other dimensions of the grid the same, results in an improved transmittance and an excellent sheet resistance on the order of 0.1 Ohm/sq at a transmittance of over 80%. These results are a great step forward compared to reported work on grids by printing techniques. A few advantages of electrochemical deposition over printing are:1.high conductivity of the material, which is continuous material, rather than printed particles that have percolation conductivity2.No need for special post deposition treatments that characterize printing processes, which are needed to enhance the conductivity or remove the solvent or binder materials from the printing ink3.Strongly reduced feature size. In fact, the limit of patterned electrochemical deposition lies in the patterning step, rather than the deposition method itself.Electrochemical deposition can also be used in combination with printing, thereby enabling higher conductivity of printed materials. A comparison between outcomes of modeling and experimental work will be presented as well as results on different application methods. Furthermore, results on issues as adhesion, selectivity, deposition rate and relation to process parameters will de discussed.
5:30 PM - C2.10
Scalable Transparent Electrodes with Electrospun Nanowires.
Hui Wu 1 , Liangbing Hu 1 , Yi Cui 1
1 , Department of Materials Science and Engineering, Stanford Univ., Palo Alto, California, United States
Show AbstractThin conducting films consisting of one-dimensional nanostructures are currently of great technological interest, in particular as transparent electrodes for thin-film solar cells, light-emitting diodes, display technologies and many other optoelectronic applications. Herein, a template-catalyst-free method for the preparation of conductive and transparent metal nanowire mesh is reported. Metal nanowires with length over 1 cm were prepared by electrospinning. Due to the continuous 1D nanostructure with extremely high aspect ratio, the nanowire web exhibited metallic conductivity over large areas, high transparency, and flexibility. Further, we fabricated oriented metal nanowire arrays and patterned nanowire grids by employing a modified fiber collector during electrospinning. Anisotropic electrical conductivities were obtained from this metal nanowire arrays. This is the first demonstration of transparent electrode with directional conductivities using scalable process. This work suggests that electrospun metal nanowire webs can be a highly scalable and low cost solution for high performance photovoltaics, touch screen and other optoelectronic devices.
5:45 PM - C2.11
Formation of Self Assembled MgO Nano-facet: Toward the Yablonovitch Limit for the Light Trapping in Thin Silicon Solar Cell.
Hak Ki Yu 1 2 , Jong-Lam Lee 1 2 , Gwan Ho Jung 1 2 , Wan Jae Dong 1 2 , Kihyon Hong 1 2 , Sungjun Kim 1 2
1 Material Science & Engineering, POSTECH, Pohang, Kyungbuk, Korea (the Republic of), 2 Graduate Institute of Advanced Materials Science, POSTECH, Pohang, Kyungbuk, Korea (the Republic of)
Show AbstractCurrently crystalline silicon (c-Si) yields the highest efficiency in single junction solar cells and takes up ~80 % of the solar cell market. A challenge in c-Si solar cells is that, due to its poor absorption, c-Si wafers are typically 100-300 μm thick and account for ~40% of the total module cost. If c-Si with a thickness of a few micrometers can be made to absorb as efficiently as thick Si wafers, a significant cost reduction is expected. The ideal limit in increase of optical path length could be defined as Yablonovitch limit as 4n^2/sin2θ, where n is the refractive index of the film, and θ is the acceptance angle. For Si with refractive index ~3.5 the Yablonovitch limit can increase by a factor of ~50. If this limit can be reached, the c-Si wafer thickness can be reduced from 300 um to 6 um, significantly reducing the material cost. Various schemes have been studied to enhance optical absorption in Si thin film including nanorod arrays, surface plasmon, and diffraction grating. Although these methods can increase the optical path length, they have several limitations, such as poor stability, complex processing techniques, and high cost fabrication procedures.In this work, we demonstrate a novel way of enhancing the light trapping in thin silicon solar cell by using MgO nano-facet structure. Formation of MgO nano-facet is simple and low cost process without additional lithography or patterning process because it is formed spontaneously due to material anisotropic characteristics of MgO between crystal orientations. The MgO facet is formed due to anisotropic properties between (111) and other main planes of MgO; (200) and (220). The (111) orientation of MgO with alternating array of Mg cation and O anion is very unstable because of dipole energy accumulation induced by polarity (Mg2+ plane and O2- plane). The polar (111) plane has 3 dangling bonds per atom whereas neutral (100) plane has only 1 dangling bond per atom. A lot of dangling bonds are needed to be stabilized energetically by adsorbing adatom dominantly, resulting in increase of sticking probability of adatom. Moreover, adatom on polar surface could not move laterally because a lot of dangling bonds cause large activation energy in lateral movement. This led the crystal growth with a preferred orientation of MgO (111). However, MgO (111) surface has large surface energy than other planes and is needed to be stabilized by surface reconstruction (2×2) reconstruction with octo-pole structure). So, MgO (111) films tend to grow with surface termination by (200) and their family plane to acquire the most stable atomic arrangement, resulting in facet structure. From the roughended MgO facet surface, light could be trapped largely in thin silicon solar cell, resulting in high efficiecy solar cell.
C3: Poster Session: Advanced Processes and Manufacturing for Photovoltaics
Session Chairs
Henry Ji
Venkatesen Mannivanan
Binxian Ren
Loucas Tsakalakos
Tuesday PM, April 26, 2011
Exhibition Hall (Moscone West)
6:00 PM - C3.1
Low Cost ZnO/Cu2O Solar Cells Produced by Atmospheric ALD.
Andrew Marin 1 , David Munoz-Rojas 1 , Diana Iza 1 , Judith MacManus-Driscoll 1
1 Materials Science & Metallurgy, University of Cambridge, Cambridge United Kingdom
Show AbstractAtmospheric Atomic Layer Deposition (AALD) is a fast and scalable method of producing high-quality films of transition metal oxides without the use of vacuum conditions. Eliminating vacuum significantly reduces the cost of producing these films and makes this technique attractive for low-cost photovoltaic production. AALD can be used to grow cuprous oxide (Cu2O), which is a p-type semiconductor that absorbs visible light (band gap ~2.1eV). Additionally, its atmospheric stability and low toxicity make Cu2O an attractive candidate for photovoltaic devices. Zinc Oxide (ZnO) is a wide band gap n-type material that is also easily grown via AALD at temperatures as low as 150oC. Earlier work by this group has demonstrated the potential utility of ZnO/Cu2O solar cells grown from other scalable methods (i.e. electrochemical deposition), however significant recombination at interface traps limited the device performance. This investigation illustrates the potential of AALD to deliver improved interface and charge carrier properties in ZnO/Cu2O devices compared with other low-temperature solution-processing methods. Impedance spectroscopy was used to analyze electrical properties and validate illuminated solar cell performance.
6:00 PM - C3.10
Rapid Growth of Large Transparent Films of Chemically Converted Graphene, Graphite Oxide, and Aligned Single-walled Carbon Nanotubes.
Julio D'Arcy 1 2 , Richard Kaner 1 2 3 , Yang Yang 3 2 1
1 Chemistry and Biochemistry , University of California, Los Angeles, Los Angeles, California, United States, 2 California NanoSystems Institute, University of California, Los Angeles, Los Angeles, California, United States, 3 Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California, United States
Show AbstractCarbon is ubiquitous on earth and is a fascinating element possessing morphological anisotropy at the nanoscale. Dimensionality in structure affords tunable electronic properties in these inorganic materials and makes them attractive nanoscale semiconductors. Chemical processing typically involves casting thin films using methods such as spin-coating or dip-coating. These methods however, suffer from a low material utilization yield, lack of scalability, and are therefore not cost-effective. Here we show rapid film growth of large transparent films of carbon nanostructures, deposited via solution processing at the interface of two immiscible liquids. This protocol can coat non-activated flexible substrates, affords layer-by-layer deposition for controlling film transparency, and leads to the growth of a transparent film comprised of carbon nanostructures. Solution chemistry leads to 2D graphite oxide, graphene sheets, and functionalized single-walled carbon nanotubes. These amphiphiles disperse in a plethora of solvents, possess different dimensionalities, decrease the surface tension of fluids, and serve as stabilizers in Pickering emulsions. Mechanical agitation of carbon nanostructures, water, and oil leads to droplets stabilized by interfacially trapped solids. Anisotropic carbon nanostructures are both colloidal and molecular amphiphiles solvated by both water and oil. The liquid/liquid interface provides a chemical environment for molecular interactions to occurs such as hydrogen bonding between water, and the functional groups on carbon amphiphiles. These interactions are controlled via pH by tuning the degree of protonation of functional groups and emulsion stability. When droplets containing carbon stabilizers coalesce, their interfacial surface area decreases expelling excess oil and interfacially adsorbed nanostructures. A coating of single-walled carbon nanotubes possesses a transparency higher than 90% in the visible spectrum, and a sheet resistance of 1 kΩ/square. Chemically converted graphene films are comprised of single sheets that stack side-by-side, and large scale graphite oxide films deposit in seconds. Alignment of one-dimensional nanostructures such as carbon nanotubes is demonstrated in the micrometer scale on hydrophilic surfaces.
6:00 PM - C3.11
Fabrication of Improved p-AgGaSe2/n-Si Heterojunction Solar Cells on Optimum Quality Thermally Evaporated AgGaSe2 Thin Films.
Krishna Mandal 1 , Sandip Das 1
1 Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina, United States
Show AbstractOptimum quality polycrystalline AgGaSe2 thin films were deposited on H-terminated n-Si substrates by controlled thermal evaporation method. The film deposition conditions were varied to optimize the structure and optoelectronic properties of AgGaSe2 thin films. X-ray diffraction (XRD) studies showed that all AgGaSe2 films were of chalcopyrite structure and while the films were deposited at room temperature (300 K) had random grain orientation, the films deposited at higher substrate temperature (≥450 K) showed preferred (112) orientation. The composition of the films was analyzed by energy dispersive x-ray analysis (EDAX) and by x-ray photoelectron spectroscopy (XPS) with and without argon ion etching. The ultraviolet-visible (UV-Vis) spectra showed the optical bandgap of 1.66 eV, with sharper band edge for the films deposited at higher temperature. The films were p-type and the resistivities of the as deposited at 300 and 650 K were ~5 x 103 and ~200 Ω.cm respectively. The electrical activation energies of the films were also determined and are discussed in terms of the possible defect energy levels present. p-AgGaSe2/n-Si heterojunction solar cells, having an active area of 0.18 cm2 and without any antireflection coating, were fabricated. It was observed that the films deposited at 650 K produced junctions with significantly improved photovoltaic properties. Under solar simulator AM1 illumination, the improved junction exhibited an efficiency of 5.2% whereas the AgGaSe2 films deposited at 300 K showed an efficiency of 2.1%. The evidence of the barrier height modifications have been provided by C-V measurements and an energy band diagram of the p-AgGaSe2/n-Si heterojunction solar cells has been proposed.
6:00 PM - C3.12
Application of a Dual-spectral-range, Expanded-beam Spectroscopic Ellipsometer for Mapping Large-area, Laterally-inhomogeneous, Photovoltaic Multilayers.
Miklos Fried 1 , G. Juhasz 1 , C. Major 1 , A. Nemeth 2 1 , P. Petrik 1 , P. Polgar 1 , C. Salupo 2 , R. Collins 2
1 Photonics, MFA, Budapest Hungary, 2 PVIC, University of Toledo, Toledo, Ohio, United States
Show AbstractWe have developed a prototype spectroscopic ellipsometer for imaging/mapping purposes requiring only one measurement cycle (one rotation period of a polarizer or analyzer) for the acquisition of a two-dimensional array of data points [1]. Our new measurement technique serves as a novel form of imaging ellipsometry, using an uncollimated (non-parallel, diffuse) source system and a detection system consisting of an angle-of-incidence-sensitive pinhole camera [2]. By adding multicolor supplements, the instrument provides full high-resolution spectra along a line image. Information on multilayer photovoltaics stacks can be obtained over large areas (several dm2) at high speed [3]. The technique can be expanded to even larger areas by scaling-up the geometry. The lateral resolution is limited by the minimum resolved-angle as determined by the detection system. Small-aperture polarizers (25 mm diameter) are incorporated into the instrument. The near-ultraviolet-to-visible (nuv-vis) range limits photovoltaics applications; as a result, an extension into the near-infrared (nir) region is desired to probe below the band gap of absorber layers in order to measure their thicknesses. Thus, with a broadened spectral range, it becomes possible to characterize a wider variety of layers and structures. Unfortunately, because of the uncollimated beam, expanded beam ellipsometers must be employ film polarizers, which exhibit a limited spectral range. Thus, it is impossible to operate the ellipsometer over the full nuv-nir range using one polarizer-analyzer pair. A dual spectral range capability is a convenient solution whereby the optical elements (polarizer-analyzer pairs, optical grating) are automatically interchangeable, and the entire nuv-nir spectra for a line image is detecable in two steps with one CCD camera. The prototype is designed to enable in situ imaging/mapping within a cluster tool chamber at the Center for Photovoltaic Innovation and Commercialization (PVIC) of the University of Toledo (Ohio). Demonstration mapping measurements have been performed on intentionally non-uniform multilayer samples including 100-1000 nm thick hydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H) layers and 100-500 nm thick transparent conducting ZnO:Al layers on opaque silver. Measurements on both rigid glass and roll-to-roll polymer will be possible. Alternative commercial instruments for SE mapping must translate the sample in two dimensions. Even a 15x15 cm2 sample with cm-resolution requires >200 measurements and at least 15 min. By imaging along one dimension in parallel, the expanded-beam system can measure with similar resolution in < 2 min.References[1] Patent pending: P0700366, PCT/HU2008/000058[2] G. Juhasz et al, Phys Stat Sol C v.5, 1081 (2008)[3] C. Major et al, Phys Stat Sol C v.5 1077 (2008)[4] M. Fried et al, "Expanded beam (macro-imaging) ellipsometry", accepted for publication in Thin Solid Films (2010)
6:00 PM - C3.13
CdS, CdSe Nanoparticles in Silica Matrix by Sol Gel Method.
Nilima Hullavarad 1 , Shiva Hullavarad 1
1 Advanced Materials Group, Institute of Northern Engineering, University of Alaska Fairbanks, Fairbanks, Alaska, United States
Show Abstract‘Quantum dots’ or nanoparticles of diverse semiconductor materials are extensively studied because of their interesting size dependent properties. It is further interesting to organize the quantum dots in the form of superlattices thin films, monolithics, ordered arrays for fruitful applications. Various applications such as sensors, displays, recordings, communications etc. require condensed organic or inorganic tunable material in the ultra violet to visible range. This work discusses the synthesis of CdS and CdSe nanoparticles in a silica matrix. The UV absorption measurements of CdS nanoparticles indicated sharp absorption at 260 and 350 nm for different precursor compositions. The particle size of CdS nanoparticles was estimated to be 1.5-2 nm. Silica gel containing the CdS nanoparticles was spin coated onto substrates to form thin film samples. Scanning Electron Microscope (SEM) measurements revealed formation of CdS nanoparticles within the branches of gel network. Depending upon the mole ratio of additives and drying method, fibrous or monolithic tablets of CdS nanoparticles embedded in silica matrix could be produced. The paper discusses the effect of precursors in obtaining the single size distribution of CdS nanoparticles in the silica gel matrix. The matrix consisting of monodispersed CdS nanoparticles in a silica gel matrix has potential applications in sensors, tunable waveguides, and sensitive photon counting systems, luminescent displays, and laser micro cavities.
6:00 PM - C3.16
Low-temperature Atomic Layer Deposition of ZnO, TiO2, and Al2O3 Thin Films for Application to Transparent Conducting Oxide.
Woo-Hee Kim 1 2 , Jae-Min Kim 1 , Min-Kyu Kim 1 , Hyungjun Kim 1
1 School of Electrical & Electronic Engineering, Yonsei, Seoul Korea (the Republic of), 2 Department of Material Science and Engineering, POSTECH , Pohang Korea (the Republic of)
Show AbstractWe have investigated low temperature (< 80 °C) atomic layer deposition (LT-ALD) of ZnO, TiO2 and Al2O3 thin films for the application to transparent conducting oxide (TCO). For LT-ALD, diethylzinc (DEZ), tetrakisdimethylaminotitanium (TDMAT), and trimethylaluminum (TMA) precursors were used as Zn, Ti, and Al precursors, respectively and water was used as a reactant. The saturated growth rates were observed for the ZnO, TiO2 and Al2O3 films, suggesting that the inherent growth characteristics of ALD are achieved for the LT-ALD processes. In addition, for all the films, cross sectional images by field emission scanning electron microscopy (FE-SEM) exhibited excellent conformality in nanoscale via hole patterns with the aspect ratio of 5:1. Based on x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS) results for the structural and chemical compositional analysis, current ALD process can produce thin films with high purity even at low growth temperature down to room temperature. Optical and electrical properties of TCO films prepared by these oxides were investigated. The current LT-ALD processes is one of the viable options for advanced large-scale interconnect, solar cells, and flexible displays.
6:00 PM - C3.17
Atomistic Simulations of the Silicon Surface Structure at the Interface of Silver Thick Film Contacts on n-Type Silicon.
Stefan Kontermann 1 2 , Alexander Ruf 1 , Ralf Preu 1
1 , Fraunhofer Institute for Solar Energy Systems, Freiburg Germany, 2 , Fraunhofer Heinrich Hertz Institute , Goslar Germany
Show AbstractWe present results from an extensive study of the nanostructure of silver thick film contact interfaces on n-type Si-(100) and Si-(111). Nanoscale silver crystals are found at the interface of such contacts. The silver crystals carry the current across the contact and therefore control the contact resistance, which is a main performance limiting parameter for semiconductor devices. The silver crystals are located in pits at the silicon surface. During contact formation, these pits form before the silver crystals and hence determine their size and shape. Consequently, the pits with the crystals influence the contact resistance. We investigate these pits experimentally by scanning electron microscopy. Then we simulate the mechanism of pit formation by considering the removal probability of silicon surface atoms. For this purpose, an existing model, which was originally designed to describe the mechanism of wet chemical etching of silicon, is modified to match our interface conditions. Our model leads to a consistent and quantitative correct description of all experimental data. It enables to predict pit formation for arbitrary process parameters like temperature and duration for silver thick film contact formation on n-type silicon.
6:00 PM - C3.18
Surface Passivation of Pre-oxidized Silicon by Atomic Layer Deposited Al2O3.
Wei-Cheng Lai 1 , Jenn-Chang Hwang 1 , Chien-Hsun Chen 2 , Hung-Jen Yang 2 , Wei-Yu Chen 2
1 , National Tsing Hua University, Hsinchu Taiwan, 2 , Industrial Technology Research Institute., Hsinchu Taiwan
Show AbstractSurface passivation becomes more important when the trend of crystalline silicon based solar cell toward thinner wafer and higher efficiencies. Ethanol/iodine solution is the well known passivation material. Its passivation characteristics was considered superior to thermally grown high quality passivating oxides. Al2O3 is also an excellent surface passivation material for c-Si, which exhibits low surface recombination velocity. The negative fixed charge accumulated within Al2O3 layer provide an effective field-effect passivation is usually grown on c-Si at low temperature (~200°C) using atomic layer deposition (ALD) in either thermal or plasma mode. In this article, we present the enhancement of effective carrier lifetime due to the field-effect passivation of Al2O3 deposited on a pre-oxidized 3 Ωcm n-Si(100) substrate . Effective carrier lifetime increases greatly from 35 to 400 μs by two major steps. One is the formation of an amorphous chemical pre-oxidized SiO2 layer prior to Al2O3 deposition. The other is the annealing in nitrogen at 600°C for 30 min. Comparing with the wafer passivated by Ethanol/iodine solution, we demonstrate the passivation characteristic of Al2O3 deposited on a pre-oxidized is superior to Ethanol/iodine solution. Finally, Al 2p spectra support that Al diffuses into the amorphous pre-oxidized SiO2 layer and a new Al-related chemical bond environment induced after annealing in nitrogen at 600°C for 30 min. Fixed charge density increases from1.8 x 10<12/sup> to 6.2 x 10<12/SUP> cm<-2/sup> in the Al2O3 layer near the Al2O3/SiO2 interface, based on capacitance-voltage data. And the TEM image directly shows the change of the thickness of pre-oxide layer after annealing.
6:00 PM - C3.19
Enhanced Optical Absorption of Medium-temperature PECVD Grown Si-rich Si1-xCx.
Chaio-Ti Lee 1 , Gong-Ru Lin 1
1 Graduate Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei Taiwan
Show AbstractAmorphous silicon carbide (a-Si1-xCx) with wide bandgap and high thermal conductivity makes it an excellent material for applications in light emission, solar energy transformer and transistors, etc. The optical absorption of low-temperature grown a-Si1-xCx has been comprehensively investigated, which covers a broadband solar spectrum owing to the SiC alloy with different phase structure to be a potential candidate other than the bulk or single crystalline Si for solar-energy transferring device. In this work, the enhanced optical absorption of Si-rich SiC is demonstrated by arising the substrate temperature during plasma enhanced chemical vapor deposition (PECVD) growth. The solar device based on the high-temperature grown Si1-xCx material is fabricated to shown the improved internal/external quantum efficiency (IQE and EQE).The a-Si1-xCx films were grown on ITO glass and quartz by PECVD with reactance gas of Ar-diluted silane (SiH4) and pure methane (CH4) at RF plasmas power of 20 W (power density about 22mW/cm2) and the chamber pressure of 0.18 Torr. The fluence ratio ([CH4]/[SiH4]) is fixed by setting Ar-diluted SiH4 (8%) at 75 sccm and pure methane at 9 sccm. The substrate temperature during deposition is detuned from 450oC to 650oC with increment of 100oC for the 20-min deposition. The SEM analysis reveals that the SiC thickness is linearly decreased from 400 nm to 360 nm with increasing substrate temperature. The p-i-n junction device of n-SiC/SiC/p-SiC with on ITO glass is grown by setting 5% [PH3]/[SiH4]+[CH4] doping ratio during n-type SiC deposition.At different substrate temperatures during PECVD growth, the optical absorption coefficients of all Si-rich SiC samples show at least 104 cm-1 or higher at photon energy >2.33 eV. The near-infrared optical absorption coefficient is enhanced by increasing the substrate temperature up to 650oC, which effectively promotes the absorbance in the visible region (400-600nm) to reache 105 at photon energy >2.33 eV. In particular, the optical absorption coefficient at 600-800 nm is greatly enlarged by two orders of magnitude at least when increasing the substrate temperature to 650oC. With a surface reflectance of about 0.2, the external quantum efficiency (EQE) and internal quantum efficiency (IQE) are increasing from 10% to 30% as the photon wavelength red-shifts from 300 to 800 nm. The oscillation fringe caused by the multilayer interference of the p-i-n junction structure is also observed. The electrical property is degraded by the doping density of the n-/p-SiC layer and the interfacial defect induced strain/dislocation. The EQE is slightly degraded by the carrier confinement in the high-barrier p-i-n junction with large series resistance, or by the large lattice mismatch (almost 57%) and the distinguished thermal expansion between Si1-xCx (0.4358 nm) and ITO (1.0118 nm).
6:00 PM - C3.2
Optimizing Materials Processing and Device Geometry for Scalable ZnO/Cu2O Solar Cell Fabrication.
Talia Gershon 1 , Kevin Musselman 2 , Andrew Marin 1 , Judith MacManus-Driscoll 1
1 Department of Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom, 2 Department of Physics, University of Cambridge, Cambridge United Kingdom
Show AbstractTransition metal oxides are attractive candidates for low-cost photovoltaic (PV) applications because of their good stability, low toxicity, relative abundance, and ease of processing. Electochemically-deposited ZnO/Cu2O PV devices, however, fall short of achieving their theoretical limits and are plagued by low open-circuit voltages (Voc) and short-circuit current densities (Jsc). Studies have shown that low Jsc in ZnO/Cu2O solar cells can be attributed in part to the low minority carrier diffusion length in Cu2O resulting from the high defect density and poor quality of electrodeposited films. This can be mitigated by controlling the electrodeposition conditions, including temperature and pH, which determine film characteristics such as charge carrier concentrations, grain size, defect density, and film roughness. Studies have also shown that a reduction in Voc is often caused by recombination inside of the depletion region near the p-n junction; the factors responsible for reducing Voc must be identified and controlled to optimize device performance. This investigation will discuss how selecting ideal processing conditions and layer thicknesses and incorporating semiconducting polymers can help overcome some of these problems.
6:00 PM - C3.20
Optical Layers and Materials for Next Generation Solar Cells.
Ping Lee 1 , Jason Shank 1 , Mikael Marra 1 , Yeona Kang 1 , C. Fortmann 1 2
1 , Stony Brook University, Stony Brook, New York, United States, 2 , Idalia Solar Technologies LLC, New York, New York, United States
Show AbstractEvery component of present era solar cells is superbly optimized. Nonetheless, solar electric power generation represents less than 1% of the electric power consumed globally. Photovoltaic solar cells must increase the power produced per unit cost. This work examines the increased performance and reduced cost made possible by rear mounted spectral modification layers that can be used with a wide variety of solar cell platforms. Previously one of us (Fortmann) in conjuncture with others explored the use refractive index matching diffuse rear reflectors [1]. Here a functioning diffuse rear reflector that increases the quantum efficiency at λ=1,100nm of a state-of-the-art single crystal silicon solar cells by 25% is described. The further improvement made possible by spectral management are also explained and explored.Diffuse rear reflectors offer the advantage of scattering light to sufficiently large angles so as to increase probability of total internal reflection producing much longer light path lengths within absorber layer when compared to a simple mirror like rear reflector. To obtain large scattering angle within a high index solar cell (refractive index of silicon > 3.4) the diffuse rear reflector must also have a large refractive index. This work reports on a sintered rear reflector capable of increasing the long wavelength response by 25%. Interestingly, while diffuse rear reflectors increase performance, diffuse front reflectors decrease performance by ~ 40% mostly due to the large amount of light scattered and/or reflected out of the front of the solar cell.These new rear reflector systems reduce cost and improve performance by eliminating the need for textured front surfaces. Texture reduces solar cell performance because of increased junction area and/or increased surface recombination. Both increase the net recombination current which in-turn reduce the open circuit voltage and efficiency. These losses can easily exceed 5%. Texturing may also lead to absorption light losses where the textured conducting oxide layers on glass substrates may absorb as much as 1/3 more light than a non-textured version of the tin oxide (~ 4-6% of the total light flux). Solar cells convert a narrow range of photon energies to electrical power extremely efficiently. Thereby a large segment of the solar energy is lost. This work also examined the performance of low cost spectral up and down conversion. Raman up (and down) converters incorporated into the diffuse rear reflector described above. Raman-scattering (Stokes and anti-Stokes shift) was investigated because it has no minimum photon flux requirement and when positioned at on the back of the solar cell minimal parasitic light absorption. The mathematical limits for Raman-based up-conversion will be detailed in the full work and the experimental gains possible for this system will be described.1.K. Winz, et. al, Solar Energy Materials and Solar Cells, 49, (1997) 195-203
6:00 PM - C3.21
Vertically-segregated Hhole Extraction Layers to Enhance Open Circuit Voltage and Device Lifetime of Organic Solar Cells.
Kyung Geun Lim 1 , Mi-Ri Choi 1 , Jin-Seck Kim 2 , Taiho Park 2 , Tae-woo Lee 1
1 Materials Science and Engineering, POSTECH, Pohang Korea (the Republic of), 2 Chemical Engineering, POSTECH, Pohang Korea (the Republic of)
Show AbstractIn organic bulk heterojunction solar cells, hole extraction and device lifetime are critically related with the hole extraction layer which is inserted between a photoactive layer and a positive electrode. In this study, self-organized gradient hole extraction layer (HEL) with tunable work function was effectively employed for high efficiency organic solar cells based on poly[N-9’-heptadecanyl-2,7-carbazole-alt-5,5-(4’,7’-di-2-thienyl-2’,1’,3’-benzothiadiazole): [6,6]-phenyl C61-butyric acid methyl ester (PCDTBT:PCBM). Our HEL was prepared by adding a perfluorinated ionomer (PFI) into the standard poly(3,4-ethylenedioxythiophene) : poly(styrenesulfonate) (PEDOT:PSS), which resulted in vertical phase segregation of PFI toward the film surface. We tuned the surface work function by controlling the concentration of PFI in the conducting polymer composition. Since the ionization potential of PCDTBT (5.5 eV) is higher than the work function of the standard poly(3,4-ethylenedioxythiophene) : poly(styrenesulfonate) (PEDOT:PSS) (5.1 eV), our HEL with higher work function becomes more effective to improve the open circuit voltage (Voc). With our HEL, the Voc was significantly increased from 0.74V to 0.89V and quite similar short circuit current (Jsc) was observed. This indicates that the work function tuning of HEL influences more dominantly on the Voc rather than Jsc. We also demonstrate that our HEL greatly improve the device lifetime under continuous irradiation of AM 1.5-100 mW/cm2 simulated sunlight, which can be attributed to a critical role of the self-organized PFI as a buffer layer at the surface of our HEL.
6:00 PM - C3.22
A Novel Buffering Technique for Aqueous Processing of Zinc Oxide Nanostructures and Interfaces, and Corresponding Improvement of Electrodeposited ZnO-Cu2O Photovoltaics.
Kevin Musselman 1 2 , Andrew Marin 2 , Andreas Wisnet 3 , Christina Scheu 3 , Judith MacManus-Driscoll 2 , Lukas Schmidt-Mende 4
1 Physics, University of Cambridge, Cambridge United Kingdom, 2 Materials Science, University of Cambridge, Cambridge United Kingdom, 3 Chemistry, Ludwig-Maximilians University, Munich Germany, 4 Physics, Ludwig-Maximilians University, Munich Germany
Show AbstractZinc oxide films and nanostructures have emerged as important components of many low-cost photovoltaics. Scalable, solution-based methods such as electrochemical deposition and hydrothermal growth have been developed for synthesizing and processing these materials. While many of the relevant techniques utilize aqueous solutions, the influence of aqueous treatments on the functional oxide has been largely overlooked. In this work, a novel buffering method is presented to improve the stability of zinc oxide processed in aqueous solutions [1]. By buffering the aqueous solution with a suitable quantity of sacrificial zinc species, the dissolution of functional zinc oxide structures and the formation of unwanted impurities can be prevented. The method is demonstrated for ZnO films and nanowires processed in aqueous solutions used for (i) the selective etching of mesoporous templates and (ii) the electrochemical deposition of Cu2O. ZnO-Cu2O solar cells synthesized by scalable deposition from solutions near room temperature are promising as low-cost, stable photovoltaics. Bilayer and nanowire ZnO-Cu2O solar cells synthesized using both traditional and buffered deposition methods are characterized by impedance spectroscopy and solar simulation measurements. Buffering the Cu2O deposition solution is found to reduce unwanted recombination at the heterojunction and improve the photovoltaic performance. [1] K. Musselman et al. Adv. Funct. Mater. 2010, In press.
6:00 PM - C3.23
Rapid Plasma-assisted, Ambient-pressure Deposition of Conformal Nanocrystalline Zinc Oxide Thin Films for Solar Cell Applications.
Kwok Siong Teh 1 , Joachim Pedersen 1
1 School of Engineering, San Francisco State University, San Francisco, California, United States
Show AbstractAs a material suitable for use in dye-sensitized solar cell and transparent electrode applications, ZnO thin film has conventionally been synthesized by vapor-phase methods such as sputtering, spray pyrolysis, thermal evaporation, low-pressure CVD. The major shortcomings of these methods include lengthy deposition time, the need for high vacuum and pre-seeding of substrate onto which the film is deposited. These requirements significantly constrain the widespread use of ZnO in the manufacturing of solar cells. This paper reports a rapid, ambient-pressure, low-temperature one-step process for depositing conformal, non-porous nanocrystalline ZnO thin film on various substrates ranging from silicon to polyamide. In this method, a Zn-containing perforated nickel chamber is used as a point source of Zn and an argon/oxygen mixture as the carrier/reactant gases. An inverted substrate is located at a fixed distance from the point source. The nickel chamber is inductively heated by a 13.56 MHz RF-generated magnetic flux until Zn vapor forms, which then reacts with oxygen to form ZnO on the surface the substrate. The deposition rate is tightly controlled by a customized, closed-loop temperature control algorithm where the output RF power is modulated by the source temperature. Based on post-deposition inspection of the film, we estimate that Zn vapor forms at a temperature as low as 550C at the point of emission from the nickel chamber. Under the SEM, the ZnO thin film is nonporous and highly conformal, with average grain sizes ranging from 20 to 30 nm. At a growth rate of 50nm/second, the grains are columnar with a strong c axis orientation, as shown by a dominant (002) peaks on various substrates in XRD studies. Such morphology also seems to be independent of substrate type, based on the ZnO deposited on surfaces ranging from silicon (100), fused quartz, c-plane sapphire, a-plane sapphire, muscovite, glass, and polyamide (KaptonTM). In addition, STEM images from ZnO synthesized via this method indicates the absence of major defects such as twinning and dislocation commonly occurs during high-speed, thermal processing of crystalline materials. To elucidate the electrical properties of as-deposited nanocrystalline ZnO films, several basic studies on the electrical properties of the ZnO thin films are conducted. Films are grown across an insulating gap of approximately 1 mm on borosilicate glass at a thickness of approximately 50 nm. The test substrate consisted of gold or titanium conductive films in isolated pads on glass. A conformal ZnO nanocrystalline film is grown atop this surface and electrically connects adjacent pads with a measured sheet resistance on the order of 3-5 megaohms/square. Resistivity is stable to within one order of magnitude for several days and is consistent across both gold and titanium substrates. Experiments as to the effects of post-growth annealing on electrical properties are currently in progress.
6:00 PM - C3.24
Single-step, Co-production of Cd2SnO4 Nanoparticles and High Quality Thin Films by Geometrically Flux Flow Controlled Spray Pyrolysis.
Sarika Phadke 1 , Anil Suryawanshi 1 , Satishchandra Ogale 1
1 Physical Chemistry, National Chemical Laboratory, Pune, Maharashtra, India
Show AbstractCd2SnO4 is an n-type ternary semiconductor having high optical transparency as well as conductivity. Therefore it is a material of great interest to optoelectronic applications. In this work, extremely fine grained powder as well as high quality transparent and semiconducting polycrystalline thin films of Cd2SnO4 are concurrently produced in a geometrically flux controlled single step spray pyrolysis process using a bench top apparatus at 680¬oC. The production yield of the spray deposited powder is as high as 5 gm/hr which is highly efficient as compared to the conventional nanoparticle synthesis methods. The film thickness at the same time is as thin as < 50 nm in view of the inverted shadow geometry employed for the growth zone for this purpose. The electronic, optical and physical properties of the coproduced ultrafine powder and the films are studied in detail and their application for the photo-electrochemical energy generation is explored.The main aspects of a typical spray pyrolysis process are; precursor preparation, atomization into micro-droplets, solvent evaporation in the droplet, droplet precipitation, droplet deposition on the substrate and decomposition. Usually, in order to get a thin film by spray pyrolysis, very dilute precursor solutions are prepared. In the current work, fine tuning of all the above mentioned processes and strategically positioning the substrates, has enabled the coproduction of film and powder. The characterization of the post heat treated powder and films by X-ray diffraction showed cubic phase of Cd2SnO4.The SEM of the films and TEM of the powder showed 20-200 nanometer sized cubic particles. The microstructure of the thin film showed flowerlike arrangement of thin cubic petals. The diffused reflectance spectroscopy showed optical band gap of 2.1 eV for film and ~ 2.7 eV for the powder. Thick, mesoporous films of the spray deposited powder were made using doctor blade method. The electrical and electrochemical properties of such thick films and the spray deposited thin film were studied using Hall effect measurements, cyclic voltammetry and impedance measurements. The Dye Sensitized Solar Cell performance of the thick films made from the powder showed an efficiency of 1% under 1sun air mass 1.5. This work has been supported by the Department of Information Technology, Government of India.
6:00 PM - C3.25
Pore-opening Process in Nanoporous AAO Membranes for Electrodeposition of Semiconducting Nanowires.
Sovannary Phok 1 , Suresh Rajaputra 2 , Vijay Singh 2 , Hamda Ali Al Thani 1 , Falah Hasoon 3
1 Measurements and Characterization , National Energy and Water Research Center, Abu Dhabi United Arab Emirates, 2 Center for Nanoscale, Electrical and Computer Engineering Department, University of Kentucky, Lexington, Kentucky, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractHigh-aspect ratio (HAR) nanostructured materials, including nanowires and nanotubes have attracted great interests for applications in optoelectronic devices. In particular, solar devices based on photoactive HAR semiconductors are predicted to exhibit higher efficiency when compared to planar thin-film solar cells due to enhanced optoelectronic properties by quantum confinement effects and larger effective surface area. In order to develop a large-scale production approach of HAR nanostructure solar devices, the HAR semiconductors are fabricated by a well-established bottom-up strategy integrating lost-cost and non-vacuum electrochemical techniques. In general, such nanofabrication requires a conducting substrate and a chemically inert template with well-ordered nano-channels in which the semiconductor material is directly deposited. In our strategy, the HAR nanostructures are fabricated by electrodepositing the materials inside the nano-channels using the remaining aluminum foil as a conducting electrode. This approach leads to homogeneous HAR nanostructure diameter due to the limitation of the semiconductor growth by the nanopore diameter. In the present work, the fabrication of the nanoporous oxide membrane is performed on a single face of the substrate by a two-step anodization of commercially available aluminum foil tapes with acrylic adhesives. During the anodization process, an oxide layer is formed at the interface with aluminum. Removing the oxide barrier layer is a critical step in order to directly electrodeposit the semiconductor into the nano-channels. In our process, the barrier layer removal process is performed by (i) ramping down the voltage with a rate in the range of 0.5 V/min to 2.4 V/min and (ii) immersing the substrate in 50% phosphoric acid for up to 5 minutes. Depending on the removal conditions, several morphologies are obtained at the oxide/aluminum interface. Ramping down the voltage at 1 V/min combined by immersion in 50% phosphoric acid for less than 3 minutes is found to open the barrier layer of the nanopores. The pores have root-like structure with branches as small as few nanometers due to the slow voltage ramping. Finally, a thermal treatment at 230 ○C in air for several hours was carried out in order to remove the unwanted residuals like hydroxides in the membranes. Several amorphous AAO templates with pore diameter ranging from 12 to 40 nm and with length up to 2 microns were prepared by the above two-step anodization for electrodepositing photoactive semiconductors such as copper indium diselenide and cadmium sulfide.
6:00 PM - C3.26
Nanostructured CuInGaSe2 Thin films For High-performance Solar Cells.
Aswini Pradhan 1
1 Center for Materials Research, Norfolk State University, Norfolk, Virginia, United States
Show AbstractCuInGaSe2 (CIGS) thin films were prepared by one-step electro-deposition technique from a salt bath coupled with thiocyanate complex electrolytes followed by thermal treatment. The influence of deposition reduction potentials as well as the salt concentrations on the structure, morphology, composition and the optical properties were performed. A reproducible Cu–In–Ga-Se precursor layer deposition with consistent composition control was demonstrated. The as-deposited films exhibit an amorphous behavior, however the films displayed good crystallization after annealing. The films show very uniform and dense grain formation with platelet-like nanostructures. The band gaps evaluated from the optical measurements are 1.57 and 1.5eV for the unannealed and annealed films, respectively. The electrical conductivity measurements demonstrate that the transport mechanism is influenced by three different temperature regions: the ionization, extrinsic and intrinsic regions, respectively, as found in other semiconductors. However, the annealed films display downturn in conductivity at low temperature indicating that there may be trapping at localized sites or scattering of the free carriers, which may be attributed to the over growth and defect sites. The electro-deposition technique demonstrates promise of growing high-quality CIGS thin films. The CIGS thin films were also deposited on Mo-coated soda lime glass substrate by electro deposition technique from the sulphate bath. The electrolyte for the electro deposition was prepared from copper sulfate, indium sulfate, gallium sulfate, selenous acid and complexed with citric acid. The effect of different potentials and chemical bath concentration of the CIGS films on the microstructure and properties have been investigated. The influence of varying the deposition reduction potential was investigated. The CIGS films display the formation of remarkable nanostructures comprising of dense inter weaved nanosheets of 20-30 nm in thickness as seen in field emission scanning electron microscopy (FESEM). The composition of CIGS thin films characterized by energy dispersive spectroscopy (EDS) and electron probe micro-analyzer (EPMA) demonstrate the formation of chalcopyrite structure of CIGS with tuning the deposition potential.
6:00 PM - C3.27
Ultrasonic Spray Pyrolysis Deposition of Tin Oxide and Tin Sulfide Thin Films for Solar Cells.
Manoj Ramachandran 1 , Dalia Martinez 1 , Aaron Sanchez 1
1 CIE, Universidad Nacional Autonoma de Mexico, Temixco, Morelos, Mexico
Show AbstractTin sulphide and tin oxide thin films were deposited by ultrasonic spray pyrolysis for developing solar cell structures. The spray solution contained 0.05 mole/dm3 of tin(II) chloride and dimethyl thiourea in a mixture of water and isopropanol. At a substrate temperature of 500oC, the deposited film is transparent SnO2, with a sheet resistance of 1 MΩ for a 200 nm film. For substrate temperature 450-475 oC, the film is light yellowish and contains SnS2 and SnO2 components with sheet resistance of typically 100 kΩ for a 300 nm film. SnS thin films orthorhombic structure and optical band gap 1.2-1.4 eV can be deposited at a substrate temperature of 400oC. These results open up a possibility to develop solar cell structures along the float glass line by first having a transparent conductive oxide (TCO), then a resistive SnO2 or SnO2/SnS2 layer, and followed by the SnS absorber film. The cell structure may be finished outside the float glass bin with an auxiliary absorber layer of p+ conductivity. We report initial results on TCO/SnO2-SnS2/SnS/PbS structures with open circuit voltage of 100 mV and current density 0.1 mA/cm2. Alternatively, TCO/CdS/SnS/PbS structures offer better characteristics. Here, CdS and PbS thin films were prepared by chemical deposition. We are currently investigating many alternate materials for the contact on p-side.
6:00 PM - C3.28
Impurity-free Seeded Crystallization of Amorphous Silicon by Nanoindentation.
Simon Ruffell 1 , Andrew Knights 2 , David Sprouster 1 , Jodie Bradby 1 , Jim Williams 1
1 Research School of Physics and Engineering, Australian National University, Canberra, Australian Capital Territory, Australia, 2 Department of Engineering Physics, McMaster University, Hamilton, Ontario, Canada
Show AbstractNanoindentation of silicon using a diamond indenter tip allows formation of nano- to microscale sub-surface zones of phase-transformed silicon. During loading and subsequent unloading diamond-cubic Si (Si-I) undergoes pressure-induced phase transformations resulting in zones composed of either amorphous Si (a-Si) or polycrystalline high pressure phases (Si-III and Si-XII). These forms of Si are interesting in their own right but also offer a novel means to control the formation of polycrystalline Si during annealing of a-Si films. In this study we investigate enhanced solid phase crystallization of thin film a-Si by nanoindentation prior to annealing. We show that nanoindents, down to ~20 nm in dimension, act as nucleation sites for crystallization and eliminate the incubation time during subsequent thermal annealing. By controlling indentation patterns, indentation size, and post-indent annealing temperature, crystallization of thin films can be completed with a reduced thermal budget compared to that in the unindented regions. In addition, this allows a lithography-free method for site specific crystallization. We have used cross-sectional transmission electron microscopy, electron backscattering diffraction, and electrical carrier transport measurements to reveal enhanced grain size, dopant activation, and carrier mobility in these crystallized regions. Previously, a similar effect was observed using a Ni metal imprint technique [1]. However, the nanoindentation process in the current study does not require the transfer of a metallic species such as Ni to seed the crystallization but rather relies on nanoscale pressure-induced phase transformations. We describe a series of experiments to elucidate the enhanced crystallization mechanism. Although pressure-induced crystalline phases (Si-III/Si-XII) act as suitable seed crystals, indent sites that are formed without phase transformations also, somewhat unexpectedly, seed crystallization under suitable indentation conditions, suggesting that other structural modifications induced by nanoindentation can be exploited for seeding.[1]. Kenji Makihira, Tanemasa Asano, Applied Physics Letters 76(25), (2000), 3774.
6:00 PM - C3.29
Hydrogen Diffusion in PECVD Stack Layer of Silicon Oxide and Hydrogenated Amorphous Silicon Nitride.
Samy Strola 1 2 , M. Hanssen 1 , M. Mandoc 1 , R. Mary Joy 2 , M. Hussein 2 , R. Bosch 2 , F. Dings 2 , W. Kessels 1 , M. van de Sanden 1
1 P&MP, TU Eindhoven, Eindhoven Netherlands, 2 , OTB Solar, Eindhoven Netherlands
Show AbstractA remote plasma-enhanced chemical vapour deposited (PECVD) stack layer system consisting of different SiOx thickness and a fixed a-SiNx:H thickness is investigated as a model system to study the surface passivation mechanism for silicon solar cells. The hydrogen content and bonding type and the refractive index of these stacks were investigated before and after firing (800°C in air) by Fourier Transform Infrared Spectroscopy (FTIR) setup and Spectroscopic Ellipsometry (SE). The relationship between the minority carrier lifetime on FZ wafers and the charge density in the passivating stack, as measured from non-contacting corona charging measurements, for different SiOx layer thicknesses, is investigated. The results show that charge leakage through correlated to SiOx thickness deteriorates the lifetime measured. In addition a hydrogen reservoir effect is observed possibly related to the SiOx thickness. Implications of the results for high quality passivation based on SiOx/SiNx stacks will be discussed.
6:00 PM - C3.3
Forming Gradient Multilayer (GML) Nano Films for Photovoltaic and Energy Storage Applications.
Boris Gilman 1 , Igor Altman 1
1 , Coolsol R&C, Mountain View, California, United States
Show AbstractFor successful implementation of the nanomaterial-based PV and Energy storage devices there is a need for well-structured nano films consisting of a strictly controlled sequence of nanoparticle layers. Most promising nano-films include a “built-in” gradient of a nanoparticle size and/or material composition across the part or entire thickness of the film. Such Gradient Multilayer (GML) nano films will be able to significantly improve a PV efficiency of the 3rd generation Solar Cells and Energy storage devices. The development of GML-based devices is presently limited by lack of simple, inexpensive, scalable, and production-worthy deposition methods that are capable of forming GML nano-film on PV-suitable substrates such as flexible materials.The proposed concept describes novel principles of an advanced non-conventional deposition of the highly efficient GML nano films. The proposed GML deposition method is based on the phenomena of Flying Particles (FP). According to the FP-methods a pre-selected mix of nanoparticles (NP) of various size and/or material composition is deposited on a flexible (or other) substrate in a pre-defined order of NP size and/or composition thus forming GML nano film. Deposited GML film comprises a sequence of size-tuned and/or composition-tuned NP layers, which has a potential for significant increase of PV efficiency. The deposition process includes the NPs launch and flight through a resistant gas ambient. Due to the Stokes aerodynamic laws the FP times-to-target will be different for NP of a different size and/or density (material composition). Simulation is presented to confirm the separation of FP”s of a different size and/or density during their motion through the low-pressure gas. The calculations have been made for the initial stages of the FP process thus establishing the most efficient parameters of the process. Resultant GML nano films are expected to have superior qualities, particularly for building high efficiency / low cost PV panels. The FP-method allows for a fast development and easy implementation in PV manufacturing
6:00 PM - C3.30
Morphology Control of Electrodeposited CuInSe2 Absorber Layer.
Juan Sun 2 1 , Sudip Batabyal 1 , Lydia Wong 2 , Subodh Mhaisalkar 1
2 Mmaterials Science and Engineering, Nanyang Technological University, Singapore Singapore, 1 Energy Research Institute @ NTU, Nanyang Technological University, Singapore Singapore
Show AbstractIn recent years, CuInSe2 (CIS) has becoming a leading material for the development of polycrystalline thin-film solar cell. It is an excellent absorber material in solar cells because of its direct band gap, exceedingly high-absorption coefficient (~105cm-1) and long term optoelectronic stability. Of the various fabrication methods, electrodeposition is attractive for large scale production of CIS thin film solar cells due to low equipment cost, non-vacuum, high deposition speed, negligible waste of chemicals, scalability as well as ability to deposit films on a complex surface. Besides these, it is easy to control the deposited film thickness, morphology and composition through modifying electrical parameters. The ability to control the morphology of the absorber layers is very important for the device performance as it affects the charge separation efficiency. In this study, for the first time, the morphology of the absorber layers is controlled by using different additives in the deposition bath. Here, we demonstrated a simple way to synthesize various forms of CIS nanostructured thin film using the 2- electrode electrodeposition technique at room temperature. CIS thin films were fabricated by single-step electrodeposition onto indium tin oxide (ITO) substrate. The electrolytes used were low concentration aqueous solution of copper chloride, indium chloride and selenious acid at pH 2. The nanostructured films were then characterized by X-ray diffraction (XRD), Field-emission scanning electron microscopy (FESEM), UV-visible spectroscopy and Atomic force microscopy (AFM). The morphology of these nanostructures, which could be as simple as a sphere or as complex as a 3 dimensional structure can be controlled by the bias voltage and the presence of additives such as hydrochloric acid, oxalic acid, acetic acid, etc. In this study, we also illustrated that the morphological evolution and stoichiometry of the final film is to a great extent dependant on the applied electrical voltage. In particular, applied voltage 2V was used in the system with oxalic acid as the additive. This system yielded nice compact pure film of CuInSe2 which was hugely promising. Finally, the effect of morphology variation on the photovoltaic behavior of CIS-based solar cell will also be investigated and presented.
6:00 PM - C3.31
Systematic Study of Methanol Addition to Enhance the Film Development of APCVD Tin Oxide.
Joop van Deelen 1 , Ioanna Volintiru 1 , Paul Poodt 1
1 Materials Technology, TNO, Eindhoven Netherlands
Show AbstractTin oxide (SnO2) is one of the most commonly used Transparent Conductive Oxides (TCOs), successfully implemented in various fields of application. Each of these applications sets specific requirements for the film electrical, optical, morphological and structural properties and can be obtained by a wide variety of deposition techniques. In industry, Atmospheric Pressure CVD (APCVD) is most often used because of its easy implementation (no vacuum system needed) and the possibility of up scaling to large area substrates. In spite of the commercial success, fundamental insight in this kind of processes are lacking. As an example, alcohols are commonly reported to be used as additives in the (AP)CVD process of SnO2 in order to improve the film electrical properties. The addition of alcohols appears to improve both the initial film formation (in the nucleation stage) as well as the subsequent bulk film electrical, structural and morphological properties. However, the exact mechanism which leads to these improvements is, however, still largely unknown.Here we present a detailed study on the initial and bulk growth of undoped tin oxide thin films by APCVD and the influence of methanol addition on the electrical properties and morphology. As precursors, SnCl4 and water were used. Thickness series showed two regimes having different electrical behavior; an initial growth regime (up to ~150 nm) and a bulk growth regime (above 150 nm). The initial growth regime shows a sharp resistivity increase correlated with the electron concentration. In the bulk growth regime, a stabilization of the resistivity and electron concentration was observed. SEM and ellipsometry measurements indicate a densification of the tin oxide films upon methanol addition, though structurally no changes could be observed by XRD. Furthermore, a reduction of the growth rate was measured. Adding methanol leads to more conductive SnO2 films. This effect is most distinct in the bulk growth regime, where an increase in electron concentration of almost an order of magnitude was observed. Remarkably high mobility values of up to 55 cm2/Vs were obtained. For the first time, a new TEM method has been used to investigate the development of the surface nucleation and the initial crystal formation SnO2 in planar view. This TEM method makes use of a silicon wafer that is locally thinned to make it transparent to electrons. On top of this wafer, an extremely thin SnO2 ‘layer’ was deposited and subsequently characterized from the top surface by TEM.
6:00 PM - C3.32
Systematic Study of High Deposition Rate of Low Resistivity ZnO:Al Deposition on an Industrial Moving Belt Reactor.
Joop van Deelen 1 , Andrea Illiberi 1 , Bas Kniknie 1 , Paul Poodt 1
1 Materials Technology, TNO, Eindhoven Netherlands
Show AbstractZnO is a popular transparent conductive oxide, but deposition methods are usually performed at low pressure. In this study, ZnOx:Al films have been deposited on glass in an industrial AP-CVD system by means of: t-Butanol, Diethylzinc and Trimethylaluminum. Glass substrate is moving under the gas injector with a speed up to 500mm/min. Substrate temperature has been varied between 400°C to 540°C (set value). In a laboratory scale, ZnOx:Al films with remarkable low resistivity (5 10-4 Ohmcm) and high transparency in the visible range (~90%) have been deposited. Up-scaling to industrial production requires high throughput, i.e. high deposition rate and high substrate speed. For optimized gas composition and deposition temperature, a deposition rate as high as ~14 nm/s can be achieved with moving substrate. Under these conditions, ZnOx:Al films with different thicknesses (from 100nm to 1000nm) have been deposited by decreasing the speed of the moving substrate (from 500mm/min to 100mm/min). A resistivity of ~1 10-3 Ohmcm and a transparency of 90% (in the visible range) has been achieved for ~300nm thin films at substrate speed of 200mm/min (see Fig.2). Thickness of ZnOx:Al films are increased up to ~1000nm with the same substrate speed (200mm/min), by growing multiple ZnOx:Al layers with multiple gas injectors, installed along the production line. Following this approach, ZnOx:Al films with low resistance (10 Ohm/sq) and high transparency are deposited. A thermally activated chemical reaction is assumed to occur in the gas phase, creating the growth precursors. A sticking coefficient value of 1 is assumed for the precursors on the film surface. A correlation between the different growth regimes and the film morphology (XRD, SEM) will be discussed. In-house developed CVD simulation tools have been used to model the deposition rate and thickness profiles of the static depositions have been fitted to calculate the pre-exponential factor and the activation energy of the chemical reaction in the gas phase. As a result, our model can accurately predict not only the growth rate, but also the effect of different reactor designs and parameter settings on the growth profile and the precursor efficiency.
6:00 PM - C3.33
Development of Zinc Sulfide as a Hetero-junction Partner by a Novel Process Route.
Parag Vasekar 1 , Laxmikanth Ganta 1 , Daniel Vanhart 1 , Seshu Desu 1
1 Center for Autonomous Solar Power, Binghamton University, Vestal, New York, United States
Show AbstractZinc sulfide has gained momentum in last few years as a cadmium-free heterojunction partner for thin film solar cells and is seen as a very good replacement to cadmium sulfide due to better blue photon response and non-toxicity. In this work, zinc sulfide films are prepared using an organic sulfur source. This process route has its potential application while we develop our superstrate configuration thin film solar cells. The development of zinc sulfide has been studied on zinc oxide coated glass and part of zinc oxide is converted into zinc sulfide. The kinetics of the zinc sulfide conversion has been studied and the films are characterized using SEM, EDS, XRD, AFM, Spectrophotometer and Hall measurement. Zinc sulfide film formation has also been characterized independently by reacting organic sulfur source with zinc metal deposited on glass. EDS, XRD and optical properties confirm the zinc sulfide phase with a band-gap of 3.5 to 3.6 eV. We report a simpler and repeatable process for development of zinc sulfide using a cost-effective and non-toxic organic sulfur source.
6:00 PM - C3.34
Design, Fabrication and Solar Cell Application of CuInSe2 Nanotube Arrays on Conducting Glass Substrates.
Jun Xu 1 2 , Chun-Sing Lee 1 2
1 Department of Physics and Materials Science, City University of Hong Kong, Hong Kong China, 2 Center of Super-Diamond and Advanced Films (COSDAF), City University of Hong Kong, Hong Kong China
Show AbstractHighly-ordered arrays of Cu-rich and Cu-deficient CuInSe2 (CIS) nanotubes as well as ZnO/CIS core/shell nanocables have been synthesized on glass substrates by using ZnO nanorod arrays as sacrificial templates via a low-cost solution method. Chemical conversions from hexagonal ZnO to cubic ZnSe, hexagonal CuSe and tetragonal CIS are demonstrated as a novel means for synthesis of I-III-VI nanomaterials. Large differences in their solubility product constant (Ksp) are crucial for direct exchange in the conversions. In solvothermal reaction of ZnO/CuSe core/shell nanocables with InCl3, the triethylene glycol (TEG) solvent serves as a reducing agent for the reduction of cupric ions (Cu2+) to cuprous ions (Cu+) and also an agent for the dissolution of ZnO cores. The absorption coefficient of the CIS nanotubes in visible region is on the order of 104 cm-1. Photoelectrochemical (PEC) solar cells were fabricated with arrays of ZnO/CIS and ZnO/CuSe nanocables. It was found that power conversion efficiency of the ZnO/CIS cell is about 2 times higher than that based on ZnO/CuSe.
6:00 PM - C3.35
Cost Effective Fabrication of Wafer Scale Nanoholes for Solar Cells Application.
Yingqi Zhao 1 , Ka Kuen Leung 1 , Charles Surya 1
1 Department of Electronic and Information Engineering, The Hong Kong Polytechnic University, Hong Kong China
Show AbstractSilicon nanostructure based radial p-n junction solar cells have recently attracted much attention, due to their potential advantages in charge collection and light absorption. Although the efficiency of these solar cells has been improved significantly in recent years, the fabrication of the nanostructures with controllable dimension is still far from being cost effective.In order to strike a balance between the cost and controllability, we fabricate wafer scale random silicon nanoholes with suitable size (hundreds of nanometers) for radial p-n junction solar cell, by combing silver film annealing and metal assisted silicon etching. Both processes are simple to implement and require no expensive equipments. The size of holes and distance between them can be adjusted by varying the annealing conditions and metal film thickness. Low reflectance (<10%, at 300-800nm wavelength) has been measured, indicating its potential as substrate for nanostructure solar cells fabrication.
6:00 PM - C3.36
The Fabrication of Large Scale Single/Few Layer Graphene as Flexible Transparent Conductive Electrodes.
Gorkem Soyumer 1 , Ismet Inonu Kaya 1
1 Materials Science and Engineering, Sabanci University, Istanbul Turkey
Show AbstractThe superb mechanical, electrical and optical properties of graphene make it candidate material used in electronics and optoelectronics. As a transparent conductive electrode, graphene is anticipated to replace indium tin oxide (ITO) which is costly to produce, instable in the presence of acids or bases and shows poor transparency in the blue and near infrared light ranges. In most recent research, the sheet resistance and transparency of few layer graphene are proved to be superior to commercial ITO’s properties. The rising of the sheet resistance is derived from the discontinuity of single layer graphene which has to be optimized during the production and transfer. Graphene can be fabricated by mechanical exfoliation, epitaxial growth on SiC and growth by CVD on catalytic metal surfaces. Among these, CVD growth method seems to be the most convenient way of graphene fabrication, because it is possible to produce wafer scale uniform single/few layer graphene on metal surfaces and transfer to any target surface practically. The growth is done in a crystal tube furnace. Depending on the carbon solubility of metal substrates, graphene can be grown on either high vacuum or ambient pressure conditions. Cu and Ni are used for graphene fabrication. Cu has less carbon solubility and the graphene growth at high vacuum condition results in self limited single layer formation. Ni is able to dissolve more carbon atoms and the ambient pressure growth yields single/few layer graphene. In addition, to controllably produce a specific number of layers of graphene, Ni-Cu alloys are studied. For all, the scale of the graphene films is limited by the wafer and crystal tube size. Since both Cu and Ni are easily etchable metals, graphene can be transferred to any target substrates to be used as a transparent conductive electrode. As a last step, the graphene films are characterized to compare the sheet resistance and transmittance with the commercially used ITO and reported results in the graphene literature. In this research, the diameter of the single layer graphene islands grown on Ni under ambient pressure is 150-200 microns which is larger than reported so far.
6:00 PM - C3.37
Thick and Crack-free Nanocrystalline Mesoporous TiO2 Films Obtained by Capillary Coating from Aqueous Solutions.
Natacha Krins 1 2 , Marco Faustini 1 , Benjamin Louis 1 , David Grosso 1
1 , UPMC, Paris France, 2 , ULg, Liege Belgium
Show AbstractOrganized mesoporous crystalline metal oxide coatings are deeply investigated in a vast array of potential applications, like in energy conversion and storage, catalysis, advanced analysis or microelectronic as they offer both high surface area and nano-ordering. The synthesis of such films by evaporation induced self-assembly approach (EISA) is now well mastered as it has been implemented for several systems in the last decade (1). However important drawbacks avoid this technique to meet the industrial production requirements: (i) initial solutions are composed of organic solvents, while environmental-friendly processes require working in water, (ii) final film thicknesses are usually limited to only several hundreds of nm, (iii) achieving a stable and reproducible ordered pore network necessitates precise control and time consuming repetitive coatings.We propose a simple method to obtain thick, crack-free, nanocrystalline, and ordered mesoporous layers TiO2 from inexpensive reagents dissolved in pure water (2). This method is based on a deposition at high temperature in the capillary regime (3), combined with a multilayer stack approach (4) but including an intermediate filling the pore space between each successive deposition. A full structural investigation based on ellipsometry porosimetry, scanning electronic microscopy and grazing incidence-small angle X-ray scattering results confirms the presence of an ordered gridlike nanostructure, although neither humidity control, post-ageing or slow and careful thermal treatment are used.Furthermore this method is easy to scale up, and could be generalized to most of transition metal oxides.(1) Sanchez C., Boissiere C., Grosso D., Laberty C., Nicole L. Chemistry of Materials. 2008, 20, 682-737.(2) Krins N., Faustini M., Louis B., Grosso D. accepted in Chemistry of Materials(3) Faustini M., Louis B., Albouy P. A., Kuemmel M., Grosso D. The Journal of Physical Chemistry C. 2010, 114, 7637-7645.(4) Prochazka J., Kavan L., Zukalova M., Frank O., Kalbac M., Zukal A., Klementova M., Carbone D., Graetzel M. Chemistry of Materials. 2009, 21, 1457-1464.
6:00 PM - C3.38
Current Collecting Grids in R2R Processed Organic Solar Cells.
Robert Abbel 1 , Joost Valeton 2 , Tim van Lammeren 1 , Erwin Meinders 1
1 , Holst Centre - TNO, Eindhoven Netherlands, 2 , NeoDec, Eindhoven Netherlands
Show AbstractAn important component in organic solar cells is a transparent electrode through which the incident light can reach the active layers. The materials currently available as ITO, however, generally suffer from relatively low conductivities, resulting in resistive losses. A consequence is a decrease in energy conversion efficiency, which is especially severe in large area devices. A solution to this problem is the incorporation of highly conductive metal grids into the OPV architecture. A way to deposit such structures is the printing of conductive metal inks, which currently are almost exclusively based on silver. After printing, high conductivity is achieved by oven sintering at elevated temperatures, which, however, is incompatible with most plastic foils and high throughput R2R manufacturing. We have developed fast sintering technologies that enable us to increase the R2R production speed and to replace silver by other, less expensive materials. One example is photonic sintering, using highly focused light flashes instead of thermal treatment in an oven. This process allows the conversion of printed lines of commercial silver inks into fully sintered, highly conductive structures within fractions of a second, enabling to sinter printed silver structures on plastic foils at a maximum line speed of 5 m/min. Additionally, our new sintering technologies have enabled us to successfully process a new generation of conductive inks, based on copper complexes, which cannot be processed by oven sintering. Other materials currently under investigation are conductive inks based on aluminium and carbon, and inks with other functionalities, such as inorganic semiconductors.
6:00 PM - C3.40
Printable Carbon Free CIGS Thin Film for Solar Cell Applications.
Byoung Koun Min 1 , Eunjoo Lee 1
1 , Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractCuInxGa1-xSeyS2-y (CIGS) thin films were prepared by a paste coating with an aim of developing a simpler and lower cost fabrication method of absorber layer of thin film solar cells. Specifically, a paste of a Cu, In, and Ga precursor mixture was prepared and then coated onto a glass substrate, followed by a thermal treatment (450 oC) under ambient conditions, which resulted in a nearly carbon free amorphous CuInGa mixed oxide film. The film was then annealed again at elevated temperature (500 oC) under H2S/Ar gas and subsequently under Se vapor/Ar environment to form a stoichiometric CIGS film. Almost no impurity of carbon and oxygen was found in the CIGS film which would be beneficial in solar cell applications. Concomitantly, the grain size in CIGS film was observed to substantially increase due to the selenization. The details of characterization and solar cell test of the films will be discussed in the presentation.
6:00 PM - C3.41
Continuous Microreactor-assisted Solution Deposition for the Scalable Production of Cadmium Sulfide Thin Films.
Sudhir Ramprasad 1 , Yu-Wei Su 2 , Chih-hung Chang 2 , Brian Paul 3 , Clayton Hires 3 , Daniel Palo 1
1 Energy and Environment, Pacific Northwest National Laboratory, Corvallis, Oregon, United States, 2 School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, Oregon, United States, 3 School of Mechanical, Industrial, & Manufacturing Engineering , Oregon State University, Corvallis, Oregon, United States
Show AbstractThe goal of this study was to develop a scalable process which will reduce the manufacturing energy, environmental discharge, and production cost associated with current nano-scale thin-film photovoltaic (PV) manufacturing approaches. Cadmium sulfide (CdS) thin films are commonly used as buffer layers in thin film solar cells with thickness on the order of 50 nm. Chemical bath deposition of CdS films are limited by non-uniform heating and slow mixing leading to poor reaction selectivity and the formation of particulates through a homogenous growth mechanism. A pilot deposition unit for CdS thin films on 150-mm fluorine-doped tin oxide (FTO) coated glass substrate was developed. The deposition unit includes a microchannel mixer for fast mixing and a modular microchannel heat exchanger for adjusting residence time. A novel technique is employed to uniformly coat the substrate. The parameters involved for the CdS deposition on 150 mm substrates are described. Resulting films are characterized by X-ray diffraction, UV-vis spectroscopy, SEM, and TEM.Project funded by US Department of Energy, Industrial Technologies Program, through award #NT08847, under contract DE-AC-05-RL01830.
6:00 PM - C3.42
Use of SiO2 Nanotemplates for Relieving Thermally Induced Stress During Epitaxial Growth of Ge on Si for III-V Photovoltaic Applications.
Swapnadip Ghosh 2 , Darin Leonhardt 1 , Sang Han 1 2
2 Electrical Engineering & Computer Engineering, University of New Mexico, Albuquerque, New Mexico, United States, 1 Chemical & Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico, United States
Show AbstractVirtual substrates that consist of epitaxially grown Ge on Si may potentially replace conventionally used Ge substrates for III-V multijunction solar cells. However, growing low-dislocation-density Ge on Si and subsequently integrating III-V layers pose two significant engineering challenges: lattice mismatch and thermal expansion coefficient mismatch. Material engineering solutions, such as metamorphic growth, graded buffer layer, selective epitaxial overgrowth, and defect filtering strategies have been articulated in order to circumvent the lattice mismatch. Herein, we focus on the use of SiO2-based templates with nanoscale windows placed between Ge and Si as well as within Ge epilayer to simultaneously overcome the lattice mismatch and relieve the film stress caused by the thermal expansion coefficient mismatch. Using a computational modeling software package, COMSOL multiphysics, we have investigated the effects of geometrical factors, such as diameter, height, aspect ratio, and pitch of the template windows. The simulated multilayer stack consists of (from bottom to top) Si substrate, 2-nm-thick SiO2 with 7-nm-wide windows whose interdistance ranges from 3 to 12 nm, a Ge epilayer registered to the underlying Si through the 7-nm-wide windows, second layer of SiO2 template, and 1-μm-thick Ge epilayer registered to the underlying Ge through the second SiO2 template windows. The second SiO2 template is intended to terminate residual dislocations and to relieve the stress caused by the thermal expansion coefficient mismatch. A continuum stress simulation model is used for analyzing the multilayer stack structure subjected to a temperature excursion typically from the growth temperature of Ge to room temperature. The simulation results, comparing Ge grown on Si with and without SiO2 templates, show that the nanoscale templates can effectively reduce the stress caused by the thermal expansion mismatch. When the templates are used, the thermal expansion mismatch stress in Ge remains below the temperature-dependent yield stress of Ge. We will also report an optimized template geometry that minimizes not only the stress in Ge, but also stress fields that exist near the templates. High stress zones exist at the corners of the SiO2 template walls, and this computational outcome compares well with the defects observed in the same region by transmission electron microscopy (TEM). In summary, the simulation results suggest that the SiO2 nanotemplates can reduce the stress caused by the thermal expansion coefficient mismatch, while simultaneously reducing the lattice-mismatch-induced dislocations in Ge grown on Si.
6:00 PM - C3.43
Degradation of CuInGaSe2 Devices Studied Using Controlled Moisture Ingress.
Rajalakshmi Sundaramoorthy 1 , John Pern 1 , Jian Li 1 , Tim Gessert 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractThe damp heat (DH) study at 85°C and 85% relative humidity (RH) of unencapsulated standard CuInGaSe2 (CIGS) devices fabricated at NREL exhibited not only large variations in the lifetime of the devices but also various degradation modes. Some obvious failure mechanisms include the peeling of the Mo back contact from the soda lime glass (SLG), decrease in shunt resistances, increase in series resistance, and degradation in the optical and electrical properties of the TCOs. The general trend observed in the devices which have survived 1000 h in DH showed three regions of interest. During the initial 20 h of exposure there is a 50% decrease in efficiency, then a slight improvement until 50 h of exposure followed by a gradual decrease until 1000 h. In an effort to understand the initial steep degradation mechanism during the first 50 h of exposure, the devices in this study were subjected to controlled moisture ingress by encapsulation with different backsheets (TPT, TPAT, Tefzel and glass) having different water vapor transmission rates (WVTR) with a borosilicate glass front sheet and ADCO edge sealant tape. The WVTR of the four backsheets are 5.5, 4, 0.001 (ASTM F-1249), and ~1*10-5 gm/m2-day respectively. Periodic light and dark current-voltage (JV), capacitance-voltage (CV) measurements were carried out before, during, and after the course of exposure. Structural measurements and infrared imaging were carried out before the encapsulation and after the devices that have failed completely. In calculating the change in J-V parameters, the values obtained after lamination were taken as the baseline to perform the calculations. The drop in short circuit current (Jsc), fill factor (FF), and efficiency observed for the devices on the CIGS coupons after lamination is attributed to transmission loss of the the incident light in the front cover glass. The results show that there is minor change in open circuit voltage (Voc), ~10% drop in Jsc, 40% drop in FF, and 60% drop in efficiency during the first 20 h of exposure for CIGS coupons encapsulated in TPT and Tefzel. JV parameters of devices encapsulated using TPAT and glass show little or no change during the first 10 h of exposure, and exhibits a gradual decrease after 20 h. Initial trends in CV and JV data show that the decrease in the device performance correlates to the degree of degradation of the TCO and the buffer layer during the first 20 h of exposure. However, from 20-100 h of exposure the abrupt changes in CV and decrease in Voc suggest the moisture penetration deeper into the junction which might alter junction interface. Net increase in acceptor concentration and the corresponding decrease in Voc during the initial 50 h of exposure points to the fact that degradation is dominated by the reduction in the lifetime of the carriers. This paper attempts to correlate the JV and the CV data to understand the degradation mechanism during the initial hours of DH exposure of CIGS devices.
6:00 PM - C3.44
Structural Study of CIGS2 Thin Film Absorbers Using EBSD Technique.
Ashwani Kaul 1 , Shirish Pethe 1 , Neelkanth Dhere 1 , Helio Moutinho 2
1 , Florida Solar Energy Center, University of Central Florida, Cocoa, Florida, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractElectron backscatter diffraction in scanning electron microscopy (SEM) is a powerful technique which allows crystallographic information such as the grain orientation, grain boundaries and also the grain size to be obtained. Crystalline maps are formed in EBSD while the electron beam of a SEM scans the sample surface providing information about crystallinity of individual grains as well as features such as twin boundaries. The important characteristics of EBSD are; 1) The depth from which the diffracted electrons originate. 2) The angle between the sample surface and the electron beam.The EBSD technique analyzes the material few tens of nanometers beneath the surface. The sample material at the surface has to be reasonably crystalline, defect free and flat. This study relates to EBSD investigation of CuIn1-xGaXS2 (CIGS2) samples that were prepared by a two stage process which is easily scalable to large area manufacturing. Here, the initial precursor was deposited by DC magnetron sputtering followed by sulfurization in conventional furnace. It is difficult to obtain useful EBSD data from samples with rough surface which is the case in most of the thin films. Here, the sample polishing and etching process has been developed for obtaining high quality Kikuchi patterns. Modern EBSD equipments use software to compare the electron back-scatter patterns (EBSP) obtained from theoretical atomic structures and the EBSP obtained experimentally. However, due to the unavailability of this data in case of CIGS2 samples theoretical EBSP are not available for comparison and hence it becomes difficult to index these thin films. Efforts were made to develop a database to facilitate proper indexing and corresponding accurate determination of preferred orientation of the CIGS2 thin film absorbers. Using this information high quality EBSD patterns and grain orientation maps have been obtained for CIGS2 thin films. Efforts have also been made to identify twin boundaries and then to improve the morphological analysis.
6:00 PM - C3.45
Thin Film Solar Cells with Antimony Sulfide and Lead Sulfide Complementary Absorbers.
Manuela Calixto-Rodriguez 1 , Jonathan Sayago 1 , Ana Rosa Garcia 1 , M. T. Santhamma Nair 1 , P. Karunakaran Nair 1
1 CIE, Universidad Nacional Autonoma de Mexico, Temixco, Morelos, Mexico
Show AbstractAntimony sulfide(Sb2S3) with a band gap of 1.8 eV and lead sulfide (PbS) with a band gap 0.4-0.6 eV operate as complementary absorbers in the visible and near-infrared spectral range of sunlight in CdS/Sb2S3/PbS solar cells [1]. In this work, we shall present results on the relative contributions of these absorber components to the current generation in such cells. The films are deposited sequentially from chemical baths over commercial transparent conductive oxide (TCO) on sheet glass. We report here the chemical deposition of PbS thin films at 25-50 oC with thickness up to 500 nm. The growth rate, band gap and the electrical conductivity of the films vary notably with the deposition condition. For deposition at 50 oC, a film of 500 nm in thickness is obtained in 3 h and a further deposition in a fresh bath would take the total thickness of the film to 700 nm. Solar cell was prepared on TCO by depositing on it at 80 oC a CdS thin film of 100 nm thickness and band gap 2.6 eV, using Cd-citrate complex. Then an Sb2S3 thin film of 100-300 nm in thickness was deposited at 9 °C from a solution mixture of antimony trichloride and thiosulfate. Prior to depositing a PbS film, the Sb2S3 film was made crystalline and photoconductive by heating it at 300 °C in a nitrogen atmosphere. The thickness of both Sb2S3 and PbS was varied to observe its effect on the short circuit current density (Jsc) and on the open circuit voltage (Voc). While Voc remained within 600-690 mV, Jsc showed significant increase with increase in the thickness of either film. The measurement of the external quantum efficiency of the cells showed increased response for photons of 800 nm radiation with increasing PbS thickness. The best result reported here is for a cell: TCO/CdS(hex-100 nm)/Sb2S3(300 nm)/PbS(700 nm) with Voc 595 mV, Jsc 7 mA/cm2, fill factor 0.26, and conversion efficiency 1% under sunlight (1000 W m−2). A module of 100 cm2 area incorporating 10 such cells interconnected shows Voc 5 V and short circuit current 50 mA. The cells and module are stable under extended operation in sunlight. The batch production of the cells and modules by chemical deposition is thought to be feasible and the abundant mining output of antimony (131,000 tons/year-2006) and lead (3,360,000 tons/year-2006) would support a worldwide production, should the cell efficiency increase. The energy band diagram of the junction was analyzed using the SCAPS software to interpret the limitations of the cell structure and suggest modification of the cell design. As a result, cells and modules with improved characteristics are being developed, and further results will be presented. [1] Sarah Messina et al., J. Phys. D. Appl. Phys. 41(2008) 095112; P. K. Nair, M. T. S. Nair, J. Phys. D. Appl. Phys. 23 (1990) 150; M. T. S. Nair et al., J. Appl. Phys. 75 (1994) 1557.
6:00 PM - C3.46
Growth of CuInGaSe2 Thin Film Solar Cells.
Gary Harris 1
1 HNF, Howard University, Washington, District of Columbia, United States
Show AbstractCopper indium diselenide (CuInSe2) and copper indium gallium diselenide (CuInGaSe2) thin film solar cells deposited by spray pyrolysis are a low cost way to provide solar energy. Although they are relatively cheap to fabricate, they suffer from low efficiencies because of their small grain sizes. In this work we investigated the conditions of growth rate and temperature in order to obtain stoichiometric layers of these materials. We also investigated the conditions necessary to grow cadmium sulfide (CdS) by chemical bath to be use as the n-type contact for the solar cell. Finally, we sought to determine if grain sizes can be increased by rapid thermal annealing (RTA) of the grown layers. CuInSe2 films were grown on a soda lime glass substrate coated with 150nm of molybdenum at 250-300°C for 30-60 minutes. Electron dispersive spectroscopy (EDS) data for CuInSe2 indicated the presence of all three elements. CdS films were grown in a bath of cadmium chloride and sulfur chloride at 70°C. EDS on CdS indicates the presence of both elements in equal abundance. Scanning electron microscopy (SEM) and optical microscopy indicated uniform growth of CdS films but non-uniform growth for CuInSe2. Rapid thermal annealing CuInSe2 to increase grain sizes was inconclusive at temperature up to 500°C.
6:00 PM - C3.48
Electrospun Composite Nanofiber Transparent Conductor Layer for Solar Cells.
Justin Ritchie 1 , Joel Mertens 1 , Heejae Yang 1 , Peyman Servati 1 , Frank Ko 1
1 Materials Engineering, University of British Columbia, Vancouver, British Columbia, Canada
Show AbstractDeveloping a durable transparent conductor (TC) with a high transmissivity and a low sheet resistance is a significant challenge for enabling next generation devices. With the simple and scalable process of electrospinning, a polymer matrix can serve as a the basis for high performance fibrous composite materials containing functional nanoparticles. For developing a TC, the carbon fiber precursor of polyacrylonitrile (PAN) can be used as a carrier polymer for multi-walled carbon nanotubes (MWCNT) to create electroactive nanofibers 200-500nm in diameter. Once carbonized, thin layers of this material serve as a TC. In the two stage carbonization process, the second stage temperature of 700C and above is the primary determining factor for establishing a highly conductive material and single layers of nanofibers are typically destabilized at these temperatures. By optimizing carbonization rates and stage temperatures, membranes of a single nanofiber in thickness are fabricated by electrospinning MWCNT/PAN solutions onto quartz. This material has been optimized for concentrations of MWCNTs less than 20% volume fraction resulting in well above 90% transmissivity and sheet resistances of between 500-1kΩ/square. After carbonization onto quartz slides, this material can serve as a transparent electrode for solar cell devices.
6:00 PM - C3.49
Improved High Efficiency Organic Solar Cells via Incorporation of a Conjugated Polyelectrolyte Interlayer.
Jung Hwa Seo 1 2 , Andrea Gutacker 2 , Alan Heeger 2 , Guillermo Bazan 2
1 Department of Materials Physics, College of Natural Sciences, Dong-A University, Busan Korea (the Republic of), 2 Center for Polymers and Organic Solids, Department of Physics and Chemistry & Biochemistry, University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractWe show in this work that high efficiency plastic solar cells can be substantially improved upon introduction ofa conjugated polyelectrolyte thin film adjacent to the electron-collecting electrode. The power conversionefficiencies (PCE) of bulk heterojunction (BHJ) solar cells can be increased from 5% to 6.5% by incorporatingan ultra-thin conjugated polyelectrolyte (CPE) layer between the active layer and the metal cathode. Poly[N-9”-hepta-decanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)] (PCDTBT) and [6,6]-phenyl C70-butyric acid methyl ester (PC71BM) were chosen for the photoactive layer. CPEs with cationicpolythiophenes, in both homopolymer and block copolymer configurations, were used to improve electroniccharacteristics. The significant improvement in device performance and the simplicity of fabrication bysolution processing suggest a promising pathway for improving polymer solar cells with high efficiencies.
6:00 PM - C3.6
Metal Gettering in Porous-Si Reflector for Epitaxial Silicon Solar Cells.
Chihak Ahn 1 , Hariharsudan Radhakrishnan 2 , Jan Van Hoeymissen 2 , Jonathan Goss 1 , Nick Cowern 1
1 School of Electrical, electronic and computer engineering, Newcastle University, Newcastle upon Tyne United Kingdom, 2 , IMEC, Leuven Belgium
Show AbstractIn epitaxial silicon thin-film photovoltaic technology, a porous Si layer is grown between an inexpensive, low–cost (and hence low-purity) Si substrate and an epitaxially-grown high-quality silicon active layer. This barrier carries two roles: reflecting light efficiently and is gettering metal impurities such as Fe and Cu that could out-diffuse from the low-purity substrate into the active region during high-temperature processing steps. Since silicon epitaxy is performed on top of this porous Si layer, the structure will inevitably contain some crystal defects such as dislocations and stacking faults. It is known that metal impurities not only getter to void surfaces but also to dislocations. It is therefore vital to study the gettering ability of voids in relations to other structural defects for the most common and detrimental metal impurities. In this paper, as a first step, we study metal trapping by voids, particularly focusing on the two most prominent transition metal impurities, Cu and Fe, known to produce deep levels in Si which are detrimental to solar cell efficiency. Using density functional theory (DFT) calculations, the binding energies of Cu and Fe to void surfaces were evaluated and subsequently continuum simulations were carried out where the binding energies were used as one of the inputs.We calculated the total free energy of the 512-atom supercell with the metal or nano-void in it, using the DFT simulation package VASP. The void was constructed by removing 35 Si atoms within a sphere of radius ~0.5 nm. Various Cu configurations around the void surface were investigated and it was found that the lowest energy position of Cu on the surface of the void is the slightly off-centered hexagonal site in a hexagonal ring composed of the empty atomic sites and Si atoms. The binding energy was found to be 2.2 eV, consistent with that estimated by S.M. Myers and D.M. Follstaedt (J. Appl. Phys. 79, 1337 (1996)). Similar DFT calculations for Fe at various binding sites around the void surface resulted in a range of binding energies, with the most favourable binding configuration yielding a binding energy of 1.4 eV. These results indicate that Cu getters to voids more strongly than Fe does. Based on the DFT results, continuum simulation for Cu trapping at void was performed for the highly porous Si. In the simulation, B doping conditions are also accounted for because B-Cu pairing modifies the Cu diffusivity significantly (A. Istratov et al., Phys. Rev. Lett. 81, 1243 (1998)). The simulation results provide guidance on the temperature range in which Cu and Fe atoms are significantly gettered by voids. These simulations are confronted to experimental analysis where the distribution of dopants in a structure presenting a porous layer is compared to a pure Si structure. Qualitative demonstration of gettering of impurities has been demonstrated; quantitative analysis is on-going.
6:00 PM - C3.7
Versatile Applications of Chemically Deposited SnS in Thin Film Solar Cells.
Maricela Rebeca Aragon-Silva 1 , Ana Rosa García 1 , M. T. Santhamma Nair 1 , P. Karunakaran Nair 1
1 CIE, Universidad Nacional Autonoma de Mexico, Temixco, Morelos, Mexico
Show AbstractDistinct chemical deposition baths have been established for SnS thin films: (i) a well studied method, which produces thin films of orthorhombic crystalline structure [1, 2] with an optical band gap (Eg) 1.3 eV; and (ii) a recently developed variation of the same method which produces thin films of the same material, but with zinc blende structure, and Eg 1.7 eV [3]. Upon heating in air at 400-450 oC, thin films of thickness 300 nm of either type is converted to composite layers of SnS2/SnO2 of sheet resistance 50-100 kΩ with a light yellowish color caused by the optical absorption of SnS2 (Eg 2.7 eV) or to a transparent thin film of SnO2 with a sheet resistance 10-10E3 kΩ, depending on the temperature and duration of heating. Such thermally converted SnS2/SnO2 coatings would serve as transparent window layers for solar cell structures on a commercial transparent conductive oxide layer (TCO). Chemically deposited solar cells of TCO/CdS/SnS/C-Ag produce open circuit voltage, Voc of 400 mV and short circuit current density, Jsc of 1 mA/cm2 where the Jsc increases with the thickness of SnS thin film (100-400 nm). These cells are stable with heating up to a temperature of 250 oC. The best cell of this type has efficiency (η) of 0.6%. Heating SnS-CuS layer at 350-400 oC produces either Cu2SnS3 or Cu4SnS4, depending on the relative thickness of the layers. These are p-type solar cell absorber materials with Eg 0.95 eV and 1.2 eV, respectively, as discussed earlier [4]; the fabrication of solar cell structures will be discussed in the present work. We illustrate also that heating chemically deposited thin films of SnS/CuS/ZnS at 350 oC produces Cu2ZnSnS4, or a composite layer of SnS/Cu2ZnSnS4, depending on the relative thickness of layers, as determined by grazing incidence x-ray diffraction. At such temperature, an SnS bottom layer of zinc blende structure would transform to orthorhombic. Solar cells incorporating these composite layers are currently being developed. Overall, in this work we present the use of SnS thin films in chemically deposited solar cells initiated previously [5]. [1] M. T. S. Nair, P. K. Nair: Semicond. Sci. Technol. 6 (1991) 132; [2] P. K. Nair et al. Thin Solid Films 239 (1994) 85; [3] David Avellaneda et al. J. Electrochem. Soc. 155 (2008) D517; [4] David Avellaneda et al. J. Electrochem Soc. 157 (2010) D346; [5] David Avellaneda et al. Thin Solid Films 517 (2009) 2500
6:00 PM - C3.8
Chemically Deposited Solar Cells with Tin Selenide Absorber Films.
Enue Barrios-Salgado 1 , M. T. Santhamma Nair 1 , P. Karunakaran Nair 1
1 CIE, Universidad Nacional Autonoma de Mexico, Temixco, Morelos, Mexico
Show AbstractWe deposited thin films of tin(II) selenide (SnSe) with a view to investigate their use as an absorber component in polycrystalline thin film solar cells. This material is known to crystallize into orthorhombic crystal structure with an indirect band gap (Eg) of 0.9 eV in the bulk. Thin films of the material are reported to show optical band gaps in the range of 1.1 to 1.74 eV, due to both direct and indirect transitions. In the present study, uniform and well-adhered thin films were deposited on microscope glass slides as well as on transparent conductive oxide (TCO) on glass substrates using chemical bath method. The bath contained tin(II) chloride, sodium selenosulphate, and triethanolamine at a basic pH. At room temperature a thin film of 150 nm in thickness was deposited in 15 min. X-ray diffraction studies confirmed that the polycrystalline thin films are of SnSe with orthorhombic structure having an average crystalline grain size of 14 nm. The films show a direct band gap of about 1.3 eV and an electrical conductivity, p-type, of ~1 (Ω cm)-1. Solar cell structures of TCO/CdS/SnSe and TCO/CdS/Sb2(S,Se)3/SnSe, prepared by sequential chemical deposition of the films show open circuit voltage (Voc) of about 300 mV. Details on the parameters of the materials and cells; effect of thermal treatment of SnSe on the cell properties; and choice of electrode materials and curing procedure to obtain improved cell structures will be presented.
6:00 PM - C3.9
Fabrication and Characteristics of Solution Processed Films for Organic Solar Cells.
Ashwith Kumar Chilvery 1 , Ashok K Batra 1 , Padmaja Guggilla 1
1 Physics, Alabama A&M University, Normal, Alabama, United States
Show AbstractOrganic solar cells (OSC) hold the potential of low-cost production and a high throughput as compared to inorganic solar cells besides the increase in efficiency. To realize these possibilities, objective should be to fabricate most of functional films in OSC structure via solution-process technologies: spin; spray; dip and other coating methods. Spray coating is a high-rate, large-area deposition technique that ensures an ideal coating on a variety of surfaces with different morphologies and topographies. The spray coating is an efficient technique as compared to other techniques, and only a small amount of the solutions is wasted and to enhance the efficiency. Systematic efforts are being made to fabricate various films of important efficient OSC materials such as ZnO, TiO2, P3HT, PCBM, and others by solution-processed techniques. The results obtained in regard to their microstructures, dark- and photo-electric transport and junction characteristics shall be presented.The ‘process-property’ relations shall be described in order to obtain best-set of performance characteristics. The details of modified fabrication techniques along and facilities established for testing the films and organic solar cells will also be presented. * work supported by NSF-RISE grant: id 0927644.
Symposium Organizers
Henry Ji Transmill Technologies, Inc.
Venkatesen Mannivanan Colorado State University
Binxian Ren Hebei University of Technology
Loucas Tsakalakos General Electric
Symposium Support
General Electric - Global Research
Solexant Inc
Transmill Technologies Inc
C4: Silicon Solar Cells
Session Chairs
Wednesday AM, April 27, 2011
Room 2000 (Moscone West)
9:30 AM - **C4.1
Sliver Solar Cell Technology: Pushing the Material Boundaries.
Evan Franklin 1 , Andrew Blakers 1 , Klaus Weber 1 , Vernie Everett 1
1 School of Engineering, The Australian National Universit, Canberra, Australian Capital Territory, Australia
Show AbstractOne of the primary objectives of the global photovoltaic research community is to effect significant manufacturing cost reductions via improved material utilisation. Reducing the cost intensity of the most expensive material resource, purified crystalline silicon, can be achieved either by reducing material requirements or by increasing solar cell efficiency. One very promising technology for achieving both of these goals is Sliver technology, developed by the Centre for Sustainable Energy Systems (CSES) at the Australian National University (ANU) and now the subject of a major commercialization effort. Sliver technology is an innovative method for achieving considerable reductions in material consumption via a 10- to 20-fold reduction in the consumption of purified silicon, while at the same time achieving high cell efficiencies by fully exploiting the advantages of mono-crystalline silicon.Sliver solar cells are thin, mono-crystalline silicon solar cells fabricated using a combination of micro-machining techniques and standard silicon device fabrication technologies using mono-crystalline wafers in a very different manner to conventional wafer-based solar cells Rather than fabricating a single solar cell on the surface of a wafer, many hundreds to several thousand individual Sliver solar cells are fabricated within a single wafer. The dimensions of a Sliver cell depend upon wafer size, wafer thickness, and the micro-machining method employed. Cells typically have a length of 5 – 12cm, a width of 0.5 – 2mm, and a thickness of 20 – 70 micron. 20% efficient Sliver solar cells using standard cell processing methods and a robust processing sequence, suitable for transfer to industry, have been fabricated at ANU. Current research efforts are directed towards developing and establishing new fabrication techniques to further simplify the fabrication sequence and to improve cell efficiency.This paper presents an overview of Sliver technology and its applications, highlighting the fundamental advantages over conventional technology. The fabrication method for producing Sliver cells is presented along with the measured characteristics of cells fabricated in the CSES research laboratory. A brief summary of commercialisation progress is also provided, along with the latest research developments.
10:00 AM - **C4.2
Comparative Study on Manufacturability of Selective Emitter and Double Printing.
Yong Liu 1 , Wei Shan 1
1 , JA Solar, Shanghai China
Show AbstractSelective emitter structure has long been regarded as a good and relatively simple approach to improve the energy conversion efficiency of Si wafer-based single-junction PV cells. Recently emerged double printing, on the other hand, has demonstrated its capability of improving the efficiency with no requirement for the cell structure modification. The manufacturability of these two approaches has been studied on a mass-production platform at JA Solar recently. The experimental results from large scale sampling over one million cells will be compared and discussed. The impact of these new cell technologies on the performance of PV modules will be also addressed.
10:30 AM - C4.3
Doping of Silicon and Zinc Oxide by Femtosecond-laser Irradiation for Efficient and Scalable Photovoltaic Cell.
Apurba Dev 1 , Andreas Schneider 1 , Waldemar Freund 1 , Tobias Voss 1
1 Institute of Solid State Physics, University of Bremen, Bremen Germany
Show AbstractIrradiation of semiconductors by intense femtosecond laser-pulses has recently been demonstrated to provide a versatile means for the fabrication of new materials. Crystalline semiconductors subject to locally intense excitation conditions undergo a transformation: the atomic structure becomes instantaneously disordered and new compounds are “locked in” as the substrate re-crystallizes. When applied to silicon in SF6 ambient, the result is a highly sulfur doped, optically opaque surface layer that is hundreds of times more sensitive to light than conventional silicon. Additionally, periodic nano- and microstructures appears on the surface of the wafer that lead to a strong scattering of incident light.We demonstrate that nano/micro-structured and sulfur-doped Si shows near unity absorption in a broad spectral range (250-2500 nm). In addition, the incorporated sulfur atoms contribute the extra valance electron and thus increase the free carrier concentration of the surface layer. This leads to the formation of an n+-p-junction between the surface layer and the bulk material that shows a diode-like current-voltage characteristic. Under illumination, the pn-junction behaves as a photovoltaic device and showed an efficiency of about 2%. We studied the efficiency and stability of the devices for different surface roughness and doping concentrations and showed a strong dependence on the contacts fabricated on the structured and doped layersWe also investigated nanostructuring and doping of ZnO wafers by femtosecond-laser irradiation. Being a wide-gap semiconductor, ZnO is widely used for UV photodetection and sensing application. In addition ZnO is often used for dye-sensitized solar cells where a suitable and strongly light absorbing dye is required for better efficiency. We observed that after femtosecond-laser irradiation ZnO wafers become strongly absorbing in a wide spectral range (250-2000 nm). Structuring was performed in different environments (air, vacuum) and also with either antimony or phosphorus powder on the surface to incorporate acceptors into the polycrystalline surface layer. Our results demonstrate the potential of femtosecond-laser-induced structuring and doping of Si and ZnO surfaces to fabricate large scale silicon- or ZnO-based solar cells.
10:45 AM - C4.4
High Throughput, Low Cost Deposition of Alumina Passivation Layers by Spatial Atomic Layer Deposition.
Ad Vermeer 1 , Fred Roozeboom 2 3 , Paul Poodt 2 , Roger Gortzen 1
1 , SoLayTec , Eindhoven Netherlands, 2 , TNO, Eindhoven Netherlands, 3 , Eindhoven university of Technology, Eindhoven Netherlands
Show AbstractAtomic Layer Deposition (ALD) is a gas phase deposition technique for depositing very high quality thin films with an unsurpassed conformality. The main drawback of ALD however is the very low deposition rate (~ 1 nm/min). Recently, record deposition rates for alumina of up to 1 nm/s were reached using spatial ALD, while maintaining the typical assets regarding film quality as obtained by conventional, slow ALD1 . This allows for ALD at high throughput numbers.One interesting application is passivation of crystalline silicon solar cells. Applying a thin alumina layer is reported to increase solar cell efficiency and enables the use of thinner wafers, thus reducing the main cost factor2. For this application, full coverage of the substrate is required. In this paper we report on the latest progress made at TNO that delivered a working prototype of a system realizing full area single sided deposition of alumina on 156 x 156 mm2, mono- and multi crystalline silicon wafers3. Deposition rates up to 0.5 nm/s are realized enabling industrial production at competing cost in the order of eurocents per wafer. Layer quality, measured in terms of thickness homogeneity (< 2% deviation), surface recombination velocity (< 10 cm/s) and firing stability are in line with earlier results on the rotating tool and beyond practical requirements for the application. Specific attention was paid to the compatibility of the technology with industrial production requirements. The efficiency of precursor usage is one important factor to be disclosed in this paper. The system works in atmospheric conditions. Another aspect is the total absence of parasitic deposition on reactor parts resulting from the concept of carrierless, floating wafer transport . This will enable high production uptimes compared to the current practice of regular reactor cleaning as known from PECVD systems. The work presented has resulted in a product family of spatial ALD equipment that is brought to market by a spin-off company from TNO called SoLayTec. A modular system approach resulted in a scalable solution. A small footprint “Process Development Tool” fit for laboratories to develop processes and solar cells is already available. A “High Volume Tool” is expected to be launched early 2012. For depositing a 10 nm layer, the PDT reaches 100 and the HVT 3000 wafers per hour. The concept of multiplication of identical process modules from the PDT in the HVT ensures a smooth transition from lab scale development of solar cells to full scale production.The result of the presented work is no less than a paradigm change. ALD is now ready for introduction in volume production. This will bring on new applications, such as alternative materials and deposition techniques for CIGS buffer layers and introduction of ALD in roll to roll production.1 P. Poodt et al, Adv. Mater 22 (2010) 35642 Hoex et al, J. Appl. Phys. B104B (2008) 449033 Vermeer et al, Patent application, to be published jan. 2011
11:30 AM - C4.5
Evidence and Characterization of Crystallographic Defect and Material Quality After SLIM-Cut Process.
Alex Masolin 1 2 , Jan Vaes 1 , Frederic Dross 1 , Amaia Pesquera Rodriguez 3 , Jef Poortmans 1 2 , Robert Mertens 1 2
1 , imec, Leuven Belgium, 2 , Katholieke Universiteit Leuven, Leuven Belgium, 3 , University of Basque Country, Bilbao Spain
Show AbstractThe SLIM-Cut method [1] addresses one of the most important challenges of crystalline-Si for photovoltaics: kerf-free wafering of substrates as thin as 50 microns. The SLIM-Cut technology is fully based on mechanical stress and it is compatible with low-cost fabrication methods: a stress field is applied to a silicon wafer so that a crack propagates in the silicon substrate parallel to the surface at a given depth. The stress field is enhanced by a Rapid Thermal Process (RTP). The top silicon layer is separated from the parent substrate and processed into a solar cell.Nevertheless, the quality of the material must be assessed to ensure that this innovative wafering technique does not jeopardize the potential energy conversion efficiency of the final solar cell.In an effort to improve the quality of the material, several process parameters have been identified to have a primary influence on the material quality: the crystallographic orientation of the original wafer, the stress-inducing material and the overall thermal budget in terms of peak temperature and profile of the RTP.On the other hand, to obtain quantitative values several metrics have been identified. On first instance mechanical integrity and morphology of the film characterized by roughness, total thickness variation and presence of micro-fractures. Also, the structural integrity is characterized by means of the crystallographic defect density in the lift-off foil, as well as, the chemical purity and surface condition characterized by effective minority carrier lifetime.In view of increasing the overall stability of the process, we attempt here to identify the first-order correlations between the process parameters and the selected figures of merit. In order to do so, we screened a number of process parameters in particular the thermal budget involved during RTP and two crystallographic orientations, <111> and <100>. Moreover, we develop a suitable quantitative characterization technique.Due to the number of parameters involved, this study is still on-going, but first trends have been identified: material orientation play a major role as it was expected from literature [3]. The conference paper will present the full study.In addition, minority-carrier lifetime measurements, although challenging to set up in a correct way, are expected to provide a powerful quantitative metrics to identify the optimal thermal process window, possibly below the brittle-to-ductile transition of silicon to reduce the amount of defects correlated to the plastic deformation of the silicon foil.Reference[1] F. Dross, et al., 33rd IEEE Photovoltaic Specialists Conf., 11-16 May 2008, San Diego, CA.[2] H. Rauh, “Wacker's atlas for characterization of defects in silicon,” Wacker-Chemitronic GmbH[3] T. Ando et al., “Orientation-dependent fracture strain in single-crystal silicon beams under uniaxial tensile conditions”, Micromechatronics and Human Science, 1997, pp. 55 - 60
11:45 AM - C4.6
On the Chemical and Field-effect Passivation of c-Si by Al2O3 and SiO2/Al2O3 Stacks.
Gijs Dingemans 1 , Richard van de Sanden 1 , Erwin Kessels 1
1 Applied Physics, Eindhoven University of Technology, Eindhoven Netherlands
Show AbstractRecently, the negative-charge-dielectric Al2O3 synthesized by atomic layer deposition (ALD) has led to exceptionally high levels of surface passivation on p-type Si and has enabled enhanced solar cell efficiencies. Here we present an investigation of the surface passivation mechanisms of ultrathin Al2O3 films deposited either directly on c-Si or as a capping layer on SiO2. The field-effect and the chemical passivation were studied employing capacitance-voltage measurements, electric-field induced optical second-harmonic generation and corona charging experiments. The field-effect passivation of Al2O3 films (2-30 nm) on Si improved during post-deposition annealing and was found to be dependent on the oxidant used during ALD (i.e. O3, H2O or O2 plasma). In marked contrast, for the SiO2/Al2O3 stacks no significant field-effect passivation was present. During annealing, the chemical passivation improved for both Al2O3 on Si as well as for the SiO2/Al2O3 stacks, resulting in low defect densities (Dit values ≤ 1E11 eV-1 cm-2). A key challenge in understanding the chemical passivation of the silicon interface induced by Al2O3, is the experimental identification of the role of hydrogen. SIMS measurements on thermal SiO2 / deuterated Al2O3 stacks have revealed the diffusion of hydrogen from the Al2O3 toward the interface during annealing, providing effective hydrogenation [1]. This also led to a significantly enhanced thermal stability compared to single layer SiO2. We have studied the hydrogen transport mechanism by thermal effusion experiments, and will discuss its dependency on the mass density of the Al2O3 films. Exploiting these new insights, we demonstrate that the initially poor surface passivation generally obtained by low-temperature synthesized SiO2 can be improved significantly using an Al2O3 capping film (with surface recombination velocities < 2 cm/s). This film stack is especially interesting for the passivation of n-type c-Si surfaces in solar cells, for which a high fixed negative charge density may not be preferable. The improved understanding of the Al2O3 surface passivation properties and the results on the SiO2/Al2O3 stacks are expected to have significant implications for the surface passivation in commercial solar cells. [1] G. Dingemans, W. Beyer, M.C.M. van de Sanden, W.M.M. Kessels, Appl. Phys. Lett. 97, 152106 (2010)
C5: Multi-Component Thin Film Manufacturing II & Transparent Conductors and Coatings II
Session Chairs
Venkatesen Mannivanan
Loucas Tsakalakos
Wednesday PM, April 27, 2011
Room 2000 (Moscone West)
2:30 PM - **C5.1
Flexible Cu(In,Ga)Se2 and CdTe Solar Cells and Roll-to-roll Manufacturing.
Ayodhya Tiwari 1 2 , Adrian Chirila 1 , Patrick Bloesch 1 , Julian Perrenoud 1 , Lukas Kranz 1 , Sieghard Seyrling 1 , Fabian Pianezzi 1 , Stephan Buecheler 1 , Rajneesh Verma 1 , Shiro Nishiwaki 1 , Yaroslav Romanyuk 1 , Roger Ziltener 2 , David Bremaud 2
1 Photovoltaics 130, Empa, Swiss Federal Laboratories for Materials Science and Technology, Duebendorf Switzerland, 2 , FLISOM Ltd., Duebendorf Switzerland
Show AbstractPhotovoltaic (PV) devices based on polycrystalline Cu(In,Ga)Se2 (CIGS) and CdTe absorber layers are known for yielding highest efficiency solar cells and lowest manufacturing cost, respectively. A phenomenal progress has been made in the large scale manufacturing of solar modules of these materials on glass substrates with different technologies. However, further developments in high performance flexible solar cells and modules with roll-to-roll process are expected to bring in the ultimate advantages of thin film technologies for providing low cost solar modules. Flexible lightweight solar modules can bring down the balance of system cost in a fully installed system, provided modules of high efficiency and long term performance stability are employed. Therefore, as high efficiency as possible is desired with simple reliable and high throughput processes for lightweight flexible solar modules. We have been focusing on the development of high efficiency CIGS and CdTe solar cells with low temperature vacuum evaporation processes suitable for in-line manufacturing. CdTe solar cells of 15.6% efficiency have been developed on glass substrates using evaporated CdS/CdTe layers and keeping the overall processing temperature below 450°C. This process has been applied to develop up to 12.4% efficiency flexible solar cells and a laser patterning technology has been used for the development of monolithically interconnected flexible modules. Instead of expensive ITO these solar cells on glass and flexible substrates use ZnO:Al transparent conducting electrodes. Effects of various layers, processes and parametric optimization for achieving high efficiency will be presented. Properties of layers and interfaces will be correlated with the photovoltaic properties of solar cells. Future trends towards roll-to-roll manufacturing of CdTe solar cells in substrate and superstrate configuration will be discussed. Flexible CIGS solar cells are heading towards crossing the 18% efficiency barrier with low temperature deposition process that is compatible on polyimide film as well as on stainless steel foil while solar cells in the efficiency range of 16-17% are routinely processed. CIGS layers in these high efficiency solar cells are grown by co-evaporation of elements Cu, In, Ga, and Se, in a specific manner that gives a compositionally graded layer where Ga grading changes along the layer thickness. Structural and chemical properties of the CIGS layers are controlled for optimizing the photovoltaic properties. Using laser patterning technology, monolithically interconnected flexible solar modules with high efficiencies are obtained. Roll-to-roll manufacturing of flexible CIGS solar cells with different technologies has made remarkable progress as flexible solar module efficiencies tend to approach 14%. Current status and challenges of roll-to-roll manufacturing of solar modules will be addressed.
3:00 PM - **C5.2
Printed Nanoparticle CdTe Solar Cells on Flexible Metal Foils.
Damoder Reddy 1 , Charlie Hotz 1 , Craig Leidholm 1 , Donald Zehnder 1 , Margaret Hines 1 , Chris Sunderland 1 , Puthur Paulson 1 , Steve Conner 1 , Brian Gergen 1
1 , Solexant, Inc, San Jose, California, United States
Show AbstractThin film solar cells have had considerable commercial impact due to their low cost of manufacture. In particular, cadmium telluride (CdTe) has been the prevalent material used in thin film cells due to its stable binary structure which can be deposited by physical vapor deposition (PVD). All commercial CdTe solar cells and virtually all of the published literature describing them are constructed using the “superstrate” configuration, meaning the substrate must be transparent as the light enters from the substrate side of the device. We have developed a “Roll to Roll” process for fabrication of CdTe solar cells with substrate configuration by printing CdTe nanoparticle ink onto flexible metal foil. The shaped CdTe nanoparticles are currently made in kilogram scale by colloidal synthesis from low-cost raw materials. The resulting nanoparticle CdTe ink is deposited by slot die coating onto moving continuous metal foil, utilizing the “substrate” configuration for cell design. The nanoparticle CdTe ink is deposited very uniformly without pinholes, allowing a thin, functional absorber layer to be created. After printing, the layers are sintered to form thin films of micron-sized grains of CdTe, which is then subject to a chloride treatment to passivate grain boundries. The back metal contact (Mo) and transparent top contact (AZO) are sputtered using Roll to Roll process.The substrate cell design for CdTe has both benefits and challenges. The junction between CdTe and CdS is readily accessible and can be formed at a wide range of time and temperature conditions, unlike superstrate cells which are necessarily formed at the high temperature of CdTe PVD. However the well-known issue of back contact formation is significant in substrate design, as this contact must be formed early in the cell fabrication process and survive high temperature nanoparticle sintering step.Substrate CdTe devices on metal foil exhibit similar performance to traditional superstrate CdTe devices formed on glass substrates by PVD. Using CdTe layer thicknesses as low as 1.6 microns and Voc as high as 850 milli-volts has been achieved, with >10% efficiencies. Device development continues to advance efficiency by improvement of Jsc and fill factor.A 2MW pilot line has been built to scale up this technology to produce full size modules. Each of the tools in the line has the capacity to deposit or process 750mm (30”) continuous metal foil several hundred meters in length. Current development is targeting a module with characteristics suited for deployment in utility- or municipal-scale solar farms. At scale this technology is capable of reducing CdTe solar module manufacturing cost to $0.50 per watt.
3:30 PM - C5.3
Light Scattering of ZnO Nano Particle Based Thin Films.
Andrey Raykov 1 , Sahar Arabi 1 , Rahul Dewan 1 , Sujay Phadke 2 , Rodrigo Noriega 2 , Alberto Salleo 2 , Dietmar Knipp 1
1 School of Engineering and Science, Science, Electronic Devices and Nanophotonics Laboratory, Jacobs University Bremen, Bremen Germany, 2 Department of Materials Science and Engineering, Geballe Laboratory for Advanced Materials, Stanford University, Stanford, California, United States
Show AbstractSolution-based zinc-oxide nano particle and cones thin films were realized via spin-coating with excellent light scattering characteristics. The films are promisingcandidates as contact layers or intermediate reflectors in thin film solar cells. The optical properties of the films can be controlled by the geometry of the zinc oxide nano particles and the concentration of spin-on-glass in the film. The optical properties of the films were compared in terms of the wavelength-dependent total transmission and the transmission haze (the ratio of diffuse transmission with respect to total transmission) as well as the angular distribution function of the transmitted scattered light (ADF). For wavelengths from 350 nm to 550 nm the cones exhibit high haze values of up to 90 % and high total transmission. For longer wavelengths (exceeding 1200 nm), the haze distinctly drops down to less than 20%. The films,which offer the best scattering characteristics (particle diameter of 50-70nm), exhibit high haze values throughout the investigated spectrum. This can be explained by the fact that for short wavelengths the light is scattered by the individual particles, whereas the high haze values for long wavelengths are caused by the formation of clusters of nano particles on the surface. For long wavelengths of 1200 nm the haze was reported to exceed 40%. Overall, the zinc oxide films based on nano particles experience a significantly higher haze than zinc oxide nanocones films for wavelengths in the infrared part of the spectrum. The ADF measurements show that increasing the nano particles concentration leads to higher ADF values which are particularly evident in the angle range from 0° to 40°. The influence of the preparationconditions and the particle geometry on the optical roperties and the morphology of the films will be discussed. Furthermore, a numerical model will be discussed that fitsa curve based on the transmission haze data and extracts information about the rmsroughness of the given sample.
4:15 PM - C5.4
Band Energy Structure Arrangement for Organic Solar Cells with Metalized Deoxyribonucleic Acid Strands on Anode Electrode.
Ali Guvenc 1 , Emre Yengel 1 , Shirui Guo 2 , Hayri Akin 1 , Cengiz Ozkan 3 4 , Mihrimah Ozkan 1
1 Electrical Engineering, University of California, Riverside, Riverside, California, United States, 2 Chemistry, University of California, Riverside, Riverside, California, United States, 3 Mechanical Engineering, University of California, Riverside, Riverside, California, United States, 4 Materials Science and Engineering , University of California, Riverside, Riverside, California, United States
Show AbstractDeoxyribonucleic acids provide exciting opportunities as templates in self assembled architectures and functionality in terms of optical and electronic properties. In this study, we investigate the effects of DNA and metalized DNA sequences in organic bulk-heterojunction solar cells. These effects are characterized via optical, quantum efficiency and current-voltage measurements. We demonstrated that by arranging the band energy structure of the devices via placing metalized deoxyribonucleic acid sequences on the hole collection side of the active layer lead to an increase in the power conversion efficiency by %16 and %30, respectively.
4:30 PM - C5.5
Large Scale Solution Process of Silver Nanowire Electrodes for High Efficiency Polymer Solar Cells.
Zhibin Yu 1 , Lu Li 1 , Qingwu Zhang 1 , Qibing Pei 1
1 Materials science and engineering, UCLA, Los Angeles, California, United States
Show AbstractIndium-doped tin oxide (ITO) electrodes are conventionally used as the transparent anodes in polymer solar cells. However ITO is brittle and has become increasingly costly. Various candidates have been investigated as flexible transparent electrodes to replace ITO. Silver nanowires (AgNWs) had been synthesized through a scalable wet-chemical process. AgNW electrodes were then formed on a transparent polymer substrate. The figure of merit sheet resistance was 12 ohm/sq with 82% transmission and lower than 5 nm surface roughness. Polymer solar cells based on a blend of poly(3-hexylthiophene) and phenyl-C61-butyric acid methyl ester had power conversion efficiency close to 4% under standard AM 1.5 illumination. The efficiency is comparable to similar solar cells on an ITO/glass substrate. The polymer solar cells with the AgNWs are highly flexible and can be stretched by up to 10% without loss of performance.
4:45 PM - C5.6
High-efficiency Inverted Polymer Solar Cell by Spin Coating a Nano-layered Salt on TiO2/ITO Electrode.
Ten-Chin Wen 1 , Yu-Chun Wang 1
1 Chemical Engineering, Nationl Cheng Kung Uni. , Tainan, Taiwan (R.O.C), Taiwan
Show AbstractSung-Nine Hsieh, Yu-Chun Wang and Ten-Chin WenDepartment of Chemical Engineering, National Cheng Kung University, Tainan 70101, Taiwan, Taiwan, Republic of ChinaSolution-proceed bulk-heterojunction organic solar cell (OPVs) has attracted considerable interest during the recent years because of their potential for use in the future photovoltaic technologies for low-cost production. The fabrication of an inverted OPVs (I-OPVs), in which the change collecting nature of the electrodes is reversed, avoid the use of low work function and air-sensitive electrode. The ideal bottom electrode of an I-OPVs should have air-stability, resist organic solvents, and support the efficient extraction of electrons. Recently, an air-stable electron-extraction material, titanium dioxide (TiO2), was prepared to modify ITO, and it can effectively improve the efficiency of I-OPVs. However, TiO2-based I-OPVs cannot achieve the efficiency of the Ca-based regular OPV. In this abstract, we report a novel salts, G199, to deposit on TiO2 surface by solution fabrication process to improve the electron-extraction ability for the TiO2-based I-OPVs. The structure of TiO2-based device is ITO/TiO2/P3HT:PCBM/MoO3/Au/Ag and the structure of modified TiO2-based device is ITO/TiO2/G199/P3HT:PCBM/MoO3/Au/Ag. Device current density-voltage characteristics were measured with a Keithley 2400 source-measure unit under simulated AM 1.5G irradiation (100 mW/cm2) using an Orial 300W solar simulator in the glove box. It found that the overall power conversion efficiency (PCE) was increased from 2.8% to 3.64% when G199 was inserted between TiO2 and active layer. This PCE value is as high as that for Ca-based regular device (3.50%). This significant improvement for TiO2/G199-based I-OPVs mainly results from the increase of short-circuit current (Jsc) from 9.92 to 12.62 mA/cm2. We assume that the increase of Jsc is due to the change of the morphology in the active layer. In order to determine the morphology of P3HT:PCBM on the different surfaces, X-ray diffraction (XRD) measurements were performed. From XRD results, the crystalline structures of P3HT:PCBM film on TiO2 and TiO2/G199 were different. Therefore, we can use G199 to adjust the morphology of P3HT:PCBM on the TiO2 surface. This approach can achieve high efficiency I-OPVs.
5:00 PM - C5.7
Solution Processed V2O5 as High Work Function Charge Extraction Layers in Organic Solar Cells.
Kirill Zilberberg 1 , Sara Trost 1 , Hans Schmidt 2 , Thomas Riedl 1
1 Electrical Engineering, University of Wuppertal, Wuppertal Germany, 2 Electrical Engineering, Technical University of Braunschweig , Braunschweig Germany
Show AbstractFor large-scale and high-throughput production of organic solar cells (OSCs), liquid processing of the functional layers is desired. Typically, inter-layers between the active organic layers and the electrodes are required to facilitate the extraction of the photo-generated charges. Specifically, on the anode side, polyethylene dioxythiophene:polystyrene sulfonate (PEDOT:PSS) is often used. However, PEDOT:PSS has been evidenced to be an origin of limited device lifetime. Particularly, the aqueous PEDOT:PSS dispersion and its acidic nature can cause substantial degradation. Very recently, transition metal-oxides (TMOs) such as molybdenum-, vanadium-, or tungsten-oxide (MoO3, V2O5, and WO3) with a high work function (WF) of up to 6.9 eV have been shown to be promising alternatives [1,2]. TMOs have also been used as constituents of the connecting architecture in stacked organic light emitting diodes and organic tandem solar cells [3,4]. So far, the unique energetics of these TMOs have been accessible only for vacuum deposited films.In this work, we will for the first time show the use of liquid processed V2O5 films (thickness 10-50 nm) prepared from a Vanadium(V)-oxitriisopropoxide/isopropanol solution as hole extraction layers in polymer:fullerene solar cells. V2O5 layers spin coated in ambient air show a WF of 5.6 eV without any post-processing (e.g. annealing or plasma treatment). This WF is comparable to that of evaporated V2O5 or MoO3 layers which have been exposed to ambient air [5]. At the same time, our V2O5 films are extremely smooth (roughness rms < 1nm) as opposed to typically rough layers obtained from casted nano-particle dispersions [6]. Rough layers typically lead to shortcuts and catastrophic failure especially in large-area thin film devices. Organic bulk heterojunction cells based on poly(3-hexylthiophene): [6,6]-phenyl-C61-butyric acid methyl ester (P3HT:PCBM) with solution processed V2O5 hole extraction layers inserted between the indium-tin oxide anode and the organic BHJ show a power conversion efficiency (PCE) of 3 %, comparable to reference devices using PEDOT:PSS instead. After about 200 hours in air, the PEDOT:PSS devices are severely degraded with an almost 50% loss of PCE, whereas the V2O5 solar cells show a significantly improved stability with only a 14 % decay of their initial PCE.[1] H. Schmidt, H. Flügge, T. Winkler, T. Bülow, T. Riedl, and W. Kowalsky, Appl. Phys. Lett. 94, 243302 (2009).[2] J. Meyer, S. Hamwi, T. Bülow, H. H. Johannes, T. Riedl and W. Kowalsky, Appl. Phys. Lett. 91, 113506 (2007).[3] S. Hamwi, J. Meyer, M. Kröger, T. Winkler, M. Witte, T. Riedl, A. Kahn, and W. Kowalsky, Adv. Funct. Mater. 20, 1762 (2010)[4] A. Janssen, T. Riedl, S. Hamwi, H.-H. Johannes, and W. Kowalsky, Appl. Phys. Lett. 91, 073519 (2007).[5] J. Meyer, A. Shu , M. Kröger , A. Kahn , Appl. Phys. Lett. 96,133308 (2010).[6] J. Meyer, R. Khalandovsky , P. Görrn , and A. Kahn, Adv. Mater. DOI: 10.1002/adma.201003065 (2010).
5:15 PM - C5.8
Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition of a-SiCN:H Antireflection Coatings for c-Si Solar Cell Applications.
Srinivasan Guruvenket 1 , Kyle Johnson 1 , Steven Andrie 1 , Mark Simon 1 , Robert Sailer 1 , Jacob Fink 1 , John Lovaasen 1 , Justin Hoey 1 , Douglas Schulz 1
1 Center for Nanoscale Science and Engineering, North Dakota State Unuiversity, Fargo, North Dakota, United States
Show AbstractThin films of a-SiN:H and a-SiCN:H are used as antireflection coatings (ARCs) for Si solar cells due to their optical (refractive index (n)) and excellent surface passivation (on n+ emitters of phosphorus doped Si layers in solar cells) properties. ARCs are commonly deposited using magnetron sputtering or plasma enhanced chemical vapor deposition (PECVD) which requires reduced pressure. We report an unconventional, potentially cost-effective route to form ARCs through a non-thermal (low-temperature), atmospheric pressure (AP)-PECVD route, which utilizes triethylsilane (HSiEt3) a metal-organic precursor with hydrogen, nitrogen or their mixtures as reactive gas along with He as the plasma gas in two systems that follow: firstly, a SurfxTM250D atmospheric pressure plasma jet; and, secondly, an NDSU-designed linear atmospheric pressure plasma deposition (LAPD) tool. Chemical properties (Fourier transform infrared spectroscopy), n and extinction coefficient (k) (spectroscopic ellipsometry), mechanical properties, hardness (H) and reduced modulus (Er), (nano-indentation), spectral reflectance (R) and thermal stability of the deposited films were investigated as a function of substrate temperature (Ts) from room temperature to 425 oC. Champion a-SiCN:H films on Si substrates exhibited n =1.85 with H = 15.4 GPa with strong IR absorptions at ~870 cm-1 and ~840 cm-1 indicating the presence of Si-CN and Si-N bonds, respectively. Near zero reflectance at 590 nm was observed for one ~80 nm thick a-SiCN:H film with little change in the optical properties of such films after a rapid thermal treatment (i.e., 700 oC / 1 min) meant to mimic those conditions employed in co-firing of c-Si solar cells contacts. Internal quantum efficiency (IQE) and cell-efficiency of AP-PECVD a-SiCN:H thin films deposited on c-Si will be presented and compared to a-SiN:H films prepared by more typical routes such as PECVD of SiN using SiH4 and NH3.
5:30 PM - C5.9
Roll-to-Roll Front Contact Patterning by Wire Shading.
Rainer Merz 1 , Markus Schubert 1 , Jürgen Werner 1
1 , Universität Stuttgart, Stuttgart Germany
Show AbstractWire shading during thin film deposition is a promising approach to low-cost, high volume manufacturing of photovoltaic (PV) modules [1]. This contribution presents the first successful patterning of a Transparent Conducting Oxide (TCO) front contact layer for thin film PV modules by wire shading during the dynamic roll-to-roll deposition on polymer foils. While competing with mainstream crystalline silicon photovoltaics, several thin film technologies strive for cost reduction by low material consumption and high volume, high throughput mass production, e.g. roll-to-roll manufacturing [2]. A key feature of thin film module production is the monolithic series connection [3] which generally forms 5 to 15 mm wide cells stripes [4], and at the same time interconnects the front contact of each cell stripe to the rear contact of the adjacent one to form an electrical series connection. Monolithic series connection by laser scribing is well established for thin film PV modules on glass substrates with total interconnection losses 10 % > F > 3 % [4,5]. For roll-to-roll production on flexible polymer foils like polyimide, polyethylene terephthalate (PET), or polyethylene naphthalate (PEN), however, the monolithic series connection by laser scribing is demanding due to local heating of the foil substrate by the patterning laser. PEN or PET foils withstand a processing temperature of T < 180°C but melt and degrade in the vicinity of the laser scribe lines, practically leading to interconnection losses F > 15%. As a promising alternative, our first dynamic wire patterning experiments use a multiple-wire assembly with masking wires touching the surface of the moving foil. Continuous roll coating of a 30 cm wide PEN foil with RF sputtered ZnO:Al forms front contact stripes suitable for organic or other thin film PV modules, and demonstrates shunt-free isolation of 28 TCO stripes over l > 300 cm deposition length with an isolation gap width wgap < 200 µm. For amorphous silicon based modules, a complete 3-step wire shading and shifting sequence reduces the total interconnection losses to F < 10 % [1]. Similiar F values seem feasible for other thin film PV technologies.
References:
[1]R. Merz, J. Kistner, M. A. Bouattour, M. B. Schubert, Phys. Stat. Sol. (A) 207, 686 (2010)
[2] M. Izu, S. R. Ovshinsky, Thin Solid Films 119, 55 (1984)
[3] J. J. Hanak, Solar Energy 33, 145 (1979)
[4] Y. Gupta, H. Liers, S. Woods, S. Young, R. Deblasio, in: Proc. 16th IEEE Photovolt. Spec. Conf. (IEEE, Piscataway, NY, 1982), p. 1092
[5] T. Repmann, B. Sehrbrock, C. Zahren, H. Siekmann, B. Rech, Sol. Energy Mater. Sol. Cells 90, 3047 (2006)
5:45 PM - C5.10
High Performance Bulk-heterojunction Organic Solar Cells Fabricated using Non-halogenated Solvent Processing.
Choong-Do Park 1 2 , Jian Li 2 , Bryan Vogt 2
1 Chemistry and Biochemistry, Arizona State University, Tempe, Arizona, United States, 2 Flexible Display Center, Arizona State University, Tempe, Arizona, United States
Show AbstractSolution processed bulk heterojunction (BHJ) organic solar cells based upon poly(3-hexylthiophene) (P3HT)- [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) mixtures typically require halogenated solvent to obtain reasonable efficiency. We have attempted to tune the solvent quality by using fully-nonhalogenated solvent mixtures with Hansen solubility parameters used to guide solvent choice. Upon the addition of 20 vol.% AP to MS, JSC is significantly increased from 4.5 mAcm,-2 to 8.6 mAcm-2, and RSA decreases from 3.4 Ωcm2 for pure MS to 2.8 Ωcm2 for the mixture. Moreover, the fill factor is increased from 60% to 67%. These changes in J-V characteristics results in a significant enhancement in power conversion efficiency (PCE) from 1.6% to 3.5% for MS and MS-AP mixture, respectively. Additionally, the external quantum efficiency (EQE) data show a maximum of 35% at 500 nm for the device from MS. On the other hand, for the device cast from the mixed solvent system, the EQE maximum increased by a factor of two up to 70% at 500 nm. A mixture containing 20 vol.% acetophenone (AP) in mesitylene (MS) yields a device with performance comparable to those obtained for an analogous device when using dichlorobenzene. The power conversion efficiency (PCE) of a 60 nm thick device processed with the solvent mixture is 3.5%, while a 85 nm device processed with dichlorobenzene exhibits a PCE of 4.1 %. Morphological investigation of the films processed with different solvents using AFM reveals that the morphology of the blend formed from the MS-AP mixed solvent closely resembles that of the film cast from DCB. For the film cast from MS, the surface is very rough with large domains. Conversely, no large domains are observed for the film formed from the solvent mixture. We attribute this morphological change to the improved solubility of P3HT/PCBM blend in the mixed solvent system and the slower drying rate of the mixed solvent system due to the lower vapor pressure of the AP. The film cast from DCB did exhibit the smallest domain size and lowest surface roughness of the three films, but the surface morphology for the solvent mixture is very similar to that for the DCB. This morphological study is in good agreement with the I-V characteristics of the devices. We expect that further optimization of this work would enable to realize the use of fully-nonhalogenated solvent mixture systems for scaling-up manufacturing of high performance BHJ organic solar cells.
Symposium Organizers
Henry Ji Transmill Technologies, Inc.
Venkatesen Mannivanan Colorado State University
Binxian Ren Hebei University of Technology
Loucas Tsakalakos General Electric
Symposium Support
General Electric - Global Research
Solexant Inc
Transmill Technologies Inc
C6: Multi-Component Thin Film Manufacturing III & IV
Session Chairs
Binxian Ren
Loucas Tsakalakos
Thursday AM, April 28, 2011
Room 2000 (Moscone West)
9:30 AM - C6.2
Interlayer Adhesion and Delamination in Roll-to-roll Processed Inverted Organic Solar Cells.
Mark Oliver 1 , Stephanie Dupont 1 , Frederik Krebs 2 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Riso National Laboratory for Sustainable Energy , Technical University of Denmark, Roskilde Denmark
Show AbstractThe quality of adhesion between adjacent layers in polymer solar cells is a critical concern as poor adhesion results in delamination once the cell is subjected to thermomechanical stresses associated with processing, packaging and operation of the device. Therefore a fundamental understanding of delamination of these interface and strategies for improving their adhesion must be developed. Using thin-film adhesion techniques, we characterize the energy required to separate adjacent layers in roll-to-roll processed inverted organic solar cells. This provides for quantitative analysis of the impact of various processing and structural variables on adhesion and a means for understanding the mechanisms of delamination. We demonstrate that delamination of PEDOT:PSS/P3HT:PCBM interfaces in such inverted polymer cells can occur at relatively low adhesion energies. The efficacy of a number of strategies for improving interlayer adhesion such as chemical composition optimization, solvent selection, adhesion promoting interlayers, and post-deposition annealing will be presented in the context of their ability to improve adhesion energy. In addition, we will discuss how environmental factors (temperature, humidity, UV light) can accelerate damage evolution in these devices and the implications of these results for device reliability.
9:45 AM - C6.3
High Efficiency CdS/CdTe Cells Without CdCl2 Activation.
Naba Paudel 1 , Kristopher Wieland 1 , Alvin Compaan 1
1 Physics & Astronomy, University of Toledo, Toledo, Ohio, United States
Show AbstractPolycrystalline thin-film CdS/CdTe PV cells nearly always require “activation” with vapors containing Cl and O near 400 oC in order to realize the highest cell performance, even when growth occurs near 600 oC. In this study we have used film growth near 270 oC by magnetron sputtering in an oxygen-free ambient and studied the effects of post-deposition heat treatments for 20 minutes at 400, 425 and 450 oC without Cl in ambients of Ar, N2, and dry air. The heat treatments enhanced grain growth and recrystallization of the CdTe film at all three temperatures, but 450 oC was required to reach good electrical performance of thin-film CdTe solar cells. Grain size increased from a couple of hundred nm to more than a micron as the preferred (111) growth orientation decreased. At 450 oC SEM micrographs showed typically 2 μm grains for film thickness of ~2 μm with somewhat different morphology from those CdCl2 activated at 387 oC. Efficiencies up to 11.5% have been achieved at 450 oC with no CdCl2 application compared to ~13% with CdCl2 activation at 387 oC. XRD and quantum efficiency measurements show nearly complete diffusion of CdS through the CdTe with CdCl2 activation at 450 oC but the heat treatment alone at that temperature is comparable with standard CdCl2 treatment at 387 oC. These results will be discussed in terms of changes in band alignment, CdSTe alloy gradients, and minority carrier diffusion lengths.Work supported by the U.S. DOE University Photovoltaic Process and Product Development program.
10:00 AM - C6.4
5.4 % Kesterite Device by Improved Annealing Process.
Dominik Berg 1 , Alex Redinger 1 , Phillip Dale 1 , Susanne Siebentritt 1
1 Laboratory of Photovoltaics, University of Luxembourg, Belvaux Luxembourg
Show AbstractThin films of Kesterite (Cu2ZnSn(S/Se)4) semiconductors are considered promisingabsorber layer materials for low cost thin film photovoltaic devices, since kesterites onlycontain abundant and thus low cost elements. In recent developments, Todorov and coworkershave prepared a series of Cu2ZnSn(SxSe1-x)4 solar cells using a hydrazinesolution based approach, the record cell showing a power conversion efficiency of9.66 % [1]. Common to all kesterite absorber fabrication methods, a heat treatment of300 to 600°C is required to form the desired semiconductor material. Related to this step,multiple reports on the creation of secondary phases are reported, common to manydifferent heating procedures [2-4]. Chen and co-workers explained this issue theoreticallyby showing that there is only a small existence region of pure Cu2ZnSn(S/Se)4 withoutunwanted secondary phases [5]. Experimentally, a small existence region was also foundby Olekyesuk and co-workers and a possible way of formation of such secondary phaseswas suggested by Weber and co-workers via the loss of Sn [2, 6].In this report we demonstrate that the loss of Sn is a equilibrium reaction which can bereversed by sufficiently high SnS(e) partial pressure. Thus the loss of Sn andconsequently the secondary phases are controlled by choosing appropriate annealingconditions. To show this, we compare two different heating processes, a standard processwhere the precursors are annealed in a S/Se containing environment, and an enhancedprocess where the annealing is being performed in an environment comprising S/Se andSn. Such an enhanced process allows greatly improved control of secondary phases bycompensating for the loss of Sn. The standard process leads to a solar cell device with anefficiency of 0.02 % and the improved process B to a 5.4 % efficient device (each for acell with an active area of 0.5 cm2). Additionally, some consequences of the observedequilibrium will be presented, e.g. a greatly simpified precursor-annealing approach tofabricate kesterites just from Cu-Zn precursors.References:[1] Todorov et al., ADVANCED MATERIALS 2010, 22, 1–4[2] Weber et al., JOURNAL OF APPLIED PHYSICS 107, 013516 (2010)[3] J. J. Scragg, PhD Thesis, University of Bath (England) (2010)[4] Redinger et al., APPLIED PHYSICS LETTERS 97, 092111 (2010)[5] Chen et al., APPLIED PHYSICS LETTERS 96, 021902 (2010)[6] Olekseyuk et al., JOURNAL OF ALLOYS AND COMPOUNDS 368, 135 (2004)
10:15 AM - C6.5
Local Structural Probing of Pulsed Laser Deposited CdS/CdTe Thin Films by X-ray Absorption Spectroscopy.
Mehmet Sahiner 1 , Michael Newby 1 , Samuel Emery 1 , Michelle Jamer 1 , Jeffrey Serfass 1 , Mark Croft 2
1 Physics Department, Seton Hall University, South Orange, New Jersey, United States, 2 Physics Department, Rutgers University, Piscataway, New Jersey, United States
Show AbstractThe thin films of CdS/CdTe were deposited on ITO coated glass substrates using pulsed laser deposition (PLD). The film growth conditions were systematically varied and the local structural modifications around the Cd atoms were probed by x-ray absorption near-edge spectroscopy (XANES) and x-ray diffraction. The effect of the thicknesses of the CdS and CdTe layers, laser energy and the deposition temperature on the local crystal structure and coordination around the Cd atoms were investigated through quantitative multiple scattering analysis and modeling of the x-ray absorption spectroscopy data acquired at the National Synchrotron Light Source of Brookhaven National Laboratory. The induced structural modifications as observed by the x-ray absorption spectroscopy were correlated by the photovoltaic properties of these thin films and the results of variation of growth conditions, on structural modifications and electrical properties will be presented. This work is supported by NSF Award #:DMI-0420952 and Research Corporation Award #:CC6405
11:00 AM - **C6.6
Web Coating of Flexible CIGS on Polyimide Substrate for Rigid and Flexible Solar Modules.
Alexander Braun 1 , Hendrik Zachmann 1 , Andreas Rahm 1
1 , Solarion AG, Leipzig Germany
Show AbstractThis paper describes technological aspects in the web coating for the manufacturing of flexible CIGS solar cells on polyimide substrate and final module assembly. Each of the production stages in the Solarion industrial pilot production facility for module grade cells are automated roll-to-roll processes utilizing in-situ process control.More detailed explanation will be given to the absorber layer fabrication which is deposited by a low-temperature Se ion beam assisted co-evaporation process (IBAD). The extra amount of energy provided by the accelerated selenium ions leads to an increase of the effective growth temperature which results in an enhanced film quality. At optimum Se ion energy the solar cell efficiency increases. At ion energies above a certain level electrically active defects are generated. The behaviour of these defects in dependence of selenium ion energy is shown by means of capacitance spectroscopy as well as luminescence measurements. It could be further shown that the Urbach energy as a measure of crystalline disorder increases as well with increasing Se ion energy which is a further hint for the production of structural (point) defects.Optimized deposition parameters yield device efficiencies above 10% (total area) and above 14% (active area) for test cells.
11:30 AM - C6.7
Data-intensive Metrology for Process Design for Scalable Solar Cells with the Aid of Photovoltaic Informatics.
Changwon Suh 1 , Stephen Glynn 1 , John Scharf 1 , Jian Li 1 , Miguel Contreras 1 , Rommel Noufi 1 , Wesley Jones 1
1 , NREL, Golden, Colorado, United States
Show AbstractWhile the objective of high-throughput materials processing is to focus on finding the best combinations of processing routes to meet multiple performance requirements in the design of photovoltaics (PV), equally important is the ability to analyze the voluminous data from these processes in a high-throughput manner to clearly understand the relationships between process and targeted properties for accelerating the speed of development for solar cells. However, it is obvious that the efforts to find such relationships are often hampered by the increased demand for multiple functional requirements and the complex processes as corollaries of the functionalities. The PV parameter space is complicated by interconnections of various routes of synthesis for film growth, characterization, measurement, and performance. Our goal is to improve the performance of solar cells with optimal process design aiming at low cost and high-rate processes. The critical role of high-throughput data interpretation with the aid of PV informatics is presented in the context of Al-doped ZnO thin films synthesized by RF sputtering, and specifically current efforts to bring large area deposition of copper indium gallium di-selenide, Cu(In, Ga)Se2, thin films to the process development and integration laboratory at the National Renewable Energy Laboratory in the U.S. We will demonstrate the value of the high-dimensional visualization and multiple correlations within more than 30 variables as guides for optimal PV process design in transparent conducting layers for the CIGS device. With a “high-throughput screening” methodology, we incorporate legacy experimental data sets into PV informatics tools such as parallel coordinates or association data mining to quantitatively assess how the process conditions and properties are interconnected.In this manner, the merging of PV informatics with complex manufacturing processes provides a significant “value added” level for optimizing properties of each layer in solar cells as well as enhancing cost-effective process controls as a data-intensive metrology.
11:45 AM - C6.8
Characterisation of Thin Film CdTe Photovoltaic Devices Deposited by High Plasma Density Magnetron Sputtering.
John Walls 1
1 Electronic and Electrical Engineering, Loughborough University, Loughborough United Kingdom
Show AbstractA new magnetron sputtering strategy is introduced that utilises high plasma densities (~5mA.cm-2) to avoid or reduce high temperature processing. The technique uses magnetrons of opposing magnetic polarity to create a “closed field” in which the plasma density is enhanced without the need for high applied voltages. A batch system has been used which employs a rotating vertical drum as the substrate carrier and a symmetrical array of linear magnetrons. The magnetrons are fitted with target materials for each of the thin films required in the photovoltaic (PV) stack including the CdTe absorber layer, CdS buffer layer, metal contact using the conventional superstrate configuration. The “closed field” sputtering technology allows scale up not only for larger batch system designs but it is also configurable for “in-line” or “roll to roll” formats for large scale production. The morphology of each of the layers is characterised together with the overall device performance.
12:00 PM - C6.9
Device Performance of InGaAs Solar Cell on InP Substrate and Hybrid InP or InGaAs/Si Substrate.
Robyn Woo 1 , William Hong 1 , Marina Leite 2 , Emily Warmann 2 , Harry Atwater 2 , Daniel Law 1
1 , Spectrolab, Inc. A Boeing Company, Sylmar, California, United States, 2 , California Institute of Technology, Pasadena, California, United States
Show AbstractIII-V multijunction solar cells have been traditionally developed as lattice matched or metamorphic epitaxial devices on either bulk Ge or GaAs substrates. Exploring another lattice parameter space, e.g. InP, offers interesting combinations of energy bandgap values and provides a new design space for terrestrial multijunction concentrator solar cells. The recent advance of hybrid InP or InGaAs/Si substrate provide an exciting pathway to develop cost-effective scalable InP-based multijunction solar cells. Here, we compare the solar cell performance of InGaAs solar cell on hybrid InP or InGaAs/Si substrate. Lattice-matched In0.53Ga0.47As solar cells on standard InP substrate were also fabricated to benchmark and compare the performance experimentally. Device and material characterization of the solar cell grown on hybrid InP or InGaAs/Si substrate will be discussed in details. Experimental results will be compared to the target values from solar cell device modeling at the meeting.
12:15 PM - C6.10
Large Scale In2S3 Buffer Layers Deposited by Ion Layer Gas Reaction: From Growth Process to Process Growth.
Rodrigo Saez-Araoz 1 , Sophie Gledhill 1 , Tristan Koehler 1 , Martin Krueger 1 , Johannes Richter 2 , Peter Wohlfart 2 , Thomas Niesen 3 , Joerg Palm 3 , Martha Lux-Steiner 1 , Christian Fischer 1
1 , Helmholtz Zentrum Berlin fuer Materialien und Energie, Berlin Germany, 2 , Singulus Technologies AG, Kahl am Main Germany, 3 , Avancis GmbH & Co. KG, Munich Germany
Show AbstractThe Spray-ILGAR (Ion Layer Gas Reaction) is a sequential and cyclic deposition process for semiconductor thin layers. Several binary (In2S3, Al2O3, ZnS, ZnO…), ternary (CuInS2) and quaternary (Cu(In,Ga)S2) compounds have been successfully deposited on various substrates. In the case of In2S3 layers, it offers a low-cost, fast and innovative alternative to the standard chemical bath deposition of CdS buffer layers, not only avoiding the use of the toxic cadmium but also enabling an in-line production of the whole photovoltaic device and reducing the amount of chemical waste, all of which have a positive impact on the process costs. ZnO window layers can be also deposited using the ILGAR technique, making the process highly attractive for industrial applications.In this work we report on the newest development of the ILGAR process by two different approaches: firstly, to enhance the understanding of the chemical reactions and secondly, to up-scale from laboratory sized solar cells (0.5cm^2) to 30x30cm^2 solar modules. The deposition mechanism has been studied at the different stages of the ILGAR process with a mass spectrometer. An aerosol of InCl3/ethanol precursor solution is used in the first stage. The ethanol forms a weakly bonded adduct with the InCl3 that is more volatile than the compound alone enabling a gaseous deposition onto the heated substrate at temperatures around 200°C, far below the InCl3 sublimation temperature. The deposited In(Ox,Cly,(OH)z) film is converted to InxSyClz after the reaction with H2S in the second stage of the process. These steps are repeated until the desired thickness is obtained.A prototype of an industrial ILGAR in-line coater for substrates up to 30x30 cm^2 has been designed and built in cooperation with SINGULUS Stangl Solar GmbH. The homogeneity of the layers is determined by X-ray fluorescence analysis (XRF). An average film thickness of 20nm with a standard deviation below 10% is achieved within three ILGAR cycles at speeds above 8mm/s. The InCl3/ethanol solution is monitored by means of optical spectroscopy, and the changes in concentration by measuring its conductivity. The consumption of the precursor solution has been minimized and it is below 10ml/cycle for a 30x30 cm^2 substrate. Further decrease is expected in industrial applications where absorbers are processed in-line. Pilot line Cu(In,Ga)(S,Se)2 absorbers provided by AVANCIS GmbH & Co.KG have been buffered with ILGAR-In2S3 layers resulting in aperture area efficiencies of 13% (after light soaking) comparable to those of CdS-buffered references (13.3%). These results are regarded as a proof of concept for the Cd-free In2S3 buffer layer deposited using the ILGAR process on large scale substrates.
12:30 PM - C6.11
Al-induced Layer Exchange Crystallization Kinetics of Poly-Ge on Glass Substrates.
Shu Hu 1 , Paul McIntyre 1 2
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 , Geballe Laboratory for Advanced Materials, Stanford, California, United States
Show AbstractMetal-induced crystallization is studied as a low-temperature process to deposit polycrystalline semiconductor materials on non-crystalline substrates. We have previously demonstrated Al-induced layer exchange crystallization to form polycrystalline germanium (poly-Ge) thin films with micron-sized grains and (111)-preferred orientation at 200°C. Such a low-temperature process enables the use of large-area glass or flexible polymer substrates. A germanium oxide interfacial layer, interposed between the Al and the underlying amorphous Ge layer, controls the areal density of Ge crystallites that nucleate on the overlying Al film. Germanium has excellent lattice matching with GaAs, suggesting the possibility of using poly-Ge films as epitaxial seed layers for fabrication of polycrystalline GaAs solar cells. Textured poly-Ge film can also serve as a growth template for directed growth of aligned nanowire or microwire photovoltaic devices. Understanding and controlling the kinetics of Ge crystal growth is important in achieving textured poly-Ge seed layers with large grains for subsequent growth of high-quality absorbers materials. In this work, we focus on nucleation and growth of Ge crystal islands as a function of interfacial layer characteristics and annealing conditions. Direct observation of Ge mass transport to the Al film surface allows independent measurements of the areal density and average area of nucleated Ge islands. The sizes of Ge islands are correlated with the sizes of Ge grains. Based on the experimental results, Ge layer exchange crystallization is modeled as an isothermal solid phase transformation with nucleation of the Ge islands and their radial growth in two dimensions. Island nucleation involves an exponentially decaying nucleation rate with time, with the maximum nucleation density correlated with the GeOx interfacial layer characteristics. Island growth kinetics switch from linear growth at a constant growth velocity to surface diffusion-limited growth as the Ge growth front advances across the Al film surface. The transition point between these regimes depends on the island nucleation density and the annealing temperature. Finally, we will show that local bias-voltage stressing of the GeOx interfacial layer can be used to tune the areal density of Ge islands formed during layer exchange crystallization.
12:45 PM - C6.12
Thin film III-V photovoltaics on Flexible Metal Substrates Using Single-crystalline-like Germanium Films.
Venkat Selvamanickam 1 , Senthil Sambandam 2 , Aarthi Sundaram 1 , Goran Majkic 1 , Akhil Mehrotra 1 , Alex Freundlich 1
1 Mechanical Engineering, University of Houston, Houston, Texas, United States, 2 , SuperPower, Houston, Texas, United States
Show AbstractWe have recently established a program to develop technology that combines the low-cost advantages of thin film PV with the high efficiencies only achieved with single crystalline photovoltaics. III-V photovoltaics have exhibited efficiencies above 40%, but have found only a limited use because of the high cost of single crystal substrates. At the other end of the spectrum, polycrystalline and amorphous thin film solar cells offer the advantage of low-cost fabrication, but have not yielded high efficiencies. Our program is based on single-crystalline-like thin film photovoltaics on polycrystalline substrates using biaxially-textured templates made by Ion Beam-Assisted Deposition (IBAD). MgO templates made by IBAD on flexible metal substrate have been successfully used for epitaxial growth of germanium films by reel-to-reel processing of all layers [1]. In spite of a 4.5% lattice mismatch, heteroepitaxial growth of Ge was achieved on CeO2 that was grown on IBAD MgO template. Room temperature optical bandgap of the Ge films was identified at 0.67 eV indicating minimal residual strain. Refraction index and extinction coefficient values of the Ge films were found to match well with that measured from a reference Ge single crystal. GaAs has been successfully grown epitaxially on Ge on metal substrate by molecular beam epitaxy. RHEED patterns indicate self annihilation of antiphase boundaries and the growth of a single domain GaAs. The GaAs is found to exhibit strong photoluminescence signal and, an existence of a relatively narrow (FWHM~20 meV) band-edge excitons measured in this film indicates a good optoelectronic quality of deposited GaAs. Solar cells of GaAs on germanium films on flexible metal substrates have been fabricated and extensive cross sectional transmission electron microscopy has been done to elucidate the origination and propagation of various defects in the architecture. The ability to grow single-crystalline-like Ge films on flexible, polycrystalline substrates by reel-to-reel processing now provides an immense potential to fabricate high quality III-V photovoltaics on flexible, inexpensive substrates.[1] V. Selvamanickam et al., J. Cryst. Growth 311, 4553 (2009).
C7: Transparent Conductors and Coatings III & IV
Session Chairs
Thursday PM, April 28, 2011
Room 2000 (Moscone West)
2:30 PM - **C7.1
Large Scale Deposition of Transparent Conducting Oxides by Hollow Cathode Sputtering.
Alan Delahoy 1 , Kai Jansen 1 , Chris Robinson 1 , Anthony Varvar 1 , Paul Fabiano 1
1 R&D, New Millennium Solar Equipment Corp., Robbinsville, New Jersey, United States
Show AbstractMost types of thin-film PV modules require a transparent conductor (generally a TCO) as does the heterojunction cell that utilizes a-Si on c-Si wafers. In the case of superstrate-type thin Si:H modules (a-Si and a-Si/nc-Si tandems) the TCO may need to be of substantial thickness in order to realize the required values of sheet resistance and haze. In the case of the heterojunction cell, high-mobility ITO or related materials are of interest. In both cases, free-carrier absorption needs to be minimized. The cost of high performance commercial tin oxide (SnO2:F) coated glass suitable for a-Si/nc-Si tandem modules is approximately $18/m2, corresponding to a direct cost element of $0.18/Wp for a 10% efficient PV product. A lower performance TCO product suitable for a-Si modules represents a similar cost element in $/Wp. Compared to a target module selling price of $1.00/Wp, this is already a substantial burden. On-site production of a suitable TCO could represent an attractive lower cost option, provided an appropriate manufacturing process can be devised. On-site deposition of SnO2:F by APCVD is a demanding task especially if strict thickness uniformity is required on cosmetic grounds. With PV module production capacity per factory steadily rising, the need for in-line TCO machines of higher throughput is becoming a major technology driver. This talk will focus on the potential of reactive-environment hollow cathode sputtering, RE-HCS, (also known as gas flow sputtering) for low-cost, high-volume TCO manufacturing. A wide variety of oxides and nitrides have been very successfully prepared by hollow cathode sputtering. We will review the deposition principles for RE-HCS and describe the film properties obtained for both doped In2O3 and doped ZnO TCOs produced using 12 cm or 50 cm linear cathodes. The technique represents a stable reactive process that confers the advantages of low-cost metallic targets and a soft deposition. The development of linear hollow cathode sources means that the technique can be scaled for large width coating. It has been reported elsewhere that linear hollow cathode sources have been constructed up to 1m in length. The dynamic deposition rate for such cathodes will be reviewed in terms of demonstrated figures and future requirements. We further report a process to directly deposit a textured, doped ZnO TCO by RE-HCS. The topography and electrical properties of the resulting films will be discussed. An electron mobility of 49.5 cm2/Vs has been achieved for textured ZnO:Al. For comparison purposes, we estimate the cost of depositing textured ZnO by magnetron sputtering (both planar and rotary cathodes), LPCVD, and RE-HCS.
3:00 PM - C7.2
Investigation of Alternative Dopants in MOCVD-grown SnO2:F.
Teresa Barnes 1 , James Burst 1 , Carrie Wyse 2 , Marty Scott 1 , Xiaonan Li 1 , Rob Torres 2 , Tim Gessert 1
1 , NREL, Golden, Colorado, United States, 2 , Matheson Tri-Gas, Longmont, Colorado, United States
Show AbstractFluorine-doped tin oxide (SnO2:F or FTO) is the most widely used transparent conducting oxide (TCO) in CdTe solar cells due to its low cost, chemical resistance, and thermal stability. Commercial SnO2:F generally has a sheet resistance between 8-15 Ω/sq and fairly high free-carrier absorption due to heavy doping and incorporation of unintentional impurities. We produce very high quality SnO2:F by metal-organic chemical vapor deposition using tetramethyltin (TMT), oxygen, and bromotrifluromethane (CBrF3). TMT is rarely used commercially due to its toxicity, but it is the only chlorine-free organo-tin precursor that is suitable for our reactor. These precursors consistently yield films with mobilities greater than 30 cm2/V-s and can produce SnO2:F with a mobility greater than 50 cm2/V-s, however this process cannot be commercialized because CBrF3 (along with many fluorine sources) is banned under the Kyoto Protocol due to its high global warming potential. Here, we investigate the effect of varying the fluorine dopant source on the carrier concentration and mobility in the films. We have produced high mobility films (>25 cm2/V-s) using alternate fluorine precursors including F2, several hydro-fluorinated-ethers, and SF6. Here, we will report on the efficacy of these molecules and other dopants in order to increase the SnO2:F mobility to 35- 40 cm2/V-s at a sheet resistance of approximately 10 Ω/sq. We will also discuss alternative strategies, including the use of high-dielectric constant materials as dopants and/or alloy components in SnO2, to yield high mobility and low sheet resistance.
3:15 PM - C7.3
Effect of Working Distance on Properties of Sputtered Molybdenum Films.
Shirish Pethe 1 , Ashwani Kaul 1 , Neelkanth Dhere 1 , Helio Moutinho 2
1 , Florida Solar Energy Center, University of Central Florida, Cocoa, Florida, United States, 2 , National Renewable energy Laboratory, Golden, Colorado, United States
Show AbstractMolybdenum is the material of choice as back contact for CuIn1-xGaxSe2-ySy (CIGSeS) solar cells. It is usually deposited using DC magnetron sputtering. Properties of sputtered thin films are dependent on sputtering process parameters such as sputtering power, working gas pressure and the working distance (distance between the target and the substrate). In the work presented here the molybdenum films are deposited in chambers that can be easily scaled to large area manufacture. The deposition chamber and the sputtering targets are designed specifically with the possibility to scale to pilot plant large area manufacturing. Films deposited at high power and low pressure, tend to be more conductive. However, such films exhibit poor adhesional strength since the films are under compressive stress. Films deposited at low power and high pressure tend to be under tensile stress and exhibit higher roughness and resistivity, while the films adhere very well to the sodalime glass substrate. Therefore, it has been a practice to deposit multi-layered Mo back contact to achieve properties of good adhesion and higher conductivity. Deposition of multi-layered back contact results in either increase in deposition time if a single target is used or increase in foot print if multiple targets are used resulting in increase in the total cost of production. Hence experiments were carried out to understand effects of working pressure, sputtering power and working distance on molybdenum film properties with the final aim to develop a process recipe for deposition of a single molybdenum film with acceptable properties of both good adhesion and higher conductivity. Molybdenum films were deposited under various combination of sputtering power, working gas pressure and the working distance. The work presented here focuses on the effect of working distance on the film properties. Adhesive tape test was carried out on each film to test the adhesional strength of the films. Moreover, the sheet resistance and the average roughness for each film were measured using a four probe measurement setup and the Dektak Profilometer, respectively. X-ray diffraction was carried out for the structural analysis of the films. Morphology was studied by scanning electron microscopy and atomic force microscopy. Stress analysis was carried out on the films using the bend test method. It was found that the lowering the working distance not only reduces the distance the sputtered atom has to travel but also changes the properties of the plasma. It was observed that the film properties changed significantly for two different working distances at the same sputtering power and working gas pressure. This work provides understanding of the correlation between the film properties and the sputtering parameters for improved process control.
3:30 PM - C7.4
Printable Metallization Inks for Photovoltaics.
Susan Habas 1 , Heather A. S. Platt 1 , Maikel F. A. M. van Hest 1 , Calvin Curtis 1 , Alex Miedaner 1 , David Ginley 1
1 National Center for Photovoltaics, National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractDirect-write approaches such as ink jet and aerosol jet printing have significant potential as versatile, cost-effective methods for the fabrication of metal contacts for photovoltaic devices. Eliminating vacuum-based deposition steps and screen-printing, while increasing pattern resolution and materials utilization, can immediately reduce the cost-per-watt for both wafer silicon and thin film solar cells. Previously, near-bulk conductivities were demonstrated for silver metal-organic decomposition (MOD) precursors printed in air. However, as we transition from silver towards lower-cost metals such as nickel and copper, contact performance can be adversely affected by oxidation. Aerosol jet printing within an inert environment has facilitated the investigation of solution-based nickel and copper MOD inks for front-side metallization of solar cells. Control of substrate temperature (180-250°C) and ink deposition rate gave high-resolution lines (< 50 μm) with tunable thickness. The resistivities of metal lines printed in a nitrogen atmosphere reached as low as 17 μΩ.cm for nickel (~2 times bulk), and 11 μΩ.cm for copper (~6 times bulk). Consequently, no post-processing steps such as annealing, or secondary metallization steps to build-up line thickness are required. Bi-layer contacts composed of a thin Ni layer overlaid with Cu have been printed on silicon- and thin film-based devices. Contact resistance and preliminary device data will be discussed along with the outlook for long-term performance of alternative metal contacts. The development of soluble metallization precursors in combination with inert-atmosphere printing techniques has the potential to improve efficiency while reducing the cost of solar cells.
3:45 PM - C7.5
Processing, Characterization, and Simulation of Laser Fired Contacts for Solar Cell Devices.
Brittany Hedrick 1 , Brennan DeCesar 2 , Brian Downey 1 , Joseph Flemish 1 2 , Edward Reutzel 2 , Suzanne Mohney 1
1 Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Applied Research Laboratory, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractReducing manufacturing costs and increasing cell efficiency are two ways of lowering the cost per watt of photovoltaic modules in the pursuit of achieving grid parity. PERC-type cells (passivated emitter and rear) offer efficiency enhancement, but traditionally have required extra processing steps for patterning and diffusing back-side contacts. Using appropriate processing conditions, one can achieve effective laser fired contacts (LFCs) in a manner which could be adapted to low-cost, high-volume manufacturing. Efficiencies of up to ~21% have recently been achieved by implementing LFCs with passivated emitter rear contact cells [1]. However, understanding how laser processing parameters affect the formation of ohmic LFCs is critical to their further improvement and wide-spread acceptance. We have correlated contact characteristics with processing conditions through an examination of resistance, surface morphology, cross-sectional geometry, and post-processing effective carrier lifetime near the contacts. A 1070 nm ytterbium-doped single mode fiber laser, and a 355 nm frequency tripled solid state Q-switched laser, were used to create the LFCs. Processing conditions included powers from 18–50 W coupled with pulse durations of 4–19 ms for the single mode fiber laser, and energies of 10–250 µJ coupled with 1–10 shots per pulse for the frequency tripled Q-switched laser. The total resistance of LFC-silicon structures created through passivation layers was measured. Surface morphology was evaluated using scanning electron microscopy. Damage caused to the surface passivation and underlying substrate upon laser firing was examined by comparing effective carrier lifetimes, as measured using microwave photoconductive decay before and after firing. Contact penetration into the Si substrate was studied using a new cross-sectioning and selective plating method to determine the depth and geometry of the molten LFC region. Samples with dielectric inter-layers of a Si:H or a-Si:H/SiO2 were processed with the single mode fiber laser at 22 W/19 ms (or 45 W/4 ms) and were observed to have bowl-shaped molten zones, with depths of ~18 µm (or ~27 µm) and widths of ~44 µm (or ~58 µm). The effect of geometry on contact resistance was simulated by modeling devices using SENTAURUS TCAD by Synopsis, Inc. Assuming doping concentrations near the solubility limit of Al in the formerly molten region, we were able to closely fit measured resistance values to simulated results. Results from characterization and simulations enhance the current understanding of LFCs.[1]Marc Hoffmann et al., “Recent Developments in rear-surface passivation at Fraunhofer ISE”, Solar Energy Materials & Solar Cells 93 (2009) 1074.
4:30 PM - C7.6
Solution-processed Metal Nanowire Transparent Electrodes.
Liangbing Hu 1 , Hui Wu 1 , Han Sun Kim 1 , Jung-Yong Lee 2 , Peter Peumans 2 , Yi Cui 1
1 Material Science, Stanford University, Stanford , California, United States, 2 Electrical Engineering, Stanford, Stanford, California, United States
Show AbstractWe report a comprehensive study of transparent and conductive silver nanowire (Ag NW) electrodes, including a scalable fabrication process, morphologies, optical, mechanical adhesion and flexibility properties, and various routes to improve the performance. We utilized a synthesis specifically designed for long and thin wires for improved performance in terms of sheet resistance and optical transmittance. 20 Ω/sq and ~80% specular transmittance, and 8 Ohm/sq and 80% diffusive transmittance in the visible range are achieved, which fall in the same range as the best indium tin oxide (ITO) samples on plastic substrates for flexible electronics and solar cells. The Ag NW electrodes show optical transparencies superior to ITO for near-infrared wavelengths (two-fold higher transmission). Due to light scattering effects, the Ag NW network has the largest difference between diffusive transmittance and specular transmittance when compared with ITO and carbon nanotube electrodes, a property which could greatly enhance solar cell performance. A mechanical study shows that Ag NW electrodes on flexible substrates show excellent robustness when subjected to bending. We also study the electrical conductance of Ag nanowires and their junctions and report a facile electrochemical method for a Au coating to reduce the wire-to-wire junction resistance for better overall film conductance. Simple mechanical pressing was also found to increase the NW film conductance due to the reduction of junction resistance. The overall properties of transparent Ag NW electrodes meet the requirements of transparent electrodes for many applications and could be an immediate ITO replacement for flexible electronics and solar cells.
4:45 PM - C7.7
Low-temperature Formation of Tin Oxide Nanocones over Large Areas.
Sangmoo Jeong 1 , Matthew McDowell 2 , Yi Cui 2
1 Department of Electrical Engineering, Stanford University, Stanford, California, United States, 2 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractNanoscale texturing has been studied for various applications, but most of the fabrication methods employed are expensive and not easily scalable. In addition, some of them require etching steps or high-temperature processes, which limit these methods to only certain materials, such as silicon. In this presentation, we report an etch-free nanoscale texturing technique used to create tin oxide nanocones over large areas by controlled oxidation of metallic tin. This method does not require additional input of precursors, and it can be employed at temperatures as low as 220 °C at ambient pressure. We demonstrate tin oxide nanocone growth on different substrates, such as silicon, aluminum foil, quartz, and polyimide film. Because tin oxide is transparent to visible light, nanocones on a glass substrate exhibit over 90% light transmission in the 500-850 nm wavelength range. In addition, the gradual change of refractive index caused by the nanocone shape causes an anti-reflection effect. Absorption of light from a poly-crystalline silicon substrate, which is a promising alternative to single-crystalline silicon for the photovoltaic industry, is increased by up to 30% in the 350-850 nm wavelength range. The growth mechanism of the tin oxide nanocones and related experimental data will be presented.
5:00 PM - C7.8
Chemical Vapour Deposition at Atomospheric Pressure.
Stefan Kaskel 2 1
2 , Fraunhofer Institute Materials and Beam Technology, Dresden Germany, 1 , TU Dresden, Dresden Germany
Show AbstractA key issue for the production of thin films in photovoltaics, optical coatings, or corrosion protection is cost reduction. In this context, vacuum based processes are often limited especially when large substrates are to be processed. Atmospheric pressure (AP) processes instead allow to process large substrates with mobile coater head technology where the substrate is fixed, but are also ideally suited for in-line processing. At Fraunhofer IWS, CVD processes and equipment for atmospheric pressure processing is developed with and without plasma. Plasma processes focus on silicon etching using fluorine containing precursors and deposition of silicon nitride thin films, both for crystalline photovoltaics. High etching rates allow for rapid texturing, edge isolation but also the texturing of TCO materials. As a key technology a linear extended arc is used with precursor injection into the remote plasma. Due to the good scalability of this plasma source, substrates up to 600 mm wide can be processed. Further application examples include the deposition of corrosion protective coatings and polymer activation for adhesion intensification. A high degree of crystallinity in TiO2 and SnO2:F coatings is obtained using plasma-free AP technology. Highly active photocatalytic coatings are obtained and TCO coatings can be deposited in a homogeneous way with low resistance. A further application of AP-CVD is the deposition of carbon nanotube forests using catalytic nanoparticles (Fe) as seed and methane at 700-800°C. The latter is a high rate deposition method for the production of CNT forests up to 70 mu m for the generation of electrode materials.
5:15 PM - C7.9
Solution Processing of Highly Conductive and Transparent Single-walled Carbon Nanotube Films: Surfactant-free Dispersions and Non-acidic Dopants.
Brian Larsen 1 , Jeremy Bergeson 1 , Matthew Reese 1 , Teresa Barnes 1 , Jeffrey Blackburn 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractIn this presentation, we will describe our recent developments in producing highly transparent and conductive single-walled carbon nanotube films, using highly dispersed SWNT solutions (i.e. SWNT inks) without the use of surfactants and doping SWNT films without the use of strong acids. Single-walled carbon nanotube (SWNT) films have the potential to replace conventional TC oxides in a wide variety of optoelectronic devices. SWNT films are particularly attractive for solution processed photovoltaic (PV) devices due to their high transparency over much of the solar spectrum, excellent electrical conductivity, and the potential for inexpensive roll-to-roll processing. We have recently reported comparable performance for OPV devices fabricated on SWNT films and conventional indium-doped tin oxide (ITO) electrodes, with device efficiencies at 3.1% and 3.6% efficiency under AM 1.5 illumination, respectively [1]. The SWNT films in this study were fabricated by spray depositing an aqueous cellulose based SWNT ink, and removing the cellulose in a post-deposition treatment nitric acid bath, simultaneously acid-doping the SWNT films. While this study demonstrates the potential of SWNT films, widespread integration of SWNT films in other TC applications requires further development of SWNT inks and doping methods that are more compatible with various substrates.
We have developed methods to effectively disperse SWNTs without surfactants, enabling SWNT-film deposition without post-processing to remove surfactants. Compared to a SWNT film deposited from an aqueous cellulose SWNT ink, our surfactant-free SWNT ink produces films with similar sheet resistance and transparency (110 ohms/sq at 90%T). In addition, we have further characterized triethyloxonium hexachloroantimonate as a non-acidic SWNT dopant [2], enabling doping of SWNT films deposited on substrates that are incompatible with strong acids. The ability to deposit high-quality SWNT films from a surfactant free ink and dope the films without strong acids will enable additional applications of SWNT films. In our presentation, we will discuss our methods to prepare highly conductive and transparent SWNT films without the use of surfactants or strong acids. In addition, we will discuss our recent progress towards integrating our highly conductive and transparent SWNT films in photovoltaic devices.
1. Tenent RC, Barnes TM, Bergeson JD, Ferguson AJ, To B, Gedvilas LM, Heben ML, Blackburn JL. “Ultrasmooth, Large-Area, High-Uniformity, Conductive Transparent Single-Walled-Carbon-Nanotube Films for Photovoltaics Produced by Ultrasonic Spraying”, Advanced Materials 21, no. 31 (2009)
2. Chandra B, Afzali A, Khare N, El-Ashry MM, and Tulevski GS. “Stable Charge-Transfer Doping of Transparent Single-Walled Carbon Nanotube Films.” Chemistry of Materials 22, no. 18 (September 2010)
5:30 PM - C7.10
The Production of Photoactive Coatings by Plasma Electrolytic Oxidation.
Liza Mirelman 1 , Chris Dunleavy 1 , Bill Clyne 1
1 Materials Science & Metallurgy, University of Cambrigde, Cambridge United Kingdom
Show AbstractTitanium dioxide, particularly in the anatase form, has proven to be a highly efficient photocatalyst under ultraviolet light. A promising approach to producing highly efficient TiO2 photoactive surfaces is to use the Plasma Electrolytic Oxidation (PEO) process on high purity titanium substrates. The process has been used to create TiO2 surfaces under a variety of conditions and measurement of phase constitutions as well as surface microstructures has been undertaken. Sodium phosphate-based electrolytes were used and various additions were made to investigate the effects of doping. Both treatment time and electrolyte concentration were varied whist current densities were held constant at approximately 20A/dm2. X-ray diffraction with initial Rietveld analysis revealed crystallinities above 90%, predominantly made up of anatase. Scanning Electron Microscopy (SEM) with Energy Dispersive Spectroscopy (EDS) revealed high porosity microstructures typical of those found in PEO microstructures. The degradation of rhodamine-B under UV illumination using the coatings as catalysts was also investigated and the effects of different electrolytes compared.
5:45 PM - C7.11
Nanostructured Flexible Antireflectance Coatings and Their Application to Solar Cells.
Jonathan Moghal 1 2 , James Best 2 , Martin Gardener 2 , Gareth Wakefield 2 , Andrew Watt 1
1 , University of Oxford, Oxford United Kingdom, 2 , Oxford Advanced Surfaces plc, Oxford United Kingdom
Show AbstractWhen a beam of light hits the surface of a transparent window material, it is either transmitted or reflected. The amount of light that is reflected is dependent on the relative refractive indices of the transmitting medium (usually air) and the window. For a glass window and an air medium the percentage reflectance is typically in the region of 4-5%. This can be minimised by using an anti-reflectance (AR) coating applied to the outer surface of the window. This paper describes a solution based method for the deposition of thin film antireflective coatings and tests them under a variety of conditions.Enhancing light transmission through glass and polymer substrates is a key issue in the development of solar cells which can be solved by applying a suitable coating, Coatings need to be designed with reference to the optical and mechanical properties required of the substrate and are optimised to maximise solar transmission at the peak absorption wavelength of the solar cell. Typical coating structures and properties are discussed for both glass and polymer window substrates.The anti-reflectance coating provides high performance (<0.5% reflectivity, minimum of 0.15% @525nm) and is implemented via a single layer wet coat. This is achieved via a nanoparticle coating system in which particles are deposited in a film of the required thickness for maximum transmission (99.8%) of visible light and minimum glare. High optical performance is achieved by using a binder system in conjunction with the mesoporous silica nanoparticles. The ratio of nanoparticles to binder and the process conditions are used to optimise the optical and mechanical properties of the film. Even though the AR coating consists of a high (>50%) loading of inorganic nanoparticles, they have been shown to flex and recover from deformations of up to 40 times the original thickness under mechanical load. A further advantage of these films is that their surface structure has broadband anti-reflective properties. One of the main efficiency losses associated with solar cells is the reflection of light due to the change of the angle of incidence of the sun. The AR coatings presented compensate for these losses and can be tailored for individual solar applications by changing the thickness of the film. We show that the reflection minimum can be changed from 525nm up to 1900nm we have shown that the short circuit current (Isc) of a solar cell can be increased by 4.5% and power conversion efficiency increased by 5% in silicon, dye sensitive and CIGS solar cells.