Symposium Organizers
Baojie Yan United Solar Ovonic LLC
Seiichiro Higashi Hiroshima University
Chuang-Chuang Tsai National Chiao Tung University
Qi Wang National Renewable Energy Laboratory
Helena Gleskova University of Strathclyde
Symposium Support
ITRI
National Renewable Energy Laboratory
ULVAC
United Solar Ovonic
A5: Poster Session: Solar Cells
Session Chairs
Tuesday PM, April 26, 2011
Exhibition Hall (Moscone West)
A1: Solar Cells: Efficiency Improvement
Session Chairs
Tuesday PM, April 26, 2011
Room 2002 (Moscone West)
9:00 AM - **A1.1
High Efficiency Amorphous and Microcrystalline Silicon Based Solar Cells.
Friedhelm Finger 1 , Tao Chen 1 , Andreas Lambertz 1 , Vladimir Smirnov 1
1 IEK5-Photovoltaik, Forschungszentrum Juelich, Juelich Germany
Show AbstractThe aim of the thin film silicon solar cell industry for production capacities of several Gigawatt in recent years is strongly related to the impressive development of microcrystalline silicon (µc-Si:H). The application of µc-Si:H as absorber layer in stacked solar cell devices has given new hope for highly stabilized efficiencies of thin film silicon solar modules. The quality and process technology of µc-Si:H have made considerable progress. As the µc-Si:H gets more advanced, new and old interest is in high efficiency & stable amorphous silicon (a-Si:H) material for top cells. Also any means which allow reducing the thickness of the top cell while still delivering sufficient current to match with the bottom cell are intensely investigated. Apparently all kinds of optical manipulations are of interest here unless one wants to reduce the total cell thickness and sacrifice some maximum efficiency against stability and production cost. We will present our latest developments in thin film silicon solar cells with new types of window layers, intermediate reflectors, anti-reflective coatings, all in connection with our high quality a-Si:H and µc-Si:H absorber layer materials.
9:30 AM - A1.2
Challenges in Optical Design of Thin-film Silicon Solar Cells to Achieve High Conversion Efficiencies above 20 %.
Janez Krc 1 , Marko Topic 1 , Miro Zeman 2
1 , University of Ljubljana, Faculty of Electrical Engineering, Ljubljana Slovenia, 2 , Delft University of Technology - PVMD/DIMES, Delft Netherlands
Show AbstractThe conversion efficiency of thin-film silicon (TF Si) solar cells needs to be raised up to achieve high level of competitiveness in the PV market. Challenging goals of the production expansion and the targeting high conversion efficiencies of TF Si solar cells up to 20 % by 2025 have been released [1]. These goals require intensive R&D activities and breakthroughs on the material-, interface-, and the complete solar-cell device level.. Novel absorber layers, transparent conductive oxides (TCOs) with advanced nano-textures, oxide based doped layers, dielectric back reflectors and other innovative solutions are under investigation by several groups to improve the conversion efficiencies and decrease the production costs of TF Si solar cells and PV modules. However, clear directions and requirements for achieving high efficiency goals still need to be identified.In this contribution we investigate the requirements and define the directions for optical design of thin-film silicon solar cells to achieve high stabilized efficiencies above 20 %. Challenges concerning layers, interfaces and device structures by using ultra-thin absorber layers are identified. The investigation is focused on optical improvements, for the electrical parameters it is assumed that the values of currently achieved record solar cells can be preserved (or improved) in optically optimized device concepts. Detailed optical analysis and optimization of single-, double- and triple-junction devices are carried out by means of 1-D semi-coherent optical simulator SunShine [2] and other 2-D and 3-D simulation tools, which were well calibrated and verified on the existing state-of-the-art TF Si solar cells. Starting from the existing state-of-the art devices, considering realistic optical properties of layers and interfaces, we show step by step what is required to achieve the stabilized efficiencies of above 15 % for single-junction a-Si:H cell (with absorber thickness of only 100 nm) and above 20 % for tandem micromorph cell (a-Si:H of 100 nm and uc-Si:H of 800 nm). We demonstrate that the following optical improvements are required:- introduction of anti-reflecting coatings or nano-structures at front interfaces (air/glass, glass/TCO, TCO/p),- all front interfaces can be perfectly flat in our device design,- introduction of a special light scatterer at the back side (crucial requirement),- significantly reduced optical losses in the supporting layers (crucial requirement),- wavelength-selective intermediate reflector in multi-junction device.Possible directions towards realizations of the requirements are indicated and discussed.[1] K. Kurokawa et al., “Accelerated and Extended Japanese PV Technology Roadmap “PV2030 +” released by NEDO in 2009.[2] J. Krc et al., Prog. Photovot. Res. Appl. 11 (2003) 15.
9:45 AM - A1.3
High Efficiency, Large Area, Nanocrystalline Silicon Based, Triple-junction Solar Cells.
Arindam Banerjee 1 , Tining Su 1 , Jinyan Zhang 1 , Dave Beglau 1 , Ginger Pietka 1 , Frank Liu 1 , Baojie Yan 1 , Jeff Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractHydrogenated nanocrystalline silicon (nc Si:H) has become a promising candidate to replace hydrogenated amorphous silicon-germanium alloy (a-SiGe:H) in multijunction thin film silicon solar cells. In view of its indirect bandgap, the nc-Si:H layer must be much thicker than its amorphous counterparts to effectively absorb the incident radiation. Typical thickness for a nc Si:H based multijunction cell is 2-5 µm, compared with <0.5 µm for a corresponding amorphous silicon (a-Si:H) and a-SiGe:H based device. For commercial viability, the nc-Si:H layer must be deposited at a high rate. In order to explore the limit of nc-Si:H technology, it is important to fabricate solar cells without constraint of manufacturing. In parallel, one must also determine the corresponding limit that incorporates manufacturing constraint of deposition time. Thus, one must investigate the highest efficiency attainable with and without manufacturing constraint of deposition time. In this paper, we report on this two-pronged strategy of fabricating large area, high efficiency a-Si:H/nc-Si:H/nc-Si:H solar cells at low and high rates.Triple-junction solar cells were fabricated in a large area batch reactor on Ag/ZnO back reflector coated stainless steel substrate, using a Modified Very High Frequency (MVHF) excitation process. For both low- and high-rate cases, we optimized the deposition parameters, such as pressure, gas flow, dilution, and power. The deposition rate for the nc-Si:H layers for the high-rate case was ~1.0-1.5 nm/s. We found that the deposition parameters were more relaxed for fabricating large-area solar cells for the low-rate case. We did SIMS analysis on the optimized films, and found the impurity concentrations were one order of magnitude lower than normally observed. In particular, the oxygen concentration is reduced to ~1018 cm-3. This is among the lowest oxygen concentration reported in literature. The low impurity content is attributed to superior cathode hardware and the optimized deposition recipe. We fabricated large area (aperture area 400-464 cm2) cells, and encapsulated the cells using standard flexible encapsulants. We have light soaked the high-rate cells, and are currently conducting light soak tests on the low-rate samples. The highest stable efficiency attained for the high rate cells is 10.6%, as confirmed by NREL. The initial efficiency of the low-rate samples is 11.8-12.2% as measured under our Spire solar simulator. We will present the details of the research done to develop the high- and low-rate devices.
10:00 AM - A1.4
Thin Film Silicon Solar Cells under Moderate Concentration.
L. Matthijs van Dam 1 , Wilfried G.J.H. van Sark 1 , Ruud E. Schropp 1
1 Faculty of Science, Utrecht University, Utrecht Netherlands
Show AbstractRecently, in the quest for higher efficiencies for thin film solar cells, much emphasis is placed on light trapping or absorption enhancement techniques, such as the use of plasmonic or diffractive back contacts [1] and luminescent concentrators [2], to concentrate the incident light in cells with a thinner absorber layer or with a smaller area. Even in less advanced schemes, merely optical concentration of light can yield higher efficiencies. There are only very few reports on the effects of concentration in thin film silicon-based solar cells. Due to the presence of midgap states, a fast decline in fill factor was observed in earlier work. However, with the advent of more stable and lower defect density protocrystalline silicon materials as well as high quality micro-/nanocrystalline silicon materials, as well as the increasing concentration ratios obtained by novel light management techniques, it is worth revisiting the performance of cells with these absorber layers under moderately concentrated sunlight. We determined the behavior of the external J-V parameters of pre-stabilized substrate-type (n-i-p) amorphous and microcrystalline solar cells under moderate concentrations, between 1 sun and 20 suns, while maintaining the cell temperature at 25°C. It should be noted that these cells already comprise some sort of light concentration due to the use of textured surfaces. It was found that the cell efficiency of both the amorphous and the microcrystalline cells increased with increasing concentration, showing an optimum at approximately 5 suns. Furthermore, the enhancement in efficiency for the microcrystalline cells was larger than for the amorphous cells. From this we conclude that the carrier transport mechanism in microcrystalline cells is less of drift type than that in amorphous cells. We show that the Voc’s up to 0.63 V can be reached in microcrystalline cells while FF’s only decrease by 10%. The effects have also been computed using the device simulator ASA, showing qualitative agreement. We conclude that it is meaningful to design an optical concentration ratio of 5 to 10 suns for thin film silicon solar cells[1] V.E. Ferry, M.A. Verschuuren, H.B.T. Li, E. Verhagen, R.J. Walters, R.E.I. Schropp, H.A. Atwater, A. Polman, “Light Trapping in Ultrathin Plasmonic Solar Cells”, Optics Express 18 102 (2010) A237.[2] W.G.J.H.M. van Sark et al., “Luminescent solar concentrators – A review of recent results”, Optics Express16 (2008) 21773.
10:15 AM - A1.5
Effect of Bandgap Profiling on the Performance of a-SiGe:H Single Junction Thin-film Solar Cells.
Hung-Jung Hsu 1 , Chien-Ming Wang 1 , Cheng-Hang Hsu 1 , Chuang-Chuang Tsai 1
1 Department of Photonics, National Chiao Tung University, Hsinchu Taiwan
Show AbstractMulti-junction solar cell is an effective approach toward high efficiency and improved stability in thin film solar cell applications. Hydrogenated amorphous silicon germanium (a-SiGe:H) has received much attention due to its high absorption coefficient and adjustable bandgap. The property of a-SiGe:H thin films has been characterized and optimized in our previous work [1]. However, one of the major challenge is the bandgap discontinuity between the a-SiGe:H i-layer and the a-Si:H doped layer. Such discontinuity at both the p/i the i/n interfaces can be alleviated by graded interface [2]. In this work, we applied the concept of bandgap profiling to our single-junction a-SiGe:H cells. Together with other cell optimization, the cell efficiency of 8.59% has been attained.The a-SiGe:H solar cells were deposited by a 27.12 MHz radio-frequency plasma-enhanced chemical vapor deposition (PECVD) system. The germane concentration and the hydrogen dilution ratio were varied during the deposition of the a-SiGe:H thin films. The bandgap was calculated from Tauc’s plot by analyzing the transmittance spectra measured in UV/VIS spectroscopy. The conductivity of the thin films and characteristics of the solar cells were measured by AM1.5G illuminated I-V measurement system.Our results show that the graded bandgap at both the p/i and i/n interfaces enhanced the cell efficiency as compared to cells with constant bandgap of 1.55 eV. According to the results, the increase of the efficiency was due to the enhanced Voc and FF, which were arising from a better short-wavelength absorption and carrier transport, respectively. To assess the effect of i/n grading, the width of the i/n region was systematically varied from 0 to 20nm while maintain the same absorber thickness of 200nm. As the thickness of i/n grading increases, the cell efficiency increases from 7.8% to 8.3% despite a slight reduction of Jsc. The graded i/n region might facilitate the transportation of deep holes; nevertheless, further increase in i/n grading deteriorates the cell efficiency, which may due to the suppression of long-wavelength absorption. Finally, the optimal thickness of p-layer, n-layer and grading structure were integrated for fabricating the solar cells. From our results, the a-SiGe:H cell efficiency of 8.59% was achieved with Voc = 748 mV, Jsc = 16.31 mA/cm2, FF = 70.38 %.This work was sponsored by the Center for Green Energy Technology at the National Chiao Tung University and the National Science and Technology Program for Energy.[1]C.M. Wang, Y.T. Huang, K.H. Yen, H.J. Hsu, H.W. Zan and C.C. Tsai, Mat. Res. Soc. Symp. Proc., Spring meeting, San Francisco (2010)[2]S. Guha, J. Yang, A. Pawlikiewicz, T. Glatfelter, R. Ross, and S. Ovshinsky, Appl. Phys. Lett. 54, 2330-2332 (1989)
10:30 AM - **A1.6
High-efficiency Microcrystalline Silicon and Microcrystalline Silicon-germanium Alloy Solar Cells.
Takuya Matsui 1 , Michio Kondo 1
1 Research Center for Photovoltaics, AIST, Tsukuba Japan
Show AbstractThe conversion efficiency of thin film silicon solar cells has been improved by employing the narrow-gap hydrogenated microcrystalline silicon (μc-Si:H) in combination with the wide-gap hydrogenated amorphous silicon (a-Si:H) based on a multijunction concept [1,2]. Since the μc-Si:H is an indirect band gap material, relatively thick absorber layer (>2 μm) is necessary for efficient infrared light absorption, which in turn requires the high-rate deposition technique for industrial production. To achieve high deposition rate and high efficiency, we have developed a novel deposition process using high-pressure depletion regime in plasma-enhanced chemical vapor deposition [3,4]. This technique allows growing μc-Si:H at high rates (> 2 nm/s) while preserving excellent film qualities in terms of denser microstructure and less post-oxidation behavior. As a result, efficiencies of 8-9% have been demonstrated for the μc-Si:H single junction solar cells at deposition rates between 2 and 3 nm/s.Despite the successful material combination of a-Si:H and μc-Si:H, the stabilized efficiency of the a-Si:H/μc-Si:H tandem solar cells is still limited as low as ~12%. The one of the major limitations of efficiency is the weak infrared absorption in μc-Si:H bottom cell. To extend the spectral sensitivities of solar cells into longer wavelengths, we proposed the application of hydrogenated microcrystalline silicon-germanium alloys (μc-Si1-xGex:H) as a narrower-gap bottom-cell absorber in multijunction structures such as a-Si:H/μc-Si1-xGex:H and a-Si:H/μc-Si:H/μc-Si1-xGex:H. In the previous work [5], we have demonstrated efficient (~7-8%) μc-Si1-xGex:H (x~0.1-0.17) single junction p-i-n solar cells with markedly higher short-circuit current densities than for μc-Si:H (x=0) solar cells due to enhanced infrared absorption. Nevertheless, the photocarrier collection degrades severely when increasing either Ge content (x>0.2) or cell thickness (ti >1 μm). We attributed the inferior performance of such solar cells to the creation of the Ge-related native defect acceptors that strongly distort the built-in electric field in the p-i-n solar cells. Recently, we have developed a counter doping technique that compensates the Ge-related acceptor states for further improvement of μc-Si1-xGex:H solar cells.In this contribution, we review our research and progresses in μc-Si:H and μc-Si1-xGex:H thin film materials, deposition process and solar cell devices. Apart from the optimization of these materials, the improvements of light trapping and TCO layer, which are also crucial in boosting the photocurrent of the thin film solar cells, will be addressed.[1] J. Meier et al., Solar Energy Material and Solar Cells, 66, 73 (2001).[2] K. Yamamoto et al., Solar Energy, 77, 939 (2004).[3] M. Kondo et al. J. Non-Cryst. Solids, 266-269, 84 (2000).[4] T. Matsui et al. Jpn. J. Appl. Phys. Part 2, 42, L901 (2003).[5] T. Matsui et al., Prog. Photovolt: Res. Appl. 18, 48 (2010).
A2: Growth Mechanism
Session Chairs
Tuesday PM, April 26, 2011
Room 2002 (Moscone West)
11:30 AM - **A2.1
Control of Materials and Interfaces in µc-Si:H-based Solar Cells Grown at High Rate.
Yasushi Sobajima 1 2 , Chitose Sada 1 2 , Akihisa Matsuda 1 2 , Hiroaki Okamoto 1 2
1 Department of Systems Innovation, Graduate School of Engineering Science, Oskaka University, Toyonaka, Osaka, Japan, 2 Japan Science and Technology Agency, CREST, Toyonaka, Osaka, Japan
Show AbstractSpatial distribution of dangling-bond defects in high-rate-growth microcrystalline silicon (µc-Si:H) thin films by plasma-enhanced chemical-vapor deposition (PECVD) has been investigated using high precision electron-spin resonance (ESR) system with specially designed TM-mode cavity where planar sample is measured without removing substrate. We have found that dangling-bond defect is distributed uniformly in the bulk region independent of crystallite size and high density dangling-bond is located at the surface region (~ 10-12 cm-2) in µc-Si:H films. Both the bulk-defect density and surface-areal-defect density are increased when increasing the growth rate (up to 6.7 nm/sec) through an elevation of electron temperature in the plasma during film growth. Presence of large number of surface defects is one of the crucial causes for deteriorating n-i-p type solar-cell performance through the photocarrier recombination as well as current leakage at the p/i interface. To overcome this issue in µc-Si:H based solar cells grown at high rate, we have attempted to use a novel interface-treatment method in fabrication process of n-i-p type solar cells, e. g., thin silicon layer with low defect density (compress layer) is deposited on the surface of µc-Si:H grown at high rate followed by thermal annealing. The compress layer deposition with post thermal annealing is a key to reduce surface-dangling-bond density, in which the areal dangling-bond density located at the surface is decreased down to less than 30% comparing with as-deposited state. Importance of starting procedure in µc-Si:H growth at high rate has also been indicated, since n/i-interface properties are determined at this moment in n-i-p type solar cell. We have found that SiH4-gas introduction-time constant into H2 plasma plays an important role in controlling the structural and optoelectronic properties of the n/i interface.Consequently, a high conversion efficiency of 9.27% has been demonstrated in µc-Si:H based n-i-p solar cells whose intrinsic layer is grown at high rate of 2.3 nm/sec thanks to the presence of 50 nm-thick compress layer with post thermal annealing together with the control of SiH4-gas-introduction scheme during the initial growth stage of intrinsic layer.
12:00 PM - A2.2
Real Time Spectroscopic Ellipsometry of Roll-to-roll Fabrication for Thin Film Si:H Solar Cells.
Lila Dahal 1 , Zhiquan Huang 1 , Dinesh Attygalle 1 , Michelle Sestak 1 , Carl Salupo 1 , Sylvain Marsillac 1 , Robert Collins 1
1 Physics and Astronomy, University of Toledo, Toledo, Ohio, United States
Show AbstractReal time spectroscopic ellipsometry (SE) has been developed to monitor cassette roll-to-roll deposition of thin film hydrogenated silicon (Si:H) n-i-p solar cells and their backreflector (BR) layers on flexible polymer substrates. Monitoring is performed at a single spot at the center of the substrate width using an SE range of 0.75 - 5 eV. The methodology is first demonstrated in growth studies from nucleation to final thickness for magnetron sputtered ZnO films on top of opaque Ag in the BR structure. The methodology is then extended to plasma-enhanced chemical vapor deposition (PECVD) of the i and p-layers in succession on the BR/n-layer stack. Roll-to-roll substrate motion is initiated first, followed by real time SE data collection; finally, the plasma is ignited so that film nucleation can be observed. The film thickness is observed to increase with time until a steady state is reached, after which the bulk layer thickness at the monitoring point is constant with time. This occurs when the elapsed deposition time equals the time required for the substrate to travel from the trailing edge of the deposition zone to the monitoring point. Although a constant substrate speed is selected such that the final film thickness is achieved in the time required for the substrate to move through the entire deposition zone, this speed does not permit study of film growth that occurs after the substrate passes the monitoring point. To address this deficiency, the substrate speed is reduced only over an initial length of the roll such that the final film thickness of interest is reached at the monitoring point. In this way, real time SE can be used to analyze the entire layer on an initial length of the roll before its full length is coated. Furthermore, the use of a moving substrate in real time SE enables new capabilities in process analysis. The thickness evolution of ZnO during sputtering shows reasonable agreement with a simulation assuming that the deposition flux varies in accordance with a simple inverse square of the target-substrate distance as the substrate moves through the deposition zone. The thickness evolution of the i- and p-layers during PECVD can be simulated by assuming constant deposition rate throughout the deposition zone. Fitting of the thickness evolution in sputtering can be further improved by introducing gas phase scattering of deposition species, whereas fitting in PECVD can be improved by assuming a non-zero decay length of reactive species beyond the cathode width. After the various multilayer fabrication steps, SE has also been applied for large area mapping of the coated polymer so as to determine the thickness uniformity of the layers across the width of the substrate. The overall goal of this work is to apply optical probes in order to develop optimum deposition procedures for thin film Si:H solar cell structures and to evaluate their uniformity in roll-to-roll multi-chamber deposition.
12:15 PM - A2.3
Monitoring of the Growth of Microcrystalline Silicon Deposited by Plasma-enhanced Chemical Vapor Deposition Using In-situ Raman Spectroscopy.
Stefan Muthmann 1 , Florian Koehler 1 , Markus Huelsbeck 1 , Matthias Meier 1 , Andreas Mueck 1 , Ralf Schmitz 1 , Wolfgang Appenzeller 1 , Reinhard Carius 1 , Aad Gordijn 1
1 IEK-5 Photovoltaik, Forschungszentrum Juelich, Juelich Germany
Show AbstractThe crystalline volume fraction of the intrinsic hydrogenated microcrystalline (µc-Si:H) absorber layer of a thin-film silicon solar cell is a crucial material parameter which strongly influences the performance of solar cells. To get a better understanding and control of the deposition process of µc-Si:H the implementation of in-situ diagnostic tools is of great importance. Raman spectroscopy is one of the most frequently used techniques used to obtain a measure of the crystalline volume fraction. However in a capacitively coupled plasma-enhanced chemical vapor deposition (PECVD) process the implementation of Raman spectroscopy is difficult to accomplish, particularly in large area systems. Due to the need of low angle optical access to the growing film it is necessary to pierce the electrode surface.We present a novel showerhead-electrode design that enables in-situ Raman measurements during PECVD deposition. In this paper the optical feed through was shielded electrically to guarantee the homogeneous deposition of a µc-Si:H thin film. We show that with this electrode it is possible to deposit homogenous intrinsic absorber layers and to measure the Raman crystallinity of the film in situ. To suppress the influence of the plasma emission on the recorded spectra a lock-in technique was used. With this setup, the signal-to-noise ratio is increased drastically.A high laser power density is favorable to get sufficiently large Raman signals but local heating also has to be avoided. The local temperature was simulated as a function of pulse intensity and length and the size of the laser spot. The optimum conditions enabling useful Raman signals at a minimum heating were applied experimentally to verify the reduced heating of the growing film. Using this setup it was possible to measure the evolution of crystallinity of the film during the growth with a time resolution of less than 20 seconds which corresponds to an additional film thickness of 5 nm up to 20 nm during each measurement depending on the studied deposition rate. By analyzing the ratio of the Stokes- and anti-Stokes-scattering intensity the thermodynamic temperature of the growing film was determined. An increase of film temperature due to plasma heating was observed for the deposition of µc-Si:H with an excitation frequency of 13.56 MHz and a growth rate of about 2.5 Å/s.
12:30 PM - A2.4
High Growth Rate Hot-wire CVD Epitaxial Silicon Absorber Layers for Film Crystal Silicon Solar Cells.
David Bobela 1 , Charles Teplin 1 , David Young 1 , Ina Martin 2 , Maxim Shub 1 , Howard Branz 1 , Paul Stradins 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States, 2 Physics, Case Western Reserve University , Cleveland, Ohio, United States
Show AbstractWe have grown device-quality epitaxial silicon thin films at high growth rate (GR) up to 700 nm/min, using hot-wire chemical vapor deposition (HWCVD) from silane. These growth rates exceed those used for industrial amorphous and nanocrystalline thin-film Si solar cells by a factor of about 20. Such layers have potential as the absorber layer in film crystal silicon solar cells deposited on seed layers on inexpensive substrates such as display glass. To obtain high GR, we explored a parameter space suggested by our model of the GR dependence on the hot-wire to substrate distance (d), system pressure (p), and silane flow [1]. In-situ spectroscopic ellipsometry (SE) was used to determine the growth rate and epitaxial quality in real time. The GR depends linearly on 1/d over a range of low silane pressures and sample-filament distances; however, deviations at high p and small d indicate that changes in the gas-phase and filament surface chemistries affect the production of growth radicals. In this regime, good quality epitaxy is possible as long as the substrate temperature is above about 620°C. For all deposition parameters we explored, the bulk epitaxy quality depends primarily on the initial moments of growth. Therefore, for our best test devices, we deposited about 50 nm of high quality epitaxial layer at a GR ~ 150 nm/min, before adjusting to the high GR conditions. To demonstrate layer quality in a high GR epitaxial solar cell, we deposited a 2.3 µm epitaxial silicon layer at 700 nm/min on a RCA-cleaned, (100) oriented, n+ (Si:As) silicon wafer. A simple mesa structure (wafer/epi Si/I a-Si/p+ a-Si:H/ITO) was processed into a solar cell by wet and dry etching techniques. The finished device had an open-circuit voltage of 0.424 V before any hydrogenation treatment. We will discuss further device improvements and strategies for increasing the GR beyond 1 micron/min.This work was supported by the U.S. DOE Solar Energy Technology Program under Contract No. DE-AC36-08GO28308.1. I. T. Martin, C. W. Teplin, J. R. Doyle, H. M. Branz, and P. Stradins. J. Appl. Phys. 107, 054906 (2010).
12:45 PM - A2.5
Deposition of P Type Nanocrystalline Silicon under High Pressure in a VHF-PECVD Single Chamber System.
Xiaodan Zhang 1 , Guanghong Wang 1 , Xinxia Zheng 1 , Shengzhi Xu 1 , Changchun Wei 1 , Jian Sun 1 , Xinhua Geng 1 , Shaozhen Xiong 1 , Ying Zhao 1
1 , Institute of Photo-electronic Thin Film Devices and Technology of Nankai University, Tianjin China
Show AbstractPlasma-enhanced chemical vapour deposition (PECVD) of p-i-n type hydrogenated amorphous silicon (a-Si:H) based solar cells in a single plasma reactor offers advantages of low cost compared to multi-chamber processes that use separate reactors to deposit the p-, i- and n-layers, respectively. It is desirable that the deposition of each layer for solar cell prepared in a single chamber system has the same electrode distance, which requires the similar process pressures for all the layers. In addition, to reduce the manufacturing cost and to achieve high solar cell efficiency, it has been shown that microcrystalline silicon (μc-Si:H) intrinsic layer should be deposited under a high deposition pressure with a small electrode distance, which can have a high deposition rate. Therefore, it is very important to have an optimized p layer deposition condition under the high pressure.We will present a systematic study of boron-doped hydrogenated nanocrystalline silicon (nc-Si:H) films deposited using very high frequency-plasma enhanced chemical vapor deposition (VHF-PECVD) method under different deposition pressures. Electrical, structural and optical properties of the films were investigated. Dark conductivity as high as 2.75S/cm in a p-type nc-Si:H layer prepared at 2.5Torr pressure has been achieved at a deposition rate of 1.75Å/s for 25-nm thick films. By controlling boron and phosphor contaminations, single-junction μc-Si:H solar cells incorporated the p layers prepared under high pressure and low pressure, respectively, were deposited. It is shown that μc-Si:H solar cells with the p layer prepared under the high pressure have much better open circuit voltage, short circuit current density and then conversion efficiency than those with the low pressure p layer. By using the high pressure p layer and further optimizing the μc-Si:H bottom in a-Si:H/μc-Si:H micromorph solar cells, including application of ZnO/Al back reflector, an initial conversion efficiency of 10.59% has been achieved using an a-Si:H/μc-Si:H micromorph tandem solar cell (1.027cm2). The efficiency of the micromorph solar cell was confirmed by the National Renewable Energy Laboratory (NREL).
A3: Polycrystalline Films
Session Chairs
Tuesday PM, April 26, 2011
Room 2002 (Moscone West)
2:30 PM - **A3.1
Polycrystalline Silicon Solar Cells Based on a Seed Layer Approach @ Imec: The Road to 14% Efficient Cells.
Dries Van Gestel 1 , Ivan Gordon 1 , Jef Poortmans 1
1 , Imec, Leuven, 0, Belgium
Show AbstractFrom a cost perspective, thin-film silicon solar cells are still an interesting alternative to wafer-based solar cells, even with the recently decreased feedstock price. A technology based on polycrystalline-silicon (pc-Si) thin-films seems particularly promising since it combines the low-cost potential of a thin-film technology with the high efficiency potential of crystalline silicon. For this technology the challenge is to fabricate high quality coarse grained (grains size of 0.1-100μm) layer on foreign non-silicon substrates. In recent years, solid phase crystallization (SPC) and Aluminium Induced Crystallization (AIC) were investigated for obtaining pc-Si layer for PV applications resulting in energy conversion efficiencies of 10,5% and 8,5% respectively. To become economically viable, most likely energy conversion efficiencies of 14-15% need to be achieved. Today, the highest obtained open circuit voltage (Voc) for pc-Si cells is 553mV, the highest obtained short circuit current (Jsc) is 29,5mA/cm2 and the highest obtained fill factor (FF) is 75,5%. Combining these values reached for different cells into one cell would lead to an energy conversion efficiency of 12.3%. In this paper we will explain our road to >14% efficient pc-Si solar cells.We make pc-Si layers using a two-step approach of seed layer formation and epitaxial growth. This allows us to separate the crystallographic material properties from the electrical properties like doping. To obtain Jsc values above 30mA/cm2 we investigate superstrate configuration solar cells including seed layer formation on anti-reflective coatings and the use of nano-particles and photonic structures for advanced light trapping. So far the highest Jsc values in pc-Si solar cells were obtained with SPC layers (by CSG Solar AG). By comparing pc-Si solar cells with identical epitaxial growth and solar cell structure but different seed layers (namely AIC and SPC), we found that the AIC-based cells resulted in 3 mA/cm2 higher current densities. So far we reached 537 mV using an AIC seed layer, will probably well above 550mV need to be obtained. For this type of solar cells we found that the presence of intragrain defects (IGD) and impurities mainly limits our material quality at the moment. Experiments to lower the IGD and the contamination level in AIC seed layers are therefore ongoing. In parallel seed layers made by a new promising laser crystallization techniques namely mixed phase solidification (MPS), are also explored. MPS results in a drastically reduced IGD density compared to SPC and AIC. Finally we combine all this different types of seed layers with epitaxial growth of n-type pc-Si. N-type monocrystalline silicon seems to have some important benefits with respect to p-type. We investigate if this, due to the presence of grain boundaries and IGD, is still the case for pc-Si. All together we believe pc-Si solar cells with an energy conversion efficiency of >14% are possible in the future.
3:00 PM - A3.2
Solid Phase Crystallization of Amorphous Silicon: An in-situ XRD and Raman Studies.
Kashish Sharma 1 , Maria Adriana Creatore 1 , Mcm van de Sanden 1
1 , Eindhoven University of Technology, Eindhoven Netherlands
Show AbstractThe crystallization kinetics of thermally annealed amorphous silicon (a-Si:H) films have been extensively investigated for the past 2 decades. We have recently reported the development of large grains throughout the polycrystalline silicon (poly-Si) layer obtained by thermal annealing of a-Si:H1.By means of a classical model of nucleation and grain growth2, the following characteristics have been identified: incubation time, nucleation rate, grain growth and crystallization time. Many studies were carried out in the past to determine the effect of the structural properties of PECVD and HWCVD deposited a-Si:H on the crystallization kinetics2. The incubation time is thought to be affected by parameters such as the hydrogen content, the microstructure (structural order/disorder) of a-Si:H, i.e. the R* parameter 2. However, up to now, the hydrogen content and R* have been varied together in the above mentioned studies, which makes difficult to investigate the effect of hydrogen content independently from R* in terms of crystallization kinetics of a-Si:H. Therefore, in this contribution we report on the systematic crystallization study of expanding thermal plasma (ETP) deposited a-Si:H films by varying R* while keeping the hydrogen content constant and vice versa.1000 nm thick a-Si:H films characterized by an R* in the range of 0.05-0.55, were deposited by using the expanding thermal plasma technique3. Each R* value was obtained in an hydrogen content range of 3-14 at. % as determined by FTIR absorption spectroscopy. a-Si:H layers were annealed at 600 C on a heating stage coupled to XRD and Raman diagnostic tools. The crystallization kinetics of a-Si:H was followed as function of the annealing time and temperature.A relationship has been found to explain the complex crystallization process of a-Si:H. Better order regions on a medium range around the hydrogen in divacancies are identified as nucleation centers. Medium range order (as described by Mahan et al.2) of the order regions depends on hydrogen content and R*, increasing hydrogen content and R* leads to decrease in medium range order. Therefore, with increasing hydrogen content at a constant R*, an increase in incubation time is observed; similarly, an increase is observed for increasing R* at constant hydrogen content. A deeper understanding of the crystallization kinetics of a-Si:H can eventually lead to a significant improvement in polycrystalline silicon-based solar cells. Reference List1. A. Illiberi, K. Sharma, M. Creatore, and M. C. M. van de Sanden, Materials Letters 63, 1817 (2009).2. A. H. Mahan, T. N. Su, D. L. Williamson, L. M. Gedvilas, S. P. Ahrenkiel, P. A. Parilla, Y. Q. Xu, and D. A. Ginley, Advanced Functional Materials 19, 2338 (2009)(ref 2-14 therein).3. W. M. M. Kessels, R. J. Severens, A. H. M. Smets, B. A. Korevaar, G. J. Adriaenssens, D. C. Schram, and M. C. M. van de Sanden, Journal of Applied Physics 89, 2404 (2001).
3:15 PM - A3.3
Phosphorus- and Boron- doped Thin Polycrystalline Si Layers on Glass Prepared by Metal-induced Layer Exchange.
Tobias Antesberger 1 , Mehdi Kashani 1 , Michael Algasinger 1 , Christian Jaeger 1 , Thomas Wassner 1 , Martin Stutzmann 1
1 Physics Department, Technische Universität München, Walter Schottky Institut, Garching, Bayern, Germany
Show AbstractPolycrystalline silicon thins film on low-cost substrates are of great interest for solar cells and large area electronic applications. Different approaches like solid phase or laser-induced crystallization suffer from very small crystallites or high process temperatures. A promising method to obtain large-grained high quality polycrystalline films by low-temperature crystallization of an amorphous precursor material is the aluminum-induced layer exchange (ALILE). Due to the intimate contact of the aluminum and the silicon, the ALILE-process results in highly p-type doped poly-Si layers with carrier concentrations up to 1019 cm-3. These high carrier concentrations are not suitable for most applications and have to be lowered by different post-process treatments. In the related AgILE-process, the aluminum layer is replaced by a silver layer leading to nominally undoped films. In this approach, an Ag/amorphous Si layer stack, separated by a thin diffusion barrier, is annealed at temperatures below the Ag-Si eutectic temperature of 1109 K, leading to a complete exchange of the positions of the initial Ag and Si layers and to the crystallization of the amorphous Si. The resulting polycrystalline silicon layers are intrinsic due to the low solubility of silver in silicon. By doping the amorphous silicon precursor layer with phosphorus or boron, the AgILE-process can be used to achieve a controlled n-type or p-type doping of the layers. We have studied the dynamics of the AgILE-process as well as the structural and electronic properties of resulting polycrystalline Si layers (20 nm – 500 nm) prepared on silica substrates. Optical microscopy shows grain like structures up to a size of about 100 µm. X-ray diffraction measurements show a preferential (100) and (111) orientation of the crystallites. Raman spectroscopy gives evidence of a good crystalline quality of the layers down to a layer thickness of 20 nm. Hall effect and conductivity measurements show tunable carrier concentration from intrinsic level up to 1019 cm-3 for both n-type (Phosphorus-doped) and p-type (Boron-doped) films. Furthermore, the influence of different diffusion barriers and substrates were studied, showing huge differences in the process dynamics and layer properties.This work is funded by “Dritte Patentportfolio Beteiligungsgesellschaft mbH & Co. KG”.
3:30 PM - A3.4
Flash-lamp-induced Lateral Solidification of Thin Si Films.
K. Omori 1 , G. Ganot 2 , U. Chung 2 , A. Chitu 2 , A. Limanov 2 , James Im 2
1 Technical Development Department, THE JAPAN STEEL WORKS, LTD., Yokohama, Kanagawa, Japan, 2 Program in Materials Science and Engineering, Columbia University, New York, New York, United States
Show AbstractUsing a flash lamp to heat and crystallize a-Si films can be recognized as an interesting and noteworthy technical procedure for a number of reasons: (1) it was demonstrated as a viable crystallization method already nearly thirty years ago, (2) it is an extremely flexible technique capable of being used for solid-phase as well as melt-mediated crystallization of a-Si films, and (3) the irradiation-system-related components are well developed as a consequence of the "flash lamp annealing" method being evaluated and developed for the semiconductor manufacturing industry.In this paper, we show that the approach can also be used effectively for inducing controlled lateral solidification of a-Si films (i.e., flash-lamp-induced controlled super-lateral growth (CSLG) of a-Si films [Im,et.al.,PSS,166,603(1998)], and that low-defect-density Si films are created in the process. We have chosen to utilize a Xenon-Arc-lamp-based approach as it can potentially lead to cost-effective and high-throughput processes and systems; these lamps possess established capability to deliver prodigious amounts of optical power over an extremely wide range of CSLG-suitable pulse durations. As such, a definite possibility exists here for developing a non-laser crystallization process that can capture the material-quality-related advantages that are typically associated with laser-based techniques, while avoiding the associated cost-related disadvantages.From the crystallization perspective, the most salient characteristic of the process is the exceptionally long lateral-growth distances (~10s to 100s of μm) that can be achieved in comparison to previously demonstrated pulsed-laser-based CSLG processes. This result, which is fully expected from thermal and kinetic considerations associated with the encountered experimental conditions (i.e., ~50 μsec to ~10 msec pulse duration range), does endow the approach with an unprecedented level of flexibility for generating various high-device-performance-enabling low-defect-density materials. In this paper, we will also present and discuss how shaping of the incident beam using a proximity/contact mask, and/or pre-patterning of the films using a photolithographic step can (1) effectively address a number of issues that are commonly associated with the present approach (e.g., cracking of the films, warpage of the substrate, the tendency of the films to agglomerate, and the formation of pronounced protrusions) and (2) readily satisfy the CSLG procedural requirement of inducing complete melting in, and only in, the pre-determined areas.
3:45 PM - A3.5
Poly-Si Thin Film Formation Using a Novel Low Thermal Budget Process.
Minghao Zhu 1 , Chen-Han Lin 1 , Yue Kuo 1
1 Thin Film Nano & Microelectronics Research Lab, Texas A&M University, College Station , Texas, United States
Show AbstractThe thin film a-Si solar cell has many advantages over the bulk solar cell such as requiring a very small amount of raw materials, low fabrication temperatures, almost unlimited supply of low-cost raw materials, and large-area capability [1,2]. Compared with the a-Si thin film solar cell, the poly-Si thin film solar cell is even more promising with respect to the high conversion efficiency and the long lifetime. However, currently the poly-Si thin film fabrication is limited to the high thermal-budget processes, such as the direct CVD deposition, the solid phase crystallization, or the metal induced crystallization, which require either a high temperature or a very long process time [3,4,5]. Recently, authors presented a novel low thermal-budget poly-Si thin film preparation process that is based on the principle of vertical crystallization using the pulsed rapid thermal annealing (PRTA) enhanced with an ultra-thin metal seed layer [2]. In this paper, we will discuss new experimental results that include: 1) the dopant effect on the crystal structure, such as the volume fraction and crystal size; 2) the original a-Si film thickness effect on the crystal formation process, such as the minimum film thickness required for crystal formation; 3) the influence of the PECVD feed gas on the final poly-Si crystal structure, and 4) the PRTA process parameter effects on the n-i-p stack structure. It has been demonstrated that a 2 micrometer a-Si n-i-p Stack could be crystallized with a very low thermal budget PRTA process, e.g., 4 cycles of 1s 850°C heating and 5s cooling. [1]W. G. J. H. M. van Sark, et al., Energ. Policy, 35, 3121 (2007). [2] Y. Kuo et al., Conf. Rec. IEEE Photovoltaic Spec. Conf. (2010). [3] N. H. Nickel, et al., Phys. Rev. B, 53, 12 (1996). [4] Matsuyama, et al., J. Non-Cryst. Solids 198-200, 940(1996). [5] S.-W. Lee, et al., IEEE T. Electron Dev. 17, 4 (1996)
A5: Poster Session: Solar Cells
Session Chairs
Tuesday PM, April 26, 2011
Exhibition Hall (Moscone West)
6:00 PM - A5.1
Voc Saturation Effect in High-temperature Hydrogenated Polycrystalline Silicon Thin-film Solar Cells.
Hidayat Hidayat 1 2 , Per Widenborg 2 , Armin Aberle 2 1
1 Electrical and Computer Engineering, National University of Singapore, Singapore Singapore, 2 , Solar Energy Research Institute of Singapore, Singapore Singapore
Show AbstractPolycrystalline silicon thin-film solar cells have the potential of achieving a conversion efficiency of more than 13% using a simple solar cell structure. The highest efficiency so far is 10.5%, achieved by CSG Solar. The technology also has the potential for low-cost fabrication. In this work, about 2 µm thick a-Si:H precursor diodes were deposited by PECVD onto 3 mm thick Borofloat glass substrates, followed by solid phase crystallization (SPC) to form a polycrystalline diode. The final sample structure is glass/70 nm SiN/100 nm n+ layer/ 2 µm p- layer/ 100 nm p+ layer. The sample is then heated to 900 °C for a short period of time (rapid thermal annealing, RTA) to activate the dopants and anneal crystal defects. Then, the sample is hydrogenated to passivate a large fraction of the remaining defects. We are using an AK800 system from Roth and Rau, Germany, for hydrogenation. The one-sun Voc of the devices is measured at room temperature, using the Sinton method [1]. Five points are measured on each sample. The sample is then recycled for subsequent hydrogenation experiments, by baking it at 615 °C for 10 hours to drive out the hydrogen. Before each hydrogenation step, the sample is dipped in 5% HF to remove the oxide. Typically, after baking, the one-sun Voc is about 200 mV, whereas it is above 400 mV after hydrogenation.The relationship between the hydrogenation process temperature, T, and the one-sun Voc of the device was studied. Five different samples were studied, with two being planar (sample IDs 1578 and 188) and three being textured (2398, 788 and 888) by the aluminum induced texturing (AIT) method [2]. The Voc starts to saturate at a hydrogenation temperature of about 450 °C. Increasing the hydrogenation temperature to 600 °C does not change the Voc significantly. The experimental data are fitted using a Boltzmann sigmoid statistical fit with 4 fit parameters. The Boltzmann fit is also used to extract the linear relationship between Voc and hydrogenation temperature. The activation energies were extracted for several samples, by plotting Voc/Vt against 1000/T, where Vt is the thermal voltage (25.7 mV at 300 K). The activation energies were found to lie in the range of 1.3-1.6 eV for the textured samples and 1.7-2.8 eV for the planar samples.The Voc saturation could be due to the out-diffusion of hydrogen balancing the in-diffusion of hydrogen at high temperature. It is also known that the hydrogenation process introduces defects (such as the formation of Si-H2) and this can possibly lead to the Voc degradation at very high hydrogenation temperature. The objective of this research is to better understand the factors that limit the Voc. With deeper insight, we possibly can reach a Voc of above 500 mV with this hydrogenation method. References1. R. Sinton, A. Cuevas, Applied Physics Letters 69, 2510 (1996).2. P. Widenborg, A. Aberle, Advances in OptoElectronics 7, (2007).
6:00 PM - A5.2
Enhanced Light-trapping in Thin-film Silicon Solar Cells Via Scattering from Embedded Nanoparticles.
James Nagel 1 , Michael Scarpulla 1 2
1 Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah, United States, 2 Department of Materials Science and Engineering, University of Utah, Salt Lake City, Utah, United States
Show AbstractThis research explores the potential light-trapping gains in thin-film silicon solar cells through the use of embedded dielectric nanoparticles. Such a concept has been experimentally demonstrated using tandem solar cells [1], but is not well-understood from a theoretical perspective. Using finite-difference time-domain (FDTD) simulations, we show that spherical nanoparticles of SiO2 embedded directly within the active layer of a 1.0 um silicon solar cell can increase the total absorption of AM 1.5 sunlight by 18 % relative to the same design without any particles. More complex particles utilizing metallic cores with dielectric coatings can also increase total solar absorption by as high as 29%. Using parametric sweeps, we also show that optimal conditions for light-trapping tend to occur when the particles are embedded near the surface of the cell rather than near the back contact. Larger diameter spheres are shown to be better at scattering light within the substrate, but also tend to displace the active material in which light is absorbed. An optimal balance between these effects occurs when the spherical particles are on the order of 200 nm in diameter.The special benefit to the embedded nanoparticle concept is its compatibility with anti-reflective coatings (ARC’s), which can readily improve light absorption by 37 % on their own without any light-trapping schemes. Light-injection and light-trapping can therefore be independently optimized such that total light absorption within thin films is maximized. This is in contrast with previous enhancement schemes that often place nanoparticles directly on the surface of solar cell [2]. Such geometries can readily interfere with the performance of ARC’s [3], thereby hindering their practical performance.References[1]S. Nunomura, A. Minowa, H. Sai, and M. Kondo, “Mie scattering enhanced near-infrared light response of thin-film silicon solar cells,” Applied Physics Letters, Vol 97 (6) 2010[2]H. A. Atwater and A. Polman, "Plasmonics for improved photovoltaic devices," NatureMaterials, Vol 9 (3) 2010.[3]J. R. Nagel and M. A. Scarpulla, “Enhanced absorption in optically thin solar cells by scattering from embedded dielectric nanoparticles,” Optics Express, Vol 18 (S2) 2010
6:00 PM - A5.3
Improvement of Single-junction a-Si:H Thin Film Solar Cells Toward 10% Efficiency.
Po-Hsiang Cheng 1 , Shin-Wei Liang 1 , Yi-Ping Lin 1 , Cheng-Hang Hsu 1 , Chuang-Chuang Tsai 1
1 , Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu Taiwan
Show AbstractHydrogenated amorphous silicon (a-Si:H) is one of the promising materials for thin film solar cell applications. At present, the a-Si:H still suffers certain degree of light-induced degradation (also known as Staebler-Wronski effect, SWE) [1] which leads to a reduction in efficiency. The large bandgap and high absorption coefficient make it suited for multi-junction solar cells, therefore, it is vital to continuously improve the a-Si:H solar cells.In this work, a-Si:H solar cells were fabricated on SnO2:F coated glass substrates by radio frequency (27.12 MHz) plasma-enhanced chemical vapor deposition (PECVD) system. A structure with a superstrate configuration and a back reflector were used. Electrical and optical measurements were executed to investigate the conductivity and the bandgap of each layer. The Fourier transform infrared spectroscopy (FTIR) was used to further examine the H-bonding configuration of the undoped a-Si:H film. The a-Si:H thin film solar cells were characterized by an I-V measurement system under AM1.5G illumination and a quantum efficiency (QE) instrument.In a typical a-Si:H solar cell, the boron-doped amorphous silicon carbide (a-SiC:H) has been widely used as the p-layer or the window layer due to its wide optical bandgap and reasonable conductivity. However, the band offset caused by the heterojunction between the p-layer and undoped layer (i-layer) induces defects at the p/i interface [2]. To alleviate such effect, the CH4 flow rate was modulated to alter the carbon incorporation during the depositing of the a-SiC:H layer. Compared to the use of conventional buffer layer, our result showed that the application of the graded bandgap in buffer layer improves the Jsc from 11.84 mA/cm2 to 12.44 mA/cm2, leading to an increase of conversion efficiency from 7.95% to 8.45%. Furthermore, the doped layers were carefully optimized considering the trade-off between optical and electrical properties. The undoped layer was also improved by using hydrogen dilution in order to minimize the silicon bonding configuration, or the SiH2/(SiH+SiH2) ratio. Moreover, the hydrogen plasma treatment was applied at the surface to further refine the interfaces. The resulting short-circuit current was significantly improved from 13.30 mA/cm2 to 14.39 mA/cm2. Concerning the light absorption, electron-hole extraction and SWE, the best cell having an absorber layer of 300nm thickness exhibits an efficiency of 9.46%, with Voc=906mV, Jsc=14.42 mA/cm2 and FF=72.36%. Direction for further improvement will be discussed.This work was sponsored by the Center for Green Energy Technology at the National Chiao Tung University and National Science and Technology Program-Energy of Nation Science Council (no. 98-3114-E-009-004-CC2).1. D. Staebler and C. Wronski, Appl. Phys. Lett. 31, 292 (1977)2. S. Guha, J. Yang, A. Pawlikiewicz, T. Glatfelter, R. Ross and S. Ovshinsky, Appl. Phys. Lett. 54, 2330 (1989)
6:00 PM - A5.4
Temperature Dependent Charge Transport in Tandem a-Si:H/μc-Si:H Solar Cells.
Yvonne Rodriguez 1 , Joshua Ford 1 , Matt Felton 2 , Glenn Alers 1 2 , Sue Carter 1
1 Physics Department, UC Santa Cruz, Santa Cruz, California, United States, 2 , APV Research, Sunnyvale, California, United States
Show AbstractThe temperature dependence of micromorph solar cells consisting of a-Si:H and μc-Si:H was studied in order to investigate the transport properties that affect the photovoltaic performance of these complicated tandem devices, which are basically two diodes connected in series. I-V curves were taken between 100 K and 300 K for an evaluation of the key photovoltaic parameters. Two different regimes were observed under AM 1.5 illumination where the Voc increased at the rate of 3 mV/K as the device was cooled to ~ 175 K, then saturated at 1.7 V upon further cooling. The zero Kelvin intercept, extrapolated from the high temperature slope of eVoc(T), was 2.2 eV. This represents the activation energy for the tandem device and is less than the sum of the band gaps of the top and bottom cells, indicating that thermally assisted interface recombination dominates the transport at high temperatures. As the temperature is decreased, this mechanism no longer occurs and we see a saturation voltage, representing the maximum separation of the quasi Fermi levels, equal to the band gap of the a-Si:H cell. This suggests that the transport properties in the bulk of the top cell now dominates. A change in transport properties is also evident in the temperature dependence of the Isc, as it decreases across the entire range upon cooling, with a distinct change in slope at ~ 175 K where the rate of change increases by a factor of 4.5. Additionally, these tandem cells were illuminated with low pass (below 600 nm) and high pass (above 600 nm) optical filters to decouple the contributions of the component cells. Evidence of the above described transport transition is seen in the Jsc, Voc and Rs data taken under these conditions as well, with a change in the recombination current in the bottom cell driving the transition. This study provides some focus for the efforts to improve the efficiencies of these a-Si:H/μc-Si:H Solar Cells.
6:00 PM - A5.5
Temperature-dependent External Quantum Efficiency and Photovoltaic Performance of Si-based Solar Cells.
Carolyn Swanborg 1 , Cary Allen 1 , Barrett Potter 1
1 Materials Science and Engineering, University of Arizona, Tucson, Arizona, United States
Show AbstractThe temperature dependence of photovoltaic (PV) energy conversion efficiency is a key issue in the effective application of commercially available modules and systems and the development of new devices based on alternative materials and architectures. The present work integrates conventional current-voltage (J-V) performance measurement with external quantum efficiency (EQE) studies of single-crystal, polycrystalline and amorphous Si PV cells, as a function of temperature from 22 to 80 oC. Temperature-induced modification in parameters such as open circuit voltage (Voc), fill factor, and short circuit current density (Jsc) are examined together, with the corresponding thermally induced modification in spectral behavior of EQE, to provide additional insight into the origins of the cell performance changes. The experimental effort was augmented with 1-D, multilayer device simulation of photovoltaic performance that allowed thermally induced modifications anticipated in material spectral absorption and electronic behavior to be evaluated in terms of their impact on computed device response. A qualitative correlation between the anticipated red-shift in the Si band edge energy and changes in the experimental EQE spectral response have been observed. Moreover, simulated J-V characteristics, computed using the Si band-edge shift anticipated at the measurement temperature, also exhibit temperature-dependent trends consistent with experimental results. The magnitude of thermally mediated changes in such PV characteristics as dVoc/dT (~ -3.5E-3 V/oC) and dJsc/dT (~3.0E-2 mA/cm2/oC), however, are underestimated by the simulation results and show only a limited dependence on Si structural form. Work is now underway to introduce modifications to the simulated trap density and carrier mobility of the Si, consistent with the increasing structural disorder associated with the progression from c-Si to polycrystalline Si to amorphous Si forms.
6:00 PM - A5.6
Semiconducting Polymer and Hydrogenated Amorphous Silicon Heterojunction Solar Cells.
Abdul Middya 1 , Eric Schiff 1
1 Department of Physics, Syracuse University, Syracuse, California, United States
Show AbstractSemiconducting polymer can be alternative to inorganic based p-layer in solar cells owing to its high transparency and high conductivity at the same time. In this paper, we will discuss about heterojunction between p-type semiconducting polymer poly(3,4-polyethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) and hydrogenated amorphous silicon (a-Si:H). The p-type polymer was put down on top of intrinsic a-Si:H film in NIP structure by solution casting technique as well as by Spin Coating technique. The device structure was SS/n+ a-Si:H/a-Si:H/PEDOT:PSS and Glass/TCO/n+a-Si:H/a-Si:H/PEDOT:PSS. In case of some of the diodes, oxide layer on top of a-Si:H was etched off using HF solution. The dark and light J-V characteristics of two types of devices shows two different characteristics based on different fabrication procedure. Light J-V characteristics of these diodes show few interesting features, i.e. i) shunt resistance is high showing polymer-inorganic interface is resistance to parallel path of current flow, possibility of high FF, ii) light J-V crosses dark J-V, i.e. turn on voltage of forward current occurs at a voltage earlier than that of under dark condition and iii) high Voc value has been observed compared to light absorbed if light is incident through solution casted polymer. We also observed influx point near Pmax in the light J-V characteristics of Spin Coated diode. The conductivity of solution casted and Spin Coated PEDOT:PSS is ∼ 10-4 S/cm and 10-8 S/cm respectively. The influx point can be attributed to low conductivity of p-layer. The features of the diodes presented above will be explained on the basis of electronic phenomenon at PEDOT:PSS/a-Si:H heterojunction. So far, best performance of this type of solar cells is Voc ∼ 720 mV and Jsc ∼ 1 - 2 mA/cm2. The scheme to improve this type of solar cells will be discussed.
6:00 PM - A5.8
Impact of a Finite Shunt Resistance on the Dark Spectral Response of an a-Si/µc-Si Thin-film Multi-junction Photovoltaic Device.
Mauro Pravettoni 1 2 , Alessandro Virtuani 1
1 Institute of Applied Sustainability to the Built Environment, University of Applied Science of Southern Switzerland, Canobbio Switzerland, 2 Blackett Laboratory, Imperial College, London United Kingdom
Show AbstractAmorphous silicon based single-junction thin film PV devices may be manufactured at low cost and have short energy pay-back times, but have relatively low conversion efficiencies. An easy approach to increase the efficiency of these devices is to realise multi-junction structures, resulting in a more efficient use of the solar spectrum. With this approach it has been possible to realise devices with efficiencies well above 10% also on module sizes (>1 m2, [1]). These consist of a stack of two or more semi-conductive layers (“junctions”), each with different characteristic band gaps. In the case of a micromorph device, the layer with the widest band gap - the top junction, absorbing low wavelength radiation - is made of amorphous silicon (a-Si), and the bottom junction, absorbing longer wavelength radiation, is made of microcrystalline Si (µc-Si).Since current–matching behaviour of all sub-cells is a critical aspect, the measurement of the spectral response (SR) of all sub-junctions provides valuable information to optimize the device performance under a given spectral distribution of light. However, the measurement of the spectral response of multi-junction PV is particularly challenging and has extensively been investigated since the 1980s [2]. In this work we investigate the impact that low shunt resistances have on the SR of a double-junction a-Si/µc-Si PV module. The presence of shunts may in fact strongly influence the performance of a solar cell, particularly at low irradiance. In the case of micromorph devices, cracks and/or dust particles in both sub-junctions may constitute shunting paths and lead to the presence of detrimental leakage currents. Moreover, “pinholes” originating from the sharp pyramids in the TCO texture, may create additional shunting paths in the relatively thin (d ~200-300 nm) a-Si top-layer.The “dark SR” (i.e., SR measured without bias light) normally matches at each wavelength the lowest of the two sub cell SRs obtained under appropriate bias illumination, showing nearly a triangular profile. However, for some devices a deviation has been observed from this typical triangular-shape behavior [3]. A simple single-diode model for each junction allows us to simulate this anomalous dark SR, showing how the low shunt resistance of a given junction may result in current leakage of the other junction. The impact of the low shunt resistance on the SR measurement when bias light is applied is also theoretically and experimentally investigated.[1] M. Green et al., Prog. Photovolt: Res. Appl. 18(5), 346-352 (2010)[2] J. Burdick and T. Glatfelter, Solar Cells 18, 301-314 (1986)[3] F. A. Rubinelli et al., J. Non-Crystalline Sol. 352, 1876–1879 (2006)
6:00 PM - A5.9
Random Texturing of Phosphorus-diffused Layers for Crystalline Si Solar Cells by Plasmaless Dry Etching with Chlorine Trifluoride Gas.
Yoji Saito 1 2 , Hayato Kohata 2
1 Electrical and Mechanical Eng., Seikei University, Musashino, Tokyo, Japan, 2 Electrical Eng. and Electron., Seikei University, Musashino, Tokyo, Japan
Show AbstractReflection loss of silicon solar cells can be reduced by texturing the surfaces. We have investigated the plasmaless texturization process for crystalline Si solar cells using chlorine trifluoride (ClF3) gas treatments. The ClF3 gas can etch silicon without plasma near room temperature. Additionally, plasmaless etching has an isotopic property independent of crystal orientation without damaging the substrates. Plasmaless etching using ClF3 gas is known to form random and microscopic textured structures on Si substrates. The reflectance of the random-textured surfaces using ClF3 gas was reduced to below 10% at a wavelength of 600 nm. We have fabricated solar cells by phosphorus diffusion onto the textured surfaces. However, the efficiency increase for the random-textured solar cells was below 10% and was much less than the increase for the absorbed light in the substrates after texturization. We considered that ununiform diffused layers on the textured surfaces would affect the lifetime of light-induced carriers.In this study, we tried to improve the electrical characteristics of textured cells by modifying the fabrication process. The phosphorus-diffused layers were treated with ClF3 gas to form textured structures. The reflectance of the textured surface, obtained by the maskless etching with ClF3, was below 18% at a wavelength of 600 nm. Single-crystalline Si solar cells were fabricated with textured surfaces and a mirrored surface. The short-circuit current density was improved about 32%, and the efficiency of the textured cell is much larger than the mirror cell.
Symposium Organizers
Baojie Yan United Solar Ovonic LLC
Seiichiro Higashi Hiroshima University
Chuang-Chuang Tsai National Chiao Tung University
Qi Wang National Renewable Energy Laboratory
Helena Gleskova University of Strathclyde
Symposium Support
ITRI
National Renewable Energy Laboratory
ULVAC
United Solar Ovonic
A17: Poster Session: Solar Cells
Session Chairs
C.C. Tsai
Nicholas Wyrsch
Thursday PM, April 28, 2011
Salons 7-9 (Marriott)
1:00 AM - A17: Solar Cell
A17.20 Transferred to A16.5
Show AbstractA18: Poster Session: Nanostructured Silicon
Session Chairs
C.C. Tsai
Nicholas Wyrsch
Friday AM, April 29, 2011
Salons 7-9 (Marriott)
1:00 AM - A18: Nanostruc
A18.2 Transferred to A14.1
Show AbstractA20: Poster Session: Sensors and Novel Devices
Session Chairs
C.C. Tsai
Nicholas Wyrsch
Friday AM, April 29, 2011
Salons 7-9 (Marriott)
A13: Novel Devices
Session Chairs
Thursday PM, April 28, 2011
Room 2002 (Moscone West)
9:00 AM - **A13.1
Amorphous Silicon MEMS and NEMS.
Virginia Chu 1 , Alexandra Gualdino 1 , Samadhan Patil 1 , Fengliang Dong 1 , André Guedes 1 , Paulo Freitas 1 , Joao Gaspar 2 , Oliver Paul 2 , Joao Conde 1 3
1 , INESC Microsistemas e Nanotecnologias, Lisbon Portugal, 2 Dept. of Microsystems Engineering (IMTEK), University of Freiburg, Freiburg Germany, 3 Dept. of Chemical and Biological Engineering, Instituto Superior Técnico, Lisbon Portugal
Show AbstractThis talk will present an overview of our recent work on microelectromechanical systems (MEMS) based on hydrogenated amorphous silicon as the structural material including aspects related to the fabrication of these structures as well as their mechanical, electromechanical and resonance properties. Device applications and the first results on a-Si:H-based NEMS will also be presented.The fabrication of thin film silicon MEMS is made using surface micromachining techniques. The use of low-temperature fabrication processes allows the fabrication of MEMS microbridge resonators on glass and on plastic (PET) substrates. The use of different sacrificial layers (photoresist or aluminum) allows the use of thin-film structural layers deposited at temperatures between room-temperature and 350 °C on any substrate capable of withstanding these temperatures. Bridge, cantilever and more complex disk structures will be presented.The electromechanical characterization of the thin film MEMS devices emphasizes the characterization of their behavior as microresonators. The quality factor and the dissipation mechanisms in electrostatically-actuated microresonators based on these materials are studied from the point of view of materials properties and microstructure design. Designs allowing different vibrational modes such as flexural, torsional, and bulk lateral modes are developed to achieve high-Q resonators. The detection of the mechanical motion of the devices is achieved mainly by optical addressing. Sensitive detection of the mechanical motion using integrated magnetic sensors will also be presented.The mechanical properties of hydrogenated amorphous silicon will also be presented. Elastic and fracture properties of a-Si:H are characterized and related to the structural properties of the film by analyzing the load-deflection response of membrane structures and using miniaturized tensile tests. Besides their relevance to the performance of MEMS based on a-Si:H, this information is also of importance to the understanding of performance, stability and reliability of a-Si:H-based devices on flexible and conformal substrates.
9:30 AM - A13.2
Development of Silicon Microliquid Processing Using Piezo Actuator.
Muneki Akazawa 1 , Katsunori Makihara 1 , Tatsuya Matsumoto 1 , Seiichiro Higashi 1
1 Department of Semiconductor Electronics and Integration Science, Graduate School of Advanced Sciences of Matter, Hiroshima University, Higashi-Hiroshima Japan
Show AbstractThe formation of highly-crystallized Si films at a low temperature has attracted much attention because of their potential advantages in the application to active and contact layers of thin film transistors (TFTs) and solar cells. Recently, we have proposed a new rapid crystallization technique using molten Si droplet ejected from a Boron Nitride cylinder with a 100 μmφ nozzle heated at 1850 K. By dropping multiple small droplets like ink-jet printing, this technique has the possibility to form high crystallinity Si films without severe heat damage to substrate. However, there are two critical issues. One is the Boron contamination from cylinder wall, and the other one is large droplet size (~ 300 μm). In this work, the tip of a single crystalline Si rod was melted by laser diode (LD) irradiation and we attempted to drop smaller Si liquid by vibration of the Si rod using piezo actuator.In the experiment, 525 μm-thick n-type Si(100) wafer was cut into 6 ~ 20 mm long Si rods with the width of 520 μm. The Si rod was connected to a piezo actuator. The distance between the tip of the Si rod and a quartz substrate was set at 1 mm. LD irradiated the tip of the Si rod at a wavelength of 807 nm and a power of ~ 15 W to form molten Si with non-contact method. Piezo actuator was operated by applying sinusoidal voltage at an amplitude of 30 V in the frequency range of 0.1 ~ 9.0 kHz. Under certain condition, we confirmed significant number (~ 400) of small Si droplets on a quartz substrate. The minimum width of the solidified Si was ~ 1 μm. In some cases, we observed only a few large Si droplets (0.1 ~ 1.0 mm in width). We plotted the number of Si droplets as a function of oscillator frequency. It is clearly seem that the number of droplet show a sharp peak at a certain frequency (5.4 kHz), which suggests the resonance of piezo-oscillator and Si rod (6 mm) gives formation of large number of micro-droplets. We also confirmed the resonance frequency is inversely propotional to the Si rod length. Finally, we obtained huge number (~ 450) of small droplets (1 ~ 50 μm) on quartz surface. As a result, we confirmed that the rod resonated under a condition of particular frequency for each the rod length. In order to evaluate crystallinity of the each droplet, we measured Raman scattering spectra. The solidified Si (1 μm in width) shows high crystallinity with Raman TO phonon peak position of 515.6 cm-1 and the full width at half maximum (FWHM) of 8.0 cm-1 (single crystalline Si: 520.0 cm-1 and 6.3 cm-1, respectively). These results suggest that piezo-driven microliquid formation is a very promising technique for low temperature formation of high-crystallinity Si films.
9:45 AM - A13.3
Thin Film Power Harvesting System for Displays.
Arman Ahnood 1 , Reza Chaji 3 , Pritesh Hiralal 2 , Amaratunga Gehan 2 , Arokia Nathan 1
1 London Center for Nanotechnology, University College London, London United Kingdom, 3 , IGNIS Innovation Inc., Kitchener, Ontario, Canada, 2 Electrical Engineering Division Department of Engineering, University of Cambridge, Cambridge United Kingdom
Show AbstractConsumer needs for handheld devices include the requirement for longer battery life, so that recharging can be performed less frequently. To this end a key component of any mobile system is a high power and high energy density battery. An alternative to better batteries is for handheld devices to recycle some of their own energy consumption or harvest part of their own energy from ambient sources. Display units are one of the most power consuming components of mobile devices. One method of extending the device battery life is to improve energy efficiency of the display screen through better materials selection. The alternative is energy harvesting from ambient sources. In modern mobile devices, the display occupies a substantial portion of the exposed area. By designing a display unit such that it also functions as a “solar cell” it is possible to harvest some of the ambient light energy. Given the scope for display power recycling/harvesting, it is important to investigate their implementation and integration. In this work we present a thin film transistor (TFT) based circuit capable of regulating output power of thin film photovoltaic (PV) array for storage in an intermediary thin film storage unit such as a super-capacitor (SC). The circuit functions as a simple DC-DC regulator and maximum power point tracking unit (MPPT). We present an analytical model developed to investigate the circuit’s properties. The circuit’s output voltage stability as a function of operation time and light intensity is analysed. Furthermore a method of relating the overall system efficiency to the circuit efficiency and solar cell utilisation is developed and discussed.The PV array and the TFT circuit are fabricated using lithography techniques, with a maximum process temperature of 150°C. The circuit can provide a degree of output power stability over a wide range of light intensities and stressing times, making it suitable for use with SC. In this preliminary work, peak system efficiency of 18% is achieved. Possible approaches for further improvement in efficiency are discussed. While the circuit proposed in this work has a low conversion efficiency compared with the state of art DC-DC convertors MPPTs, the low fabrication temperature and the fabrication method used allows the system to be seamlessly integrated within a display module, making it an attractive solution for display power scavenging systems.
10:00 AM - A13.4
Supersaturated Silicon-chalcogen Alloys for Thin-film Photodetectors.
Daniel Recht 1 , Aurore Said 1 , Si Hui Pan 1 , Michael Aziz 1 , Thomas Cruson 2 , David Hutchinson 2 , Anthony DiFranzo 2 , Peter Persans 2 , Jeffrey Warrender 3 , Mark Winkler 4 , Joseph Sullivan 4 , Tonio Buonassisi 4
1 , Harvard School of Engineering and Applied Sciences, Cambridge, Massachusetts, United States, 2 , Rensselaer Polytechnic Institute, Troy, New York, United States, 3 , US Army ARDEC - Benet Laboratories, Watervliet Arsenal, New York, United States, 4 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractSupersatured silicon-chalcogen alloys are known to have strong infrared optical absorption and the ability to detect light with energy less than silicon's bandgap. The range of infrared wavelengths these alloys absorb is much broader than the range over which photodiodes made from these alloys respond. We have recently performed several experiments to understand the disconnect between optical absorption and photodetection in thin, monocrystalline films of these alloys fabricated by ion implantation followed by nanosecond laser melting. When subjected to sensitive tests of photoconductivity, these alloys show no optoelectronic response at several absorbed sub-bandgap wavelengths. Furthermore, measurements on photodiodes made from silicon chalcogen alloys suggest that these materials are in fact apotent low-voltage photodetection gain medium. These results, along with temperature dependent transport measurements and precise optical spectroscopy, indicate that the mechanism of sub-bandgap response could be substantially more complex than is commonly thought.
10:15 AM - A13.5
Optical Bias Controlled Amplification in Tandem Si-C Pin Devices.
Manuela Vieira 1 2 3 , Manuel Vieira 1 2 , Paula Louro 1 2 , Miguel Fernandes 1 2 , Manuel Barata 1 2 , Alessandro Fantoni 1 2
1 DEETC, ISEL, Lisbon Portugal, 2 CTS-UNINOVA, FCT-UNL, Lisbon Portugal, 3 DEE, FCT-UNL, Lisbon Portugal
Show Abstract
An optical amplifier comprises a material that has nonlinear, i.e., amplitude-dependent, response to each incident light wave. To amplify an information-modulated wave,
a pumping wave of another wavelength is applied to the material to interact with and transfer energy to the information-modulated wave. Optical amplifiers were produced and
optimized for a fine tuning of a specific wavelength. The active device consists of a p-i'(a-SiC:H)-n / p-i(a-Si:H)-n heterostructure with low conductivity doped layers.
The thicknesses and optical gap of the thin i'- (200nm; 2.1 eV) and thick i- (1000nm; 1.8eV) layers are optimized for light absorption in the blue and red ranges, respectively.
Transparent contacts have been deposited on front and back surfaces to allow the light to enter and leave from both sides. The devices were characterized through spectral response measurements
under different frequencies (50Hz< f< 3500Hz) and voltages (-10V< V< +1V) with and without applied steady state bias (400 nm-700 nm).To analyze the device under information-modulated
wave and uniform irradiation, three monochromatic pulsed lights separately (input color channels) or their combination (multiplexed signal) illuminated the device. Steady state optical bias was superimposed
separately and the photocurrent generated measured at -8V and +1 V. Both optical signals and steady state bias were directed onto the device by the side of the a-SiC:H thin structure. Transfers characteristics
effects due to changes in steady state light, control d.c. voltage and applied light pulses are analised.Results show that under negative voltage the blue optical bias enhances the spectral sensitivity in the long
wavelength ranges and quenches in the short wavelength range. The red bias has an opposite behavior; it reduces the collection in red/green wavelength ranges and amplifies the blue one. The green optical bias only
reduces the spectral greenish photocurrent keeping the other two almost unchangeable. The sensor is a bias wavelength current-controlled device that make use of changes in the wavelength of the background to control the
power delivered to the load, acting as an optical amplifier. Its gain depends on the background wavelength that controls the electrical field profile across the device. If the electrical field increases locally
(self optical amplification) the collection is enhanced and the gain is higher than one. If the field is reduced (self optical quench) the collection is reduced and the gain is lower than one.
This optical nonlinearity makes the transducer attractive for optical communications and can be used to distinguish a wavelength, to read a color image, to amplify or to suppress a color channel or to multiplex or
demultiplex an information-modulated wave. It provides a relatively flat partial gain spectrum which makes it possible to use multiple wavelengths. It will be an indispensable element of next generation WDM systems in the
visible range.
10:30 AM - **A13.6
Amorphous Silicon Based Particle Detectors.
Nicolas Wyrsch 1 , Andrea Franco 1 , Yannick Riesen 1 , Matthieu Despeisse 1 , Sylvain Dunand 1 , Francois Powolny 2 , Pierre Jarron 2 , Christophe Ballif 1
1 IMT, Ecole Polytechnique de Lausanne (EPFL), Neuchatel Switzerland, 2 , CERN, Geneve Switzerland
Show AbstractWith its high radiation hardness, hydrogenated amorphous silicon (a-Si:H) is an attractive semiconductor material for the fabrication of particle sensors. The fact that this material can be deposited as thin layers on various types of substrates is another crucial advantage. While a-Si:H has been successfully implemented in indirect particle detectors for X-ray radiography for example, a-Si:H detector using direct particle detection has so far never been practically used in a physics experiment or in a product. Insufficient performance, metastability of a-Si:H or fabrication issues are some the reasons for this lack of success. Nevertheless, selected applications could greatly benefit from a-Si:H based detectors.In this paper, we will review the development of a Si:H based particle detectors and focus on two particular types of devices: TFA detectors and micro-channel plates (MCP). Vertical integration of a thick a Si:H diode array on top of a readout ASIC (so-called “thin-film on ASIC” or “TFA” technology) enables the fabrication of very radiation hard detector with very high spatial resolutions unsurpassed by state-of-the-art c-Si detectors. We recently propose as an alternative to particle detection using diodes, MCP detectors using a-Si:H. a-Si:H material properties permits to overcome some of the performance limitations imposed by the glass or c-Si materials used for MCP fabrication. Using a-Si:H technology, such detectors could also be vertically integrated, greatly simplifying the cumbersome construction of usual MCPs, and broadening the range of applications. Direct detection of energetic particles using a-Si:H diodes requires diode thickness of several microns and possible up to 30 μm. a-Si:H based MCPs typical consist of 80-100 μm thick layers with microchannels of 3-5 µm drilled by deep reactive ion etching (DRIE). Deposition and processing of such devices is therefore a critical issue that will be addressed. Examples of TFA and MCP detectors based on a Si:H will be shown and the performances of such detectors will be discussed. Finally their advantages, potential and limits, as well as target applications will be examined.
A14: Solar Cells: Manufacture
Session Chairs
Thursday PM, April 28, 2011
Room 2002 (Moscone West)
11:30 AM - A14.0
Nanorod Solar Cell with an Ultrathin a-Si:H Absorber Layer.
Yinghuan Kuang 1 , Karine H. van der Werf 1 , Z. Silvester Houweling 1 , Ruud E. Schropp 1
1 Faculty of Science, Utrecht University, Utrecht Netherlands
Show AbstractWe propose a nanostructured three-dimensional (nano-3D) solar cell design employing an ultrathin hydrogenated amorphous silicon (a-Si:H) n-i-p junction deposited on zinc oxide (ZnO) nanorod arrays. The ZnO nanorods were prepared by aqueous chemical growth at 80°C. The nanorod a-Si:H solar cells are realized by depositing n-i-p a-Si:H layers over Ag-coated ZnO nanorods. To provide conformal coverage, it proved to be essential to use hot-wire CVD. The photovoltaic performance of the nanorod/a-Si:H solar cell with an ultrathin absorber layer of only 25 nm is experimentally demonstrated. An efficiency of 3.6% and a short-circuit current density of 8.3 mA/cm2 were obtained, significantly higher than values achieved for planar or even textured counterparts with three times thicker (~75 nm) a Si:H absorber layers. The orthogonalisation of the light path and the carrier transport path as well as plasmonic effects play an important role in these devices.
11:45 AM - A14.1
Highly Conductive P-type Microcrystalline Silicon Carbide Thin Films Prepared by Hot-wire CVD.
Tao Chen 1 , Florian Koehler 1 , Christian Sellmer 1 , Anna Heidt 1 , Reinhard Carius 1 , Friedhelm Finger 1
1 , IEK-5 Photovoltaik, Forschungszentrum Juelich, Juelich Germany
Show Abstract Stoichiometric microcrystalline silicon carbide (µc-SiC:H) thin films prepared by Hot-Wire Chemical Vapor Deposition (HWCVD) at low substrate temperatures have been shown as an excellent window layer for microcrystalline silicon (µc-Si:H) thin film solar cells. These µc-SiC:H materials are unintentionally n-type doped by impurities. Taking advantage of the high transparency and the anti-reflection effect of the µc-SiC:H window layer, a current density of 29.6 mA/cm2 and an efficiency of 9.6% was achieved in a 2-µm-thick µc-Si:H solar cell. This further stimulates the research of µc-SiC:H window layer for amorphous silicon (a-Si:H) or a-Si:H/µc-Si:H solar cells, where p-type µc-SiC:H thin films would be necessary. Starting from highly crystalline n-type µc-SiC:H material, p-type µc-SiC:H has been developed using the HWCVD process. µc-SiC:H thin films were deposited with monomethylsilane diluted in hydrogen. Aluminum introduced from Trimethylaluminum is used as the p-type dopant. By increasing the Al-doping ratio, the unintentional n-type µc-SiC:H material is compensated and finally becomes p-type. The Al-doping results in the loss of crystallinity and narrowing of the band gap, which is unfavorable for the window layer application in solar cells. To improve the crystallinity and transparency of this p-type µc-SiC:H material, the influence of the deposition parameters like pressure (p), substrate and filament temperatures (TS and TF) were systematically investigated. The material was investigated by a variety of methods like electric conductivity and thermopower measurements, photothermal deflection spectroscopy (PDS), Raman and Infrared spectroscopy, and Transmission Electron Microscopy (TEM). Upon variation of the substrate temperature (300–390 °C), we obtain effective Al-doping at TS ≥ 350 °C in the as-grown state. The microstructure and the optical absorption are little affected by the change of TS. From the Raman spectra we conclude that these p-type µc-SiC:H films consist mainly of cubic SiC phase with some additional hexagonal SiC phase or stacking faults. Variation of the deposition pressure (10–280 Pa) instead has a much stronger effect on the crystalline growth. The crystallinity increases with increasing p, accompanied by the increase of hexagonal SiC phase or stacking faults especially when 100 < p < 280 Pa. Also an increase of filament temperature (1900–2200 °C) can lead to higher crystallinity with an increase of the cubic SiC phase. The optical gap E04, where the optical absorption coefficient is 1E4 /cm, increases from 2.2 eV to 2.8 eV with increasing TF. Unexpectedly, the conductivity decreases from 1E-2 S/cm to 1E-5 S/cm. Combining the optimum deposition conditions, p-type µc-SiC:H material with dark conductivity above 0.1 S/cm and an optical gap E04 of 2.5 eV was prepared. This provides an interesting p-type µc-SiC:H window layer for the a-Si:H or a-Si:H/µc-Si:H thin film solar cells.
12:00 PM - A14.2
11.0% Stable Efficiency on Large Area, Encapsulated a-Si:H and a-SiGe:H Based Multijunction Solar Cells Using MVHF Technology.
Arindam Banerjee 1 , Jinyan Zhang 1 , Dave Beglau 1 , Tining Su 1 , Ginger Pietka 1 , Guozhen Yue 1 , Baojie Yan 1 , Jeff Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractVery High Frequency (VHF) glow discharge technique has emerged as the preferred technique to deposit amorphous silicon (a-Si:H) and amorphous silicon-germanium (a SiGe:H) based solar cells. Compared to the conventional RF glow discharge method, the VHF counterpart has the advantages: (1) 2-3 times higher deposition rate, (2) superior light stability, and (3) high stabilized efficiency. Using low-rate RF process, we previously reported 10.5% stable large area (aperture area ~900 cm2) cell efficiency using a Si:H/a-SiGe:H/a-SiGe:H triple-junction device structure. In this paper, we report new work conducted on large-area a Si:H/a-SiGe:H double-junction and a-Si:H/a-SiGe:H/a-SiGe:H triple-junction solar cells on Ag/ZnO back reflector coated stainless steel substrate, fabricated using a high-rate Modified VHF (MVHF) process. We studied the effects of: (1) Ge content in the a-SiGe:H layers, (2) cell thickness, and (3) SiH4 and GeH4 gas flow. We developed a superior MVHF cathode hardware, and optimized the process deposition parameters to attain high efficiency.The solar cells were fabricated in a large-area batch reactor. Our results show that the double-junction cells with different Ge concentration have Voc in the range of 1.62 to 1.75V. There is a flat plateau for both initial and stabilized efficiencies for Voc in the range of 1.65-1.72 V. The light-induced degradation for cells in this range of Voc is insensitive to the Ge content. In terms of thickness dependence of the intrinsic layers on double-junction device performance, we found that the initial efficiency increases with cell thickness in the thickness range 2000-4000 Å. However, the light-soaked samples exhibit a concomitant increase in light-induced degradation. Consequently, the stabilized efficiency is invariant with cell thickness. The results of SiH4 and GeH4 gas flow on cell characteristics demonstrate that the deposition rate decreases by only 20% when the active gas flow is reduced to 0.25 times standard flow. The initial and stabilized efficiencies are similar.The deposition parameters gleaned from this study were applied to fabricate large area (aperture area 464 cm2), high efficiency, double-junction and triple-junction solar cells. The cells were encapsulated using standard flexible encapsulants and then light soaked to obtain stable performance. I-V characteristics were measured under a Spire solar simulator. Selected samples were sent to NREL for confirmation of cell efficiency. The highest stable efficiency as measured by NREL was 9.8% and 11.0% for the double- and triple-junction structures, respectively. Details of the research work and solar cell performance will be presented.
12:15 PM - A14.3
Recent Advances in Micromorph Solar Cells and Modules by Implementation of an Optically Selective Intermediate Reflector Layer.
Christian Wachtendorf 1 , Jens Eberhardt 1 , Rao Venkata Gutlapalli 1 , Stelio Correia 1 , Daniel Messerschmidt 1 , Andre Hedler 1
1 Research and Development, Bosch Solar Energy, Erfurt Germany
Show AbstractThe tandem structure consisting of a stack of an amorphous and a microcrystalline cell was an important step for the industrial production of thin film solar modules. The additional absorption in the infrared band is a valuable advance in the development of thin film silicon solar cells. However, decreasing the light-induced degradation, (Staebler-Wronski-Effect and increasing the overall current generation in the multi-junction device, leads to the necessity of the implementation of novel light trapping concepts. The introduction of an intermediate reflecting layer (IRL) between amorphous and microcrystalline cell has already been proven as a successful way to meet both requirements. This work especially treats the implementation of an IRL on Gen. 5 substrates (1.1 x 1.3 m^2) and compares with results from laboratory scale deposition tools. Three different deposition techniques have been evaluated and utilized to apply the IRL. A ZnO-IRL was deposited by means of LPCVD and PVD, respectively, whereas a resistive reflecting layer was manufactured in a PECVD process.The advantages of a homogeneous sputtering process based on rotatable Al-doped ZnO targets for the PVD-ZnO-IRL can be compared with an LPCVD-ZnO-IRL which is characterized by lower absorption losses and a rougher surface morphology. Furthermore, the resistive PECVD- IRL with tunable refractive index is also evaluated. The IRL needs to fulfill specific requirements on reflectance, transmittance, conductivity, band alignment, and morphology. Therefore, a trade-off between optical and electrical properties is required for the three concepts. Due to the relatively high conductivity of the ZnO-IRL, an additional laser scribe may be necessary for the monolithic integrated circuit of modules. On the other hand, a ZnO-IRL can improve the tunnel recombination junction between IRL and µc-Si:H bottom cell. The investigations were carried out on laser-scribed 1 cm^2 cells and 100 cm^2 mini-modules as well as on full-size 1.4 m^2 large-area modules. Particularly, current matching of the stacked cells related to non-uniformity of the interlayer become relevant for large area depositions. The potential of the three different IRL approaches has been outlined. Also, the introduction of the additional interlayer affects the growth of microcrystalline layers The impact on growth conditions for the microcrystalline cell has been investigated and was significantly improved. It has been observed, that the crystallinity of the microcrystalline layer was changed due to the interlayer and may lead to a lower material quality of the microcrystalline cell. The related current losses due to recombination in the bulk material and Ohmic losses at the grain boundaries are discussed, as well as the impact on long term stability.
12:30 PM - A14.4
Calibration of Multi-junction (tandem) Thin Film Photovoltaic Modules.
Georgios Tzamalis 1 , Harald Muellejans 1
1 Institute of Energy, Joint Research Centre -European Commission, Ispra (Va), Varese, Italy
Show AbstractMulti-junction thin-film Photovoltaic devices consist of a stack of two or more semi-conductive layers of a-Si or a-Si combined with a nanocrystalline or 'micromorph' layer. These layers are usually grown monolithically on a substrate and are interconnected with tunnel diodes. Each layer has a different band gap, enabling a more efficient use of the solar spectrum through simultaneous absorption from the different layers. The electrical series connection means that the current is limited by the sub-cell with the lowest photocurrent for the whole device under the prevailing spectral and irradiance conditions. Usually the limiting junction is not known a-priori, providing thus an additional challenge for the measurements and the final calibration of a multi-junction module. The increased complexity of a multi-junction thin film module is reflected by the fact that although several multi-junction modules are now available in the PV market, there is no IEC standard for their calibration (even though ASTM E2236 addresses some aspects).Based on our experience at the European Solar Test Installation (ESTI) laboratory, the calibration method of a tandem module consists of the following steps: stabilisation of the module by successive light soakings, Spectral Response measurements and determination of the current-limiting junction, I-V measurements (indoors or outdoors) with simultaneous measurement of spectral irradiance, and, finally, a spectral mismatch correction using the spectral response of the limiting junction and calculation of the electrical parameters at standard test conditions (STC).We present a detailed calibration study of a set of multi-junction PV modules of the latest technology. The measurements involved all the aforementioned steps until the final calibration values. Issues regarding pre-conditioning and long-term degradation, as well as the impact of factors like the choice of the reference cell are addressed. Additionally, aspects of the current methodology for identifying the limiting junction are discussed together with the possibility of applying an alternative method based on spectrally matched reference cells. Finally the STC electrical parameters along with their uncertainty estimates are reported.
12:45 PM - A14.5
Single-chamber Process for Deposition of Thin-film Silicon Single and Tandem Solar Cells.
Tsvetelina Merdzhanova 1 , Jan Woerdenweber 1 , Thomas Zimmermann 1 , Arjan Flikweert 1 , Uwe Zastrow 1 , Helmut Stiebig 2 , Wolfhard Beyer 1 2 , Aad Gordijn 1
1 , IEK5 Photovoltaik, Juelich Germany, 2 , Malibu GmbH Co. KG, Bielefeld Germany
Show AbstractFor deposition of single and tandem a-Si:H and µc-Si:H solar cells and modules, a single-chamber PECVD process is developed using a large area (40x40cm2) system at 13.56MHz. This study focuses on different reactor treatments between the p/i- and n/p-interfaces and different device configurations for deposition of single and tandem solar cells. The intention is to reduce the boron (B)- or phosphorus (P)-cross contamination from the electrode and the chamber walls and to decrease the reactor treatment time. For a-Si:H and µc-Si:H single junction solar cells the application of different design configurations of the p/i-interface does not affect significantly the B-cross contamination. An additional wide band gap intrinsic layer between p-layer and i-layer in a-Si:H cells leads to an improvement of the initial η=10.3% (due to higher VOC) and stable η=8.0% compare to p-i-n configuration. An ex-situ CO2-plasma treatment after the p-layer was applied. For µc-Si:H, a standard p-i-n configuration leads to a high η=8.6%. Further improvement of the initial cell efficiency was achieved by intentional grading of the silane flow in the µc-Si:H i-layer. For tandem solar cells an initial η of 11.5% was reached by applying a CO2-plasma treatment between p/i- and n/p-interfaces. However, the CO2-treatment is a time-consuming process step for production.To decrease of rector treatment time different type of in-situ treatments between the p/i- and n/p-interfaces are systematically studied, namely Ar- or H2-flush with reactor pumping, H2-plasma and solar cell deposition without plasma stop. It was observed that deposition without plasma stop did not lead to state-of-the-art solar cell performances, while H2-plasma (30 sec) after the p-layer leads to a moderate efficiency of 7.7 % for µc-Si:H cells. We demonstrate that for large-area reactor only Ar-flush and pumping to 9x10-7 mbar after the the p-layer is a sufficient treatment for fabrication of a-Si:H cells with high initial η of 10.2% and stable η of 7.7%. For µc-Si:H solar cells an initial η of 8.1% was achieved. There are no significant losses in cell efficiency for Ar-flush and pumping treatment compared to the cell efficiencies obtained by applying a CO2-plasma. A comparably weak voltage-dependence of the quantum efficiency in the short wavelengths was found indicating sufficient carrier collection at the p/i-interface for both treatments. For Ar-flush and pumping treatment a sharp fall of the B-concentration from ~2x1020cm-3 (in the p-doped layer) to ~1-3x1017cm-3 in the i-layer was found compared to a slightly sharper fall of the B-concentration (to 1-2x1016cm-3) observed after 2 minutes of CO2-plasma. These results can clearly compete with solar cells deposited in a multi-chamber system. For a small area (10x10cm2) deposition system the application of Ar-flush and pumping did not lead to the deposition of state-of-the-art solar cells due to higher ratio of substrate-surface to recipient inner-surface.
A15: Characterization
Session Chairs
Thursday PM, April 28, 2011
Room 2002 (Moscone West)
2:30 PM - **A15.1
Microscopic Characterizations of Nanostructured Silicon Thin Films for Solar Cells.
Antonin Fejfar 1 , Aliaksei Vetushka 1 , Martin Ledinsky 1 , Bohuslav Rezek 1 , Jiri Stuchlik 1 , Jan Kocka 1
1 , Institute of Physics, Academy of Sciences of the Czech Republic, Prague 6 Czech Republic
Show AbstractIndividual grains in silicon thin films prepared close to the border between the amorphous and microcrystalline growth have sizes from 10 to ~ 1000 nm. The grains may be aggregated in typical cones connected to each other via boundaries or surrounded by amorphous tissue. Macroscopic properties and also the operation of the devices based on nanostructural silicon are determined by the properties of structural components, their spatial arrangement and mutual interaction. Large differences in conductivities of the components lead to substantial redistribution of internal electrical fields [1]. Local variation of the internal fields are usually not taken into account for discussing the operation of thin film solar cells, in spite of the fact that both the thickness and spatial features are comparable to photon wavelengths, leading to pronounced near field effects [2]. Since more than 10 years we have used the tip of atomic force microscopy (AFM) cantilever in contact mode as a local contact to measure the structure and local electronic properties with spatial resolution down to several nanometers [3,4]. In the course of the study we discovered the differences between the combined AFM measurements in UHV and ambient atmosphere and clarified how the applied bias may change the surface properties of the samples, even leading to fundamental changes of conductivity maps [5]. The AFM measurements were complemented by maps obtained by Raman microspectroscopy [6]. This approach was later applied to other nanostructured materials, including polycrystalline silicon layers, organic bulk heterojunctions, carbon nanowalls and other nanostructures [7]. The results were compared to various effective medium theories [8] and confronted with simulations of internal fields using the finite element method (either in standard linear approximation in COMSOL Multiphysics or with higher order adaptive polynomial approximations in Hermes package [9]). The simulations allowed us to for example to understand how the average current registered on the grains depends on the grain size [10]. It also led to us to considerations of local hot spots and corresponding local strain and consideration of their effects on the solar cell operation.[1] Fejfar et al, J. Non-Cryst. Sol. 338-340 (2004) 303. [2] Rockstuhl et al, Appl. Phys. Lett. 91 (2007) 171104.[3] Rezek et al, Appl. Phys. Lett. 74 (1999) 1475. [4] Mates et al, J. Non-Cryst. Sol. 352 (2006) 1011. [5] Vetushka et al, Phys. Rev. B 81 (2010) 237301. [6] Ledinský et al, phys. stat. sol. (c) 7 (2010) 704. [7] Cermak et al, phys. stat. sol. (RRL) 1 (2007) 193. [8] Kočka et al, Phil. Mag. 89 (2009) 2557. [9] Kus et al, COMPEL 27 (2008) 939.[10] Fejfar, phys. stat. sol. (a) 207 (2010) 582.
3:00 PM - A15.2
Free Carrier Pump-probe Analysis of Carrier Recombination in Semiconductors.
Ari Feldman 1 2 , Richard Ahrenkiel 1 , John Lehman 2
1 Metallurgy and Material Science, Colorado School of Mines, Golden, Colorado, United States, 2 Physical Measurements Laboratory, National Institute of Standards and Technology, Boulder, Colorado, United States
Show AbstractOne of the principal issues related to measuring carrier lifetime by the various photoconductive decay methods is that the techniques measure the product Δnµ(Δn), where Δn is the photogenerated excess carrier density and µ is the mobility that varies with Δn. The incorrect assumption is often made that µ is constant during the decay process, but that is only true during very low injection conditions. We have developed a pump-probe configuration to measure transient electron-hole recombination in semiconductor materials. The apparatus uses a pulsed pump beam to generate electron-hole pairs in a variety of semiconductor materials. The YAG pump laser is operated in the fundamental or second harmonic mode. The probe is a steady-state 10.6 µm CO2 laser that passes through the sample in a region that is spatially coincident with the pump beam. The transient free-carrier absorption is observed using a pyroelectric detector to monitor the transient transmission through the sample. The free carrier absorption varies as λ2Δn/µ, where λ is the wavelength of the probe, Δn is the transient free carrier density, and µ is the carrier mobility. Thus, the transient is proportional to the pump generated free carrier density and varies as Δn if the assumption of constant mobility is assumed. In parallel with this measurement, we use the existing transient photoconductive decay by a method known as resonant-coupled photoconductive decay (RCPCD). The latter measures a quantity proportional to Δnµ. The RCPCD decay technique also employs the same 1064 nm pump laser, and a coupled antenna operating at 450 MHz. The same pump laser was operated at comparable optical power densities in both measurement systems. Mobility variation at high injection levels can be attributable to a number of mechanisms including carrier-carrier scattering and space-charge limited currents. By combining these two measurements, we were able to eliminate the mobility variation and produce a quantity that is truly proportional to the excess carrier decay time. In addition, these data allow the investigation of the mobility variation with Δn and thereby analyze basic mobility mechanisms.
3:15 PM - A15.3
Band Alignment at Amorphous/Crystalline Silicon Hetero-interfaces.
Lars Korte 1 , Tim Schulze 1 , Manfred Schmidt 1 , Bernd Rech 1
1 Silicon Photovoltaics, Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany
Show AbstractSolar cells based on amorphous/crystalline silicon (a-Si:H/c-Si) heterojunctions have gained much attention due to their high energy conversion efficiency, with up to 23.0% demonstrated in the lab. The offsets between the band edges, ΔEc and ΔEv, of the materials involved in forming the a-Si:H/c-Si junction are key quantities for such devices because they influence strongly the charge carrier transport across the heterointerface. Their precise determination is also indispensable for a reliable modeling of a-Si:H/c-Si devices.
We present a systematic investigation of the valence band offset ΔEv in amorphous/crystalline silicon heterojunctions (a-Si:H/c-Si) using low energy photoelectron spectroscopy in the constant final state mode. The dependence of ΔEv on a-Si:H thickness as well as on the possible combinations of c-Si substrate and a-Si:H film doping types are explored. For a-Si:H films deposited at ~200°C, ΔEv is found to be independent of both substrate and film doping and amounts to 0.458(6) eV, averaged over all doping combinations and thicknesses, with a systematic error of 50-60 meV. For other sets of deposition conditions that lead to changes in hydrogen content and the a-Si:H band gap Eg [1], we find that mainly ΔEv varies. In contrast, ΔEc (as calculated from ΔEc = Eg,aSi - Eg,cSi - ΔEv) stays constant within experimental error. This interesting result will be discussed in the context of band lineup theories. It also might explain partially the conflicting results for the a-Si:H/c-Si band offsets reported in literature.
[1] T. F. Schulze et al., Appl. Phys. Lett. 96 (2010) 252102; T. F. Schulze et al., MRS Symp Proc. 1268 (2010) EE01-07
3:30 PM - A15.4
Measuring and Modelling Injection-dependent Lifetime in Polycrystalline Silicon for Thin Film Solar Cells.
Caspar Leendertz 1 , Ana-Maria Teodoreanu 1 , Felix Rieboldt 1 , Tobias Sontheimer 1 , Lars Korte 1 , Bernd Rech 1
1 Institut fuer Silizium-Photovoltaik, Helmholtz-Zentrum Berlin fuer Materialien und Energie, Berlin Germany
Show AbstractIn photovoltaic research, the measurement of injection level (i.e. illumination intensity) - dependent charge carrier lifetime is a widely used method for characterizing wafer-based solar cell structures since an ultimate limit to open circuit voltage of the final cells can be deduced from this data. Moreover, by using an advanced data analysis, material parameters such as interface defect densities can be extracted from injection-dependent lifetime curves, making this data even more valuable for solar cell characterisation. There are several, studies in which this kind of measurement is applied to thin film samples. However the investigation of the injection level dependence of these curves has been widely neglected so far. This is due to the fact that lifetime measurements in thin film samples require advanced experimental setups and elaborate simulation models are needed to understand the physics governing injection-dependent effective lifetime.
In this contribution, two techniques capable of measuring injection-dependent lifetime in poly-Si thin film samples are presented: transient photo conductance decay (TrPCD) and transient surface photo voltage (TrSPV). The TrPCD as well as the TrSPV setup are purpose-built for high sensitivity and time resolution and thus are capable of measuring lifetimes even in the low-injection region and in thin films (thickness ~1-3µm). While the TrPCD setup is a more sensitive custom-built version of commercially available tools, the TrSPV method has been applied for the first time to investigate lifetime in thin films, using a new approach to data analysis that allows to deduce injection-dependent lifetime from SPV transients. The experimental data from both setups is in agreement and lifetimes of above 100µs can be observed as well as a trend of decreasing lifetime with increasing injection level. By numerical modelling it can be shown that such high lifetimes as well as the observed trend can be explained by field effect passivation of defects at grain boundaries in the polycrystalline material. Simulation studies show that depending on intra-grain material quality, grain boundary defect density and grain size, carrier lifetime is strongly injection-dependent especially in the injection level belonging to the operation range of the solar cell (illumination of AM1.5). Due to this strong correlation between lifetime and injection-level care has to be taken when predicting values for open circuit voltage from lifetime measurements in poly-Si thin film samples and lifetimes have always to be stated together with the corresponding injection-level to be physically meaningful. Moreover, inspired by the advanced lifetime data analysis for crystalline samples, we present first ideas on how simulation based data analysis of injection-dependent lifetime curves can also be used for more detailed material characterization of polycrystalline thin films.
3:45 PM - A15.5
The Nanostructure of Hydrogenated Nanocrystalline Silicon with Varying Crystalline Fraction.
Kristin Kiriluk 1 , Don Williamson 1 , David Bobela 2 , Baojie Yan 3 , Guozhen Yue 3 , Jeff Yang 3 , Subhendu Guha 3 , P. Craig Taylor 1
1 , Colorado School of Mines, Golden, Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States, 3 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractThis study reports the crystallite size and orientation obtained from X-ray diffraction (XRD) and small angle X-ray scattering (SAXS), of a series of hydrogenated nanocrystalline silicon (nc-Si:H) with varying crystalline volume fraction (CVF). The three films with CVF ~ 10%, 50%, and 90%, were grown using plasma enhanced chemical vapor deposition techniques with hydrogen dilution of silane. By studying a series of films with varying crystalline fraction, we obtain a more detailed understanding about the crystallite interfaces and their role in optical and electronic properties of nanocrystalline based solar cells. XRD diffraction data on the mixed phase material, CVF ~ 50%, which are optimized for solar cell absorbers, indicates crystallites with a preferential [220] orientation. For the mostly crystalline material, XRD indicates crystallites with a [111] orientation. Combining our XRD data with SAXS data reveals an average crystallite size of approximately 5 x 20 nm for both the mixed phase and mostly crystalline materials. Even though the crystallite sizes are similar in each film, the SAXS intensity increases markedly with increasing CVF. To explain these SAXS results, we applied a two phase model (crystalline and amorphous) and found that the modeled integrated SAXS intensities were too low to sufficiently describe the data. 1H NMR and H evolution experiments show there to be about 6 at.% hydrogen in the amorphous phase of these films or at the crystallite interfaces. Therefore, we incorporated partially hydrogenated grain boundaries as estimated from NMR data into a three phase model with the crystalline and amorphous phases. This three phase model reproduces the experimental data when the grain boundaries are 20% to 40% hydrogenated.
A16: Solar Cells: New Device Structures
Session Chairs
Thursday PM, April 28, 2011
Room 2002 (Moscone West)
4:30 PM - **A16.1
Flexible, Lightweight, Amorphous Silicon Based Solar Cells on Polymer Substrate for Space and Near-space Applications.
Kevin Beernink 1 , Arindam Banerjee 1 , Jeffrey Yang 1 , Ken Lord 1 , Frank Liu 1 , Greg DeMaggio 1 , Ginger Pietka 1 , Christine Worrel 1 , Subhendu Guha 1
1 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractUnited Solar Ovonic has leveraged its history of making amorphous silicon solar cells on stainless steel substrates to develop amorphous silicon alloy (a-Si:H)-based solar cells and modules on ~25 µm thick polymer substrate using high-throughput roll-to-roll deposition technology for space and near-space applications. The device has a triple-junction a-Si:H/a-SiGe:H/a-SiGe:H structure deposited by conventional plasma enhanced CVD (PECVD) using roll-to-roll processing. The cells have distinct advantages in terms of high specific power (W/kg), high flexibility, ruggedness, rollability for stowage, and irradiation resistance. The large area (23.9 cm x 32.1 cm) individual cells manufactured in large quantity can be readily connected into modules and have achieved initial, 25 °C, AM0 aperture-area efficiency of 9.8% and initial specific power of 1200 W/kg. We have conducted light-soak studies and measured the temperature coefficient of the current-voltage characteristics to determine the stable values at an expected operating temperature of 60 °C for space and near-space applications. The stable total-area efficiency and specific power at 60 °C are 7.2% and 950 W/kg, respectively. In this paper, we review the challenges and progress made in development of the cells, highlight some applications, and discuss current efforts aimed at improving performance.
5:00 PM - A16.2
Enhanced Carrier Collection in Amorphous Silicon Rear Schottky Barrier Solar Cells with LiF/Al Back Electrode.
Liang Fang 1 , Seung Jae Baik 1 , Soo yeon Lim 1 , Ji Hwan Yang 1 , Seung Hyup Yoo 1 , Koeng Su Lim 1
1 Department of Electrical Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractIn thin film amorphous silicon (a-Si) solar cells light induced degradation limits the absorber layer thickness ∼200 nm. Light trapping is then essential to enhance the cell performance and stability of a-Si solar cells. For pin configuration, variety of materials, ZnO, a-SiO, metal particle etc. have been applied or proposed to improve the cell performance. They can enhance the light paths within the intrinsic layers, allowing for use of thinner active layers. However, all these techniques are not cost effective, extra deposition steps/equipments are needed. Therefore, new materials with simple deposition process should be explored for cost-reduction and mass production. P and n layer are essential parts of a-Si solar cells to sustain built-in potential, n-a-Si, n-uc-Si are mostly commonly used n-layer materials. Recently, n-doped silicon oxide has been proposed as an alternative n-layer in pin-type a-Si solar cell. A material with a low work function (WF) is preferred for n-layer to enhance built-in potential. Lithium fluoride (LiF) has been well known for its low WF (<3.0 eV). It has been widely adapted as interlayer between the metal electrode and the carrier transport layer in organic light emitting diodes and organic solar cells, resulting in improved device performances. In this paper a solar cell with glass/SnO2/p-a-SiC/i-a-Si/LiF/Al configuration is demonstrated, and the conversion efficiency increases by about 13 % compared to the standard cell with glass/SnO2/p-a-SiC/i-a-Si/n-a-Si/Al structure, which is mainly related to the enhancement of short circuit current density. The enhancement mechanisms are discussed in detail to tell the different contributions. A meticulous control on thickness is necessary to fully utilize the electrical and optical properties of LiF, and enhances the conversion efficiency of a-Si solar cells further.
5:15 PM - A16.3
Properties of Amorphous Silicon Passivation Layers for All Back Contact c-Si Heterojunction Solar Cells.
Lulu Zhang 1 2 , Ujjwal Das 1 , Jesse Appel 1 , Steven Hegedus 1 , Robert Birkmire 1 2
1 , Institute of Energy Conversion, University of Delaware, Newark, Delaware, United States, 2 , Department of Physics and Astronomy, Newark, Delaware, United States
Show AbstractLow temperature deposited Interdigitated All Back Contact a-Si:H/c-Si Heterojunction (IBC-SHJ) devices are a promising approach for high efficiency, low cost solar cells on thin wafers. Thin intrinsic a-Si:H films (i-a-Si:H) deposited below 300°C provide excellent surface passivation and high VOC. Furthermore, the optical properties of i-a-Si:H layers and electronic band alignment at the heterointerface are critical to reduce optical losses and transport barriers in IBC-SHJ solar cell. At the front illuminating surface, a wide Eg i-a-Si:H layer with good passivation is desirable for high JSC and VOC. However, at the rear surface, a narrower Eg i-a-Si:H layer with good passivation is required for higher FF and VOC as seen in two-dimensional numerical simulation. Presently we have fabricated IBC-SHJ devices >15% efficiency. The properties of thin, 5-10nm, i-a-Si:H films deposited on both surfaces of 150μm n-type Float Zone (100) polished wafers with resistivity of ~2Ω*cm were evaluated. The i-a-Si:H films were deposited by both DC and RF plasma enhanced CVD with varying substrate temperatures (150C-300C), H2/SiH4 dilution ratio (0–6) and plasma power (20W-60W). The deposited films were characterized by variable angle spectroscopic ellipsometry (VASE) to determine optical Eg and thickness and by Fourier Transform Infrared spectroscopy (FTIR) to estimate hydrogen content (CH) and the microstructure factor (Rmf=ISiH2/[ISiH+ISiH2]). Minority carrier lifetimes (τeff) were characterized by quasi-steady state photoconductivity (QSSPC) to investigate c-Si surface passivation quality.The optical Eg estimated from Tauc’s plot was found to vary from 2.0eV to ~1.65eV. Increasing the substrate temperature, H2 dilution ratio or decreasing the RF plasma power reduces the optical Eg in i-a-Si:H films. A monotonic decrease of Eg with all three plasma parameters is observed until the film starts to develop microcrystallinity. The structural transformation in the thin film was determined from pseudo dielectric constant observed in VASE. The lowest Eg i-a-Si:H is obtained just prior to the structure transition from amorphous to epitaxial-like growth.The analysis of FTIR spectra of thin i-a-Si:H films reveal that CH and Rmf decrease linearly with the decrease in Eg. The decrease in CH from 50% to 10% reduces Eg from 2.0eV to ~1.65eV. However, the films exhibit epitaxial-like growth for CH <5%. The τeff >1 msec with an implied Voc >700mV can be achieved over a wide range of i-a-Si:H layer Eg (2.0eV–1.65eV) with only a weak dependence on CH and RMF. However, the τeff decreases dramatically when the film contains even a small crystalline fraction. The effect of varying rear surface i-a-Si:H thickness and Eg on IBC-SHJ solar cells will be reported in the conference. We conclude that optimum intrinsic thin a-Si:H layer properties for high efficiency IBC-SHJ solar cells can be quite different from those for a-Si:H based p-i-n solar cells.
5:30 PM - A16.4
Thin Film Si Photovoltaic Devices on Photonic Structures Fabricated on Steel and Polymer Substrates.
Vikram Dalal 1 , Sambit Pattnaik 1 , Rana Biswas 1 , Jin Ji 2 , Dennis Slafer 2
1 Electrical and Comp. Engr., Iowa State University, Ames, Iowa, United States, 2 , Lightwave Power, Cambridge, Massachusetts, United States
Show AbstractPhotonic structures have been postulated to significantly enhance light absorption in thin film solar cells. In this paper, we report on the growth and fabrication of thin film Si photovoltaic devices on photonic structures which were fabricated on steel and PEN and Kapton substrates. Both amorphous Si and thin film nanocrystalline Si devices were fabricated. The 2 dimensional photonic reflector structures were designed using a scattering matrix theory and consisted of appropriately designed holes which were imprinted into a polymer layer coated onto PEN, Kapton and stainless steel substrates. The photonic structures were coated with a thin layer of Ag and ZnO. The design of the structures took account of the optical properties and thicknesses of all the layers comprising the p-i-n cell structure, namely the photonic layers, the n layer, the i layer, the p layer and the top ITO contact. Both single junction and tandem junction (amorphous/amorphous and amorphous/nanocrystalline) cells were fabricated on the photonic layers. It was observed that the greatest increase in short circuit current and efficiency in these cells due to the use of photonic reflectors was in nanocrystalline Si cells, where an increase in current approaching 30% ( compared to devices fabricated on flat substrates) was obtained for thin (~ 1 micrometer thick i layers) films of nano Si deposited on steel structures. The photonic structures (which were nano-imprinted into a polymer) were shown to stand up to temperatures as large as 300 C, thereby making such structures practical when a steel (or glass) of kapton substrate is used. Depositions on materials such as PEN, which cannot stand up to high temperatures, presented special problems which were resolved by changing the deposition conditions for nano Si. Detailed measurements and discussion of quantum efficiency, defect densities and device performance for various photonic back reflector structures on steel, kapton and PEN substrates will be presented in the paper.
5:45 PM - A16.5
Performance of Hydrogenated Amorphous Si Solar Cells with Down Conversion Coating.
Bill Nemeth 1 , Yueqin Xu 1 , Haorong Wang 2 , Sun Ted 2 , Qi Wang 1
1 , NREL, Golden, Colorado, United States, 2 , Sun Innovations, Fremont, California, United States
Show AbstractWe apply a down conversion (DC) thin coating to a hydrogenated amorphous Si (a-Si:H) solar cell and study the mechanism of possible current enhancement. The down conversion material used in this study converts wavelengths below 400 nm to a narrow line at 620 nm. This material is coated on the front of the glass of the a-Si:H solar cell with a glass/TCO/p/i/n/Ag superstrate configuration. The initial efficiency of the solar cell without the DC coating is above 9.5% with open circuit voltage of 0.85 V. For an a-Si:H solar cell, the spectrum response below 400 nm is weaker than the one at 620 nm. By converting incident blue light to red, the solar cell performance is expected to improve. We observe a strong blue light down conversion, with a threefold increase in the reflectance spectrum at 350 nm in comparison to standard cell. The DC coated solar cell shows only slightly better performance, since much of the converted red light escapes from the DC coating after conversion. As evidence of this loss, we observe a decrease of the blue response (below 400 nm) for the cell with the DC coating from quantum efficiency measurements. This study demonstrates the feasibility of applying a DC material to the solar cell, although the improvement of a-Si:H solar cell performance is small. This work was supported by the U.S. Department of Energy under Contract DE AC36 08 GO28308.
A17: Poster Session: Solar Cells
Session Chairs
C.C. Tsai
Nicholas Wyrsch
Friday AM, April 29, 2011
Salons 7-9 (Marriott)
9:00 PM - A17.1
Light-induced Open-circuit Voltage Increase in Amorphous Silicon/Microcrystalline Silicon Tandem Solar Cells.
Xiaodan Zhang 1 , Guanghong Wang 1 , Shengzhi Xu 1 , Ying Zhao 1
1 , Institute of Photo-electronic Thin Film Devices and Technology of Nankai University, Tianjin China
Show AbstractHydrogenated amorphous silicon (a-Si:H)/microcrystalline silicon (μc-Si:H) tandem solar cell structure (micromorph), which can utilize the solar spectrum effectively, has been considered as one of the next generation thin film silicon based solar cell structures. In order to make this technique more cost effective and competitive than other techniques, one needs to further improve the cell efficiency, decrease the equipment cost, and minimize the light-induced degradation in cell efficiency. Single-chamber plasma-enhanced-chemical-vapor deposition (PECVD) technique, even though it has a cross contamination problem, is considered to be a low cost technique compared to multi-chamber systems. In this paper, light-induced metastability of a-Si:H/μc-Si:H micromorph solar cells, in which μc-Si:H bottom cell was deposited in a single-chamber system, has been studied under a white light for more than 1000 hours. Two different light-induced metastable behaviors were observed. The first type was the conventional light-induced degradation, where the open-circuit voltage (Voc), fill factor (FF), and short-circuit current density (Jsc) were degraded, hence the efficiency was degraded as well. This phenomenon was observed mainly in the tandem cells with a bottom cell limited current mismatch. The second type was with a light-induced increase in Voc, which sometimes resulted in an increase in efficiency. The second type of light-induced metastability was observed in the tandem cells with a top cell limited current mismatch. The possible mechanisms for these phenomena are discussed.
9:00 PM - A17.10
Finite-difference Time-domain (FDTD) Simulations to Further Understand Optimal Nanoparticle Size and Location in Enhanced Efficiency Thin-film Solar Cells.
Joshua Winans 1 , Jeffrey Clarkson 1 , Erin Sanehira 2 , Chengzhu Qi 1 , Philippe Fauchet 2
1 Materials Science Program, University of Rochester, Rochester, New York, United States, 2 Electrical and Computer Engineering, University of Rochester, Rochester, New York, United States
Show AbstractAs the supply of fossil fuels continues to diminish in quantity and stability, demand for alternative energy sources consistently increases. Solar cells are an environmentally friendly and proven technology that suffer in sales due to a large upfront cost. In order to help facilitate the transition from fossil fuels to photovoltaics, we must reduce photovoltaic price per peak watt. Thin-film silicon solar cells are more affordable because of the reduced materials costs, but the very nature of this thinness also reduces efficiency as cells become less able to absorb light at higher wavelengths near the crystalline silicon bandgap.Our group has previously reported experimental results showing the effect of varying the distance of e-beam evaportated Ag nanoparticles from a silicon substrate using a silicon dioxide spacing layer. In this work we compare FDTD simulation data of Ag nanoparticles on both a silicon dioxide coated crystalline Si wafer and an oxide coated amorphous Si membrane to the previously reported experimental data. The comparison shows reasonable agreement between each method’s absorption spectrum and provides insight on the size distribution and morphology of the nanoparticles. We know from SEM and AFM measurements of evaporated nanoparticles that their shape is roughly spherical on top but truncated at the base where contacting the film surface. We model spherical nanoparticles with varying radii and degree of truncation and find an optimal agreement between experiment and theory in the absorption from 300 to 900 nm of a 120 nm diameter silver spherical particle with approximately ¼ of its base truncated. We also analyze the relative effects of surface area interaction and total nanoparticle volume on the absorption spectrum. The similarity between experimental and theoretical results allows us to estimate the ideal size and location of nanoparticles embedded inside the p-n junction. Specifically, we model Ag and Au nanorods with and without an oxide cap placed at various locations inside the silicon in an attempt to determine the optimal the conditions for peak absorption.The data from these simulations will aid in understanding the interaction of light, nanoparticles, and thin-film solar cells, and thus guide future efforts in designing and fabricating these devices. This increased efficiency will continue the decrease in solar cell costs and move us closer to the overall goal of grid parity.
9:00 PM - A17.11
Optical Absorption Enhancement Within Ultra-thin Si Films by Integration with Embedded Silicide Nanostructures.
Ritesh Sachan 1 4 , J. Strader 3 , C. Gonzalez 1 , H. Garcia 2 , P. Rack 1 , G. Duscher 1 4 , R. Kalyanaraman 1 3 4
1 Material Science and Engineering, University of Tennessee-Knoxville, Knoxville, Tennessee, United States, 4 Sustainable Energy Education Research Center, Univ. of Tennessee, Knoxville, Tennessee, United States, 3 Dept of Chemical and Biomolecular Engineering, Univ. of Tennessee, Knoxville, Tennessee, United States, 2 Dept of Physics, Southern Illinois University, Edwardsville, Illinois, United States
Show AbstractUltra-thin film Si (~100 nm) is a candidate material for light, cost-effective and large area solar energy harvesting devices as well as infrared (IR) detectors. However, Si has an inherent limitation due to its poor light absorption in the visible as well as IR wavelengths. In the present study, this drawback is addressed by utilizing optical materials design models to guide the preparation of embedded Ni-based silicide nanostructures within Si thin films. Nanostructures of nickel di-silicide (NiSi2) have been engineered in the ultra-thin Si film by co-sputtering Ni and Si, followed by annealing at different temperatures. Using Z-contrast imaging and Electron Energy Loss Spectroscopic (EELS) analysis in a scanning transmission electron microscope (STEM), it is found that single crystalline NiSi2 nanoparticles of size around 15 nm with an inter-spacing of 40 nm embedded in an amorphous Si matrix are synthesized during annealing process. Optical studies show that a total absorption increase in the visible and IR ranges are 8% and 130%, respectively, for the silicide films as compared to the pure films. From such studies, we expect to identify the nanostructures within ultra-thin Si films that could be relevant towards increasing the absorption over a broad band for solar energy harvesting as well as infrared detection. This work is supported by the Sustainable Energy Education and Research Center at the University of Tennessee, Knoxville.
9:00 PM - A17.12
Excitation of Plasmon and Guided-mode Resonances in Thin Film Silicon Solar Cells.
Franz-Josef Haug 1 , Karin Soederstroem 1 , Ali Naqavi 1 , Celine Pahud-Eminian 1 , Christophe Ballif 1
1 PV-Lab, EPFL, Neuchatel Switzerland
Show AbstractThin film silicon is an attractive material for low cost solar energy production, however, the poor charge transport in thin film silicon poses several fundamental limitations on the device design. For example, drift rather than diffusion must be used for the charge carrier collection; the electric field across the undoped absorber layer is generated by embedding it between doped layers. Thus, the requirement of sufficient field strength limits the absorber layer thickness to length scales well below the absorption length. Having more than one dimension at our disposition, adequate absorption can nevertheless be achieved if we manage to orient the path of the light absorption parallel to the plane of the absorber layer where it is quasi-infinite whereas the charge carrier transport remains perpendicular to the plane. This concept is referred to as light trapping or light confinement. From a purely optical point of view, a solar cell can thus be understood as a planar waveguide, and the goal of light trapping translates to an efficient coupling between the incident radiation field and waveguide modes. Different from typical designs, the “waveguide” is absorbing and likely to support multiple modes. Furthermore, the coupling must be truly broadband meaning a band width of several dozens, ideally hundreds, of nanometers, and the coupling concept must be cost-effective on large areas. We discuss the eigenmode structure for typical solar cell configurations including the influence of supporting layers; for example, the front contact underlies certain restrictions because often it serves also as anti-reflection coating. Likewise, the metallic back contact is desirable for its reflective properties, but can become a serious loss channel when light couples to the surface plasmon polariton mode. The latter is potentially interesting because of its enhanced field amplitude close to the interface, however, in a drift device this position is reserved for one of the doped layers that do not contribute to the photocurrent. We show how an empirically introduced buffer layer actually serves to suppress such losses by transferring the plasmon mode into a regular waveguide mode whose field amplitude is concentrated in the absorber layer. Light scattering at naturally developing interface textures has so far been the most successful route for absorption enhancement in solar cells. The understanding of the underlying coupling mechanisms is complicated by the random nature of these textures. We illustrate the coupling of external radiation to waveguide modes theoretically and experimentally for the example of a solar cell device with periodic interface corrugations. Finally, we assess details of the coupling efficiency by rigorously calculating the electromagnetic field amplitudes in the device on the basis of its real interface texture.
9:00 PM - A17.13
Effect of Buffer Structure on the Performance of a-Si:H/a-Si:H Tandem Solar Cells.
Cheng-Hang Hsu 1 , Chien-Ya Lee 1 , Po-Hsiang Cheng 1 , Chih-Kai Chuang 1 , Chuang-Chuang Tsai 1
1 Department of Photonics, Display Institute, Hsinchu Taiwan
Show AbstractThe hydrogenated amorphous silicon (a-Si:H) deposited by plasma-enhanced chemical vapor deposition (PECVD) has already been used in thin-film transistor and thin-film solar cell technology. The a-Si:H has a relatively large bandgap of about 1.75eV and a high absorption coefficient compared to crystalline Si, which make it suitable for single or multi-junction thin film solar cell applications. However, the Staebler-Wronski effect (SWE) [1] has influence on long-term stability which may result in an efficiency drop [2], depending on the quality of the material and its film thickness. In the applications of multi-junction solar cells, a-Si:H/a-Si:H tandem solar cell has the advantages of low production cost with acceptable efficiency and a reduced light-induced degradation compared to single-junction devices with comparable absorber thickness.In this work, the devices were prepared in a 27.12MHz PECVD on SnO:F glass in a superstrate configuration. Amorphous silicon carbon alloy (a-SiC:H) p-layer and undoped buffer layer were used in both the top and the bottom cell. Undoped a-Si:H with thickness ranged from 40nm to 80nm was used in the top cell and a thickness of 300nm was used in the bottom cell. A back reflector consisted of TCO and silver was also used to enhance the current density. The cells were characterized with an AM1.5G illuminated I-V measurement system and a quantum efficiency (QE) instrument.We have achieved an efficiency of 9.45% for the single-junction a-Si:H solar cell, with Jsc=14.39mA/cm2, Voc=0.90V and F.F. of 73.33%. However, in the fabrication of tandem solar cells, current matching between individual cells can limit the cell performance. Moreover, modification of each component can influence both the electrical and the optical properties which can affect the final efficiency. We have found that as the thickness of the buffer was varied from 6nm to 12nm with different carbon content, the efficiency of the single-junction cell was increased by 4.5%. The improved buffer has a larger thickness but a smoother band structure, leading to a better carrier transport. The insertion of the thicker buffer increases the current density in both the top and the bottom cells. However, the buffer in the top cell absorbs more light and therefore a thicker i-layer is required to match the current. Tandem cell with an efficiency of 7.54% was achieved without a recombination layer. The QE measurement was employed to study the effect of buffer on the top and the bottom cells. The influence of buffer thickness, carbon content and the present of buffer in the top or the bottom cell will be discussed.This work was sponsored by the Center for Green Energy Technology at the National Chiao Tung University and the National Science Technology Program-Energy of National Science Council under contract no.98-3114-E-009-004-CC2.1. D.L. Staebler and C.R. Wronski, Appl. Phys. Lett., 31, 292 (1977).2. J. Yang and S. Guha, Appl. Phys. Lett., 61, 2917 (1992).
9:00 PM - A17.14
Annealing Effects of Microstructure in Thin-Film Silicon Solar Cell Materials Measured by Effusion of Implanted Rare Gas Atoms.
Wolfhard Beyer 2 1 , Dorothea Lennartz 1 , Pavel Prunici 2 , Helmut Stiebig 2
2 , Malibu GmbH & Co.KG, Bielefeld Germany, 1 IEK5-Photovoltaik, Forschungszentrum Jülich, Jülich Germany
Show AbstractIn thin film silicon solar cell technology, annealing (heat treatment) effects are of interest by various reasons. On one hand, during deposition of film packages, annealing of underlying films often can not be avoided. On the other hand, heat treatment (e.g. by laser) may be actively used for improvement of as-deposited material. An important question is here, if annealing just results in some minor redistribution of hydrogen, which may often be beneficial, or if some more profound microstructure changes take place which may usually be a problem. A rather elegant way to detect such microstructure changes is the effusion of implanted rare gases like helium, neon and argon. Since these gases do not bind to the host material, their out-diffusion pattern can give information on microstructure [1]. In particular, it has been found that a low temperature He effusion at T<600°C is typical for compact homogeneous material as well as for material with interconnected voids while He effusion peaks at higher temperature can only be explained by He trapped in isolated voids, like multi-vacancies etc. Here we report on annealing studies of various thin film solar cell materials like a-Si:H, a-Si-alloys as well as low pressure chemical vapour deposited zinc oxide. The results show that the observed annealing effects in microstructure depend strongly on the preparation conditions of the material. In particular, material with interconnected voids shows often a clear densification, as evidenced by shifts of low temperature He as well as Ne and Ar effusion to higher temperature. On the other hand, often the densification is connected with the formation of isolated voids, i.e. interconnected voids are apparently changed into isolated voids. Consequences for solar cell processing will be discussed.1 W. Beyer, Characterization of microstructure in amorphous and microcrystalline silicon and related alloys by effusion of implanted helium, Physica Status Solidi (c) 1 (2004) 1144-1153
9:00 PM - A17.15
Comparison of Electronic Characteristics of PIN Photovoltaic Structures Made of Polymorphous and Microcrystalline Silicon Deposited by Plasma.
Francisco Avila 1 , Andrey Kosarev 1 , Mario Moreno 1 , Pere Roca i Cabarrocas Pere Roca i Cabarrocas 2
1 Electronics, Insttitute National for Astrophysics Optics and Electronics, Puebla Mexico, 2 Electronics, Ecole Polytechnique, Palaiseau France
Show AbstractHydrogenated microcrystalline silicon (µc-Si:H) thin films and solar cells have attracted much attention because of their better stability and its lower band gap increasing the optical absorption in the near infrared region in comparison with that of a-Si:H. On the other hand, hydrogenated polymorphous silicon (pm-Si:H) has a lower initial and stabilized density of states (≈7x10,14cm-3 against 5x1015cm-3 for amorphous silicon [1]), and a hole mobility considerably higher than state of the art of a-Si:H, which makes this material an interesting candidate for solar cell applications. Electronic properties and performance of pm-Si:H and μc-Si:H solar cells have been reported by different groups though to our knowledge a comparative study of the devices fabricated in the same laboratory has not been published. In this work we present a comparative study of electronic characteristics (efficiency of the devices, the series and shunt resistance under dark and illumination conditions, the diode ideality factor, the open circuit voltage and the short circuit current, etc.) measured in pm-Si:H and µc-Si:H PIN photovoltaic structures. The device efficiency observed in both devices is similar (η = 6.64% for pm-Si:H and η = 7.07% for µc-Si:H) but the efficiency of the diode in these devices is higher in pm-Si:H (η = 9.3%) than in µc-Si:H (η = 7.86%). The losses due to series and shunt resistances in pm-Si:H PIN structure (RS-Light = (247±5)Ω and RSh-Light = (1.09±0.02)x104)[Ω] are higher than those for µc-Si:H (RS-Light = (20.5±0.5)Ω and RSh-Light = (2.9±0.2)x103)Ω. The sub-gap spectral response of short circuit current shows that the structural disorder, described by the parameters EUpc and Rdef [2], is approximately the same in microcrystalline (EUpc = (49 ± 1)meV and Rdef = 1.3x10-3) and polymorphous (EUpc = (52 ± 1)meV and Rdef = 1.1x10-3) silicon PIN structure. The spectral response of short circuit current (Jsc) in μc-Si:H is significantly higher than Jsc in pm-Si:H in low energy photon range hν = 1-1.5eV but it is lower in high photon energy region hν = 2.5 – 4eV.ACKNOWLEDGMENTS:Francisco Avila acknowledges support from CONACyT through the PhD scholarship number 46317.REFERENCES:1.Tchakarov, et al., Journal of Applied Physics, Volume 94, pages 7317 – 7327, 2003.2.Y. Mai, S. et al,, Journal of Applied Physics, Volume 97, 114913 (2005).3.M. N. van den Donker et al., Applied Physics Letters, volume 90, 183504 (2007).4.Yuan Yujie et al., Journal of Semiconductors, volume 30, 034007 (2009).5.Y.M. Soro et al., J. Non-Cryst. Solids (2008), doi:10.1016/j.jnoncrysol.2007.10.047.6.Q. Zhang et al., Phys. Stat. Sol. (RRL) 2, No. 4, 154–156 (2008) / DOI 10.1002/pssr.200802106.
9:00 PM - A17.16
Light Trapping Nanostructured Amorphous Silicon Layers.
Lei Pei 1 , Amy Balls 2 , Cary Tippets 2 , Jonathan Abbott 2 , David Allred 2 , Matthew Linford 1 , Richard Vanfleet 2 , Robert Davis 2
1 Department of Chemistry and Biochemsitry, Brigham Young University, Provo, Utah, United States, 2 Department of Physics and Astronomy, Brigham Young University, Provo, Utah, United States
Show AbstractFunctional single layer amorphous silicon photovoltaics suffer from incomplete light absorption. This results in the need for multilayer manufacturing which significantly increases module cost. We have observed increased optical absorption on 100 nm thick amorphous silicon films that have been laterally patterned on the nanoscale by PECVD coating of periodic transparent templates. Silicon nanostructures formed on these transparent templates have a 20% higher solar absorption than planar films. The increased absorption is not due to an increase in the amount of silicon since patterned samples have the same silicon per area as unpatterned control samples. The 500 nm period of the template is consistent with coupling of the light into surface modes that would result in increased absorption.
9:00 PM - A17.17
Room Temperature-fabricated ZnO with Elevated and Unique Light-trapping Performance.
Erik Johnson 1 , Thierry Emeraud 3 , Celia Boniface 4 , Karim Huet 4 , Patricia Prod'homme 2 , Coralie Charpentier 1 , Pere Roca i Cabarrocas 1
1 LPICM-CNRS, Ecole Polytechnique, Palaiseau France, 3 PV Business Unit, EXCICO Group NV, Hasselt Belgium, 4 Process & Application Team, EXCICO France, Gennevilliers France, 2 NanoPV, Gas & Power - R&D Division, TOTAL S.A., Courbevoie France
Show AbstractWe present a novel doped-ZnO room temperature fabrication process that - when coupled with a standard dilute HCl chemical texturing step - produces substrates with suitable texture, conductivity, and transparency properties for thin-film photovoltaic applications. Substrates resulting from this process display elevated haze levels (80% at 600nm and 50% at 800nm) after the wet-chemical etching step, while retaining suitable transmission (>80%) and conductivity values (<12Ω sqr). Such substrates have been used to make single junction hydrogenated nanocrystalline silicon solar cells, and an increase in the short-circuit current of up to 2.2mA/cm2 is observed compared to a substrate deposited by a standard room-temperature sputtering + wet-etch process. This gain is primarily due to increased photo-response in the red due to improved light-scattering, as at wavelengths greater than 600nm, a gain in photocurrent of up to 1.7mA/cm2 is observed. It is additionally shown that the use of this process can produce texturing profiles not available through existing techniques such as varying the chemistry of the wet-etch step.
9:00 PM - A17.18
N-Type Hydrogenated Microcrystalline Silicon Oxide Films and Their Applications in Micromorph Silicon Solar Cells.
Amornrat Limmanee 1 , Channarong Piromjit 1 , Songkiate Kittisontirak 1 , Jaran Sritharathikhun 1 , Kobsak Sriprapha 1
1 Solartec, National Science and Technology Development Agency (NSTDA), Pathumtani Thailand
Show AbstractWe have prepared n-type hydrogenated microcrystalline silicon oxide films (n μc-SiO:H) by using very high frequency plasma enhanced vapor chemical vapor deposition (60 MHz VHF-PECVD), and investigated structural, electrical and optical properties of the films. The CO2 to SiH4 flow rate ratio (CO2/SiH4) was varied from 0 to 0.28. Raman spectra showed that, amorphous phase of the n μc-SiO:H films tended to increase when the CO2/SiH4 ratio increased from 0 to 0.28, resulted in a reduction of the Xc from 70 to 12%. Optical bandgap (E04) became gradually wider while dark conductivity and refractive index (n) continuously dropped with increasing CO2/SiH4 ratio. The n μc-SiO:H films were applied as a n layer in top cell of the micromorph silicon solar cells (TCO glass/ ZnO/ p-μc-SiO:H/ i-a-SiO:H/ n-μc-SiO:H/ p-μc-SiO:H/ i-μc-Si:H/ n-μc-Si:H/ ZnO/ Ag). Thicknesses of the i top a-SiO:H and the i bottom μc-Si layers were 400 nm and 1500 nm, respectively. The CO2/SiH4 ratio for n top layer deposition was varied from 0 to 0.28, while other conditions in cell fabrication were kept as the same. Note that no intermediate layer was used at the junction connection between top and bottom cells. Active area of the cells was 0.75 cm2. We found that, open circuit voltage (Voc) and fill factor (FF) of the cells gradually increased, while short circuit current density (Jsc) remained almost the same value with increasing CO2/SiH4 ratio up to 0.23. The highest initial cell efficiency of 10.7% was achieved at the CO2/SiH4 ratio of 0.23. At the higher CO2/SiH4 ratio, the Jsc rapidly dropped resulting in a decrease in cell efficiency. The enhancement of the Voc was supposed to be due to a reduction of reverse bias at sub cell connection (n top/p bottom interface). And a reduction of shunt resistance (Rsh) which was ascribing to better tunnel recombination junction contributed to the improvement in the FF. According to the quantum efficiency (QE) results, there was no obvious difference between the cells using n top μc-SiO:H and the cells n top μc-Si:H layers. These results revealed that, the n μc-SiO:H in this study did not work as an intermediate reflector to enhance light scattering inside the solar cells, but mainly played a key role to allow ohmic and low resistive electrical connection between the two adjacent cells in the micromorph silicon solar cells.
9:00 PM - A17.19
On the Carrier Extraction from the P Type Amorphous SiC Window Layer in Amorphous Si Solar Cells.
Seung Jae Baik 1 , Liang Fang 1 , Jung Won Seo 1 , Chang Hwan Shin 1 , Koeng Su Lim 1
1 Electrical Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractLight absorption in the doped window layer in thin film solar cells is one of the well known power loss mechanisms, however, recent works on the surface depleted front electrode [1] or on the insertion of high work function buffer [2] presented that light absorption in the window layer could contribute to the output current of solar cells. It is obvious that the appropriate band bending of p type window layer results in the carrier separation, and the band bending can be controlled by the interface band alignment between the front electrode and the p type window material, resulting in short wavelength collection enhancement. Meanwhile, collection enhancement could be accompanied by open circuit voltage degradation [1], or exhibit simultaneous improvement of open circuit voltages [2]. Therefore, to obtain the further insight into the selection of buffer material, we have tested various buffer layer materials to investigate the effect of band alignment on the solar cell performance. Following three will be presented comparatively.(1) WO3 films were found to exhibit work function change under the ultraviolet exposure. Accordingly, WO3 buffer with tailored work function was applied and its impact on the solar cell output characteristics was investigated. These experimental results provide the effect of buffer layer work function on the solar cell output characteristics. (2) Nitrogen doped ZnO was found to have smaller electron affinity than SnO2. Application of nitrogen doped ZnO as a buffer material provides the effect of buffer layer electron affinity on the solar cell output characteristics.(3) NiO is a p type oxide whose work function was found to be a little smaller than p-a-SiC. Unlike n type WO3 or nitrogen doped ZnO, NiO insertion causes decrease of open circuit voltage and fill factor with the enhancement of carrier collection. Band edge modification of NiO was performed with the hydrogen or nitrogen incorporation, which was monitored by photoelectron spectroscopy. Tailored band edge of NiO gave a new insight into the fill factor change induced by window layer band alignment. As a conclusion, optimal band requirement of buffer layer material will be given, and device physics regarding the window layer band alignment will be presented for the simple analysis of solar cell characteristics and window layer design.[1] S. J. Baik, S. J. Kang, and K. S. Lim, Appl. Phys. Lett., 97, 122102 (2010)[2] L. Fang, S. J. Baik, K. S. Lim, S. H. Yoo, M. S. Seo, S. J. Kang, and J. W. Seo, Appl. Phys. Lett., 96, 193501 (2010)
9:00 PM - A17.2
Light Management Research Based on Improved Conversion Efficiency of Solar Cells.
Xiaodan Zhang 1 , Qian Huang 1 , Ying Zhao 1
1 , Institute of Photo-electronic Thin Film Devices and Technology of Nankai University, Tianjin China
Show AbstractLight trapping in thin film solar cells is important for achieving high absorptions of incident light in thin absorber layers. An efficient light trapping in the solar cell structures could result in a high conversion efficiency and/or gives the possibility for further reduction of the absorber thickness. A thin intrinsic layer in amorphous silicon (a-Si:H) thin film solar cells can reduces the light-induced degradation caused by the Staebler-Wronski effect (SWE). Several light-trapping methods have been used to keep the light inside the solar cell, including textured transparent conducting oxide (TCO) substrate as front electrode and textured back reflector (BR).In this paper, based on making full use of solar spectrum, up-conversion materials were researched using hydro-thermal method. The purpose is to realize the conversion from near infrared light to visible light which will be absorbed by solar cells. Pure hexagonal β-NaYF4 codoped with Er3+ and Yb3+ were directly synthesized by controlled precipitation in glycol and EDTA under mild condition using hydrothermal methods at 200°C for 24h. The measured data about emission intensity obtained from PL suggest that pure hexagonal microprisms NaYF4: Yb3+/Er3+ proved by XRD and SEM is a more efficient upconverting phosphor. Furthermore, the ratio of photons emitted in the red to green larger than one, which is also advantage for the application in the solar cells. In addition, n type SiOx thin film prepared by in-situ deposition technique for solar cells was also applied into solar cells as back reflector and intermediate layer. As a result, short circuit current density was enhanced at the same time for conversion efficiency. To realize the application of Ag nanoparticles with plasmonics properties into solar cells, optical and electrical properties of materials were also paid much attention. Conversion efficiency of dye-sensitized solar cells was improved using Ag nanoparticles.
9:00 PM - A17.21
Development of Amorphous and Microcrystalline Silicon Thin Film Tandem Solar Cells on Flexible Substrates.
Jun-Sik Cho 1 , Eun-Seok Jang 1 , Jeong Chul Lee 1 , Sang-Hyun Park 1 , Jinsoo Song 1 , Kyung Hoon Yoon 1
1 Photovoltaic Research Center, Korea Institute of Energy Research, Deajeon Korea (the Republic of)
Show AbstractTandem structured solar cells composed of amorphous silicon (a-Si:H) and microcrystalline silicon (mc-Si:H) based thin films were developed on flexible stainless steel substrates. The solar cells were deposited in nip/nip configuration on the flexible substrates coated with highly reflecting ZnO:Al/Ag back reflectors whose surface morphologies were modified to increase the absorption of incident light in the solar cells. The surface feature of back reflectors was changed by controlling the deposition parameters of Ag layers such as deposition temperature, working pressure and film thickness. Significant current improvement was observed in the solar cells with highly textured back reflectors compared to those with flat ones. An intermediate reflector was introduced between the top and bottom cell to increase the top cell current without increasing the film thickness of top cells, and to minimize the light-induced degradation of the solar cells. The intermediate reflectors consisted of either an ex-situ ZnO:Al or a low refractive index highly doped silicon oxide deposited in-situ with plasma process that is fully compatible with solar cell processing. Influence of intermediate reflector on the cell performance of tandem silicon thin film solar cells was investigated systematically.
9:00 PM - A17.3
Enhanced Light Absorption in Thin-film Silicon with Subwavelength Surface Texturization.
Krista Langeland 1 , Vivian Ferry 1 , Koray Aydin 1 , Harry Atwater 1
1 Materials Science, California Institute of Technology, Pasadena, California, United States
Show AbstractThin film silicon solar cells are an attractive low-cost alternative to wafer-based silicon photovoltaics with promise of decreased materials costs, but novel light-trapping techniques must be developed to capture the longer-wavelength portion of the solar spectrum and thereby avoid a loss in photocurrent generation relative to thicker silicon cells. Here we demonstrate the effect of surface texturization on light absorption in thin-film Si; we observe an increase in absorption with sub-wavelength patterning of the silicon surface despite a decrease in the volume of the active silicon layer. Increased light absorption is achieved by both the minimization of the front surface reflectivity with texturization and the increase in lateral scattering of photons coupled into the active silicon layer. Using full-field electromagnetic simulations, we modeled 220nm thick silicon-on-insulator structures to determine the effects of surface texturization on reflectivity and light absorption. We examined the effects of patterning both the silicon active layers and also SiO2 dielectric passivation layers on top of a planar Si thin film. As pattern geometry is varied, patterned SiO2 on flat thin-film Si failed to show any decrease in reflectivity over a flat SiO2 layer. By contrast, texturing of the silicon film resulted in a significant photocurrent enhancement, which can be attributed to both a decrease in front surface reflectivity and an increase in light scattering within the silicon layer. For a 200nm pitch pattern of 50nm diameter cylinders with 50nm height on the silicon layer with a conformal 100nm SiO2, we calculated a decrease in reflectivity of 18.7% as well as an increase in optical generation rate despite a decrease in silicon volume. We will present simulation results that optimize the shape and size of this texturization for maximum light absorption, giving us design rules for subsequent solar cell fabrication. We have experimentally patterned silicon-on-insulator wafers to examine the effect of surface texturization on light absorption in silicon thin films. Structures were fabricated on Soitec SOI wafers with 220nm silicon device layer thickness. Wafers were patterned using the Substrate Conformal Imprint Lithography (SCIL) technique, a nanoimprinting technique in which solution-deposition films are patterned using a hard polydimethylsiloxane (PDMS) master stamp. This technique allows wafer-scale patterning with nanoscale features by using an imprinting stamp with a sol-gel imprint resist material. The imprinted sol-gel pattern has been used as an etch mask to pattern the silicon thin film layer. Dielectric layers (SiO2 and Si3N4) were then deposited using plasma-enhanced chemical vapor deposition (PECVD). The light absorption of these structures will be evaluated for their photoconductivity using integrating sphere measurements. The correlation between experimental measurements of these structures and simulation results will be discussed.
9:00 PM - A17.4
Modulated Surface-textured Substrates with High Haze for Thin-film Silicon Solar Cells.
Olindo Isabella 1 , Pan Liu 1 , Benjamin Bolman 1 , Janez Krc 2 , Miro Zeman 1
1 PVMD / DIMES, University of Technology of Delft, Delft Netherlands, 2 Faculty of Electrical Engineering, University of Ljubljana, Ljubljana Slovenia
Show AbstractIn thin-film silicon solar cells light scattering at randomly textured interfaces is one of the successful light management techniques. It contributes to an increase of energy conversion efficiency with respect to solar cells with flat interfaces and allows the reduction of the thickness of absorber layers [1]. Today’s state-of-the-art thin-film silicon solar cells are multi-junction devices with absorber layers as thin as possible in order to minimize performance degradation and production time. Development of substrates that enable efficient scattering of light, i.e. high haze and broad angular distribution function in a wide range of wavelengths from 300 nm up to 1100 nm, are of high importance. In addition to advanced surface-textured transparent conductive oxides (TCO) [2,3], new approaches have been reported recently in which micrometer-scale features on a surface of substrate carrier are combined with finely randomly-textured TCO [4,5]. In this contribution we describe the concept of modulated surface textures (MST) as an example of the new approach for advanced surface-textured subtrates. It is based on the superposition of different surface textures stacked in a multi-layer structure to form the final MST morphology. Our procedure is to chemically etch a glass substrate to create micro-scale features and subsequently deposit one or more TCO layers endowed with nano-scale features on the etched glass surface. Based on this procedure, we present substrates with a high haze in the wavelength range 300-1100 nm. The role of sacrificial layers for the manipulation of the glass surface morphology and optical properties of the MST substrates will be demonstarted by presenting the haze and angular intensity distribution parameters. We show that the scattering properties of the MST substrates can be explained by superposition of all individual scattering mechanisms produced by the composing surface textures. The wavelength scattering behavior varies between (i) the exponential decay described by the scalar scattering theory (nano-scale features) and (ii) the weakly wavelength-dependent trend of the Mie solution of Maxwell equations (micro-scale features). Particularly, the scattering type (ii) from the micro-scale textured layer of the MST substrate acts as an offset that shifts up the haze values of the scattering type (i) that is activated by the nano-textured TCO layer(s). The role of the offset for manipulating the scattering parameters of MST will be presented. Finally, the performance of thin-film silicon solar cells deposited on MST substrates will be discussed.[1] J. Krc, et al., Journal of Applied Physics 92, 2 (2002).[2] D. Dominé, et al., Phys. Status Solidi RRL 2, 163 (2008).[3] M. Kambe, et al., Proceedings of the 33rd IEEE PVSC, 1-4 (2008).[4] A. Hongsingthong, et al., Appl. Phys. Express 3, 051102 (2010).[5] O. Isabella, et al., Appl. Phys. Lett. 97, 101106 (2010).
9:00 PM - A17.7
Photovoltaic Properties of Biaxially-textured Silicon on Ion-beam Assisted Deposition (IBAD) Template Layers.
Joel Li 1 , James Groves 2 , Charles Teplin 3 , Garrett Hayes 2 , Alberto Salleo 2 , Robert Hammond 4 , Bruce Clemens 2
1 Electrical Engineering, Stanford University, Stanford, California, United States, 2 Materials Science and Engineering, Stanford University, Stanford, California, United States, 3 , National Renewable Energy Laboratory, Golden, Colorado, United States, 4 Geballe Laboratory for Advanced Materials, Stanford University, Stanford, California, United States
Show AbstractWith the goal of achieving low-cost, high efficiency solar cells, biaxially-textured silicon has been proposed as a suitable material choice. The grains in films with biaxial crystallographic texture all have the same basic crystallographic orientation, resulting in smaller grain boundary angles compared to randomly-oriented polycrystalline films. Small angle grain boundaries have fewer intrinsic defects and thus lower minority carrier recombination rates and less carrier scattering. Thus highly-oriented biaxially-textured films have potential for producing photovoltaic absorbers with higher minority carrier lifetimes and mobilities. This gives the possibility of producing thin film Si solar cells with efficiency similar to that from single crystal Si. Our approach is to use ion beam assisted deposition (IBAD) to produce biaxially textured CaF2 layers that seed the epitaxial growth of highly-oriented Si films. We will present results of Si films deposited with hot-wire chemical vapor deposition (HWCVD) and e-beam evaporation on (IBAD) CaF2 template layers. X-ray diffraction, in situ reflected high-energy electron diffraction, transmission electron microscopy and photothermal deflection spectroscopy are used to compare the photovoltaic properties of the HWCVD silicon and e-beam evaporated silicon films. We will also present results from biaxially-textured silicon solar cell devices and present Hall carrier mobility and minority carrier lifetime data to illustrate the electrical properties of these biaxially-textured silicon thin films. Device simulation results presenting the benefits of having small grain boundary misorientation angle will also be shown.
9:00 PM - A17.8
Annealing Study of a-Si:H Surface Passivation Films for High Efficiency c-Si Solar Cells.
Yuming Ai 1 , William Nemeth 1 , Qi Wang 1
1 National Center for Photovoltaics, National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractSurface passivation plays a key role to achieve high open circuit voltage and high efficiency in crystalline silicon (c-Si) heterojunction (HJ) solar cells. Previous studies showed long minority carrier lifetimes can be achieved by the annealing of plasma enhanced chemical vapor deposition (PECVD) hydrogenated amorphous Si (a-Si:H) deposited at low temperatures [1][2]. In this study, we compare the annealing effects of temperature and time on the minority carrier lifetimes of p-type c-Si wafers with various thicknesses and substrate temperatures of intrinsic a-Si:H passivation layers deposited by PECVD and hot-wire chemical vapor deposition (HWCVD) with our cluster tool. The effects of annealing a-Si:H films deposited using PECVD and HWCVD were found to be different. For PECVD films, the annealing of low temperature Si films deposited at 150 C increases the minority carrier lifetime significantly from 20 µs to 1 ms by the Sinton lifetime measurements; similar to the AIST group [1]. For HWCVD Si films, the annealing of the same low temperature Si films does not increase the minority carrier lifetime significantly. However, it has a higher initial lifetime value at 200 µs after growing Si films. It is possible that the substrate temperature is higher because of heating from hot filament array during the growth. The thermal process with high carrier lifetimes will be applied to the fabrication of Si HJ solar cells, and their cell performance will be reported. This work was supported by the U.S. Department of Energy under contract DE-AC36-08-GO28308.
A18: Poster Session: Nanostructured Silicon
Session Chairs
C.C. Tsai
Nicholas Wyrsch
Friday AM, April 29, 2011
Salons 7-9 (Marriott)
9:00 PM - A18.1
Growth Behavior of Faceted Nano-crystals Embedded in Amorphous Silicon Thin Films.
Jason Trask 1 , Lin Cui 1 , Andrew Wagner 2 , Uwe Kortshagen 1
1 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States, 2 Chemical Engineering and Material Science, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractApplications of polycrystalline silicon (poly-Si), including thin-film transistors and solar cells, have become popular in the last several years. The possibility to combine the stability and excellent electronic properties of single crystal Si with the cost advantage of Si thin films is highly attractive. To fully realize this goal, efforts have been focused on maximizing grain size with minimal additional processing. Of the family of thin films contributing to this effort, studies have shown that microcrystalline films obtained from solid-phase-crystallization (SPC) of hydrogenated amorphous silicon (a-Si:H) exhibit the potential to achieve the highest quality grain structures. However, control of final grain structure is often attempted through control of native nucleation rate; since the nucleation rate is sensitive to several factors, achieving reproducible grain structures can often be difficult.In this paper, we discuss a new method for more effectively controlling the crystallization and final grain structure of a-Si:H by embedding nanocrystallites within the bulk film matrix. Films were produced by PECVD with a system in which two plasmas were operated to produce crystallites and amorphous films separately. This approach allows crystallite synthesis conditions to be tuned independently from a-Si:H film synthesis conditions, providing a large parameter space for film structures, specifically, control of particle size, shape, quantity, and location within the a-Si:H film thickness. The films studied consist of a structure in which a single layer of cubic-shaped “seed” crystallites exists between two layers of hydrogenated amorphous film. Several single seed-layer films were deposited with varying populations of crystallites, each confirmed by TEM to be cubic in shape with sizes ranging between 20-30nm in edge length. The crystallization kinetics of each film were studied via Raman spectroscopy throughout a staged annealing process, in which seeded films consistently displayed a characteristic crystallization time less than the incubation time of unseeded films. More interestingly, films with larger initial seed concentrations exhibited longer characteristic crystallization times than more sparsely seeded films, suggesting that the growth rate of more heavily seeded films is potentially hindered by competition between adjacent seeds. A preliminary growth model is presented and cross-referenced with AFM characterization to fit the crystallization data. The model suggests that grain growth from each embedded seed crystal may be an-isotropic, with higher growth rates occurring in the plane of the seed layer due to elevated stresses, an argument which is supported by Raman characterization of as-deposited films. This work was supported by the Xcel Renewable Development Fund under grant RD-3-25 and by NSF under grant DMR-0705675.
9:00 PM - A18.3
Penrose Tiling in Silicon Thin-Film on Glass.
Abdul Middya 1
1 , Silicon Solar, Inc., Fremont, California, United States
Show AbstractHydrogenated amorphous silicon solar cells suffers from low efficiency, because of this reason, crystalline silicon film on glass currently being perused for future low-cost solar cells technology option. New thin-film growth technique has been bringing many surprises in semiconductor and insulator that show superior electronic properties as well as dielectric function, this is due to improved structural properties of the materials. In this report, we present one such example how new design of thin-film growth technique can produce new milestone in science. We found benzene ring at the surface of silicon. Chemists always rely on benzene ring as the possible structure in benzene and toluene, how 6 carbon atoms are attached. We invented benzene ring structure on silicon film on glass by hot wire chemical vapor deposition (hot-wire CVD) technique. I developed specially designed hot-wire CVD technique, where multiplication of photon radiated from tungsten filament took place and it influenced nucleation of Si on glass with spectacular order, observed by AFM phase image. This nucleation density is found to be function of hydrogen dilution ratio within the mixture of silage and hydrogen. We can grow film with any thickness 50 m or higher without any problem of peeling off. We observed a wonder on the surface of finished film, few benzene ring are found to be connected by few five-fold symmetry as proposed by Prof. Roger Penrose in his famous Penrose tiling. We saw Penrose tiling is formed on silicon film surface from gas phase decomposition of silane and hydrogen . The gas phase decomposition took by metallic filament elevated at 1650°C or higher. In this talk, I shall present an experiment that produced benzene ring on silicon surface and Penrose tiling on silicon film on glass.
9:00 PM - A18.4
Electric Field Effect in Amorphous Semiconductor Films Assembled from Transition-metal-encapsulating Si Clusters.
Noriyuki Uchida 1 , Yusuke Matsushita 1 , Takehide Miyazaki 2 , Toshihiko Kanayama 1
1 Nanodevice Innovation Research Center, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan, 2 Nanosystem Research Institute, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan
Show AbstractCarrier transport properties of amorphous semiconductors are sensitive to structural disorders. Hydrogenated amorphous Si (a-Si:H) is useful for a wide range of applications, e.g., thin film transistors (TFT), sensors, and solar cells, however structural disorders are present in the Si sp3 bonding network in a-Si:H [1]. As an alternative way to compose Si-based amorphous semiconductor films with reduced disorders, we have demonstrated the synthesis of amorphous materials by using deposition of transition metal (M= Mo, Nb and W) encapsulating Si clusters, M@Sin, where n=7–16 on solid substrates [2]. While the M@Sin film has Si networks like a-Si:H, we observed superior properties owing to a reduction in electronic disorder in Si networks as a result of the M@Sin clusters being used as the buildig blocks. For example, the Mo@Si12 film has higher hole mobility of 32 cm2/Vsec than those in a-Si:H films, typically 0.1cm2/Vsec. Therefore, the Mo@Sin cluster film is an attractive material for TFT applications. In this paper, we demonstrate the TFT using Mo@Si10 film as a channel material. To fabricate the TFT, the Mo@Si10 film of 15 nm thickness was synthesized by deposition of hydrogenated Mo@Si10Hx clusters onto Si/SiO2 substrates at room temperature, followed by annealing at ~500 degree C for 10 minutes in an ultra high vacuum for dehydrogenation. The hydrogenated Mo@SinHx clusters were grown through the reaction between laser-ablated Mo vapor and silane (SiH4) molecules. We deposited Al films on the Mo@Si10 channel through the contact mask which had the pattern (L= 100 μm, W= 300 μm) of source and drain electrodes of the TFT. The SiO2/Si substrate (N-type, 0.01 Ωcm) acts as the gate electrode and the gate insulator of 200 nm in thickness. We observed the electric field-effect characteristic of p-channel enhancement mode in drain current (Id)- drain voltage (Vd) characteristics. The threshold voltage (Vth) determined from (Id)-gate voltage (Vg) characteristics (at Vd= 0.2V) was ~-3.0V, and the field effect mobility (at Vg =-5 V and Vd= -1.0 V) roughly estimated from the linear Id region was ~3x10-3 cm2/Vsec. The field effect mobility was much lower than that of Hall measurements (~1.3 cm2/Vsec). This may be caused by the presence of carrier trapping within the film or at the interfaces. In conclusion, Mo@Si10 films were synthesized by deposition of Mo@Si10Hx clusters on solid substrates, and a Mo@Si10-film TFT was fabricated, which showed the electric field-effect characteristic of p-channel enhancement mode. [1] K. Morigaki, Physics of Amorphous Semiconductors, (1999 Imperial College Press and World Scientific Publishing). [2] N. Uchida et al., Appl. Phys. Express 1, (2008) 121502.
9:00 PM - A18.6
Optical Characterization Using Ellipsometry of Si Nanocrystal Thin Layers Embedded in Silicon Oxide.
Emil Agocs 1 2 , Peter Petrik 1 , Miklos Fried 1 , Androula Nassiopoulou 3
1 , MTA-MFA, Budapest Hungary, 2 , University of Pannonia, Veszprem Hungary, 3 , IMEL/NCSR Demokritos, Athens Greece
Show AbstractWe have developed optical models for the characterization of grain size in nanocrystal thin films embedded in SiO2 and fabricated using low pressure chemical vapor deposition from silane, followed by thermal oxidation. The aim of this work was to measure the grain size and the nanocrystallinity of the Si nanocrystal thin films, a quantity related to the change of the dielectric function. We used a definition for the nanorcystallinity that is related to the effective medium analysis (EMA) of the material. The optical technique used for the investigations was spectroscopic ellipsometry, verified by x-ray diffraction. To measure the above sample properties the thickness and composition of several layers on a quartz substrate had to be determined by proper modeling of this complex system.The samples were composed of two-dimensional arrays of single nanocrystals (Si-NC) of columnar structure in the z-direction [1] and touching each other in the x-y plane. Their size in the z-direction was equal to the Si nanocrystal film thickness, changing by the deposition time, while their x-y size was almost equal in all the samples, with small size dispersion. By high temperature thermal oxidation (900oC), the size of the nanocrystals in the z-direction was reduced and they were embedded in SiO2 (thermal oxide on top, quartz substrate at the bottom). We used samples with Si-NC thin films of different thicknesses ranging from 5 to 30 nm that were fabricated by LPCVD from silane at 610oC and a pressure of 300 mTorr on quartz substrates. The phase of polycrystalline Si (pc-Si) is optically a transition between the amorphous Si (α-Si) and the single-crystalline Si (c-Si). It is possible to represent the Si nanocrystal layers with the mixture of c-Si and α-Si, or with a nanocrystalline reference material instead of the amorphous component. The ratio of pc-Si and c-Si informs us about the grain size. We found that the nanocrystallinity (defined as the ratio of nc-Si/(c-Si+nc-Si) decreases systematically with increasing the Si-NC layer thickness. This is attributed to the decreasing percentage of grain boundaries per unit volume (increasing grain size) with increasing layer thickness. Using this approach we are sensitive to the lifetime broadening of electrons caused by the scattering on the grain boundaries, and not to the shift of the direct interband transition energies due to quantum confinement. [1] E. Lioudakis, A. Othonos, A. G. Nassiopoulou, Ch. B. Lioutas and N. Frangis, Appl. Phys. Lett. 90, 191114 2007 [2] E. Lioudakis, A. Othonos, A. G. Nassiopoulou, Appl. Phys. Lett. 90, 171103 2007[3] Ch. B. Lioutas, N. Vouroutzis, I. Tsiaoussis, N. Frangis, S. Gardelis, A. G. Nassiopoulou, Phys. Stat. Solidi (A), 205 (11), pp. 2615-2620 (2008)
A20: Poster Session: Sensors and Novel Devices
Session Chairs
C.C. Tsai
Nicholas Wyrsch
Friday AM, April 29, 2011
Salons 7-9 (Marriott)
9:00 PM - A20.1
Amorphous Silicion Photosensors for Detection of Intrinsic Cell Fluorophores.
Agnieszka Joskowiak 1 2 , Virginia Chu 1 , Miguel Prazeres 2 3 , Joao Pedro Conde 1 3
1 , INESC Microsistemas e Nanotecnologias and IN- Institute of Nanoscience and Nanotechnology, Lisbon Portugal, 2 , IBB - Institute for Biotechnology and Bioengineering, Instituto Superior Tecnico, Lisbon Portugal, 3 Department of Chemical and Biological Engineering, Instituto Superior Tecnico, Lisbon Portugal
Show AbstractTwo dimensional fluorescence spectroscopy (2D FS) provides a means to assess cell condition without cell disruption or introduction of changes to the cell environment. The principle of the method is a measurement of the excitation-emission matrix showing the fluorescence intensity of key intrinsic fluorophores, like aromatic amino acids, enzyme cofactors and vitamins. Commonly used detection systems are complex, with multiple bandpass filters, and are hard to miniaturize. We propose an amorphous silicon photodetector array system integrated with the appropriate amorphous silicon-carbon alloy filters to detect three key fluorophores: tryptophan (Trp), reduced nicotine adenine dinucleotide (NADH) and flavin adenine dinucleotide (FAD).RF-PECVD is used to deposit p-i-n amorphous silicon (a-Si:H) photodiodes and intrinsic a-Si:H photoconductors as fluorescence sensing elements. a-Si:H photosensors can be deposited and patterned into arrays on glass substrates and show high internal quantum efficiencies in the visible and low dark currents. An amorphous silicon carbon alloy (a-SiC:H) filter is integrated with the photosensor to act as a high-pass filter to reject the excitation light while allowing the maximum transmission of the emitted light. The cut-off wavelength of the filter can be tuned by changing the carbon concentration during the deposition process. a-SiC:H films were chosen over standard interference filters due to their simpler, single-step deposition and good filtering properties.Our system, for both the photodiodes and photoconductors, comprises of an array of 200 micron x 200 micron-sized detectors deposited on a glass substrate and wirebonded on a PCB board. After successful fabrication of individual devices with an integrated a-SiC:H filter, we have now proceeded to develop a chip integrating 3 different a-SiC.H filters. During the multi-step processing, the sensors retained their full functionality. The deposited a-SiC:H filters were chosen to best suit the detection of the previously mentioned key fluorophores – Trp, NADH and FAD. The first one (Trp) can be correlated with biomass, while the other two (NADH and FAD) account for the redox potential of the cell and are an important source of information regarding the cell metabolic condition. We will present the fabrication and test of the above-described fluorescence photodetector array platform. The test of each individual device/filter combination will be described, as well as the sensitivity of the array to model test solutions. In addition, the multi-fluorophore fluorescence detection platform will be combined with a microfluidic system to simplify and automate the sample handling procedure. The final goal is to establish a fully independent integrated sensing platform that works directly with actual cell cultures. Examples of these are oxygen depletion detection in cell cultures or monitoring metabolic changes in cells exposed to toxic agents or unknown drug candidates.
9:00 PM - A20.2
Self Optical Gain in Multilayered Si-C Heterostructures: A Capacitive Active Band-pass Filter Model.
Manuel Vieira 1 2 , Manuela Vieira 1 2 3 , Paula Louro 1 2 , Miguel Fernandes 1 2 , Joao Costa 1 2 , Alessandro Fantoni 1 2
1 DEETC, ISEL, Lisbon Portugal, 2 CTS, UNINOVA, Lisbon Portugal, 3 DEE, FCT-UNL, Lisbon Portugal
Show AbstractThere has been much research on semiconductor optical amplifiers. Here, a specific band or frequency need to be filtered from a wider range of mixed signals. Active filter circuits can be designed to accomplish this task. Amorphous silicon carbon tandem structures, through an adequate engineering design of the multiple layers’ thickness, absorption coefficient and dark conductivities can accomplish this function. This paper reports results on the use of a double pi’n/pin a-SiC:H WDM heterostructure (p(a-SiC:H)- í'(a-SiC:H)-n(a-SiC:H)-p(a-SiC:H)-i(a-Si:H)-n(a-Si:H) sandwiched between two transparent contacts as an active band-pass filter transfer function whose operation depends on the wavelength of the trigger light, on the applied voltage and on the wavelength of the additional optical bias. Results show that the device combines the demultiplexing operation with the simultaneous photodetection and self amplification of the signal. When a polychromatic combination of different pulsed channels impinges on the device, under steady state additional optical bias, the output signal has a strong nonlinear dependence on the light absorption profile. The dynamic response can range from positive feedback (regeneration) under positive bias, to two different behaviours under negative bias: as an active multiple-feedback filter with constant internal gain (without optical bias) or in a mode (with optical bias) that induces a nonlinear wavelength bias dependent gain. This gain depends on the background wavelength that controls the output signal. The blue background enhances the sensitivity in the long wavelength range and quenches it in the short wavelength range and the red bias has an opposite behaviour. The green background suppresses it own wavelength channel keeping the others almost constants. This nonlinearity, due to the transient asymmetrical light penetration of the input channels together with the modification on the electrical field profile due to the optical bias, allows tuning separately each input channel and provides the possibility for selectively removing and adding wavelengths. It can be used to boost signal power after multiplexing or before desmultiplexing without optical loss into the system.An optoelectronic model is presented and supported by an electrical simulation of the state variable filter circuit. Experimental and simulated results show that the output signal has a strong nonlinear dependence on the light absorption profile. The device, modeled by a simple circuit with variable capacitors and interconnected phototransistors through a resistor is a current-control device. It uses a changing capacitance to control the power delivered to the load acting as a state variable filter circuit. It combines the properties of active high-pass and low-pass filter sections into a capacitive active band-pass filter.
9:00 PM - A20.3
A Low-temperature Multilayered Nano-crystalline Silicon-based Light Emitting Diode.
Sara Darbari 1 , Shamsoddin Mohajerzadeh 1 , Michael Robertson 2
1 , University of Tehran, Tehran Iran (the Islamic Republic of), 2 , Acadia University, Wolfville, Nova Scotia, Canada
Show AbstractA plasma enhanced chemical vapor deposition (PECVD) assisted deposition of hydrogen rich amorphous-Si and in-situ crystallization have been applied to realize nano-scale crystal grains embedded in amorphous medium. Hydrogen plasma followed by an annealing step helps the crystallization of the layer all done at temperatures below 350 C making the fabrication fully compatible with soda-lime glass substrates. High resolution transmission electron microscopy manifests the crystallography of the grains with a size around 5 nm. A sequential reactive ion etching (RIE) process has been applied to remove the amorphous Si while the nanocrystalline grains are saved. This step is necessary to isolate the nano-sized grains and is needed for the luminescent behavior of Si. Three main gases of O2, H2 and SF6 are employed in this RIE machine in a sequential fashion. Each sequence consists of two subsequences, passivation and etching. A mixture of hydrogen and oxygen gases helps the controllability of the resultant porosity level, while SF6 plasma etches the amorphous Si with a rate higher than the crystalline grains. Photoluminescence (PL) analysis indicates the light-emitting behavior of the processed layer at a wavelength around 550 nm and shows a direct dependency between the number of applied RIE sequences and the peak frequency. Field emission scanning electron microscopy (FESEM) and atomic force microscopy (AFM) have also been applied to investigate the morphology of the nano-porous layer, indicating a direct correspondence between the number of RIE sequences and the reduction in the grain size and increase of the porosity level. So the results of SEM and AFM are in accordance with the blue shift observed in the PL spectra. To amplify the luminescent intensity per unit area a vertical stack of Si layers has been implemented by applying a nano-metric silicon oxy-nitride layer as the insulating interfacial layer. The cross-sectional view of the multilayered structure is visible using high-resolution SEM analysis after the sample has experienced a quick dip in HF acid. PL analysis also shows a significant rise in the luminescence intensity for a duplicated silicon nano-crystal sample in comparison to a single layer specimen. To the best of our knowledge, this is the first time the fabrication of low-temperature nano-crystalline silicon films directly on glass substrates is reported. Such multilayered structures have been employed to realize light emitting diodes on glass substrates. In such devices, an ITO layer acts as the transparent bottom contact while nickel acts as the top contact. The electrical characteristic of the fabricated device shows a threshold value for the light emitting diodes around 15V. Optical images have recorded the completely addressable glowing pixel with the dimension of 3×3 mm2 with a high light intensity. The efficiency of the LED’s has been estimated to be 2.8% which can be further improved.
9:00 PM - A20.4
Frequency Analysis of Demultiplexer Devices Based on A-SIC:H.
Paula Louro 1 2 , Manuel Vieira 1 2 , Miguel Fernandes 1 2 , Joao Costa 1 2 , Manuel Barata 1 2 , Alessandro Fantoni 1 2 , Manuela Vieira 1 2 3
1 , ISEL, Lisbon Portugal, 2 , CTS-UNINOVA, Lisbon Portugal, 3 , DEE-FCT-UNL, Lisbon Portugal
Show AbstractIn this paper we present results on the use of multilayered a-SiC:H heterostructures as an integrated device for simultaneous wavelength-division demultiplexing and measurement of optical signals. These devices are useful in optical communications applications that use the wavelength division multiplexing technique to encode multiple signals into the same transmission medium and enlarge the bandwidth of the channel.The device is composed of two stacked p-i-n photodiodes, both optimized for the selective collection of photo generated carriers. Band gap engineering was used to adjust the photogeneration and recombination rates profiles of the intrinsic absorber regions of each photodiode to short and long wavelength absorption and carrier collection in the visible spectrum.Previous results show that the use of three different optical channels, within the visible range, allow a correct demultiplexing operation, from which results the recover of the encoded optical signal of each channel, using either the voltage controlled sensitivity or the optical bias dependence of the device. In this paper it is investigated the influence of the frequency on the transient response of the device. The device was characterized under different experimental conditions using either electric bias (-10 V up to +3 V), different optical sources as background illumination at different modulation frequencies (150 Hz to 20 KHz). Results show that the photocurrent generated by a modulated optical signal is strongly dependent on the wavelength of the input optical source wavelength and on both electrical and optical bias. The variation of the frequency induces also strong changes on the transient response of the device, suggesting that at some specific frequency range the response in some wavelengths can be enlarged or diminished. This feature can be used to change the selectivity of the deviceAn electrical model of the WDM device is presented and supported by the solution of the respective circuit equations. Digital home appliance interfaces, home and car network and traffic control applications are foreseen due to the low cost associated to the amorphous a-SiC:H technology.
9:00 PM - A20.6
Thin-film Photodiode with an a-Si:H/nc-Si:H Absorption Bilayer.
Yuri Vygranenko 1 2 , M. Vieira 1 2 , A. Sazonov 3
1 DEETC, ISEL, Lisbon Portugal, 2 , CTS-UNINOVA, Caparica Portugal, 3 Electrical and Computer Engineering Department, University of Waterloo, Waterloo, Ontario, Canada
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) is an attractive material for thin-film transistors and solar cells. Here, we report an n+-n-i-p photodiode with an active region comprising a nc-Si:H n-layer and a hydrogenated amorphous silicon (a-Si:H) i-layer. The combination of wide- and narrow-gap absorption layers enables the spectral response extending from the near-ultraviolet (NUV) to the near-infrared (NIR) regions. Moreover, in the low-bias range, when only the i-layer is depleted, the leakage current is significantly lower than that in the conventional nc-Si:H n+-n-p photodiode deposited under the same deposition conditions. Device with the 900nm/400nm thick n-i-layers exhibits a reverse dark current density of 3nA/cm2 at 1V. In the high-bias range, when the depletion region expands within the n-layer, the magnitude of leakage current depends on the electronic properties of nc-Si:H. The density of shallow and deep states and diffusion length of holes in the n-layer have been estimated from the capacitance-voltage characteristics and bias dependences of the long-wavelength response, respectively. To improve the quantum efficiency in the NIR-region, we have also implemented a Cr / ZnO:Al backside reflector. The achieved quantum efficiency enhancement is almost double that for a reference photodiode without ZnO:Al layer. The results demonstrate the feasibility of the photodiode for low-level light detection in the NUV-to-NIR spectral range.