Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

Spring 2010 Logo2010 MRS Spring Meeting & Exhibit

April 5-9, 2010 | San Francisco
Meeting Chairs: Anne C. Dillon, Robin W. Grimes, Paul C. McIntyre, Darrin J. Pochan

Symposium F : Materials, Processes, Integration, and Reliability in Advanced Interconnects for Micro- and Nanoelectronics

2010-04-06   Show All Abstracts

Symposium Organizers

Alshakim Nelson IBM Almaden Research Center
Azad Naeemi Georgia Institute of Technology
Hyungjun Kim Yonsei University
Hyun Wook Ro National Institute of Standards and Technology
Dorel Toma TELUS Technology Development Center
F1: Copper Interconnects
Session Chairs
Soo Hyun Kim
Dorel Toma
Tuesday PM, April 06, 2010
Room 2010 (Moscone West)

9:45 AM - **F1.1
Recent Advances in Copper Based Interconnect Reliability.

Zsolt Tokei 1 , Kristof Croes 1 , Steven Demuynck 1 , Thomas Kauerauf 1 , Gerald Beyer 1
1 , IMEC, Leuven Belgium

Show Abstract

10:15 AM - F1.2
Effect of TaN Stoichiometry on Barrier Oxidation and Defect Density in 32nm Cu/Ultra-Low K Interconnects.

Andrew Simon 1 , Frieder Baumann 1 , Tibor Bolom 2 , Jong Guk Park 3 , Craig Child 2 , Ben Kim 4 , Patrick DeHaven 1 , Robert Davis 1 , Oluwafemi Ogunsola 1 , Matthew Angyal 1
1 , IBM Systems and Technology Group, Hopewell Junction, New York, United States, 2 , GLOBALFOUNDRIES Inc, Hopewell Junction, New York, United States, 3 , Samsung Electronics, Hopewell Junction, New York, United States, 4 , STMicroelectronics, Hopewell Junction, New York, United States

Show Abstract

10:30 AM - F1.3
FIB Patterning to Investigate Grain Boundary Scattering in Copper Films.

Boyd Evans 1 , Michael Miller 2 , Tae Hwan Kim 3 , Nagraj Kulkarni 4 , An-Ping Li 3 , Don Nicholson 5 , Edward Kenik 2 , Harry Meyer 2
1 Measurement Science and Systems Engineering, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Materials Science and Technology, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 4 Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee, United States, 5 Computer Science and Mathematics, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States

Show Abstract

10:45 AM - F1.4
First Principles Modeling of Resistance in Copper Film.

Don Nicholson 1 , Xiaoguang Zhang 1
1 , Oak Ridge National Lab, Oak Ridge, Tennessee, United States

Show Abstract

11:00 AM - *
Break

11:30 AM - **F1.5
Fundamental Investigation of the Correlation Between Passivation Film Integrity and Defectivity During Metal CMP.

Yuzhuo Li 2 , Changxue Wang 1 , Yan Li 1
2 CAE/ND, BASF SE, Ludwigshafen Germany, 1 Chemistry, Clarkson University, Potsdam, New York, United States

Show Abstract

12:00 PM - F1.6
Direct Measurement of Grain Boundary Resistivity in Copper Interconnects Using a Four-probe Scanning Tunneling Microscope.

Tae-Hwan Kim 1 , B. Evans 2 , N. Kulkarni 3 , D. Nicholson 4 , X. Zhang 1 , E. Kenik 5 , H. Meyer 5 , An-Ping Li 1
1 Center for Nanophase Materials Sciences, Oak Ridge National Lab, Oak Ridge, Tennessee, United States, 2 Measurement Science & Systems Engineering Division, Oak Ridge National Lab, Oak Ridge, Tennessee, United States, 3 Center for Materials Processing, University of Tennessee, Knoxville, Tennessee, United States, 4 Computational Materials Sciences Division, Oak Ridge National Lab, Oak Ridge, Tennessee, United States, 5 Materials Science and Technology Division, Oak Ridge National Lab, Oak Ridge, Tennessee, United States

Show Abstract

12:15 PM - F1.7
Accurate Evaluation of Specific Contact Resistivity of Multi-layered Silicon-based Ohmic Contacts.

Madhu Bhaskaran 1 , Sharath Sriram 1 , Anthony Holland 1
1 Microelectronics and Materials Technology Centre, RMIT University, Melbourne, Victoria, Australia

Show Abstract

12:30 PM - F1.8
Copper Migration During Tungsten via Formation.

Jeff Gambino 1 , Ed Cooney 1 , Will Murphy 1 , Cameron Luce 1 , Steve Mongeon 1 , Ning Lai 1 , Bob Zwonik 1 , Felix Anderson 1 , Laura Schutz 1 , Tom Lee 1 , Tom McDevitt 1
1 , IBM Microelectronics, Essex Junction, Vermont, United States

Show Abstract

12:45 PM - F1.9
High-yield Adhesion Testing for Ultra-thin Diffusion Barrier and High-k/Metal Gate Films.

Ryan Birringer 1 , Reinhold Dauskardt 1
1 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States

Show Abstract

F2: Cu Dielectric Interface
Session Chairs
Yuzhuo Li
Tuesday PM, April 06, 2010
Room 2010 (Moscone West)

2:30 PM - F2.1
High Quality NH2SAM (Self Assembled Monolayer) Diffusion Barrier for Advanced Copper Interconnects.

Aranzazu Maestre Caro 1 2 , Guido Maes 2 , Gustaaf Borghs 1 , Silvia Armini 1 , Youssef Travaly 1
1 , IMEC, Leuven Belgium, 2 Department of Chemistry, KULeuven, Leuven Belgium

Show Abstract

2:45 PM - F2.2
Fluoroalkyl Organosilane Nanolayers for Inibiting Copper Diffusion into Silica.

Saurabh Garg 1 , Ranganath Teki 1 , Binay Singh 1 , Michael Lane 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemistry, Emory and Henry College, Emory, Virginia, United States

Show Abstract

3:00 PM - F2.3
Fabrication of Organic Thin Films for Copper Barrier Layers Using Molecular Layer Deposition.

Paul Loscutoff 1 , Scott Clendenning 2 , Stacey Bent 1
1 Chemical Engineering, Stanford University, Stanford, California, United States, 2 , Intel Corporation, Hillsboro, Oregon, United States

Show Abstract

3:15 PM - F2.4
Thermal Conductance Enhancement at a Molecularly-modified Metal-dielectric Interface.

Peter O'Brien 1 , Jianxiun Liu 2 , Ranganath Teki 1 , Pawel Keblinski 1 , Theo Borca-Tasciuc 3 , Masashi Yamaguchi 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States, 3 Mechanical, Aeronautical and Nuclear Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States

Show Abstract

3:30 PM - F2.5
Delamination Nanomechanics at a Molecularly-tailored Heterointerface.

Ashutosh Jain 1 , Saurabh Garg 1 , Ranganath Teki 1 , Michael Lane 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemistry Department, Emory and Henry College, Emory, Virginia, United States

Show Abstract

3:45 PM - F2.6
Effects of Interface Morphology Corrugation on Fracture Toughness of Molecularly Tailored Copper-silica Interfaces.

Ranganath Teki 1 , Saurabh Garg 1 , Vijayashankar Dandapani 1 , Ashutosh Jain 1 , Michael Lane 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemistry, Emory and Henry College, Emory, Virginia, United States

Show Abstract

4:00 PM - F2: Interface
BREAK

F3: Advances in Metal Thin-Film Deposition
Session Chairs
Hyungjun Kim
Tuesday PM, April 06, 2010
Room 2010 (Moscone West)

4:30 PM - **F3.1
Atomic Layer Deposited-W Nucleation Layer Prepared Using B2H6 and WF6 for Low-resistance CVD-W Bit Line and Gate Processes of Advanced Memory Devices.

Soo-Hyun Kim 1 , Choon-Hwan Kim 2
1 School of Materials Science and Engineering , Yeungnam University, Gyeongsan-si, Gyeongsangbuk-do, Korea (the Republic of), 2 R&D Division, Hynix Semiconductor Inc., Icheon-si Korea (the Republic of)

Show Abstract

5:00 PM - F3.2
Organometallic Chemical Liquid Deposition (OMCLD) of Cu/SiO2 Films for 3D Filling in Microelectronic Applications.

Kilian Piettre 1 2 , Virginie Latour 1 , Olivier Margeat 3 , Vincent Colliere 1 4 , Christine Anceau 2 , Jean Baptiste Quoirin 2 , Bruno Chaudret 1 , Pierre Fau 1 4
1 LCC, CNRS, Toulouse France, 2 , ST microelectronics, Tours France, 3 , Université de la Méditerranée, Marseille France, 4 , Université de Toulouse, Toulouse France

Show Abstract

5:15 PM - F3.3
Application of Ion Scattering Spectroscopy in Combination with Electron Spectroscopy for Ultra Thin Film Stacks of the Sub 32 nm CMOS Technology.

Kornelia Dittmar 1 , Susanne Ohsiek 1 , Hans-Juergen Engelmann 1 , Robert Binder 1 , Martin Trentzsch 1 , Rick Carter 1
1 , Globalfoundries Module One LLC & Co. KG, Dresden Germany

Show Abstract

5:30 PM - F3.4
Selective Chemical Vapor Deposition (CVD) of Manganese Self-aligned Adhesion and Barrier Layers for Cu Interconnections in Microelectronics.

Yeung Au 1 , Youbo Lin 1 , Eugene Beh 1 , Yiqun Liu 1 , Roy Gordon 1
1 Department of Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States

Show Abstract

5:45 PM - F3.5
The Porogen Structure and Loading Effects on Pore Morphology in Porous Low-k SiCxNy Etch-stop PECVD Films.

Hung-En Tu 1 , Jihperng Leu 1
1 , Department of Materials Science and Engineering National Chiao-Tung University, Hsinchu Taiwan

Show Abstract

F4: Poster Session I
Session Chairs
Hyungjun Kim
Hyun Wook Ro
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)

6:00 PM - F4.1
Direct Contact of Al(Ni) Alloys to ITO Layer for TFT-LCDs: Low Contact Resistance and ITO-Al(Ni) Interface Reaction.

Kwanwoo Lee 1 , Dooman Han 1 , Kyunghoon Jeong 1 , Jaegab Lee 1 , Chang-Oh Jeong 2 , Yang Ho Bae 2
1 School of Advanced Materials Engineering, Kookmin University, Seoul, 136-702, Korea (the Republic of), 2 Active Matrix Liquid Crystal Display Division, R&D Team, Samsung Electronics Co., LTD. , Yongin-si, Gyeonggi 449-711, Korea (the Republic of)

Show Abstract

6:00 PM - F4.11
Interfacial Reaction Effect on Electrical Reliability of Au Stud Bump for 3-D Integration.

Young-Bae Park 1 , Myeong-Hyeok Jeong 1 , Jae-Won Kim 1 , Byoung-Joon Kim 2 , Kiwook Lee 3 , Jaedong Kim 3 , Young-Chang Joo 2
1 School of Materials Science and Engineering, Andong National University, Andong Korea (the Republic of), 2 School of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 3 , Amkor Technology Korea Inc., Seoul Korea (the Republic of)

Show Abstract

6:00 PM - F4.12
Inhibitor-enhanced Nucleation Density in Low Temperature CVD.

Shaista Babar 1 , Navneet Kumar 1 , Gregory Girolami 2 , John Abelson 1
1 Materials Science and Engieering, University of Illinois at Urbana Champaign, Urbana, Illinois, United States, 2 Department of Chemistry, University of Illinois at Urbana Champaign, Urbana, Illinois, United States

Show Abstract

6:00 PM - F4.13
Electron Spin Resonance Study of Low-k Dielectrics for Use as Interlayer Dielectrics.

Brad Bittel 1 , Patrick Lenahan 1 , Sean King 2
1 , Penn State University, University Park, Pennsylvania, United States, 2 , Intel Corporation, Hillsboro, Oregon, United States

Show Abstract

6:00 PM - F4.14
Ceramic Hard Mask Materials for Use in FEOL and BEOL Patterning Applications.

Vishwanathan Rangarajan 1 , Ananda Banerji 1 , Pramod Subramonium 1 , Hui-Jung Wu 2 , George Antonelli 1
1 PECVD Business Unit, Novellus Systems, Tualatin, Oregon, United States, 2 Customer Integration Center, Novellus Systems, San Jose, California, United States

Show Abstract

6:00 PM - F4.15
Designing Ultra Low-k Dielectric Materials for Ease of Patterning.

George Antonelli 1 , Gengwei Jiang 1 , Mandyam Sriram 1 , Kaushik Chattopadhyay 2 , Wei Guo 3 , Herbert Sawin 3
1 PECVD Business Unit, Novellus Systems, Tualatin, Oregon, United States, 2 Customer Integration Center, Novellus Systems, San Jose, California, United States, 3 Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States

Show Abstract

6:00 PM - F4.16
Metal-dielectric Interface Toughening by Ceramization of a Molecular Nanolayer.

Saurabh Garg 1 , Ashutosh Jain 1 , Karthik Chinnathambi 1 , Binay Singh 1 , Vincent Smentkowski 2 , Michael Lane 3 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Materials Characterization Lab, General Electric Global Research Center, Niskayuna, New York, United States, 3 Chemistry, Emory and Henry College, Emory, Virginia, United States

Show Abstract

6:00 PM - F4.18
Influence of the Annealing Process of TEOS-SiO2 Substrate on the Formation of Manganese Oxide Layer by Chemical Vapor Deposition.

Nguyen Mai Phuong 1 , Koji Neishi 1 , Seung-Min Chung 1 , Junichi Koike 1
1 Department of Materials Science, Tohoku University, Sendai 980-8579 Japan

Show Abstract

6:00 PM - F4.19
Analysis of Dielectric Constant of a Self-forming Barrier Layer With Cu-Mn Alloys on Low-k Substrates.

Seung-Min Chung 1 , Jun-ichi Kioke 1
1 Material science, Tohoku University, Sendai Japan

Show Abstract

6:00 PM - F4.2
Influence of Cu and Cu Alloy Electrodes on the Electrical Properties and the Microstructures of the Amorphous In-Ga-Zn-O Thin Film Transistor.

Jung-Ryoul Yim 1 , Sung-Yup Jung 1 , Han-Wool Yeon 1 , Young-Chang Joo 1
1 , Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)

Show Abstract

6:00 PM - F4.20
Nanocrystalline Diamond Thin Films for Advanced Packaging Applications.

Nirmal Govindaraju 1 , Peter Kosel 2 , Raj Singh 1
1 Department of Chemical and Materials Engineering, University of Cincinnati, Cincinnati, Ohio, United States, 2 Department of Electrical and Computer Engineering, University of Cincinnati, Cincinnati, Ohio, United States

Show Abstract

6:00 PM - F4.21
Low Temperature Bonding via Copper Nanowires for 3D Integrated Circuits.

Shu Rong Chun 1 2 , Wardhana Sasangka 1 3 , Chee Lip Gan 1 3 , Hui Cai 1 , Chee Mang Ng 2
1 School of Materials Science and Engineering, Nanyang Technological University, Singapore Singapore, 2 , Chartered Semiconductor Manufacturing Ltd., Singapore Singapore, 3 Advanced Materials for Micro- and Nano-Systems, Singapore-MIT Alliance, Singapore Singapore

Show Abstract

6:00 PM - F4.3
Copper-sulfide Passivation Capping for Cu Interconnects.

Uri Cohen 1
1 , UC Consulting, Palo Alto, California, United States

Show Abstract

6:00 PM - F4.4
Formation of Nanocontact Between Nanowire and Metal by Noble Nanoscale Soldering.

Jihye Lee 1 , Joon Kim 2 , Chang-Soo Han 2 , Jeunghee Park 3
1 Department of Nano Manufacturing Technology, Korea Institute of Machinery and Materials, Daejeon Korea (the Republic of), 2 Department of Nano-Mechanics, Korea Institute of Machinery and Materials, Daejeon Korea (the Republic of), 3 Chemistry, Korea University, Jochiwon Korea (the Republic of)

Show Abstract

6:00 PM - F4.5
Evaluation of Sub-micron Scale Local Strength in the LSI Interconnect Structures.

Shoji Kamiya 1 4 , Hisashi Sato 1 4 , Masahiro Nishida 1 4 , Chen Chuantong 1 , Tomoji Nakamura 2 4 , Takashi Suzuki 2 4 , Takeshi Nokuo 3 4 , Tadahiro Nagasawa 3 4
1 , Nagoya Institute of Technology, Nagoya, Aichi, Japan, 4 , JST CREST, Chiyoda-ku, Tokyo, Japan, 2 , Fujitsu Laboratories Limited, Atsugi, Kanagawa, Japan, 3 , JEOL, Akishima, Tokyo, Japan

Show Abstract

6:00 PM - F4.6
Thermoreflectance Imaging of Gold Thin Film Wires and Carbon Nanostructures.

Christopher Cardenas 1 , Christopher Knowles 1 , Patrick Wilhite 1 , Francisco Madriz 1 , Michael Rosshirt 1 , Drazen Fabris 1 , Cary Yang 1
1 Center for Nanostructures, Santa Clara University, Santa Clara, California, United States

Show Abstract

6:00 PM - F4.7
Vacuum-assisted Aerosol Deposition of a Low-dielectric-constant Periodic Mesoporous Organosilica Film.

Wendong Wang 1 2 , Daniel Grozea 2 , Douglas Perovic 2 , Geoffrey Ozin 1
1 Chemistry, University of Toronto, Toronto, Ontario, Canada, 2 Materials Science and Engineering, University of Toronto, Toronto, Ontario, Canada

Show Abstract

6:00 PM - F4.8
Fabrication of Transparent InGaZnO Thin Film Transistors with Al2O3 Dielectrics Deposited by Atomic Layer Deposition.

Chang Ho Woo 1 , Young Yi Kim 1 , Hyung Koun Cho 1
1 School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of)

Show Abstract

6:00 PM - F4.9
Plasma-assisted Contact Shrink for RRAM Application.

Alexey Milenin 1 , Judit Lisoni 1 , Nico Jossart 1 , Malgorzata Jurczak 1 , Herbert Struyf 1 , Werner Boullart 1
1 EtchBEOL, IMEC, Leuven Belgium

Show Abstract

2010-04-07   Show All Abstracts

Symposium Organizers

Alshakim Nelson IBM Almaden Research Center
Azad Naeemi Georgia Institute of Technology
Hyungjun Kim Yonsei University
Hyun Wook Ro National Institute of Standards and Technology
Dorel Toma TELUS Technology Development Center
F5: Low-<i>k</i> Materials
Session Chairs
David Graves
Hyun Wook Ro
Wednesday AM, April 07, 2010
Room 2010 (Moscone West)

9:30 AM - **F5.1
Mechanisms of Plasma Damage to Ultra-low K SiCOH Dielectrics.

David Graves 1
1 Chemial Engineering, UC Berkeley, Berkeley, California, United States

Show Abstract

10:00 AM - F5.2
Mechanical Behavior of Hydrogenated Amorphous Silicon Carbide Dielectrics.

Yusuke Matsuda 1 , Sean King 2 , Jeff Bielefeld 2 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 , Intel Corporation, Hillsboro, Oregon, United States

Show Abstract

10:15 AM - F5.3
Dielectric Recovery of Plasma Damaged Organosilicate Low-k Films by Combing UV and Silylation Treatments.

Huai Huang 1 , Hualiang Shi 1 , Junjing Bao 1 , Paul Ho 1 , Yifeng Zhou 2 , Jeremiah Pender 2 , Michael Armacost 2 , David Kyser 2
1 Microelectronics Research Center, The University of Texas at Austin, Austin, Texas, United States, 2 , Applied Materials, Sunnyvale, California, United States

Show Abstract

10:30 AM - F5.4
Super Low-k (k=2.1) and High-modulus (7 GPa) Interlayer Dielectrics With Controlled Multi-layer SiOCH Structure Formed by Damage-free Neutral-beam-enhanced CVD.

Toru Sasaki 1 , Shigeo Yasuhara 1 , Tsuromu Shimayama 2 , Kunitoshi Tajima 2 , Hisashi Yano 2 , Shingo Kadomura 2 , Masaki Yoshimaru 2 , Noriaki Matsunaga 2 , Seiji Samukawa 1
1 Institute of Fluid Science, Tohoku University, Sendai, Miyagi, Japan, 2 , Semiconductor Technology Academic Research Center, Yokoyama, Kanagawa, Japan

Show Abstract

10:45 AM - F5.5
The Effect of UV Treatment on Mechanical and Dielectrical Properties of Ultra-low Dielectric Materials.

Bo-Ra Shin 1 , Kyu-Yoon Choi 1 , Hee-Woo Rhee 1
1 Chemical & Biomolecular Engineering, Sogang University, Seoul Korea (the Republic of)

Show Abstract

11:00 AM - *
Break

11:30 AM - **F5.6
Scaling of Low-k Dielectrics: A Bumpy Road.

Mikhail Baklanov 1 , Larry Zhao 2 , Bart Vereecke 1
1 , IMEC, Leuven Belgium, 2 , INTEL Corporation, Leuven Belgium

Show Abstract

12:00 PM - F5.7
Molecular Dynamics Study of Amorphous Carbon Growth by Glancing Angle Deposition.

Minwoong Joe 1 , Kwang-Ryeol Lee 1
1 Computational Science Center, Korea Institue of Science and Technology, Seoul Korea (the Republic of)

Show Abstract

12:15 PM - F5.8
Stiffening Mechanism in Methane-bridged Organosilicate Glasses: A Molecular Dynamics Study.

Han Li 1 , Jan Knaup 2 , Efthimios Kaxiras 1 2 3 , Joost Vlassak 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 Department of Physics, Harvard University, Cambridge, Massachusetts, United States, 3 , Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland

Show Abstract

12:30 PM - F5.9
Barrier Metal Ions Drift into Porous Low-k Dielectrics Under Bias Temperature Stress.

Ming He 1 , Ya Ou 1 , Pei I Wang 1 , Lakshmanan Vanamurthy 2 , Hassaram Bakhru 2 , Toh Ming Lu 1
1 Center of Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 College of Nanoscale Science and Engineering, State University of New York at Albany, Albany, New York, United States

Show Abstract

12:45 PM - F5.10
Impact of Restoration Treatments Studied by Dielectric Spectroscopy Correlate With Physico-chemical Analysis.

Christelle Dubois 1 , Alain Sylvestre 1
1 , CNRS, Grenoble France

Show Abstract

F6: Novel Low-<i>k</i> Materials
Session Chairs
Maria Peterson
Wednesday PM, April 07, 2010
Room 2010 (Moscone West)

2:30 PM - **F6.1
Molecularly Reinforced Sol-gel Glasses: Preparation, Characterization and Integration Studies.

Willi Volksen 1 , Geraud Dubois 1 , Teddie Magbitang 1 , Victor Lee 1 , Robert Miller 1 , James Doyle 2 , Nicholas Fuller 2 , Sebastion Engelmann 2 , Maxime Darnon 2 , Michael Lofaro 2 , Stephan Cohen 2 , Sampath Purushothaman 2 , Hisashi Nakagawa 3 , Youhei Nobe 3 , Terukazu Kokubo 3
1 , IBM Almaden Research Center, San Jose, California, United States, 2 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States, 3 , JSR Tsukuba Research Laboratories, Tsukuba, Ibaraki, Japan

Show Abstract

3:00 PM - F6.2
Silsesquioxane-based Photopatternable Porous Low-k Dielectric Materials.

Jitendra Rathore 1 , Blake Davis 1 , Phillip Brock 1 , Ratnam Sooriyakumaran 1 , Robert Miller 1 , Qinghuang Lin 2 , Nelson Alshakim 1
1 Advanced Organic Materials Div, IBM Almaden Research Center, San Jose, California, United States, 2 , IBM Watson Research Center, Yorktown Heights, New York, United States

Show Abstract

3:15 PM - F6.3
Potential of the Ultra-thin Layer Fabricated by Wet-process as a Pore-seal for Porous Low-k Films.

Shoko Ono 1 , Kazuo Kohmura 1 , Hirofumi Tanaka 1 , Kentaro Nakayama 1 , Akifumi Kagayama 1 , Toshihiko Tsuchiya 2 , Makoto Nakaura 2 , Osamu Matsuoka 1 , Toshihiko Takaki 1 , Kou Maekawa 1
1 R&D center, Mitsui Chemicals, Inc., Sodegaura, Chiba Japan, 2 Analysis Research Lab. , Mitsui Chemical Analysis & Consulting Service Inc. , Sodegaura, Chiba Japan

Show Abstract

3:30 PM - F6.4
Molecular Modeling and Design of Low-k Hybrid Glasses.

Mark Oliver 1 , Geraud Dubois 2 , Reinhold Dauskardt 1
1 , Stanford University, Stanford, California, United States, 2 , IBM Almaden Research Center, San Jose, California, United States

Show Abstract

3:45 PM - F6.5
Structural and Property Characterization of Four Periodic Mesoporous Organosilica (PMO) Thin Films for Applications as Low-dielectric-constant Materials.

Wendong Wang 1 2 , Daniel Grozea 2 , Douglas Perovic 2 , Geoffrey Ozin 1
1 Chemistry, University of Toronto, Toronto, Ontario, Canada, 2 Materials Science and Engineering, University of Toronto, Toronto, Ontario, Canada

Show Abstract

4:00 PM - F6: Novel low k
BREAK

F7: Novel Patterning Techniques for Low <i>k</i>
Session Chairs
Alshakim Nelson
Wednesday PM, April 07, 2010
Room 2010 (Moscone West)

4:30 PM - **F7.1
The Direct Patterning of Organosilicate Interconnect Materials by Nanoimprint Lithography.

Christopher Soles 1 , Hyun Wook Ro 1
1 Polymers Division, NIST, Gaithersburg, Maryland, United States

Show Abstract

5:00 PM - **F7.2
Novel Solutions for Interconnect Challenges: Directly Patterned ULKs Using Existing Resist Platforms and Sacrificial Adhesion Layers for Single Step Cu Metallization.

James Watkins 1
1 Polymer Science and Engineering, University of Massachusetts, Amherst, Massachusetts, United States

Show Abstract

5:30 PM - F7.3
Multi-level Integration of Photo-patternable Low-k Material into Advanced Cu BEOL.

Qinghuang Lin 1 , Shyng-Tsong Chen 2 , Alshakim Nelson 3 , P. Brock 3 , S. Cohen 1 , B. Davis 3 , N. Fuller 1 , R. Kaplan 4 , R. Kwong 4 , E. Liniger 1 , D. Neumayer 1 , J. Patel 1 , H. Shobha 2 , R. Sooriyakumaran 3 , S. Purushothaman 1 , T. Spooner 2 , R. Miller 3 , R. Allen 3 , R. Wisnieff 1
1 , IBM T.J. Watson Research Center, Yorktown Heights , New York, United States, 2 , IBM Systems and Technology Group, Albany, New York, United States, 3 , IBM Almaden Research Center, San Jose, California, United States, 4 , IBM Systems and Technology Group, Hopewell Junction, New York, United States

Show Abstract

5:45 PM - F7.4
Patterning Capabilities of 40-nm Contact Holes at 80-nm Pitch: EUV vs. Line/Space Double Exposure Immersion Lithography.

Frederic Lazzarino 1 , Vincent Truffert 1 , Joost Bekaert 1 , Jean-Francois de Marneffe 1 , Steven Demuynck 1 , Mieke Goethals 1 , Herbert Struyf 1
1 , IMEC, Leuven Belgium

Show Abstract

F8: Poster Session II
Session Chairs
Azad Naeemi
Alshakim Nelson
Dorel Toma
Thursday AM, April 08, 2010
Salon Level (Marriott)

9:00 PM - F8.1
Investigation of Joule Heating Effect in Various Stages of Electromigration in Flip-chip Solder Joints by Infrared Microscopy.

Hsiang Yao Hsiao 1 , Chih Chen 1
1 , Department of Materials Science and Engineering, National Chiao Tung University, Taiwan Taiwan

Show Abstract

9:00 PM - F8.10
Characterization of in-situ Sheet Resistance and Work Function for Ultra-thin Metal Films.

Na-Hyun Kwon 1 , Kijung Park 1 , Bin Hwang 1 , Kwun Nam Hui 1 , Young-Rae Cho 1
1 School of Materials Science and Engineering, Pusan National University, College of Engineering, Pusan, Geumjeong-Gu, Korea (the Republic of)

Show Abstract

9:00 PM - F8.11
Flexible Anisotropic Pressure Sensor and Nanogenerator Based on PVDF and P(VDF-TrFE) Nanofiber Webs Prepared Through Electrospinning.

Dipankar Mandal 1 , Sun Yoon 1 , Kap Jin Kim 1
1 Advanced Polymer and Fiber Materials, Kyung Hee University, Yongin-si, Gyeonggi-do, Korea (the Republic of)

Show Abstract

9:00 PM - F8.12
Asymmetric Texture Evolution of the Gold Wire and its Effect on Leaning Problem.

Seoung-Bum Son 1 , Suk Hoon Kang 1 , Do Hyun Kim 1 , Jung Han Kim 1 , Hyunchul Roh 1 , Jong Soo Cho 1 2 , Jeong-Tak Moon 2 , Hee-Suk Chung 1 , Kyu Hwan Oh 1
1 , Seoul National Univ., Seoul Korea (the Republic of), 2 , MK electron, Yongin-Si, Gyeong gi-do Korea (the Republic of)

Show Abstract

9:00 PM - F8.13
Direct Observation of Emission of Partial Dislocations From Incoherent Twin Boundaries in Copper.

Hsin-Ping Chen 1 , Wen-Wei Wu 3 , Chien-Neng Liao 2 , Lih-Juann Chen 2 , King-Ning Tu 1
1 Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California, United States, 3 Materials Science and Engineering, National Chiao Tung University, Hsinchu Taiwan, 2 Materials Science and Engineering, National Tsing Hua University, Hsinchu Taiwan

Show Abstract

9:00 PM - F8.14
Towards the Understanding of Resistive Contrast Imaging in in-situ Dielectric Breakdown Studies Using a Nanoprober Setup.

Konstantina Lambrinou 1 , Thomas Hantschel 1 , Kai Arstila 1 , Stephan Kleindiek 2 , Andreas Rummel 2 , Zsolt Tokei 1 , Kristof Croes 1 , Marianna Pantouvaki 1 , Piotr Czarnecki 1 , Ingrid De Wolf 1 4 , Wilfried Vandervorst 1 3
1 , IMEC, Leuven Belgium, 2 , Kleindiek Nanotechnik GmbH, Reutlingen Germany, 4 Dept. Metallurgy & Materials Engineering, KU Leuven, Leuven Belgium, 3 IKS-Dept. Physics, KU Leuven, Leuven Belgium

Show Abstract

9:00 PM - F8.15
Remote Plasma Processes for Cleaning ILD and CMP Cu Surfaces.

Xin Liu 1 , Fu Tang 1 , Sean King 2 , Robert Nemanich 1
1 Department of Physics, Arizona State University, Tempe, Arizona, United States, 2 Portland Technology Development, Intel Corporation, Hillsboro, Oregon, United States

Show Abstract

9:00 PM - F8.16
Room Temperature 1.6 μm Photoluminescence and Electroluminescence From in-situ Doped n-type epi-Ge on Si.

Szu-Lin Cheng 1 , Jesse Lu 2 , Gary Shambat 2 , Hyun-Yong Yu 2 , Krishna Saraswat 2 , Jelena Vuckovic 2 , Yoshio Nishi 2 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Electrical Engineering, Stanford University, Stanford, California, United States

Show Abstract

9:00 PM - F8.17
A Study of Organic Thin Film Transistors Based on Printing Methods.

Jung-Min Kim 1 , Dong-Hoon Lee 1 , Yong-Sang Kim 1 2
1 Nano Science & Engineering, Myongji University, Yongin, Gyeonggi-Do, Korea (the Republic of), 2 Electrical Engineering, Myongji University, Yongin, Gyeonggi-Do, Korea (the Republic of)

Show Abstract

9:00 PM - F8.18
Fabrication Scheme of a High Resolution and High Aspect Ratio UV-Nanoimprint Mold.

Kipil Lim 1 2 , Jung-Sub Wi 1 , Sung-Wook Nam 1 , Soo-Yeon Park 3 , Jae-Jong Lee 3 , Ki-Bum Kim 1
1 Material Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Nano-Materials Research Center, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 3 , Korea Institute of Machinery and Materials, Daejeon Korea (the Republic of)

Show Abstract

9:00 PM - F8.19
Novel Test Methods for Adhesion and Micro-abrasion of Conductive Coatings.

Yong-Nam Kim 1 , Jun-Kwang Song 1 , Tae-Min Noh 2 , Hee-Soo Lee 2
1 Material testing center, Korea Testing Laboratory, Seoul Korea (the Republic of), 2 School of Materials Science & Engineering, Pusan National University, Busan Korea (the Republic of)

Show Abstract

9:00 PM - F8.2
Direct Measurement of Hot-spot Temperature in Flip-chip Solder Joints With Cu Columns Under Current Stressing using Infrared Microscopy.

You Chun Liang 1 , Chih Chen 1
1 Department of Materials Science and Engineering, National Chiao Tung University, Hsin-Chu Taiwan

Show Abstract

9:00 PM - F8.20
Tracking Grains Behaviour During in-situ Electromigration Within 100nm Copper Lines by Synchrotron X-ray Diffraction.

Pierre Bleuet 1 , Patrice Gergaud 3 , Patrick Lamontagne 2 , Lucile Arnaud 3 , Jean-Sebastien Micha 1 , Xavier Biquard 1 , Olivier Ulrich 1 , Francois Rieutord 1
1 , CEA, INAC, Grenoble France, 3 , CEA, LETI, MINATEC, Grenoble France, 2 , ST Microelectronics, Crolles France

Show Abstract

9:00 PM - F8.21
Ex-situ TSV Characterization by Synchrotron X-ray Nanoradiography.

Pierre Bleuet 1 , Assous Myriam 2 , Peter Cloetens 3 , Patrick Leduc 2 , Patrice Gergaud 2 , Aurelie Thuaire 2 , Remi Tucoulou 3
1 , CEA, INAC, Grenoble France, 2 , CEA, LETI, MINATEC, Grenoble France, 3 , European Synchrotron Radiation Facility, Grenoble France

Show Abstract

9:00 PM - F8.22
Micromechanics and Damage Processes in Complex Multi-Layer Die Structures.

Alexander Hsing 1 , Andrew Kearney 2 , Reinhold Dauskardt 1
1 Materals Science and Engineering, Stanford University, Stanford, California, United States, 2 , Cisco Systems, San Jose, California, United States

Show Abstract

9:00 PM - F8.3
Stacking Sequence Effect on the Electrical and Optical Properties of Multi-staked Flexible IZO-Ag-IZO Electrodes for Flexible Organic Photovoltaic.

Yong-Seok Park 1 , Han-Ki Kim 1
1 Display Materials Engineering, khung hee university, Su-won Korea (the Republic of)

Show Abstract

9:00 PM - F8.4
Electrical, Optical, Structural Properties of Nb:TiO2 and Nb:Ti2O3/Ag/Nb:Ti2O3 Multilayer Electrode as a New Transparent Conducting Oxide for Optoelectronics.

Jun-Hyuk Park 1 , Han-Ki Kim 1
1 Display Materials Engineering, Kyung Hee University, Yongin-Si Korea (the Republic of)

Show Abstract

9:00 PM - F8.5
The Dominant Ionization Processes in an rf Reactive Magnetron Plasma.

Marites Violanda 1 2 , Henrik Rudolph 1 2 , Frans Habraken 2 , Alberto Palmero 3
1 Nanophotonics Section, Debye Institute for NanoMaterials Science, Department of Physics and Astronomy, Utrecht University, Utrecht Netherlands, 2 Surfaces, Interfaces and Devices, Department of Physics and Astronomy, Faculty of Science, Utrecht University, Utrecht Netherlands, 3 Instituto de Ciencia de Materiales de Sevilla, Universidad de Sevilla, Sevilla Spain

Show Abstract

9:00 PM - F8.6
Wafer Bonding for Backside Illuminated CMOS Image Sensors Fabrication.

Viorel Dragoi 1 , Gerald Mittendorfer 1 , Alexander Filbert 1 , Markus Wimplinger 1
1 , EV Group, ST. Florian/Inn Austria

Show Abstract

9:00 PM - F8.7
Mechanical and Electrical Properties of Electroplated Copper Thin Films Used for Thin Film Interconnection.

Murata Naokazu 1 , Miura Hideo 1 , Suzuki Ken 1 , Tamakawa Kinji 1
1 Fracture and Reliability Research Institute, Tohoku University, Semdai, Miyagi, Japan

Show Abstract

9:00 PM - F8.8
Fabrication and Electrical Properties of PEDOT Coated PVDF Nanowebs.

Sun Yoon 1 , Dipankar Mandal 1 , Kap Jin Kim 1
1 Advanced Polymer and Fiber Materials, Kyung Hee University, Yongin-si, Gyeonggi-do, Korea (the Republic of)

Show Abstract

9:00 PM - F8.9
Nanoscale Ruthenium Coatings of MEMS Switches Contacts.

Sergey Karabanov 1 , Andrey Karabanov 2 , Dmitriy Suvorov 1 , Benoit Grappe 3 , Caroline Coutier 3 , Henri Sibuet 4 , Boris Sazhin 1 , Anatoliy Krutilin 1
1 , Ryasan Metal Ceramics Instrumentation Plant JSC, Ryazan Russian Federation, 2 , Solar Energy Ltd., Ryazan Russian Federation, 3 , Schneider Electric Industries , Grenoble France, 4 , CEA-Leti , Grenoble France

Show Abstract

2010-04-08   Show All Abstracts

Symposium Organizers

Alshakim Nelson IBM Almaden Research Center
Azad Naeemi Georgia Institute of Technology
Hyungjun Kim Yonsei University
Hyun Wook Ro National Institute of Standards and Technology
Dorel Toma TELUS Technology Development Center
F9: 3D Integration/TSV/Packaging
Session Chairs
Suzette Pangrle
Thursday AM, April 08, 2010
Room 2010 (Moscone West)

9:45 AM - **F9.1
3D CMOS and MEMS Integration Using Mechanically Flexible Interconnects and Novel Through Silicon Vias.

Muhannad Bakir 1 , Hyung Suk Yang 1
1 , Georgia Tech, Atlanta, Georgia, United States

Show Abstract

10:15 AM - F9.2
Interfacial Delamination of Through Silicon Vias in 3-D Interconnects.

Suk Kyu Ryu 1 , Kuan Lu 2 , Qiu Zhao 2 , Xuefeng Zhang 2 , Jay Im 2 , Paul Ho 2 , Rui Huang 1
1 Department of Aerospace Engineering and Engineering Mechanics, University of Texas at Austin, Austin, Texas, United States, 2 Microelectronics Research Center, University of Texas at Austin, Austin, Texas, United States

Show Abstract

10:30 AM - F9.3
Carbon Rich a-SiC:H as Dielectric Barrier for Interconnections and TSV Insulation.

Cedric Charles-Alfred 2 , Guillaume Gerbaud 3 , Jean-Paul Barnes 1 , Agnes Granier 4 , Vincent Jousseaume 1
2 , STMicroelectronics, Grenoble France, 3 , CEA-INAC, Grenoble France, 1 , CEA-LETI-MINATEC, Grenoble France, 4 , IMN, Nantes France

Show Abstract

10:45 AM - F9.4
Fluxless Bonding Using Vacuum Ultraviolet and Formic Acid for 3D Interconnects.

Katsuyuki Sakuma 1 2 , Naoko Unami 1 , Shuichi Shoji 1 , Jun Mizuno 1
1 , Waseda University, Tokyo Japan, 2 , IBM Research - Tokyo, Kanagawa Japan

Show Abstract

11:00 AM - *
Break

11:30 AM - **F9.5
Impact of 3D Integration on CMOS Transistors.

Patrick Leduc 1 , Maxime Rousseau 2 , Francois De Crecy 1 , Myriam Assous 1 , Aurelie Thuaire 1 , Barbara Charlet 1 , Alexis Farcy 2 , Lea Di Cioccio 1 , David Bouchu 1 , David Henry 1
1 , CEA-Leti, Grenoble France, 2 , STMicroelectronics, Crolles France

Show Abstract

12:00 PM - F9.6
An Optimized 300mm BCB Wafer Bonding Process for 3D Integration.

Pratibha Singh 1 2 , John Hudnall 2 , Jamal Qureshi 3 2 , Vimal Kumar Kamineni 3 , Chris Taylor 4 2 , Andy Rudack 2 , Alain Diebold 3 , Sitaram Arkalgud 2
1 , GLOBALFOUNDRIES Inc., Albany, New York, United States, 2 , SEMATECH, Albany, New York, United States, 3 , College of Nanoscale Science and Engineering, SUNY, Albany, New York, United States, 4 , Hewlett-Packard Company, Corvallis, Oregon, United States

Show Abstract

12:15 PM - F9.7
A Three Dimensional Self-folding Package (SFP) for Electronics.

Jeong-Hyun Cho 1 , Steve Hu 1 , David Gracias 1
1 Department of Chemical and Biomolecular Engineering, Johns Hopkins University, Baltimore, Maryland, United States

Show Abstract

12:30 PM - F9.8
Material, Process and Geometry Effects on Through-Silicon via Reliability and Isolation.

Aditya Karmarkar 1 , Xiaopeng Xu 2 , Sesh Ramaswami 3 , John Dukovic 3
1 , Synopsys (India) Private Limited, Hyderabad, Andhra Pradesh, India, 2 , Synopsys, Inc., Mountain View, California, United States, 3 , Applied Materials, Inc., Santa Clara, California, United States

Show Abstract

12:45 PM - F9.9
Investigation of Processing and Cure Parameters on the Electrical and Reliability Properties of Dispensable 3D Electrical Interconnects.

Suzette Pangrle 1 , K. Holcomb 2 , G. Villavicencio 1 , J. Leal 1 , S. McGrath 1 , S. McElrea 1 , M. Matthews 2 , J. Cabradilla 1 , B. Hankes 1 , J. Leff 1 , D. Melcher 1 , K. Barrie 1 , J. Bray 1 , R. Co 1 , M. Robinson 1 , S. Kaul 1
1 , Vertical Circuits Inc, Scotts Valley, California, United States, 2 , Ormet Circuits Inc, San Diego, California, United States

Show Abstract

F10: Emerging Interconnect Technologies
Session Chairs
Azad Naeemi
Thursday PM, April 08, 2010
Room 2010 (Moscone West)

2:30 PM - **F10.1
Deterministic Assembly of Functionalized Nanowire Devices for Ultracompact Multiplexed Biosensor Chips.

Theresa Mayer 1 3 , Thomas Morrow 3 , Jaekyun Kim 1 , Wenchong Hu 1 , Christine Keating 3
1 Electrical Engineering, Penn State University, University Park, Pennsylvania, United States, 3 Materials Science and Engineering, Penn State University, University Park, Pennsylvania, United States, 3 Chemistry, Penn State University, University Park, Pennsylvania, United States

Show Abstract

3:00 PM - F10.2
Selective Growth of Ge Quantum Wells on Si: Towards a Compact Monolithic Optical Modulator on SOI Waveguide Platform for Advanced Optical Interconnect Systems.

Shen Ren 1 , Yiwen Rong 1 , Theodore Kamins 1 , James Harris 1 , David Miller 1
1 Electrical Engineering, Stanford University, Stanford, California, United States

Show Abstract

3:15 PM - F10.3
Reliability Investigation of Carbon Nanotube-Electrode Interfaces for Interconnect and Via Applications.

Mark Strus 1 , Ann Chiaramanti-Debay 1 , Robert Keller 1
1 Materials Relability, National Institute of Standards and Technology, Boulder, CO, Colorado, United States

Show Abstract

3:30 PM - F10.4
Multilayer Graphene System: Key Reliability Limits for On-chip Interconnect Applications.

Tianhua Yu 1 , Eun-Kyu Lee 1 , Benjamin Briggs 1 , Bhaskar Nagabhirava 1 , Bin Yu 1
1 College of nanoscale science and engineering, University at Albany, State University of New York, Albany, New York, United States

Show Abstract

3:45 PM - F10.5
Nanometer Metrology of Periodic Structures With Ultrafast Optoacoustics.

Thomas Grimsley 1 , G. Andy Antonelli 1 , Humphrey Maris 1 , Arto Nurmikko 1 2 , Fan Yang 1
1 Physics, Brown University, Providence , Rhode Island, United States, 2 Division of Engineering, Brown University, Providence, Rhode Island, United States

Show Abstract