Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

Spring 2009 Logo2009 MRS Spring Meeting & Exhibit



April 13-17, 2009
| San Francisco
Meeting Chairs: Paul R. Besser, Peter Fratzl, Nicola Spaldin, Terry M. Tritt

Symposium E : Science and Technology of Chemical Mechanical Planarization (CMP)

2009-04-15   Show All Abstracts

Symposium Organizers

C. Fred Higgs Carnegie Mellon University
Ashok Kumar University of South Florida
Subramanian Balakumar National Center for Nanosciences and Nanotechnology
Chad S. Korach State University of New York-Stony Brook
E7: CMP Process Simulation, Monitoring, and Experimentation
Session Chairs
Ara Philipossian
Wednesday PM, April 15, 2009
Room 2005 (Moscone West)

4:00 PM - E7.1
Models for Prediction of Correlations Between Properties of CMP Molded Pads and Polishing: Optimization of Process Quality and Pad Selection.

Alex Tregub 1 , Thomas Bramblett 1 , Paul Fischer 1 , Hebrert Barnett 1 , Gwang-soo Kim 1 , Karson Knutson 1 , Lei Jiang 1 , Jessica Xu 1
1 , Intel, Santa Clara, California, United States

Show Abstract

4:15 PM - **E7.2
Real-Time Shear Force and Down Force Measurement and Analysis in CMP.

Ara Philipossian 1 2 , Yun Zhuang 1 2 , Yasa Sampurno 1 2
1 , University of Arizona, Tucson, Arizona, United States, 2 , Araca, Inc., Tucson, Arizona, United States

Show Abstract

4:45 PM - E7.3
Surface and Lateral Deformations Observed from Nanoscale Scratch Testing of Cu/low-k Dielectric Line Patterns.

Joo Hoon Choi 1 , Chad Korach 1
1 Department of Mechanical Engineering, SUNY-Stony Brook, Stony Brook, New York, United States

Show Abstract

5:00 PM - **E7.4
Friction and Removal Rate Studies Using Diluted Ceria and Colloidal Silica Abrasive Slurries

Ahmed Busnaina 1 , Nam-Goo Cha 1 2 , Jingoo Park 2 1
1 NSF Center for Microcontamination Control, Northeastern University, Boston, Massachusetts, United States, 2 Department oif Materials Engineering, Hanyang University, Ansan Korea (the Republic of)

Show Abstract

2009-04-16   Show All Abstracts

Symposium Organizers

C. Fred Higgs Carnegie Mellon University
Ashok Kumar University of South Florida
Subramanian Balakumar National Center for Nanosciences and Nanotechnology
Chad S. Korach State University of New York-Stony Brook
E9: Alternative Planarization Techniques and CMP in Emerging Technologies
Session Chairs
C. Fred Higgs III
Thursday AM, April 16, 2009
Room 2005 (Moscone West)

9:00 AM - E9.1
Reverse Selectivity: Selective Removal of Silicon Nitride at a High Rate Over Silicon Dioxide.

Veera Pradeepa Dandu 1 , Suryadevara Babu 1
1 Department of Chemical Engineering & Center for Advanced Materials Processing, Clarkson University, Potsdam, New York, United States

Show Abstract

9:15 AM - E9.2
Novel CMP technology for Ultra Large 450 mm Wafers.

Abhudaya Mishra 2 , Rajiv Singh 1 , Deepika Singh 2 , T. Jayaraman 2
2 , Sinmat Inc., Gainesville, Florida, United States, 1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States

Show Abstract

9:30 AM - E9.3
An Alternative Approach to Planarization Using the Electrokinetic Phenomenon

Cheng Seng Leo 1 , David Butler 1 2 , Sum Huan, Gary Ng 2 , Chun Yang 1 , Stephen Danyluk 3
1 School of Mechanical & Aerospace Engineering, Nanyang Technological University, Singapore Singapore, 2 , Singapore Institute of Manufacturing Technology, Singapore Singapore, 3 George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States

Show Abstract

E10: CMP in Memory and Data Storage Technologies
Session Chairs
David Lee Butler
Thursday PM, April 16, 2009
Room 2005 (Moscone West)

9:45 AM - **E10.1
Issues and Challenges of Chemical Mechanical Polishing for Nano-scale Memory Manufacturing

Choonkun Ryu 1 , Jonghan Shin 1 , Hyungsoon Park 1 , Nohjung Kwak 1 , Sungki Park 1
1 R&D Division, Hynix Semiconductor Inc., Icheon-si Korea (the Republic of)

Show Abstract

10:15 AM - **E10.2
Chemical Mechanical Planarization in Disk Drive Head and Media Fabrication.

Jay Jayashankar 1
1 , Seagate Technology, Pittsburgh, Pennsylvania, United States

Show Abstract

10:45 AM - E10.3
A Stochastic Analysis of the Lapping Process of Magnetic Recording Heads.

Huaming Xu 1 , Kyriakos Komvopoulos 1
1 Mechanical Engineering, University of California, Berkeley, California, United States

Show Abstract

11:00 AM - E10
BREAK

E11: Challenges in CMP for Next-Generation 45NM and Beyond
Session Chairs
Subramanian Balakumar
Thursday PM, April 16, 2009
Room 2005 (Moscone West)

11:30 AM - E11.1
Quantitative Roadmap for Optimizing CMP of Ultralow-k Dielectrics.

Taek-Soo Kim 1 , Tomohisa Konno 2 , Tatsuya Yamanaka 2 , Reinhold Dauskardt 1
1 , Stanford University, Stanford, California, United States, 2 , JSR Micro, Inc., Sunnyvale, California, United States

Show Abstract

E12: Tool/Process Development such as eCMP and Low-shear CMP
Session Chairs
Thursday PM, April 16, 2009
Room 2005 (Moscone West)

2:30 PM - **E12.1
Damageless CMP Process for the Next Generation Cu Interconnects.

Seiichi Kondo 1
1 , Semiconductor Leading Edge Technologies, Inc. (Selete), Tsukuba, Ibaraki, Japan

Show Abstract

3:00 PM - E12.2
Optimization of Material Removal Efficiency in Low Pressure CMP

Sinan Muftu 1 , Dincer Bozkaya 1
1 Department of Mechanical Engineering, Northeastern University, Boston, Massachusetts, United States

Show Abstract

3:15 PM - E12.3
Role of Phosphoric Acid in Copper Electrochemical Mechanical Planarization Slurries.

Serdar Aksu 1
1 Research and Development, SoloPower, Inc., San Jose, California, United States

Show Abstract

3:30 PM - E12.4
Fluid System Component Solutions for Advanced CMP Slurry Delivery.

John Baxter 1
1 , Swagelok Semiconductor Services Company, Santa Clara, California, United States

Show Abstract

3:45 PM - E12
BREAK

E13: Advanced CMP Process Control Techniques
Session Chairs
Sinan Muftu
Thursday PM, April 16, 2009
Room 2005 (Moscone West)

4:45 PM - **E13.2
CMP for High Mobility Strained Si/Ge Channels.

Kentarou Sawano 1
1 , Musashi Institute of Technology, Tokyo Japan

Show Abstract

5:15 PM - E13.3
Novel End-point Detection Method by Monitoring Shear Force Oscillation Frequency for Barrier Metal Polishing in Advanced LSI

Xun Gu 1 , Takenao Nemoto 2 , Ara Philipossian 3 4 , Jiang Cheng 4 , Yasa Adi Sampurno 3 4 , Yun Zhuang 3 4 , Akinobu Teramoto 2 , Takashi Ito 1 , Tadahiro Ohmi 2
1 Graduate school of engineering, Tohoku University, Sendai, Miyagi, Japan, 2 New Industry Creation Hatchery Center, Tohoku University, Seidai, Miyagi, Japan, 3 , University of Arizona, Tucson, Arizona, United States, 4 , Araca,Inc., Tucson, Arizona, United States

Show Abstract

5:30 PM - E13.4
Surface Morphology Control during Polishing of SiC Substrates.

Purushottam Kumar 1 , Rajiv Singh 1 , Arul Chakkaravarthi Arjunan 2 , Dibakar Das 2 , Deepika Singh 2
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 , Sinmat Inc., Gainesville, Florida, United States

Show Abstract