Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

2006 MRS Spring Meeting Logo2006 MRS Spring Meeting & Exhibit



April 17-21, 2006
| San Francisco
Meeting Chairs: J. Charles Barbour, Paul S. Drzaic, Gregg S. Higashi, Viola Vogel

Symposium B : Silicon Carbide---Materials, Processing, and Devices

2006-04-18   Show All Abstracts

Symposium Organizers

Michael A. Capano Purdue University
Michael Dudley State University of New York-Stony Brook
Tsunenobu Kimoto Kyoto University-Katsura
Adrian R. Powell Cree Inc.
Shaoping Wang Fairfield Crystal Technology
B1: Bulk Growth I
Session Chairs
Adrian Powell
Tuesday PM, April 18, 2006
Room 3004 (Moscone West)

9:15 AM - **B1.1
Recent Progress in the Growth and Polishing of 75mm and 100 mm SiC Substrates for RF and High Power Electronic Applications.

T. Anderson 1 , J. Chen 1 , E. Emorhokpor 1 , A. Gupta 1 , C. Martin 1 , P. Wu 1 , M. Yoganathan 1 , Andrew Souzis 1 , I. Zwieback 1
1 , II-VI Incorporated, Pine Brook, New Jersey, United States

Show Abstract

9:45 AM - B1.2
Effect of Radiation in Solid during SiC Sublimation Growth.

Shin-ichi Nishizawa 1 , Shin-ichi Nakashima 1 , Tomohisa Kato 1
1 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan

Show Abstract

10:00 AM - B1.3
High Carrier Lifetime Bulk-Grown 4H-SiC Substrates for Power Applications.

David Malta 1 , Jason Jenny 1 , Valeri Tsvetkov 1 , Mrinal Das 1 , Don Hobgood 1 , Calvin Carter 1
1 , Cree, Inc., Durham, North Carolina, United States

Show Abstract

10:15 AM - B1.4
Growth and Characterization of Nitrogen Doped 4H SiC Boules by Halide Chemical Vapor Deposition.

Mark Fanton 1 , Alexander Polyakov 2 , Sung Wook Huh 2 , Paul Klein 3 , Marek Skowronski 2 , David Snyder 1 , Brian Weiland 1
1 Electro-Optics Center, Penn State University, Freeport, Pennsylvania, United States, 2 Materials Science & Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 3 , Naval Research Laboratory, Washington, District of Columbia, United States

Show Abstract

10:30 AM - **B1.5
Investigation Of Dislocation Behavior During Bulk Crystal Growth Of SiC.

Noboru Ohtani 1 , M Katsuno 1 , H Tsuge 1 , M Nakabayashi 1 , T Fujimoto 1 , H Yashiro 1 , M Sawamura 1 , T Aigo 1 , T Hoshino 1
1 Advanced Technology Research Laboratories, Nippon Steel Corporation, Chiba Japan

Show Abstract

11:00 AM - B1: Bulk I
BREAK

B2: Epitaxial Growth I
Session Chairs
M. Dudley
Tuesday PM, April 18, 2006
Room 3004 (Moscone West)

11:30 AM - B2.1
High Growth Rate Process in a SiC Horizontal Reactor with the Addition of HCl: Structural and Electrical Characterization.

Francesco La Via 1 , Giuseppa Galvagno 1 , Andrea Firrincieli 1 , Salvatore Di Franco 1 , Andrea Severino 1 , Marco Mauceri 3 , Stefano Leone 3 , Giuseppe Abbondanza 3 , Ferdinando Portuese 3 , Lucia Calcagno 2 , Gaetano Foti 2
1 , CNR-IMM, Catania Italy, 3 , Epitaxial Technology Center, Catania Italy, 2 Physics Department, Catania University, Catania Italy

Show Abstract

11:45 AM - B2.2
Growth Rate, Morphology Control, and Dopant Incorporation in Low-temperature Epitaxial Growth of 4H-SiC Using CH3Cl Growth Precursor.

Yaroslav Koshka 1 , Huang-De Lin 1
1 , Mississippi State University, Mississippi State, Mississippi, United States

Show Abstract

12:00 PM - **B2.3
Growth of Crystalline Silicon Carbide by CVD Using Chlorosilane Gases

Mark Loboda 1 , M. MacMillan 1 , J. Wan 1 , G. Chung 1 , E. Carlson 1 , Y. Makarov 2 , A. Galyukov 2 , M. Molnar 3
1 , Dow Corning Corporation, Midland, Michigan, United States, 2 , Semiconductor Technology Research, Inc., Richmond, Virginia, United States, 3 , Hemlock Semiconductor Corporation, Hemlock, Michigan, United States

Show Abstract

12:30 PM - B2.4
Epitaxial Growth of 4H-SiC with Ge-incorporated 4H-SiC Buffer Layer.

Akinori Seki 1 , Akira Manabe 1 , Yukari Ishikawa 2 , Noriyoshi Shibata 2
1 Higashifuji Technical Center, Toyota Motor Corporation, Susono, Shizuoka, Japan, 2 R & D , Japan Fine Ceramics Center, Nagoya, Aichi, Japan

Show Abstract

12:45 PM - B2.5
HCl Induced 4H-SiC Growth Rate Increases and Morphology Issues in a Vertical Cold Wall Reactor

Chris Thomas 1 , MVS Chandrashekhar 1 , Yuri Makarov 2 , Michael Spencer 1
1 Electrical and Computer Engineering, Cornell University, Ithaca, New York, United States, 2 , Widetronix, Inc, Ithaca, New York, United States

Show Abstract

B3: Dislocations in Epitaxy
Session Chairs
S. Nishizawa
Tuesday PM, April 18, 2006
Room 3004 (Moscone West)

2:30 PM - B3.1
Growth of Low Basal Plane Density SiC Epilayers with Minimized Surface Depressions.

Zehong Zhang 1 , Tangali Sudarshan 1
1 , Univ. of South Carolina, Columbia, South Carolina, United States

Show Abstract

2:45 PM - B3.2
Decrease in the Defect Density of Homoepitaxial Film by Surface Pretreatment of 4H-SiC Substrate.

Yukari Ishikawa 1 , Noriyoshi Shibata 1 , Akinori Seki 2 , Akira Manabe 2
1 R &D , Japan Fine Ceramics Center, Nagoya, Aichi, Japan, 2 Higashifuji Technical Center, Toyota Motor Co., Susono, Shizuoka, Japan

Show Abstract

3:00 PM - B3.3
Non-Destructive Electro- and Photo-Luminescence Imaging of Dislocations in SiC Epitaxy.

Kendrick Liu 1 , Robert Stahlbush 1 , Karl Hobart 1 , Joseph Sumakeris 2 , F. J. Kub 1
1 , Naval Research Laboratory, Washington, District of Columbia, United States, 2 , Cree, Inc, Durham, North Carolina, United States

Show Abstract

3:15 PM - B3.4
Prismatic Faults In 4H-Sic Pin Diodes.

Mark Twigg 1 , Nabil Bassim 1 , Robert Stahlbush 1 , Paul Losee 2 , Canhua Lee 2 , Ishwara Bhat 2 , T. Chow 2
1 Electronics Science and Technology Division, Naval Research Laboratory, Washington, District of Columbia, United States, 2 Department of Electrical, Computer, and Systems Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States

Show Abstract

3:30 PM - B3.5
Electrical and Lifetime Characterization of In-Grown Stacking Faults in 4H-SiC

Joshua Caldwell 1 , Paul Klein 1 , Robert Stahlbush 1 , Orest Glembocki 1 , Karl Hobart 1 , Fritz Kub 1
1 Power Electronics, Naval Research Lab, Washington , District of Columbia, United States

Show Abstract

3:45 PM - **B3.6
Do You Really Expect To Grow Epilayers On That? A Rationale For Growing Epilayers On Roughened Surfaces.

Joseph Sumakeris 1 , Brett Hull 1 , Michael O'Loughlin 1 , S. Ha 2 , Marek Skowronski 2 , John Palmour 1 , Calvin Carter, Jr. 1
1 , Cree, Inc, Durham, North Carolina, United States, 2 , Carnegie Mellon University, Pittsburgh, Pennsylvania, United States

Show Abstract

4:15 PM - B3: Epi Disloc
BREAK

B4: Bulk Growth II
Session Chairs
S. Wang
Tuesday PM, April 18, 2006
Room 3004 (Moscone West)

4:30 PM - B4.1
Electrical Properties of Undoped 4H-SiC Crystals Grown by Halide Chemical Vapor Deposition.

H.J. Chung 1 , S. Nigam 1 , S.W. Huh 1 , A.Y. Polyakov 1 , M. Skowronski 1 , E.R. Glaser 2 , J.A. Freitas,Jr. 2 , M.A. Fanton 3
1 Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 , Naval Research Laboratory, Washington, District of Columbia, United States, 3 , Penn State University Electro-Optics Center, Freeport, Pennsylvania, United States

Show Abstract

4:45 PM - **B4.2
Silicon Carbide Growth: Evaluation and Modeling.

Michel Pons 1 , Peter Wellmann 2 , Schin-Ichi Nishizawa 3 , Elisabeth Blanquet 1 , Jean-Marc Dedulle 1 , Didier Chaussende 1
1 LTPCM, INPGrenoble, Saint Martin D'Heres France, 2 Material Science, University of Erlangen, Erlangen Germany, 3 , AIST, Tsukuba Japan

Show Abstract

5:15 PM - B4.3
Lifetime Killer Defect in Undoped 6H-SiC Bulk Crystals Grown by Halide Chemical Vapor Deposition.

Saurav Nigam 1 , Sung Huh 1 , Hun Chung 1 , Alexander Polyakov 1 , Marek Skowronski 1
1 Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States

Show Abstract

5:30 PM - B4.4
Effects of Annealing on Deep Centers in HCVD-grown n-type 6H-SiC.

S.W. Huh 1 , H.J. Chung 1 , S. Nigam 1 , A. Polyakov 1 , M. Skowronski 1 , E. Glaser 2 , N. Garces 2 , W. Carlos 2
1 MSE, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 , Naval Research Laboratory, Washington, D.C., District of Columbia, United States

Show Abstract

B5: Poster Session: SiC Materials Posters
Session Chairs
J. Sumakeris
Wednesday AM, April 19, 2006
Salons 8-15 (Marriott)

9:00 PM - B5.1
The Formation of Smooth, Defect-free, Stoichiometric Silicon Carbide Films from a Polymeric Precursor.

Michael Pitcher 1 , Patricia Bianconi 2
1 Chemistry, METU, Ankara Turkey, 2 Chemistry, University of Massachusetts at Amherst, Amherst, Massachusetts, United States

Show Abstract

9:00 PM - B5.10
Preparation of Al2O3 Thin Films on SiC by Metal Organic Chemical Vapor Deposition.

Tomohiro Hatayama 1 , Shiro Hino 1 , Shiho Hagiwara 1 , Eisuke Tokumitsu 1
1 , Tokyo Institute of Technology, Yokohama Japan

Show Abstract

9:00 PM - B5.11
High-Resolution X-ray Topography of Dislocations in 4H-SiC Epilayers

Isaho Kamata 1 , Hidekazu Tsuchida 1 , William Vetter 2 , Michael Dudley 2
1 , Central research institute of electric power industry, Yokosuka, Kanagawa, Japan, 2 , State university of New York, Stony Brook, New York, United States

Show Abstract

9:00 PM - B5.12
Perchlorosilanes and Perchlorocarbosilanes as Precursors to Silicon Carbide.

Roman Pavelko 1 , Vladimir Sevastyanov 1 , Yurij Ezhov 1 , Nikolaj Kuznetsov 1
1 , Kurnakov Institute of General and Inorganic Chemistryof Russian Academy of Sciences, Moscow Russian Federation

Show Abstract

9:00 PM - B5.13
Measurement of the Electon-hole Pair Ionization Energy in a 4H SiC Betavoltaic cell.

MVS Chandrashekhar 1 , Christopher Thomas 1 , Michael Spencer 1
1 Electrical Computer Engineering, Cornell University, Ithaca, New York, United States

Show Abstract

9:00 PM - B5.14
Effects of Hydrogen on the Physical Vapor Transport Growth and Properties of Nitrogen Doped 4H SiC

Mark Fanton 1 , Alexander Polyakov 2 , Sung Wook Huh 2 , Marek Skowronski 2 , Randal Cavalero 1 , Rodney Ray 1
1 Electro-Optics Center, Penn State University, Freeport, Pennsylvania, United States, 2 Materials Science & Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States

Show Abstract

9:00 PM - B5.15
Deep Traps Spectra in n-type and p-type 4H-SiC Films Grown by Chlorosilane Epitaxy.

S.W. Huh 1 , S. Nigam 1 , A. Polyakov 1 , M. Skowronski 1 , G. Chung 2 , M. MacMillan 2 , J. Wan 2 , M. Laboda 2
1 MSE, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 , Dow Corning Compound Semiconductor Solutions, Midland, Michigan, United States

Show Abstract

9:00 PM - B5.17
Toward Particulate-Free Thin Films of SiC and TiC by Plasma Discharge Assisted Pulsed Laser Deposition.

Robert Combs 1 , Xiaodong Zhang 1 , Brent Koplitz 1
1 Chemistry, Tulane University, New Orleans, Louisiana, United States

Show Abstract

9:00 PM - B5.18
Passivation of Dangling Bonds at Hexagonal SiC Surfaces.

Gary Pennington 1 , C Ashman 2 , N Goldsman 1 , P Lenahan 3 , A Lelis 4
1 , University of Maryland, College Park, Maryland, United States, 2 , HPTi, Reston, Virginia, United States, 3 , Penn State University, University Park, Pennsylvania, United States, 4 , Army Research Lab, Adelphi, Maryland, United States

Show Abstract

9:00 PM - B5.19
Halide Chemical Vapor Deposition of Thick AlN Films on SiC.

Timothy Bogart 1 , Mark Fanton 1 , Xiaojun Weng 2 , Ed Oslosky 1 , Brian Weiland 1 , Rodney Ray 1 , Adam Dilts 1 , David Snyder 1
1 , Penn State Electro-Optics Center, Freeport, Pennsylvania, United States, 2 Materials Science & Engineering, Pennsylvania State University, University Park, Pennsylvania, United States

Show Abstract

9:00 PM - B5.2
Surface Dynamics in Alloys Growth: Simulation of the Effect of Multi-species Deposition on Unstable Vicinal Surfaces.

Ajmi BHadj-Hamouda 1 , Alberto Pimpinelli 1 , Florin Nita 2 3
1 LASMEA, Universite Blaise Pasca l, 6602 du CNRS, 63177, AUBIERE France, 2 Institute of physical chemistry, IG Murgulescu" of Romanian Academy, Spl. Independentei 202, Bucharest Romania, 3 Dipartimento di Fisica, INFM and IMEM/CNR, Via Dodecaneso 33, Genova, I1614 Italy

Show Abstract

9:00 PM - B5.20
Characterization of SiC Materials and Devices by SIMS

Yupu Li 1 , Yumin Gao 1
1 , Applied Microanalysis Labs, Santa Clara, California, United States

Show Abstract

9:00 PM - B5.21
Defects in 4H-SiC MOSFETs: Processing Dependent Defect Densities

Morgen Dautrich 1 , Patrick Lenahan 1 , Aivars Lelis 2
1 , Penn State University, University Park, Pennsylvania, United States, 2 , Army Research Labs, Adelphi, Maryland, United States

Show Abstract

9:00 PM - B5.22
Dislocation-Related Etch Protrusions Formed On 4H-Sic (000-1) Surface By Molten KOH Etching.

Masahide Gotoh 1 , Takeshi Tawara 1 , Shun-ichi Nakamura 1 , Tae Tamori 1 , Yoshiyuki Kuboki 1 , Yoshiyuki Yonezawa 1 , Masaharu Nishiura 1
1 , Fuji Electric Advanced Technology Co., Ltd., Matsumoto, Nagano, Japan

Show Abstract

9:00 PM - B5.23
PECVD A-Sic:H Encapsulation For Chronically Implanted Neural Recording Devices.

Jui-Mei Hsu 2 , Prashant Tathireddy 1 , Loren Rieth 2 1 , Sascha Kammer 3 , Klaus Peter Koch 3 , A. Richard Normann 4 , Florian Solzbacher 1 2 4
2 Department of Material Science and Engineering, University of Utah, Salt Lake City, Utah, United States, 1 Department of Electrical Engineering, University of Utah, Salt Lake City, Utah, United States, 3 Department of Medical Technology and Neuroprosthetics, Fraunhofer Institute for Biomedical Engineering, St. Ingbert Germany, 4 Department of Bioengineering, University of Utah, Salt Lake City, Utah, United States

Show Abstract

9:00 PM - B5.24
The Formation Mechanism of Carrot Defects in SiC Epifilms.

Xiaoting Jia 1 , Juan Zhou 1 , Jie Bai 1 , Michael Dudley 1
1 Materials Science & Engineering, Stony Brook University, Stony Brook, New York, United States

Show Abstract

9:00 PM - B5.25
Seedless Coalescence of Pendeo-epitaxial 3C SiC grown on High Aspect Ratio Micromachined Si Posts.

MVS Chandrashekhar 1 , Christopher Thomas 1 , Brian Noel 2 , Michael Spencer 1
1 Electrical Computer Engineering, Cornell University, Ithaca, New York, United States, 2 Electrical Computer Engineering, Virginia Commonwealth University, Richmond, Virginia, United States

Show Abstract

9:00 PM - B5.26
Crystal face and C/Si ratio Dependence of Phosphorus Doping by SiC Epitaxial Growth.

Takeshi Tawara 1 , Yuko Ueki 1 , Shun-ichi Nakamura 1 , Masahide Gotoh 1 , Yoshiyuki Yonezawa 1 , Masaharu Nishiura 1
1 , Fujielectric Advanced Technology Co., Nagano Japan

Show Abstract

9:00 PM - B5.27
Growth and Mechanism in Halide Chemical Vapor Deposition of Silicon Carbide.

Yi Chen 1 , Govindhan Dhanaraj 1 , Hui Chen 1 , Hui Zhang 2 , Michael Dudley 1
1 Materials Science and Engineering, Stony Brook University, Stony Brook, New York, United States, 2 Mechanical Engineering, Stony Brook University, Stony Brook, New York, United States

Show Abstract

9:00 PM - B5.28
Development of PECVD SiC for MEMS Using 3MS as the Precursor

Jiangang Du 1 , Neha Singh 1 , Christian Zorman 1
1 Electrical Engineering and Computer Science, Case Western Reserve University, Cleveland, Ohio, United States

Show Abstract

9:00 PM - B5.29
Microwave Photoconductivity Decay Mapping and Investigation of Lifetimes in 4H-SiC Epitaxial Layers

Joshua Caldwell 1 , Aron Pap 2 , Amitesh Shrivastava 3 , Zehong Zhang 3 , Paul Klein 1 , Tibor Pavelka 2 , Tangali Sudarshan 3 , Orest Glembocki 1 , Karl Hobart 1 , Fritz Kub 1
1 Power Electronics, Naval Research Lab, Washington , District of Columbia, United States, 2 Semiconductor Physics Laboratory, Semilab Inc., Budapest Hungary, 3 Electrical Engineering Dept., University of South Carolina, Columbia, South Carolina, United States

Show Abstract

9:00 PM - B5.3
Surface Cleaning and Etching of 4H-SiC(0001) using Atmospheric Pressure Hydrogen Plasma.

Heiji Watanabe 1 , Shigenari Okada 1 , Hiromasa Ohmi 1 , Hiroaki Kakiuchi 1 , Kiyoshi Yasutake 1
1 Department of Precision Science and Technology, Osaka University, Suita, Osaka, Japan

Show Abstract

9:00 PM - B5.5
Spectral Characterization of Persistent Photo Conductance in SiC.

Steven Smith 2 1 , Andrew Evwaraye 2 , William Mitchel 2
2 Materials Directorate, Air Force Research Laboratory, Wright-Patterson Air Force Base, Ohio, United States, 1 , University of Dayton Research Institute, Dayton, Ohio, United States

Show Abstract

9:00 PM - B5.6
Electrical Measurement of the Vanadium Acceptor Level in 4H- and 6H-SiC.

William Mitchel 1 , William Mitchell 1 , H. Smith 1 , G. Landis 1 , Mary Zvanut 2 , Wonwoo Lee 2
1 Materials and Manufacturing Directorate, Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States, 2 Physics Department, University of Alabama at Birmingham, Birmingham, Alabama, United States

Show Abstract

9:00 PM - B5.7
Intrinsic Defects in High Purity Semi-insulating 6H SiC.

D. Savchenko 2 , E. Kalabukhova 2 , S. Lukin 2 , T. Sudarshan 3 , Y. Khlebnikov 4 , William Mitchel 1
2 Institute of Semiconductor Physics, NASU, Kiev Ukraine, 3 Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina, United States, 4 , Intrinsic, Semiconductor Corp., Dulles, Virginia, United States, 1 Materials and Manufacturing Directorate, Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States

Show Abstract

9:00 PM - B5.8
SIMS Analysis of Nitrogen in Silicon Carbide Using Raster Change Technique.

Larry Wang 1 , Byoung-Suk Park 1
1 , Evans Analytical Group, Sunnyvale, California, United States

Show Abstract

9:00 PM - B5.9
Increase Growth Rate by Powder Geometry Design in SiC Sublimation Growth

Xiaolin Wang 1 , Dang Cai 1 , Hui Zhang 1
1 Mechanical Engineering, Stony Brook University, Stony Brook, New York, United States

Show Abstract

2006-04-19   Show All Abstracts

Symposium Organizers

Michael A. Capano Purdue University
Michael Dudley State University of New York-Stony Brook
Tsunenobu Kimoto Kyoto University-Katsura
Adrian R. Powell Cree Inc.
Shaoping Wang Fairfield Crystal Technology
B6: Semi Insulating SiC
Session Chairs
Mark Laboda
Wednesday AM, April 19, 2006
Room 3004 (Moscone West)

9:15 AM - **B6.1
Deep Levels in 4H Silicon Carbide Epilayers Induced by Neutron-Irradiation up to 1016 n/cm2.

Anna Cavallini 1 , Antonio Castaldini 1 , Filippo Fabbri 1 , Paolo Errani 1 , Filippo Nava 1 , Vladimir Cindro 1
1 Department of Physics, University of Bologna, Bologna Italy

Show Abstract

9:45 AM - B6.2
Deep Levels and Compensation in High Purity Semi-Insulating 4H-SiC.

William Mitchel 1 , W. Mitchell 1 , H. Smith 1 , W. Carlos 2 , E. Glaser 2
1 Materials and Manufacturing Directorate, Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States, 2 , Naval Research Laboratory, Washington, District of Columbia, United States

Show Abstract

10:00 AM - **B6.3
Characterization of Semi-insulating SiC.

Nguyen Son 1 , Patrik Carlsson 1 , B Magnusson 1 2 , Erik Janzen 1
1 Dept of Physics, Chemistry and Biology, Linkoeping University, Linkoeping Sweden, 2 , Norstel AB, Linkoeping Sweden

Show Abstract

10:30 AM - B6.4
Deep Levels in As-Grown and Electron-Irradiated P-type 4H-SiC

Katsunori Danno 1 , Tsunenobu Kimoto 1
1 Department of Electronic Science and Engineering, Kyoto University, Kyoto Japan

Show Abstract

10:45 AM - B6.5
A Study of V3+/4+ Level in Semi-insulating 4H and 6H-SiC Using Optical Admittance Spectroscopy and Electron Paramagnetic Resonance.

Wonwoo Lee 1 , Mary Zvanut 1
1 Physics, Univeristy of Alabama at Birmingham, Birmingham, Alabama, United States

Show Abstract

11:00 AM - B6: SI SIC
BREAK

B7: SiC Materials Issues
Session Chairs
B. Mitchel
Wednesday PM, April 19, 2006
Room 3004 (Moscone West)

11:30 AM - **B7.1
Atomic Structure of SiC Surfaces: Hydrogen Etching, Non-Basal-Plane Orientations and Metal Ad-Layers

Ulrich Starke 1
1 , Max-Planck-Institut fuer Festkoerperforschung, Stuttgart Germany

Show Abstract

12:00 PM - **B7.2
Process-Induced Deformations and Stacking Faults in 4H-SiC

Robert Okojie 1 , Xianrong Huang 2 , Michael Dudley 2 , Ming Zhang 3 , Pirouz Pirouz 3
1 Sensors and Electronics Technology Branch, NASA Glenn Research Center, Cleveland, Ohio, United States, 2 Dept. of Mater. Sci. & Eng., SUNY, Stony Brook, New York, United States, 3 Dept. of Mater. Sci. & Eng., CWRU, Cleveland, Ohio, United States

Show Abstract

12:30 PM - B7.3
Diffusion of Boron into 4H SiC from a Borosilicate Glass Source.

MVS Chandrashekhar 1 , Christopher Thomas 1 , Michael Spencer 1
1 Electrical Computer Engineering, Cornell University, Ithaca, New York, United States

Show Abstract

12:45 PM - B7.4
The Role Of Surface Steps On The Glide Of Misfit Dislocations During III-N/4H-Sic Heteroepitaxial Growth.

Nabil Bassim 1 , Mark Twigg 1 , Charles Eddy 1 , Michael Mastro 1 , Philip Neudeck 2 , Andrew Trunek 3 , J. Anthony Powell 4 , Ronald Holm 1 , Richard Henry 1
1 Electronics Science and Technology Division, U.S. Naval Research Laboratory, Washington, SW, District of Columbia, United States, 2 , NASA Glenn Research Center, Cleveland, Ohio, United States, 3 , OAI, NASA Glenn, Cleveland, Ohio, United States, 4 , Sest, Inc., NASA Glenn, Cleveland, Ohio, United States

Show Abstract

B8: Epitaxy of 3C SiC
Session Chairs
T. Kimoto
Wednesday PM, April 19, 2006
Room 3004 (Moscone West)

2:30 PM - **B8.1
Development of a high-growth rate 3C-SiC on Si CVD process.

Meralys Reyes-Negron 1 2 , Yevgeniy Shishkin 1 , Stephen Saddow 1
1 Electrical Engineering Dept., University of South Florida, Tampa, Florida, United States, 2 Chemical Engineering Dept., University of South Florida, Tampa, Florida, United States

Show Abstract

3:00 PM - B8.2
Preparation of Atomically Flat 3C-SiC(001) on Si Surfaces Uusing H2-etching.

Camilla Coletti 1 , Martin Hetzel 2 , Chariya Virojanadara 2 , Ulrich Starke 2 , Stephen Saddow 1
1 Electrical Engineering, University of South Florida, Tampa, Florida, United States, 2 , Max-Planck-Institut fuer Festkoerperforschung, Stuttgart Germany

Show Abstract

3:15 PM - **B8.3
Recent Results From Epitaxial Growth on Step Free 4H-SiC Mesas

Philip Neudeck 1 , Andrew Trunek 2 , David Spry 2 , J. Anthony Powell 3 , Hui Du 4 , Marek Skowronski 4 , Nabil Bassim 5 , Michael Mastro 5 , Mark Twigg 5 , Ronald Holm 5 , Richard Henry 5 , Charles Eddy 5
1 , NASA Glenn Research Center, Cleveland, Ohio, United States, 2 , OAI, NASA Glenn, Cleveland, Ohio, United States, 3 , Sest, Inc., NASA Glenn, Cleveland, Ohio, United States, 4 Dept. of Materials Science and Engineering, Carnegie Mellon University, Pittburgh, Pennsylvania, United States, 5 Electronics Science and Technology Div., Naval Research Laboratory, Washington, District of Columbia, United States

Show Abstract

3:45 PM - B8.4
Process Control During Liquid Phase Epitaxial Growth of 3C-SiC on Si Substrates.

Mark Smith 1 , M Voelskow 2 , R McMahon 1 , W Skorupa 2
1 , Department of Engineering, University of Cambridge, Cambridge United Kingdom, 2 , Forschungszentrum Rossendorf, Dresden Germany

Show Abstract

4:00 PM - B8: 3C SiC
BREAK

B9: Epitaxy II
Session Chairs
S. Saddow
Wednesday PM, April 19, 2006
Room 3004 (Moscone West)

4:30 PM - B9.1
Epitaxial Growth and Characterization of SiC on Different Orientations.

Larry Rowland 1 , Canhua Li 2 , Greg Dunne 1 , Jody Fronheiser 1
1 , GE Global Research, Niskayuna, New York, United States, 2 , Rensselaer Polytechnic Institute, Troy, New York, United States

Show Abstract

4:45 PM - **B9.2
Advances in 4H-SiC Homoepitaxy for Power Devices.

Bernd Thomas 1 , Christian Hecht 1
1 , SiCED Electronics Development GmbH & Co. KG, Erlangen Germany

Show Abstract

5:15 PM - B9.3
High Quality Uniform Thick Epitaxy of 4H-SiC for High Power Device Applications

Jie Zhang 1 , Esteban Romano 1 , Igor Sankin 1 , Janice Mazzola 1 , Carl Hoff 1 , Yaroslav Koshka 2 , Janna Casady 1 , Mike Mazzola 1 , Jeff Casady 1
1 , SemiSouth Laboratories, Inc., Starkville, Mississippi, United States, 2 Electrical and Computer Engineering, Mississippi State University, Starkville, Mississippi, United States

Show Abstract

5:30 PM - B9.4
Multiplication of Basal Plane Dislocation via Interaction with c-Axis Threading Dislocations in 4H-SiC.

Govindhan Dhanaraj 1 , Yi Chen 1 , William Vetter 1 , Hui Chen 1 , Jie Bai 1 , Hui Zhang 2 , Michael Dudley 1
1 Materials Science and Engineering, Stony Brook University, Stony Brook, New York, United States, 2 Mechanical Engineering, Stony Brook University, Stony Brook, New York, United States

Show Abstract

5:45 PM - B9.5
High Quality Homoepitaxy on Micropipe-Free Silicon Carbide (SiC) Substrates for Large Area Devices

Christer Hallin 1 , Yuri Khlebnikov 1 , Peter Muzykov 1 , Igor Khlebnikov 1 , Jan-Olof Svedberg 2 , Andrei Konstantinov 2 , Chris Harris 1 , Cem Basceri 1 , Cengiz Balkas 1
1 , INTRINSIC Semiconductor, Dulles, Virginia, United States, 2 , INTRINSIC Semiconductor AB, Kista Sweden

Show Abstract

B10: Poster Session: SiC Device Posters
Session Chairs
M. Capano
Thursday AM, April 20, 2006
Salons 8-15 (Marriott)

9:00 PM - B10.1
Laser-Patterned Deep Green to Light Yellow Silicon Carbide Light-Emitting Diodes.

Sachin Bet 1 , Nathaniel Quick 2 , Aravinda Kar 1
1 MMAE/CREOL, University of Central Florida, Orlando, Florida, United States, 2 , Applicote Associates, LLC, Sanford, Florida, United States

Show Abstract

9:00 PM - B10.11
Pr-O-N Dielectrics for MIS Stacks on Silicon and Silicon Carbide Surfaces.

Karsten Henkel 1 , Mohamed Torche 1 , Rakesh Sohal 1 , Carola Schwiertz 1 , Ioanna Paloumpa 1 , Dieter Schmeißer 1
1 Angewandte Physik-Sensorik, BTU Cottbus, Cottbus, Brandenburg, Germany

Show Abstract

9:00 PM - B10.12
Comparison of Parameter Extraction Techniques for Schottky Barrier Diode Gas Sensors

Ming Weng 1 , Alton Horsfall 1 , Cezar Dimitriu 1 , Nick Wright 1 , Konstantin Vassilevski 1 , Irina Nikitina 1
1 , University of Newcastle upon Tyne, Newcastle upon Tyne United Kingdom

Show Abstract

9:00 PM - B10.13
Demonstration of Hybrid Silicon-on-Silicon Carbide Wafers and Electrical Test Structures with Improved Thermal Performance.

Steven Whipple 1 , John Torvik 2 , Randolph Treece 3 , Jeffrey Bernacki 3
1 Physics, University of Colorado, Boulder, Colorado, United States, 2 Electrical Engineering, University of Colorado, Boulder, Colorado, United States, 3 , Astralux, Inc., Boulder, Colorado, United States

Show Abstract

9:00 PM - B10.14
High Power SiC MESFETs

Christopher Harris 1 , Andrei Konstantinov 2 , Jan-Olov Svedberg 2 , Ian Ray 2 , Christer Hallin 1 , Bengt-Olof Larsson 2
1 , Intrinsic Semiconductor, Dulles, Virginia, United States, 2 , Intrinsic Semiconductor AB, Kista Sweden

Show Abstract

9:00 PM - B10.15
Control of Trenching and Surface Roughness in Deep Reactive Ion Etched 4H and 6H SiC.

Glenn Beheim 1 , Laura Evans 1
1 , NASA Glenn Research Center, Cleveland, Ohio, United States

Show Abstract

9:00 PM - B10.17
Hydrogen Incorporation In Sio2/Sic Structures Upon Different Thermal Treatment Sequences.

Gabriel Soares 1 , Israel Baumvol 2 3 , Claudio Radtke 1 , Fernanda Stedile 4
1 , PGMICRO - UFRGS, Porto Alegre, RS, Brazil, 2 , CCET - Universidade de Caxias do Sul, Caxias do Sul, RS, Brazil, 3 , Instituto de Fisica - UFRGS, Porto Alegre, RS, Brazil, 4 , Instituto de Quimica - UFRGS, Porto Alegre, RS, Brazil

Show Abstract

9:00 PM - B10.18
Theoretical Analysis of Short-Channel Si and SiC Quantum-Wire MOSFETs.

Tsunenobu Kimoto 1
1 Department of Electronic Sci. & Eng., Kyoto University, Kyoto Japan

Show Abstract

9:00 PM - B10.19
First-principles Calculations of Schottky Barrier Heights of oxide/SiC, metal/SiC, oxide/metal/SiC (OMS) and metal/oxide/SiC (MOS) Interfaces.

Shingo Tanaka 1 , Tomoyuki Tamura 2 , Kazuyuki Okazaki 1 , Shoji Ishibashi 2 , Masanori Kohyama 1
1 MATSCI-UBIQEN, AIST, Ikeda, Osaka Japan, 2 RICS, AIST, Tsukuba, Ibaraki Japan

Show Abstract

9:00 PM - B10.2
Effect of Dopant Concentration on High Voltage 4H-SiC Schottky Diodes.

Francesco La Via 1 , Giuseppa Galvagno 1 , Fabrizio Roccaforte 1 , Andrea Firrincieli 1 , Salvatore Di Franco 1 , Marco Mauceri 2 , Stefano Leone 2 , Giuseppe Abbondanza 2 , Ferdinando Portuese 2 , Lucia Calcagno 3 , Gaetano Foti 3
1 , CNR-IMM, Catania Italy, 2 , Epitaxial Technology Center, Catania Italy, 3 Physics Department, Catania University, Catania Italy

Show Abstract

9:00 PM - B10.3
Thermal Interactions of Ni on Stepped 6H-SiC Surfaces - Implications for Thin Film Microstructure.

Andrew Woodworth 3 1 , Charter Stinespring 1 , Srikanth Raghavan 2
3 Department of Physics, West Virginia University , Morgantown, West Virginia, United States, 1 Chemical Engineering, West Virginia Univarsity, Morgantown, West Virginia, United States, 2 Lane Department of Computer Science and Electrical Engineering, West Virginia University , Morgantown, West Virginia, United States

Show Abstract

9:00 PM - B10.4
Ohmic Contact Characteristics of 3C-SiC Using a TiWN thin-film for High Temperature MEMS Applications.

Gwiy Chung 1
1 School of Electrical Enginnering, University of Ulsan, Ulsan Korea (the Republic of)

Show Abstract

9:00 PM - B10.5
Fabrication and Characterization of 5kV IGBTs on 4H-SiC.

Charlotte Jonas 1 , Qingchun Zhang 1 , Sei-Hyung Ryu 1 , Anant Agarwal 1 , John Palmour 1
1 SiC Power Devices, Cree, Inc., Durham, North Carolina, United States

Show Abstract

9:00 PM - B10.6
Epitaxial γ-Al2O3 Dielectrics for 4H-SiC MOS Devices

Carey Tanner 1 , Jun Lu 2 , Hans-Olof Blom 2 , Jane Chang 1
1 Chemical and Biomolecular Engineering, University of California, Los Angeles, Los Angeles, California, United States, 2 Angstrom Laboratory, Uppsala University, Uppsala Sweden

Show Abstract

9:00 PM - B10.7
Laser PIN Diode Fabrication and Endotaxy of Silicon Carbide

Zhaoxu Tian 1 , Nathaniel Quick 2 , Aravinda Kar 1
1 College of Optics and Photonics/CREOL , University of Central Florida, Orlando, Florida, United States, 2 , AppliCote Associates,LLC, Sanford, Florida, United States

Show Abstract

9:00 PM - B10.8
Electrical Properties of SiNx/4H-SiC MIS Capacitor and MISFET.

Zhao Pan 1 , Rusli Rusli 1 , Xia Jinghua 1 , Wang Hong 1
1 School of EEE, Nanyang Technological University, Singapore Singapore

Show Abstract

9:00 PM - B10.9
Schottky Contact Formation in Carbon/4H-SiC Structures.

Senthil Sambandam 1 , Warren Collins 2 , Weijie Lu 1 2
1 Department of Chemistry, Fisk University, Nashville, Tennessee, United States, 2 Department of Physics, Fisk University, Nashville, Tennessee, United States

Show Abstract

2006-04-20   Show All Abstracts

Symposium Organizers

Michael A. Capano Purdue University
Michael Dudley State University of New York-Stony Brook
Tsunenobu Kimoto Kyoto University-Katsura
Adrian R. Powell Cree Inc.
Shaoping Wang Fairfield Crystal Technology
B11: SiC Contacts
Session Chairs
M. Capano
Thursday AM, April 20, 2006
Room 3004 (Moscone West)

9:00 AM - B11.1
Ion Implanted p+/n 4H-SiC Junctions: Effect of the Heating Velocity During the Post Implantation Annealing.

Roberta Nipoti 1 , Antonella Poggi 1 , Fabio Bergamini 1 , Mara Passini 1
1 , CNR-IMM, Bologna Italy

Show Abstract

9:15 AM - B11.2
Impact of EBAS annealing on sheet resistance reduction for Al-implanted 4H-SiC(0001).

Masami Shibagaki 1 , Akihiro Egami 1 , Akira Kumagai 1 , Kenji Numajiri 1 , Fumio Watanabe 2 , Shigetaka Haga 2 , Kuniaki Miura 2 , Shingo Miyagawa 3 , Naohiro Kudoh 3 , Tomoyuki Suzuki 3 , Masataka Satoh 3
1 Advanced Technology Development, Canon ANELVA CORPORATION, Tokyo Japan, 2 Development, Sukegawa Electric Co., LTD, Takahagi Japan, 3 Research Center of Ion Beam Technology, Hosei Univrsity, Tokyo Japan

Show Abstract

9:30 AM - B11.3
Ti/AlNi/W Ohmic Contacts to P-Type SiC.

Bang-Hung Tsao 1 , Jacob Lawson 1 , James Scofield 2
1 Metals and Ceramics, University of Dayton Reserach Institute, Dayton, Ohio, United States, 2 , Air Force Reserach Laboratory, WPAFB, Ohio, United States

Show Abstract

9:45 AM - B11.4
Degradation of Ion-Implanted SiC pn Diodes and Current Gain in SiC BJTs

Anant Agarwal 1 , Sumi Krishnaswami 1 , James Richmond 1 , Craig Capell 1 , Sei-Hyung Ryu 1 , John Palmour 1 , Kenneth Jones 2 , Charles Scozzie 2
1 SiC Power Devices, Cree Inc., Durham, North Carolina, United States, 2 , Army Research Laboratory, Adelphi, Maryland, United States

Show Abstract

10:00 AM - B11.5
Simultaneous Formation of Ohmic Contacts for Both n- and p-type 4H-SiC Using NiAl-based Contact Materials.

Susumu Tsukimoto 1 , Toshitake Onishi 1 , Kazuhiro Ito 1 , Masanori Murakami 1
1 Materials Science and Engineering, Kyoto University, Kyoto Japan

Show Abstract

10:15 AM - B11.6
TiW/TiWN/Pt Ohmic Contacts to n-Type 3C-SiC.

Kirk Hofeling 1 , Loren Rieth 1 , Florian Solzbacher 1
1 Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah, United States

Show Abstract

10:30 AM - B11.7
Carbon Related Split-interstitials in SiC - a Challenge for Density Functional Theory.

Uwe Gerstmann 1 2 , Eva Rauls 1 3 , Mauricio Pinheiro 1 4 , Sigmund Greulich-Weber 1 , Francesco Mauri 4
1 Department of Physics, University of Paderborn, Paderborn Germany, 2 Laboratoire de Mineralogie-Christallographie de Paris, Universite Pierre et Marie Curie, Paris France, 3 Institute of Physics and Astronomy, University of Aarhus, Aarhus Denmark, 4 Departamento de Fisica, Universidade Federal de Minas Gerais, Belo Horizonte Brazil

Show Abstract

10:45 AM - B11: Contacts
BREAK

B12: Bipolar and Other Devices
Session Chairs
S. H. Ryu
Thursday PM, April 20, 2006
Room 3004 (Moscone West)

11:00 AM - B12.1
Dual Mode Operation of a Pd/AlN/SiC Based Hydrogen Sensor

Md Rahman 1 , L Rimai 2 , R Naik 3 , S Ng 1 , G Auner 2 , G Newaz 4
1 Chemical Engineering & Materials Science, Wayne State University, Detroit, Michigan, United States, 2 Electrical and Computer Engineering, Wayne State University, Detroit, Michigan, United States, 3 Physics and Astronomy, Wayne State University, Detroit, Michigan, United States, 4 Mechanical engineering, Wayne State University, Detroit, Michigan, United States

Show Abstract

11:15 AM - B12.2
1.8 kV, 10 mOhm-cm2 4H-SiC JFETs

James Scofield 1 , Sei-Hyung Ryu 2 , Sumi Krishnaswami 2 , Anant Agarwal 2
1 AFRL/PRPE, Air Force Research Laboratory, WPAFB, Ohio, United States, 2 , CREE, Inc, Durham, North Carolina, United States

Show Abstract

11:30 AM - B12.3
Studies Of The Effect Of Different Dislocation Types On The Performance Of Devices Fabricated On 4H-Sic Homoepitaxial Layers Using Synchrotron White Beam X-Ray Topography Based Techniques.

Hui Chen 1 , Balaji Raghothamachar 1 , William Vetter 1 , Michael Dudley 1 , Yu Wang 2 , Brian Skromme 2
1 Materials Science and Engineering, Stony Brook University, Stony Brook, New York, United States, 2 Electrical Engineering, Arizona State University, Tempe, Arizona, United States

Show Abstract

11:45 AM - B12.4
Deep Level Defects Which Limit Current Gain in 4H SiC Bipolar Junction Transistors.

Corey Cochrane 1 , Patrick Lenahan 1 , Aivars Lelis 2
1 , The Pennsylvania State University, University Park, Pennsylvania, United States, 2 , US Army Research Laboratory, Adelphi, Maryland, United States

Show Abstract

12:00 PM - B12.5
Demonstration of a Two Dimension Electron Gas in 3C/4H-SiC Polytype Heterojunctions.

Chris Thomas 1 , MVS Chandrashekhar 1 , Michael Spencer 1
1 Electrical and Computer Engineering, Cornell University, Ithaca, New York, United States

Show Abstract

12:15 PM - B12.6
Thermal Detection Mechanism Of Sic-Based Resistive Gas Sensors.

Timothy Fawcett 1 , Meralys Reyes-Natal 1 2 , Anita Lloyd Spetz 3 , Stephen Saddow 2 , John Wolan 1
1 Chemical Engineering, University of South Florida, Tampa, Florida, United States, 2 Electrical Engineering, University of South Florida, Tampa, Florida, United States, 3 S-SENCE and Division of Applied Physics, Linkoping University, Linkoping, Linkoping, Sweden

Show Abstract

12:30 PM - **B12.7
SiC Power Devices – Progress and Impact.

Hsueh-Rong Chang 1
1 , International Rectifier, El Segundo, California, United States

Show Abstract

B13: SiC MOS devices
Session Chairs
Mrinal Das
Thursday PM, April 20, 2006
Room 3004 (Moscone West)

2:30 PM - B13.1
Reliability of High Voltage 4H-SiC MOSFET Devices.

Sumi Krishnaswami 1 , Sei-Hyung Ryu 1 , Bradley Heath 1 , Anant Agarwal 1 , John Palmour 1 , Aivars Lelis 2 , Charles Scozzie 2 , James Scofield 3
1 , Cree, Inc., Durham, North Carolina, United States, 2 , Army Research Laboratory, Adelphi, Maryland, United States, 3 , Air Force Research Laboratory, Dayton, Ohio, United States

Show Abstract

2:45 PM - B13.2
4H-SiC p-channel MOSFET Development for CMOS Applications.

Brett Hull 1 , Sei-Hyung Ryu 1 , Mrinal Das 1 , Sumi Krishnaswami 1 , James Richmond 1 , Bradley Heath 1 , John Palmour 1 , James Scofield 2
1 , Cree, Inc., Durham, North Carolina, United States, 2 , Air Force Research Laboratory, Wright-Patterson AFB, Ohio, United States

Show Abstract

3:00 PM - B13.3
Large Area Vertical 3C-SiC MOSFET Devices

Adolf Schoner 1 , Mietek Bakowski 1 , Per Ericsson 1 , Helena Stromberg 1 , Hiroyuki Nagasawa 2 , Masayuki Abe 2
1 , Acreo AB, Kista Sweden, 2 , Hoya Advanced Semiconductor Technologies Co., Ltd., Sagamihara Japan

Show Abstract

3:15 PM - **B13.4
950V, 8 mΩ-cm2 High Speed 4H-SiC Power DMOSFETs

Sei-Hyung Ryu 1 , Charlotte Jonas 1 , Bradley Heath 1 , Anant Agarwal 1 , John Palmour 1
1 , Cree, Inc., Durham, North Carolina, United States

Show Abstract

3:45 PM - B13.5
Time-Dependent Bias Stress-Induced Instability of SiC MOS Devices.

Aivars Lelis 1 , D. Habersat 1 , B. Simons 1 , F. Olaniran 1 , J. McGarrity 2 , F. McLean 2 , N. Goldsman 3
1 , U.S. Army Research Lab, Adelphi, Maryland, United States, 2 , Berkley Associates, Springfield, Virginia, United States, 3 , University of Maryland, College Park, Maryland, United States

Show Abstract

4:00 PM - B13: MOS
BREAK

B14: Oxide and Other Dielectrics
Session Chairs
A. Schoner
Thursday PM, April 20, 2006
Room 3004 (Moscone West)

4:30 PM - **B14.1
The SiC-Dielectric Interface.

S. Dhar 1 , S. Choi 1 , L. Feldman 1 , K. Yellai 2 , S. Wang 2 , M Park 2 , J. R. Williams 2
1 Physics, Vanderbilt University, Nashville , Tennessee, United States, 2 Physics, Auburn University, Auburn, Alabama, United States

Show Abstract

5:00 PM - B14.2
Oxygen Transport and Exchange During Dry Thermal Oxidation of 6H-SiC.

Claudio Radtke 1 , Gabriel Soares 1 , Fabiane Trombetta 1 , Israel Baumvol 2 3 , Fernanda Stedile 4
1 PGMICRO, UFRGS, Porto Alegre Brazil, 2 CCET, Universidade de Caxias do Sul, Caxias do Sul Brazil, 3 Instituto de Fisica, UFRGS, Porto Alegre Brazil, 4 Instituto de Quimica, UFRGS, Porto Alegre Brazil

Show Abstract

5:15 PM - B14.3
Alternative Magnesium Calcium Oxide Gate Dielectric for SiC MOS Application

D. Stodilka 1 , R. Davies 1 , A. Gerger 1 , B. Gila 1 , C. Abernathy 1 , S. Pearton 1 , F. Ren 2
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 Chemical Engineering, University of Florida, Gainesville, Florida, United States

Show Abstract

5:30 PM - B14.4
Evaluation of HfO2 Gate Dielectrics for 4H-SiC MOS Devices

Carey Tanner 1 , Jun Lu 2 , Hans-Olof Blom 2 , Jane Chang 1
1 Chemical and Biomolecular Engineering, University of California, Los Angeles, Los Angeles, California, United States, 2 Angstrom Laboratory, Uppsala University, Uppsala Sweden

Show Abstract