Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

Spring 1999 logo1999 MRS Spring Meeting & Exhibit

April 5-9, 1999 | San Francisco
Meeting Chairs: Katayun Barmak, James S. Speck, Raymond T. Tung, Paul D. Calvert



Symposium Q—Ultraclean Processing of Semiconductor Structures and Devices

Chairs

Marc Heyns 
VLSI Materials Technology 
IMEC 
Leuven, B-3001 BELGIUM 
32-16-281348 

Tadahiro Ohmi
Dept of Electronics
Tohoku Univ
Faculty of Engineering
Miyagi, 980-77 JAPAN
81-22-2242649

Harald Okorn-Schmidt 
IBM TJ Watson Research Lab 
Yorktown Heights, NY 10598 
914-945-2112 

Paul Resnick
Sandia National Labs
MS 1077
Albuquerque, NM 87185-1077
505-844-2626
Symposium Support 
*Charles Evans & Associates 
*Sandia National Laboratories 

1999 Spring Exhibitor

* Invited paper

SESSION Q1: SURFACE CONDITIONING AND ANALYSIS 
Chairs: Tadahiro Ohmi and Paul Resnick 
Wednesday Morning, April 7, 1999 
Nob Hill B (M)
8:30 AM *Q1.1 ETCHING AND SURFACE TERMINATION OF BARE SILICON SURFACES. Christopher E.D. Chidsey , Christopher P. Wade, Huihong Luo, Renee Mo, Stanford University, Department of Chemistry, Stanford, CA; Piero A. Pianetta, Stanford Synchrotron Radiation Laboratory, Stanford, CA; Tracey A. Burr, Lionel C. Kimerling, Department of Material Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA.

Studies of the morphology of hydrogen-terminated silicon surfaces by STM and of their etch rates by deuterium-labeled FTIR show the rate-determining roles of molecular oxygen and hydroxide anion on the Si(111) and Si(100) faces respectively. Methoxy termination is more robust than hydrogen termination and can be accomplished by methanol attack following photo-iodination of the hydrogen- terminated surface. Preliminary studies show that the methoxy group is cleanly removed at elevated temperature. Chemical mechanisms for all the above processes are proposed and evidence for them provided.

9:00 AM Q1.2 
HF-ACETIC ACID VAPOR ETCH AS PRE-CLEAN FOR LOW TEMPERATURE EPITAXIAL SILICON CVD. E. Shero , C. Werkhoven, ASM America, Phoenix, AZ; A.B. Storm, H. Sprey, J.W. Maes, ASM Europe, Bilthoven, NETHERLANDS; M. Caymax, E. Röhr, IMEC, Leuven, BELGIUM.

Selective epitaxy of Si on device structures requires low thermal-budget processing. Consequently, the requisite removal of native oxide prior to the epitaxial deposition should be performed at low temperature. Vapor phase HF etching has been pursued as an alternative to the traditionally applied HF wet clean (HF-dip), due to lower chemical usage and suitability for clustered processing. Recently, acetic acid has been used to catalyze the HF vapor etch, resulting in a robust, repeatable and uniform process. This study will compare the HF-acetic acid vapor phase etch with the HF-dip as pre-clean for low temperature Si epitaxy. 
Native oxide was removed with an ex-situ HF-acetic acid vapor etch or HF-dip. Epitaxial Si (300 nm) was then deposited at 800C and reduced pressure. The electrical quality of the epi/substrate interface was investigated by means of diode structures. High quality, functional diodes were fabricated, with comparable performance for both pre-cleans. SIMS showed similar O and C interface levels for both cleans, but the vapor etch resulted in higher F levels than the HF-dip. Interestingly, in combination with epitaxial deposition, both pre-cleans lead to numerous, small (0.11 - 0.15 um) localized light scatterers (LLSs), that cannot be detected with Nomarski microscope inspection. The same effect was observed when using high temperature epi wafers as the substrate, therefore COPs were not the origin. LLS size increases with epitaxial film thickness, and are slightly larger for the vapor phase process. This phenomenon is also observed when utilizing conventional catalysts for the HF-vapor process, like water or methanol. 
While the electrical quality of the deposited epitaxial layers appears uncompromised by increased interfacial F nor LLSs, the above observations have led to a more detailed study on the nature of the LLSs and the role that F plays in their formation. A direct relationship between F level and LLS density was not detected. However, the highly polar Si-F bond may lead to enhanced adsorption of polar molecules, which may be important precursors for LLS formation during subsequent processing. Methods to reduce the formation of the LLSs, including the effect of clustering the HF-acetic acid etch with the epitaxial CVD process, will be discussed.

9:15 AM Q1.3 
IN SITU HYDROGEN RADICAL CLEANING FOR MOLECULAR BEAM EPITAXIAL OVERGROWTH. Theresa Burke, Cambridge University, Cavendish Laboratory, Cambridge, UNITED KINGDOM; Mark Leadbeater, Toshiba Research Europe Ltd, Cambridge Research Laboratory, Cambridge, UNITED KINGDOM; Martin Smith, Edmund Linfield , David Ritchie, Cambridge University, Cavendish Laboratory, Cambridge, UNITED KINGDOM.

Molecular beam epitaxy (MBE) is now widely used for the growth of III-V compound semiconductor structures, allowing definition of the band gap and doping level to almost atomic monolayer precision in the growth direction. However, for the future development of the technique, it is very desirable to find ways of regrowing high quality layers immediately on top of structures that have been patterned using conventional, photoresist based, lithography. For this to be possible, it is essential that all hydrocarbons, oxides and other contaminants are effectively stripped from the patterned semiconductor surface prior to regrowth. 
We shall discuss how this can be achieved using a hydrogen radical cleaning chamber that is interconnected via ultra high vacuum transfer tubes to an MBE growth system. We shall show how hydrogen radicals can be used to produce ultraclean GaAs surfaces suitable for overgrowth, demonstrating the effectiveness of the technique by taking static secondary ion mass spectroscopy scans both before and after radical exposure. Further, we shall discuss how this technique can be applied to other III-V semiconductor materials. 
The success of this cleaning technique will be demonstrated electrically by the formation of high quality, high electron mobility transistor (HEMT) structures within 20nm of a regrowth interface ? an order of magnitude closer than that which could be achieved without the cleaning. This opens up the possibility of exploiting MBE for producing semiconductor crystals in which the doping and band gap are modified in all three dimensions and a number of typical device structures will be presented. Not only does the technique of in situ hydrogen radical cleaning allow new device concepts to be realised, it also opens up the possibility of limiting MBE growth time by reducing the thickness of MBE buffer layers ? a significant saving in both time and cost.

9:30 AM Q1.4 
EPI PRE-CLEAN INVESTIGATION FOR HIGH THROUGHPUT/LOW COST EPI. Bob Pagliaro , ASM, Phoenix, AZ; Steven Verhaverbeke, CFM Technologies, West Chester, PA.

Recently epi-wafers are making inroads in the manufacturing of memory devices. In this work we present our investigation into the use of new cleaning procedures for high throughput epi. Conventionally, the epi pre-cleaning procedure ends with an RCA sequence. Then, the wafers typically undergo a H2 bake at 1120-1170 C for 90 s right before the epi deposition. With heating up and cooling down to the epi deposition temperature, this H2 prebake consumes typically 2-3 min. Since epi-deposition is a single wafer process for most 200 mm wafers and certainly for 300 mm wafers, it is important to reduce this H2 pre-bake to something of the order of 1 min and to drive the temperature down. Ideally the temperature of the bake should be reduced to the deposition temperature to achieve an isothermal process. At the same time the pre-bake should be reduced in time to roughly 30 s. This will increase the throughput by 20-40 and accordingly will decrease the cost of the epi-wafers. The easiest way to decrease the H2 prebake is to change the RCA cleaning sequence into an HF-last cleaning sequence. In this work we present our investigations in defining the best HF-last cleaning sequence for a short H2 prebake epi process. We have investigated, SOM ?HF, SC1-HF, HF only and HF/HCl combinations and investigated different rinsing procedures, rinsing times, rinsing pH modifications. We have determined the minimum H2 prebake for these different cleaning strategies, the number of epi defects and the epi surface roughness. We have also examined the stability of these different surfaces in order to use these preclean strategies in an industrial environment. This has resulted in an optimum preclean procedure which has enabled us to increase the throughput by 40. At the same time this process can be applied for selective epi for elevated source/drain structures.

9:45 AM Q1.5 
CLUSTER INTEGRATED Si SURFACE CLEANING STEPS PRIOR TO Si EPITAXY. Richard J. Carter , Dept of Materials Science & Engineering, G. Bruce Rayner, Dept of Physics, William J. Kiether, Dept of Electrical & Computer Engineering, John R. Hauser, Dept of Electrical & Computer Engineering, Robert J. Nemanich, Dept of Physics and Dept of Materials Science & Engineering, N.C. State University, Raleigh, NC.

Integrated Si surface cleaning steps have been investigated to achieve ultraclean surface properties prior to Si epitaxy for raised source/drain device structures. Low pressure room temperature anhydrous hydrogen fluoride(AHF)/methanol gas phase chemistries have been used to remove thin passivating oxide layers. Secondary Ion Mass Spectroscopy (SIMS) demonstrates resultant surfaces contain residual oxygen and carbon species comparable to wet chemically removed oxides, however increased surface concentrations of fluorine are noticed for the AHF gas phase cleaned surfaces. A 15 second thermal etch at 750C has demonstrated the ability to significantly reduce oxygen and fluorine concentrations to near SIMS detection limits, however carbon contamination is not affected. H-plasma cleaning has demonstrated the ability to significantly remove carbon and hydrocarbon contamination at low temperatures. H-plasma will also reduce residual surface concentrations of oxygen and fluorine. Atomic Force Microscopy (AFM) has shown surface roughness measurements of AHF gas phase cleaned and wet chemically cleaned Si surfaces to be comparable, and H-plasma cleaning at temperatures > 400C does not result in a roughened Si surface. We explore the potential of combining AHF gas phase cleaning with H-plasma cleaning.

10:30 AM Q1.6 
PREPARING ULTRA-CLEAN SILICON SURFACES WITH ULTRAVIOLET STIMULATED HALOGEN CHEMISTRY. J.P. Chang , J.M. Rosamilia, J. Sapjeta, K.L. Queeney, Y.J. Chabal, T.W. Sorsch and R.L. Opila, Bell Laboratories Lucent Technologies, Murray Hill, NJ.

Cleaning the silicon surface prior to the growth of gate dielectrics is critical to the device performance and reliability. A UV/Halogen dry cleaning process chamber has been constructed and connected to a surface analysis system to evaluate halogen gas and other novel gas chemistries needed to yield ultra-clean silicon surfaces, and characterize the surface compositions and chemical states in situ without exposing the clean surface to ambient conditions. A commercial cluster tool with a UV/Cl2 reactor and a rapid thermal oxidation chamber is available to scale the cleaning process to 150mm wafers and investigate the initial oxidation of a UV/Cl2 cleaned silicon surface. This work focuses on understanding the mechanism of contamination metal removal and interfacial reactions to provide more reliable gates for metal oxide semiconductors. Competition between intended metal removal and deleterious surface roughening due to etching of silicon is also investigated. Process parameters including various chemistries, processing pressure, temperature, UV light intensity, and wavelengths are explored. The effect of halogen terminated surface on the kinetics of initial oxide growth will be discussed. Surface termination, chemical states, contamination concentration and roughness induced by these processes are monitored by x-ray photoelectron spectroscopy (XPS), Fourier-transform Infrared spectroscopy (FTIR), total reflection x-ray fluorescence (TXRF), and Atomic Force Microscope (AFM). Usage of other novel halogen based chemistries is proposed to simultaneously remove residual oxide, metallic contamination, and possibly small particulate contaminants from the surfaces of wafers and the results will be discussed.

10:45 AM Q1.7
ULTRA SENSITIVE DETECTION OF TRACE METALS USING SYNCHROTRON TOTAL REFLECTION X-RAY FLUORESCENCE: APPLICATIONS FOR MONITORING SURFACE PREPARATION PROCESSES. J.M. Rosamilia , T. Boone, J. Chang, Lucent Technologies, Bell Labs, Murray Hill, NJ; P. Pianetta, S. Brennan and C. Streli, Stanford University, Stanford Synchrotron Radiation Lab, Stanford, CA.

We have utilized a novel analytical technique based on synchrotron radiation (SR) coupled to a total reflection X-ray fluorescence (TXRF) spectrometer to evaluate the usefulness for measuring trace metal contamination on wafer surfaces at levels well below the detection limits of conventional TXRF techniques. In this paper, we will describe the system that was designed and developed by the Stanford Synchrotron Radiation Laboratory. We will report on several unique applications of this technique for monitoring the efficacy of different surface preparation schemes. In one case, we have used SR-TXRF to correlate hot carrier lifetime data to surface Fe contamination to assertion the validity for routine monitoring of manufacturing line integrity. In another case, we have explored this technique for measuring the removal efficiency of trace metal contamination during process development of novel chemistries used as in pre-gate cleans e.g., the effect of HCl spiking in HF. Benchmarking studies will also be reported.

11:15 AM Q1.9 
IRON CONTAMINATION IN BORON-DOPED P-TYPE SILICON STUDIED BY SURFACE CHARGE ANALYSIS. David H. Korowicz, Patrick V. Kelly and Gabriel M. Crean, National Microelectronics Research Centre, Cork, IRELAND.

The minority carrier lifetime of iron contaminated p-type silicon measured by Surface Charge Analysis (SCA)is compared with Shockley-Read-Hall (SRH) theory and the minority carrier lifetime as measured by Electrolytic Metal Analysis Tool (ELYMAT)and microwave Photo-Conductive Decay -PCD. We show that the SCA measured minority carrier lifetime behaves in a seemingly anomalous manner with respect to iron concentration. We demonstrate for the first time however, that SCA may still be used to empirically identify and quantify iron in silicon. We ues SCA measured lifetime to monitor the transformation of iron-boron pairs into interstitial iron, and show how this may require a re-interpretation of how SCA measures lifetime.

11:30 AM Q1.10 
A COMPARISON OF ANALYTICAL TOOLS TO MONITOR METALS AND ORGANIC CONTAMINANTS. M.J. Edgell , J.M. Metz and V. K.F. Chia, Charles Evans and Associates, Redwood City, CA.

Contamination introduced during semiconductor processing includes transition metals, mobile ions, carbon, and, organics. These contaminants can be deposited on the surface of the silicon wafer or can be co-implanted to depths of many hundreds of angstroms. A host of analytical tools are used today to measure these contaminants. Amongst these are magnetic sector SIMS (secondary ion mass spectrometry), quadrupole SIMS, SurfaceSIMS (oxygen leak with magnetic sector SIMS), time-of-flight SIMS (TOF-SIMS), total reflection X-ray fluorescence (TXRF), vapor phase decomposition TXRF (VPD-TXRF), and VPD-atomic absorption (VPD-AA). In this paper, we will discuss some of the advantages and disadvantages of using these analytical techniques to monitor metals and organic impurities introduced during processing. In addition, we will present new advances made in TXRF to improve metal detection sensitivities.

SESSION Q2: ORGANIC AND PARTICULATE REMOVAL PROCESSES 
Chairs: Marc M. Heyns and Harald F. Okorn-Schmidt 
Wednesday Afternoon, April 7, 1999 
Nob Hill B (M)
1:30 PM *Q2.1 
ADSORPTION OF A POLYGLYCIDOL SURFACTANT FROM HF AND BHF SOLUTIONS AT SILICON/SOLUTION AND SOLUTION/AIR INTERFACES. A. Marcia Almanza, Philip Haworth, Srini Raghavan , Department of Materials Science and Engineering, The University of Arizona, Tucson, AZ.

In the wet processing of silicon, HF and buffered HF (BHF) solutions containing a surfactant find extensive usage. The surfactant used in these solutions must ideally meet the following criteria: (i) adsorb rapidly at silicon/solution interface and render silicon hydrophilic, (ii) desorb from the silicon surface rapidly when rinsed in DI water and (iii) should cause as low foaming as possible. In this talk, the behavior of a commercially available polyglycidol surfactant, OHS, in HF and BHF solutions of interest to silicon processing will be discussed. The adsorption of the OHS surfactant at solution/air interfaces has been characterized by surface tension and surface pressure measurements. Using a Langmuir trough, the response of surfactant films at HF/air and BHF/air interfaces to area compression was investigated. From the experimental data, the dilatational modulus of the surface films has been calculated. An attempt has been made to relate surface pressure and elasticity data to the foaming behavior of HF and BHF solutions containing OHS. The kinetics and extent of adsorption of OHS surfactant on silicon from HF and BHF solutions was investigated using an in-situ Attenuated Total Reflection-Fourier Transform-Infrared technique (ATR-FTIR). This technique had not been used previously for high ionic strength BHF solutions because of the difficulty in resolving the C-H peaks, in the 2800-3000 cm-1 spectral region. Using a novel approach involving ammonium ion replacement, it has been found that the adsorption density of the surfactant in BHF solutions is 20% higher than in HF solutions. However, the ease of desorption of the adsorbed surfactant does not appear to be significantly dependant on the composition of the solution from which adsorption was carried out.

2:00 PM Q2.2 
ULTRASENSITIVE TRACKING OF SODIUM IN CMOS FABRICATION STEPS: TRANSFER FROM PHOTOLITHOGRAPHIC STRIPPERS TO TiN LAYERS. R.S. Raghavan, R.L. Opila , J. Sapjeta, Lucent Technologies, Bell Labs, Murray Hill, NJ; Y. Obeng, S. Merchant, Lucent Technologies, Orlando. FL.

The fabrication of CMOS devices involves some 200 distinct steps, the last third of which include the photolithographic definition of the metallization Ti/TiN/Al/TiN. The lithography involves several steps of processing, including stripping of the photoresist by organic solvents. Exposed barrier layers, such as TiN, are vulnerable to contamination by metal impurities in the solvents, such as Na or Fe. Because Na is mobile in the presence of electric fields, Na contamination can lead to device electrical instability. In this work, we have used radio-isotopic labels to determine the mechanism of Na transfer from the solvent to wafer surface. Specifically, the transfer coefficient, K = x(B)/x(A), the ratio of the impurity concentration at device site B relative to the source concentration x(A), has been measured. Transfers from the photoresist stripper to the TiN surface as low as 5 x 108 cm-2 have been observed. Specifically, using photoresist stripping solutions, lightly spiked with Na22, we have shown that the transfer coefficient to TiN depends upon: 1) the chemistry of the solvent, 2) the thickness of the TiN layer, 3) the method of TiN deposition (collimated or not), and 4) whether or not a photoresist ashing step is included before the solvent stripping. The last three effects can be correlated with characteristics of the TiN layer. As the TiN layer becomes thicker, atomic force microscopy shows that the surface roughness increases. As surface roughness increases, surface area increases, and hence Na absorption also increases. Similarly, TiN deposited using collimation has much rougher surfaces, and thus much more Na absorption, than TiN deposited without using collimation. Finally, x-ray photoelectron spectroscopy shows that ashing increases the thickness of the oxide layer on TiN, which, because its more open lattice structure accommodates a large amount of Na.

2:15 PM Q2.3 
PHOTORESIST REMOVAL USING OZONATED AQUEOUS SOLUTIONS APPLIED IN A THIN BOUNDARY LAYER VIA SPRAY. Eric J. Bergman , Mignon P. Hess, Semitool, Inc., Kalispell, MT; Marco Melli, Marta Magrin, ST Microelectronics, Agrate, ITALY.

A comprehensive study of photoresist removal in aqueous chemistries will be reported. Whereas previous studies have focused on the temperature/concentration relationship of ozone dissolved in deionized water, and the resultant effect on photoresist strip rates, this study will be expanded to evaluate the impact of the processing system as well as the chemistry. The processing system to be explored will be an on-axis spray tool manufactured by Semitool, Inc. Immersion processors are primarily restricted to conventional process parameters such as temperature and concentration. The spray system enables process control of the boundary layer on the wafer surface. It will be shown that control of the boundary layer expands the process window thereby enabling the use of water at temperatures up to 100C, which historically has not been compatible with ozone processes. Whereas conventional immersion systems using water and ozone have shown strip rates in the range of 50 nm/minute, the thin boundary layer process, taking advantage of increased processing temperatures, has delivered strip rates in the range of 300 to 500 nm/minute. This process offers an environmentally friendly, economically viable alternative to sulfuric/peroxide or sulfuric/ozone processing. 
Various spray and vapor processor configurations will be presented. The output variable of primary interest will the stripping rate of photoresist after various types of treatments, including ion implant, plasma etch and DUV cure. It will be shown that the solubility of ozone in the aqueous media cannot explain the high strip rates achieved. An alternative mechanism to explain the observations will be proposed. Electrical data from semiconductor structures generated in a production environment using the optimized process will also be presented.

2:30 PM Q2.4 
CARBON DIOXIDE-BASED FLUIDS AS REPLACEMENTS FOR PHOTORESIST STRIPPING SOLVENTS. J.B. Rubin, L.B. Davenhall , C.M.V. Taylor, T. Pierce, Los Alamos National Laboratory, Los Alamos, NM; K. Tiefert, The Hewlett-Packard Co., Inc., Santa Clara, CA.

Research at the Los Alamos National Laboratory, in conjunction with the Hewlett-Packard Company, has lead to the development of a carbon dioxide-based supercritical fluid and treatment system for the stripping of hard-baked photoresists. This treatment system, know as Supercritical CO2 Resist Remover, or SCORR, uses a two-component solvent composed of a non-hazardous, non-regulated compound, dissolved in supercritical carbon dioxide, applied to the wafers in a pulsed-mode. The solvent system has been successfully tested on metallized Si wafers coated with negative and positive photoresists, the latter both before and after ion-implantation. A description of the experimental results will be presented. Based on the results of these laboratory tests, the SCORR project has progressed to the design and construction of prototype, single-wafer photoresist-stripping equipment. The integrated system involves a closed-loop, recirculating cycle which continuously cleans and regenerates the CO2, recycles the dissolved solvent, and separates and concentrates the spent resist. The current status of the prototype equipment and testing program will be discussed.

2:45 PM Q2.5 
A STUDY OF CLEANING METHODS TO MINIMIZE FIELD OXIDE RECESS WITH OZONE-DISSOLVED DIW AND ULTRA DILUTED HF SOLUTION. Chan-Geun Park , Chang-Hyeon Nam, Young-Min Kwon, Sang-Rok Hah, U-In Chung, Geung-Won Kang, U-Technology Team, Semiconductor R&D Devision, Samsung Electronics, KOREA.

In VLSI devices which have shallow trench isolation(STI) structure, field recess by a series of fabricating process influences isolation quality such as leakage current and device yield. In the present study, ozone-dissolved DIW (ODD) and ultra diluted HF (UDHF) solution to minimize field recess by wet cleaning process from the STI chemical and mechanical polishing(CMP) to the gate cobalt silicide formation were studied; the particle removality of ODD (20ppm, 20min., 25C) is better than piranha solution but less than SC1. However, the process consisting with UDHF solution(120s., 25C) and ODD is as good as SC1 (65C, 5 min.) to remove the photoresist residues damaged by heavy implantation and has lower etch amounts for undoped silicate glass (USG) than SC1 (about 5). We measured the native oxide thicknesses formed on the Si surface in ODD, piranha solution and SC1 with X-ray photoelectron spectroscopy. The native oxide growth in ODD is about 5, that is thicker than in piranha solution and SC1. We examined thermal oxide growth on Si wafer accompanied with pretreating methods, 0.15 HF solution and ODD, to remove and grow native oxide, respectively; the oxide on Si wafer passed through ODD is thicker than HF solution(0.6). When ODD process has been applied to post cleaning of photoresist strip for various kinds of implantation of 8M sync. SRAM, there are particle removal performance improvement(about 100ea) and nest contact leakage diminishment by less USG field recess (about 100). It is concluded that ODD and UDHF process is better than the traditional cleaning method, piranha solution and SC1, in particle removality and etch amounts for the oxide layers, thus improves device reliability.

3:30 PM *Q2.6 
PHYSICAL MECHANISMS OF PARTICLE REMOVAL FROM SILICON WAFERS BY MEGASONIC ENERGY. David B. Kittelson , Thomas H. Kuehn and Ching-Hsu Yang, Particle Technology Laboratory, Department of Mechanical Engineering, University of Minnesota, Minneapolis, MN.

Recent fundamental studies of the physical processes involved in the removal of submicron particles from wafer surfaces in megasonic cleaning are described. Sound pressure and fluid velocity fields in cleaning tanks have been obtained using a ray acoustic model for pressure combined with a computational fluid dynamics model for velocity. The models account for wave interference, the effects of acoustic barriers such as wafers and carriers, as well as bulk acoustic streaming and microstreaming near the wafer surfaces. Corresponding sound pressure and velocity measurements have been made in a liquid tank with an acoustic transducer operating near 1 megahertz. The sound pressure distribution is measured using a hydrophone and the velocity data are obtained using dye injection. Cavitation intensity has been mapped using a photomultiplier tube that detects local sonoluminescence and by using the hydrophone to detect frequency components associated with cavitation. Most of the tests have been performed using DI water to minimize chemical cleaning effects. The dissolved gas content has been varied to determine its influence on cavitation and cleaning efficiency.