Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

Spring 1999 logo1999 MRS Spring Meeting & Exhibit

April 5-9, 1999 | San Francisco
Meeting Chairs: Katayun Barmak, James S. Speck, Raymond T. Tung, Paul D. Calvert



Symposium P—Chemical-Mechanical Polishing - Fundamentals and Challenges

Chairs

S. V. Babu 
Chemical Engineering 
Clarkson Univ 
Potsdam, NY 13699-5705 
315-268-3999 
404-894-9687

Steven Danyluk
Mechanical Engineering
Georgia Inst of Technolgy
Manufacturing Research Ctr
Atlanta, GA 30332

Madadeva Krishnan 
IBM T.J. Watson Research Lab 
Yorktown Heights, NY 10598 
914-945-3827

Manabu Tsujimura
Ebara Corp
Fijisawa-shi, 251-8502 JAPAN
81-466-838520

Proceedings published as Volume 566 
of the Materials Research Society 
Symposium Proceedings Series.

* Invited paper
SESSION P1: OVERVIEW AND OXIDE POLISHING 
Chairs: S. V. Babu and Steven Danyluk 
Monday Morning, April 5, 1999 
Nob Hill A (M)
8:30 AM *P1.1 
DIRECTIONS IN THE CHEMICAL PLANARIZATION RESEARCH. Shyam P. Murarka , SRC Center for Advanced Interconnect Science and Technology, Rensselaer Polytechnic Institute, Troy, NY.

Planarized surfaces have become key to the success of advanced semiconductor devices/circuits/chips. The planarization, achieved by the use of chemical mechanical means, has enabled the interconnection of ever increasing number of devices and also the use of lower resistivity copper as the interconnect material for such devices. Chemical mechanical planarization (CMP) has now found application at several different stages of semiconductor chip fabrication and many other microelectronic applications. However, there remain a large number of nuances and effects eg. pattern, chemical, and pad dependencies and scratching, that need to be carefully studied, evaluated and eliminated if we want to continue to progress in sub 0.1 m (minimum feature size) regime, where the amounts of material to be removed will be small, surfaces will dominate the performance, and margin of error extremely small and unforgiving. This presentation will discuss the CMP variables, the relationship of these variables to CMP behavior and planarity, scratch-free CMP, and size-impact on CMP outcome. A new set of goals will be presented and discussed.

9:00 AM P1.2 
THE INFLUENCE OF pH AND TEMPERATURE ON CMP REMOVAL RATES AND SELECTIVITY OF OXIDE AND NITRIDE FILMS. William America , Microelectronics Technology Division, Eastman Kodak Company, Rochester, NY; S.V. Babu, Center for Advanced Material Processing, Clarkson University, Potsdam, NY.

The removal of dielectric films -silicon dioxide, silicon nitride, etc. -by CMP is regulated and moderated by the interaction of the slurry particle, solution composition with the film surface through complex chemical and physical processes. Changes in the slurry solution properties will have a profound effect on the polishing chemistry and relative removal rates of dielectric films. Common slurry solution properties include pH, temperature, slurry particle composition, its size and shape, degree of agglomeration, and slurry weight percent. While the slurry vendor has control over most slurry properties, the pH and temperature can be controlled during the polishing process and can have a strong influence. Data will be presented highlighting the influence of pH and temperature on the silicon dioxide and silicon nitride films. Un-patterned and patterned films will be polished under varying conditions of pH and temperature with a common slurry and pads. Removal rates of the films along with polishing uniformity and selectivity will be reported.

9:15 AM *P1.3 
THE STUDY OF OXIDE PLANARIZATION USING A GRINDSTONE. Hiroyuki Yano , Katsuya Okumura, Fumito Shoji, Toshiba Corporation, Microelectronics Engineering Laboratory, Yokohama, JAPAN; Yutaka Wada, Hirokuni Hiyama, Norio Kimura, Ebara Corporation, CMP Division, Fujisawa, JAPAN.

As a good planarization method, CMP has been introduced in the semiconductor manufacturing. But still the CMP planarization could not achieve the global planarization. For the global planarization, one of the approach will be the development of the slurry [1,2] and another will be the improvement of the pad. By the slurry development, the global planarization was demonstrated using the additive. On the other hand, sometimes harder pad has the poor ability to hold the abrasives and CMP removal rate is slow. Considering about the grindstone which has two characteristics, the higher elasticity and the fixed abrasive, it looks reasonable to apply the grindstone for the planarization. So the possibility of the grindstone was investigated for the oxide planarization. From the investigation, the excellent planarization and self-stop after planarization was observed. The mechanism of the self-stop and the dependence on the tool is discussed. Also the defect issue is presented. References 1. H. Nojo et al., proc. IEDM, (1996), 349 2. Y. Shimooka et al., proc. VMIC, (1997), 119

10:15 AM P1.4 
ROLE OF SLURRY PARTICLES IN CMP OF OXIDE MATERIALS. J. Larsen-Basse, National Science Foundation and H. Liang , University of Alaska, Fairbanks, AK.

Chemo-mechanical polishing or planarization (CMP) has become a very important industrial process in recent years because of its use in the manufacture of computer hard disks and integrated circuits. Current moves towards larger wafers, smaller features, and decreased tolerance for surface defects and deviations from planarity place heavy demands on accurate process control. This, in turn, means that attempts must be made to understand the fundamental mechanisms involved. The process is clearly a synergistic interaction of many factors, including fluid flow, fluid chemistry, slurry particle material, surface dissolution, and wafer material properties. The mechanism of material removal can only be inferred at this time because of the nano-scale of the particles and of their interactionswith the surface. In this work we evaluate the mechanism of material removal from silica and similar oxide materials by using a combination of laboratory data and extrapolated models from erosion and the interaction of abrasive particles with solid surfaces. The CMP of oxides takes place at high pH and it is expected that both the dissolving surface material and the slurry particles will have similar, hydrated surface layers, which makes their tribological contact interaction unique and different from the CMP mechanisms for metals. The evaluation indicates that material removal most probably takes place by chemical dissolution assisted by a the particles in a ``snow ball'' effect, i.e., by adhesive addition of portions of the constantly regenerating hydrated surface dissolution layer from the wafer onto the contacting slurry particles.

10:30 AM P1.5 
ABRASIVE EFFECTS IN OXIDE CHEMICAL MECHANICAL POLISHING. Uday Mahajan , Marc Bielmann and Rajiv K. Singh, Department of Materials Science and Engineering, University of Florida, Gainesville, FL.

Although oxide CMP has been widely studied (in the form of glass polishing studies), little work has been done to delineate the effects of abrasive particle characteristics on the polishing process. In this paper, we have investigated the effects of abrasive particle size, morphology and chemistry on oxide polishing characteristics. Particles of different types were obtained from different sources, and were characterized by using different particle size and Zeta potential measurement techniques. Size (and shape) information was also obtained by Transmission Electron Microscopy (TEM) investigations. These particles were then used for preparing CMP slurries with different solid concentrations. These slurries were then used in polishing experiments, and their polishing characteristics were determined by removal rate measurements. Atomic Force Microscopy (AFM) was used to study the surface morphology of the polished surface, and for detecting any scratches generated as a result of polishing, especially for larger particle sizes. A preliminary model has been developed from the above results, which can help us obtain a clearer picture of the mechanisms of material removal in oxide CMP.

10:45 AM *P1.6 
A STUDY OF THE PLANARITY BY STI CMP EROSION MODELLING. Ja-Hyung Han , Sang-Rok Hah, Kyung-Hyun Kim, U-In Chung, Geung Won Kang, U-Technology Team, Semiconductor R&D Center, Samsung Electronics Co. Ltd., Yongin-City.

Shallow Trench Isolation (STI) gives improved isolation among sub-micron devices, greater packing density, and a superior planarity by comparing conventional and newly modified LOCOS isolations. The high degree of planarity is essentially important since Depth Of Focus (DOF) should be guaranteed with decreasing line width in the subquartermicron regime. To achieve highly planarized STI surface, Chemical Mechanical Polishing (CMP) is applied without doubts. Dislike DRAM, the 0.18m graded Central Process Unit (CPU) has nonuniform active densities in a chip since it is composed of CPU, logic, high speed SRAM and Test Element Group (TEG). This uneven pattern densities cause large SiN thickness variations within a chip after the STI CMP process. These variations generate irregular step height differences between field and active regime, and cause device failures due to the sharp and/or narrow gate oxide at the border and the gate polysilicon residues. In the present study, we propose a new equation which predict the planarity after CMP process by modeling the erosions and dishings by considering the parameters related on the planarity such as active pattern density, initial step height, selectivity between oxide and silicon nitride and over-CMP amounts. It is concluded that it is required that more uniform active pattern densities within a chip and reduced initial step height, minimizing over-CMP amounts to minimize planarity within a chip after STI CMP. Our new equation was applied to the 0.18m graded CPU devices STI CMP to enhance planarity and these parameters were evaluated quantitatively. We found our suggested model is useful to predict CMP planarity.

11:15 AM *P1.7 
SELF-STOPPING CHEMICAL MECHANICAL PLANARIZATION USING VARIOUS SILICON CONTENT ILD FILMS AND AN EXPERIMENTAL CERIA-BASED SLURRY. David J. Stein , Dale L. Hetherington, James E. Stevens, Sandia National Laboratories, Albuquerque, NM; Michael R. Oliver, Rodel Inc., Newark, DE; David R. Evans, Sharp Microelectronics Technology, Inc, Camas, WA.

Chemical mechanical planarization (CMP) of the interlevel dielectric (ILD, usually SiO2) is required for production of multi-level integrated circuits (IC). Critical issues in ILD CMP include pattern density effects, endpoint detection or planarization stop layers, and post-CMP defect levels. The pattern density underneath conformally deposited films primarily controls post-CMP thickness of the film within a die. Conventional processing does not provide a stop layer for the CMP process. Since general ILD CMP endpoint techniques are still experimental, most processes require trial and error experimentation and stringent statistical process control to determine the proper process time and maintain process robustness. Defects on the ILD surface, such as scratches or particles, must be minimized since they can create electrical shorts or opens in the next metal layer. 
This paper will discuss a novel ILD deposition technique which, when used with an experimental ceria-based slurry, produces a self-stopping CMP process that minimizes pattern density effects. Specifically, the ILD deposition process involves varying the silicon content of the film as a function of film thickness. The ILD polish rate using the experimental slurry is an inverse function of the silicon content of the film. Thus, if a low silicon content film is deposited on top of a high silicon content film (which is deposited directly on the metal), the high silicon content film will act as a stop layer for the CMP process. Specific data that will be presented include the polish rate of these films using both the experimental and a standard fumed silica CMP slurry. Other data will show the chemical differences between the various ILD films, the wettability of the films before and after CMP, and nano-indentation studies of the ILD films. Defect reduction schemes applicable to this system will also be discussed.

11:45 AM P1.8 
EFFECT OF PARTICLE SIZE AND PARTICLE COMPOSITION IN CMP OF INTER-LAYER DIECTRICS. Stephen J. Kramer , Rita J. Klein, Karl M. Robinson, Scott Meikle, Micron Technology, Inc., Boise, ID.

As the drive to polish structures that are approaching the particle size of CMP slurries bears down on the semiconductor industry, it becomes increasingly critical to more fully understand the effect of particle size and particle composition on the integration of IC fabrication. For example, improved planarization observed with smaller particles may be offset by the need for improved cleans. In this presentation, we will discuss how slurry particles effect DRAM CMP. Results to be presented will focus on planarization efficiency with respect to particle size as well as particle composition (e.g. silica vs. ceria). Defect and cleans analyses will be addressed through AFM surface characterization, and an attempt to correlate this data to AIT and KLA defect review will be made. 

SESSION P2: PADS AND RELATED ISSUES 
Chairs: Ara Philipossian and Manabu Tsujimura 
Monday Afternoon, April 5, 1999 
Nob Hill A (M)
1:30 PM *P2.1 
DYNAMIC MECHANICAL RESPONSE OF POLYURETHANE POLISHING PADS TO LOAD AND ITS RELATIONSHIP TO PLANARIZATION. Dale Hetherington , David Stein, Sandia National Laboratories, Albuquerque, NM.

The way the polishing pad conforms to die-level topography under load in a CMP process directly affects the quality of planarization. Areas within the die containing a high density of features erode slower than areas with isolated patterns due, in part, to the dynamic load applied to the features through the polishing pad. The polishing pad can be modeled as a network of elastic springs (ignoring the viscosity effects) which are counter supported by variable dimension oxide posts. As CMP progresses over time, these posts erode; at different rates that depend on density, thus creating a unique situation of pad dynamic loading that depends on the remaining patterned oxide support topography. The purpose of this study is twofold: (1)to determine the time-based erosion profiles for two types of commercially available pads varying in stiffness, and (2)to measure the relevant mechanical properties of each of these pad materials to determine how the pad may be incorporated into a time-based erosion model. Two types of commercially available pads are studied. The first type is an IC1000/foam stacked pad that consists of a 50 mil IC1000 hard polyurethane pad stacked on top of 50 mil soft foam (Rodel IC1400). The second pad type, representing the stiffer of the two, is an IC1000/fiberglass stacked pad. This pad has a 50 mil IC1000 hard polyurethane pad stacked on top of a 50 mil hard fiberglass spacer. Both pads have identical top material and surface morphology and therefore behave similarly in terms of slurry transport properties. The main difference between these two pads is in the bulk stiffness, which directly affects the final die-level planarity. Polishing experiments are carried out on an IPEC 472 polisher. Standard alkaline-based colloidal silica slurry is used in the experiments. Polishing parameters such as speed and pressure are evaluated within 30 to 90 rpm and 3 to 9 psi. Patterns are evaluated using a specially designed mask that contains 4 mm squares ranging in density from 10 to 90%. Mechanical tests are performed on pad samples that include three point bending measurements to obtain the load versus deformation and a test to determine the compliance, or penetration depth, using a stylus tip pressed into the pad samples at nominal CMP pressures. The second test more accurately determines the spring constant that can be used in modeling a time-based erosion profile. This talk presents results of the pattern dependent erosion experiments described above for each pad type and discusses the relevant issues required in constructing a die-level erosion model based on the bulk mechanical stiffness properties of polishing pads.

2:00 PM *P2.2 
SCALED EXPERIMENTAL VISUALIZATIONS OF ABRASIVE PARTICLE DYNAMICS AT THE PAD ASPERITY-SURFACE INTERFACE. Minami Yoda , G. Woodruff, School of Mechanical Engineering, Georgia Inst. of Tech., Atlanta, GA.

Recent work at Georgia Tech has shown that chemical-mechanical polishing (CMP) involves pad asperity-surface contact. We hypothesize that wear is primarily due to abrasive particles which are trapped between the elastomeric asperity and the rigid surface and scratch the surface. It is currently unknown, however, when and how particles are transported into the asperity-surface interface. The objectives of our interfacial particle dynamics studies are: 1) to determine the conditions under which such transport occurs; and 2) to determine if this is the fundamental material removal mechanism in CMP. The motion of particles a few microns in diameter is visualized at model asperity-surface interfaces in a sheared alkali slurry. These particles, which are about ten times larger than those used in Si CMP, are the minimum size which can be accurately visualized with CCD video microscopy. All experimental dimensions are therefore scaled up by a factor of ten from actual CMP values; only interfacial mechanics effects are captured at these spatial scales. Dynamical similarity of the slurry and asperity mechanics is maintained by matching the ratio of inertial to viscous effects and the relative importance of external loading to pad compression, respectively. The results from our visualizations for various shear rates, asperity compliances and geometries will be presented.

2:30 PM P2.3 
MODELLING THE INFLUENCE OF PAD BENDING ON THE PLANARIZATION PERFORMANCE DURING CMP. Joost Grillaert , M. Meuris, E. Vrancken, K. Devriendt, W. Fyen, M. Heyns, Imec, BELGIUM.

Our experimental results show that the total oxide thickness variation within one die or the within die non uniformity (WIDNU) is strongly influenced by the pad stack and the pad thickness: a thick top pad or no sub pad reduce or even almost eliminate the WIDNU. In our model this is explained by pad bending. This is based on the observation that a top pad on a subpad can be regarded as a beam and that the maximum bending of the top pad is a function of the third power of its thickness. Two extreme cases are considered: perfect pad bending and no pad bending. The first case assumes the pad is so thick bending is negligible. It superimposes bulk pad deformations over the surface deformations. These bulk deformations extend over several mm compared to a few  for the surface deformations. These bulk deformations are large for the areas with a high oxide level compared to the reference level and create an additional pressure on that area. Hence the local removal rate will increase. This leads to a better planarization performance and a lower WIDNU. The second case assumes the top pad is very thin and that it is sticked on a very compressible bottom pad. In this case the top pad can bend easily and no bulk deformations are present. This leads to a large WIDNU in our model. Tests on topography wafers with different pad stacks illustrate the model. The local removal rate was studied as a function of the polishing time. It will be shown that real pad stacks are indeed between the two extreme cases of pad bending and that the pad stack influences the planarization performance during CMP.

3:00 PM P2.4 
MATERIAL PROPERTIES AND MODELING OF POLYURETHANE AS CMP PADS. J. Oung, J. Lee and H. Liang , Dept. of Mechanical Engineering, University of Alaska, Fairbanks, AK.

Polyurethane plastics have been used as polishing pads for glass polishing. In recent years, CMP system has been using this material as pads to deliver polishing slurries and obtain super-finishing surfaces of semiconductor wafers. The polishing pad structure, material properties, and performance are important for CMP quality but it is not well understood. In this work, authors investigate polyurethane pad material by comparing the material properties of bulk, pores, and surfaces. In-depth study of material properties was conducted by using the finite element method. Modeling of the material predicted key material properties relating to CMP.

3:15 PM *P2.5 
NOVEL, OPEN-CELLED, POLISHING PAD MATERIALS FOR TUNGSTEN CMP. Frank B. Kaufman , CABOT Corporation, Microelectronics Materials Division, Aurora, IL.

There is increasing interest in new materials and materials constructions for use as alternatives to current, commercially available polishing pads. With respect to improved predictability of performance, the potential of open-celled materials, as opposed to current closed cell systems (ref. 1) has recently been discussed, (ref. 2). A proposal for engineering porosity and flow characteristics using idealized constructions (ref. 3) has appeared in the patent literature, and one concrete example was recently reduced to practice (ref. 4) and described for use in W CMP. In this overview talk we document, for the first time characterization and polishing data for these novel, open-celled, materials found applicable for W CMP device processing. Materials of this type can be expected to have properties and CMP perfromance which is generically different from closed-cell analogues, ie. IC1000. In this talk we will compare and contrast, with the closed cell materials, a new urethane-based open cell polishing pad with Shore A hardness 92, in the following respects: * Brush conditioning feasibility in W CMP * Absence of need for machined surface-flow enhancers (grooving/perforations for slurry-on-pad applications * No requirement for soft subpad to attain uniformity targets * Measured fluid film thickness and mean slurry residence time consistent with sub-surface pad flow characteristics (ref. 5). Blanket and patterned wafer W CMP responses invariant over a broad incoming pad materials density range. We will provide a unifying hypothesis, based on the structure of these pad materials, to explain the observed results.

3:45 PM *P2.6 
STRUCTURED ABRASIVE CMP: LENGTH SCALES, SUBPADS, AND PLANARIZATION. Douglas P. Goetz , 3M Co, St. Paul, MN.

Chemical-Mechanical Planarization involves often-conflicting requirements at various length scales-e.g. uniform removal at the wafer scale, but non-uniform removal of high areas to achieve planarization at the feature scale. In conjunction with machine process controls, the management of pressure by the consumables is one key to balancing these requirements. 3M is developing CMP abrasive materials in which the abrasive particles are incorporated into resin-based structures of precise dimensions on the surface of polymeric webs. The structured abrasive web can be laminated to a multilayer subpad that controls local pressure variations. Because the thin fixed abrasive layer constituting the process surface is independent of the subpad, there is great flexibility in subpad design. Longer-range (>1 mm) planarization performance can be modified by appropriate choice of the subpad layers. The objective of this presentation is to identify the controlling features of structured abrasive system performance relative to the length scales of concern. Die doming and the effect of pattern density are treated in the context of structured abrasive planarization. Ideas from applied mechanics are used to illustrate the relative effects of the subpad construction for the intra-die, die, and wafer scales. Such a physics-based understanding of the interaction of subpad constructions and length scales can inform the choice of subpad construction for experiments to optimize the CMP process.

4:15 PM P2.7 
A NOVEL COMPOSITE RETAINING RING OF HIGH RIGIDITY FOR SIGNIFICANTLY IMPROVED CMP PERFORMANCE. Raymond R. Jin , Sidney Huey, Tom Osterheld, Steve Zuniga, Applied Materials, Santa Clara, CA.

The challenge in polishing head design is to assure superior process performance (low non-uniformity, high removal rate, low defects, good head-to-head matching, and low-pressure/ high-speed capability), short qualification time, and low cost of ownership. Conventional polishing heads coupled with polyurethane-based polishing pad have a limitation in achieving a low non-uniformity due to the pad deformation at the edge of the wafer during polishing, as manifested by a slow or fast edge polishing rate. A new polishing head design utilizes a composite retaining ring made of the layered materials of high rigidity. The new-generation retaining ring exhibits low pre-polishing deflection (<0.001 inch, twenty-fold improvement over the 1st generation) which ensures front-surface flatness of the ring so that lapping is not required. The new-generation retaining ring exhibits high during-polishing rigidity (35-fold improvement over the 1st generation) which ensures precision and uniform pressure control on the pad around the wafer edge. The new ring with independent pressure control (ranging from 1 to 5 psi) is used to modulate the removal rate at the edge of the wafer for desired low non-uniformity. By controlling the retaining ring pressure, a significant improvement in within-wafer (WIW) and wafer-to-wafer (WTW) non-uniformity (NU) is achieved. Results of experiments designed to study the influence of retaining ring pressure and rotational speeds on WIWNU, demonstrate that significantly improved process performance is achieved by optimizing these parameters. The influence of the rotational speed on the WIWNU is different for different retaining ring-to-membrane pressure ratios. Consistent with literature reports based on conventional heads, the polishing removal rate is a function of rotational speed, pressure, slurry flow rate, as well as other parameters. Optimization of these parameters has led to a wider process window for different CMP applications including oxide (PMD and ILD), STI, polysilicon, silicon, SOI, and metal (W and Cu) CMP. Mechanism of improved CMP performance by the novel retaining ring was studied and attributed to its capability of regulating the removal rate of wafer edge and assisting in pad conditioning. Many examples of different CMP applications are included in this paper to demonstrate the mechanism of the retaining. As an example, using a new retaining ring of high rigidity in an extended run of 800 wafers, low non-uniformity (WIWNU average 2.0% 1 sigma, upper control limit 4.0% 1 sigma, 5 mm edge exclusion, 200 mm wafers; WTWNU 2.6% 1 sigma), high average thermal oxide removal rate (4053 A/min.), and low defect density (0.06 defects of >0.25u per cm2) were obtained. As compared to the previous version, the new generation retaining ring significantly reduced both the qualification time and the defect density in addition to low non-uniformity. The significantly improved CMP performance by using the new ring of high rigidity has been confirmed in production at different IC fabs.

4:30 PM *P2.8 
CMP FUNDAMENTALS AND CHALLENGES. Michael R. Oliver , Rodel, Inc., Newark, DE.

Chemical Mechanical Polishing (CMP) as a semiconductor polishing technology has grown dramatically during the past decade. It has been a key enabling technology facilitating the development of high density multilevel interconnects. Its widespread application has exceeded the growth of the scientific understanding. However, work is intensifying to better determine the actual physical processes that are occurring during CMP. Silicon dioxide polishing was the first step out into production. The practical control of this step to planarize an interlevel dielectric (BD) has been achieved so that semiconductor devices of six levels of metal can be manufactured in volune at high yield. The machines consumables and operating conditions are sufficiently well understood that the process can be maintained in good control. Beyond the initial ILD application, other CMP steps are now being sidely used. These include tungsten plugs for ILD vias and inlaid metal approaches to form conductors by etching oxide rather than metal and then using CMP. Other CMP steps in use are the shallow trench isolation and polysilicon polishing. Models for silicon dioxide polishing mechanisms have built upon the work originally done for glass polishing. Recent refinements have augmented our insight but are far from complete.