Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

Spring 1999 logo1999 MRS Spring Meeting & Exhibit

April 5-9, 1999 | San Francisco
Meeting Chairs: Katayun Barmak, James S. Speck, Raymond T. Tung, Paul D. Calvert



Symposium A—Amorphous and Heterogeneous Silicon Thin Films­—Fundamentals to Devices

Chairs

Howard Bran 
Electronic Matls & Devices Div 
National Renewable Energy Lab 
MS 3212 
Golden, CO 80401-3393 
303-384-6694

Robert Colli
Physics Dept & Materials Research
Penn State Univ
University Park, PA 16802
814-865-3059

Subhendu Guh 
United Solar Systems Corp 
Troy, MI 48084 
248-362-4170

Hiroaki Okamoto
Dept of Physical Science
Osaka Univ
Grad School of Engr Science
Osaka, 560-8531 JAPAN
81-6-85063

Ruud Schropp 
Utrecht Univ 
Debye Inst 
Utrecht, 3508 TA NETHERLANDS 
31-30-2533170

Symposium Support

*AKZO NOBEL nv 
*dpiX 
*Energy Conversion Devices, Inc. 
*EPRI 
*Fuji Electric Company, Ltd. 
*MVSystems, Inc. 
*NREL 
*Sanyo Electric Co., Ltd. 
*Solarex Corporation 
*SONY Corporation 
*United Solar Systems Corporation 
*Voltaix, Inc.

Proceedings published as Volume 557
of the Materials Research Society
Symposium Proceedings Series.
* Invited paper

TUTORIAL

STA: AMORPHOUS SILICON MATERIALS AND DEVICES FOR LARGE-AREA ELECTRONICS
Monday, April 5, 8:30 a.m. - 4:30 p.m.
Metropolitan III (Argent Hotel)
amorphous silicon (a-Si:H) is an important technological material for large-area electronics, with applications to solar cells, liquid crystal displays, optical scanners, and radiation imaging. The tutorial describes the growth, material properties, device physics and large-area-array technology of amorphous silicon. The relation between material properties and device performance of a-Si:H is emphasized.

Instructors:
Robert A. Street
, Xerox Palo Alto Research Center
Michael G. Hack, dpiX, a Xerox Company

SESSION A1: GROWTH MECHANISM
Chair: Robert W. Collins
Tuesday Morning, April 6, 1999
Metropolitan III (A)
8:30 AM *A1.1
THE FORMATION AND BEHAVIOR OF PARTICLES IN SILANE DISCHARGES. Alan Gallagher , JILA, University of Colorado and NIST, Boulder, CO.

Negative ions of silicon and hydrogen are copiously formed in discharges containing silane. These negative ions are trapped in the plasma by sheath fields, and reactions with radicals and other molecules cause them to grow rapidly into observable particles. Most of these particles escape to the pump as large agglomerates, but a fraction escape as neutrals to the growing a-Si:H film. The smaller particles, containing less than 100 silicon atoms, can constitute >1% of the film, and the density of the larger particles is more than sufficient to explain known film voids and defect states. I will describe measurements of particle formation and behavior within the plasma, as well of their incorporation into the films. I will then outline a full chemical model that explains particle growth. This shows that particle escape as neutrals competes with growth, to yield the steady-state size distribution. Finally, I will discuss several ideas, currently under study, which may be able to decrease or largely elliminate the particle escape to the film. These include thermophoretic effects, electrode shaping to provide appropriate spatial variations in the plasma potential, and on-off modulation of the plasma which modifies the particle size distribution within the film. Work supported by the National Renewable Energy Laboratory.

9:00 AM *A1.2
REACTIONS OF H AND SiH3 RADICALS WITH THE A-Si:H SURFACE: QUANTITATIVE MEASUREMENTS AND IMPLICATIONS FOR FILM GROWTH. John R. Abelson , University of Illinois at Urbana-Champaign, Department of Materials Science & Engineering and the Coordinated Science Laboratory, Urbana, IL.

We analyze the interactions of thermal H and SiH3 radicals with the a-Si:H surface using real time in-situ infrared spectroscopy. The detection limit for Si-H bonds is enhanced using optical interference, spectral difference, and isotope replacement (H/D) techniques such that a change of only 0.2 monolayers is easily detected. We report a series of quantitative experiments which in part support and in part challenge our understanding of the film growth process. The key results are as follows. First, the Si-H stretching mode at 2000 cm-1 in fact contains two components: when H-deficient samples are exposed to atomic H, a rapid absorption process produces a mode at 1985 cm-1 and a slower absorption process produces a mode at 2033 cm-1; we identify these as isolated Si-H groups and H platelet-like configurations, respectively. Second, the reaction probabilities for H saturation of Si dangling bonds, insertion into strained Si-Si bonds and abstraction from Si-H bonds are in the ratios 1 : 0.44 : 0.26. Third, the H coverage of the surface is practically invariant upon H exposure (not increased!), which implies that the arriving H atoms sample many surface sites. Fourth, the onset of etching during H exposure corresponds to the creation of a critical concentration of platelet-like modes in the near surface. Fifth, the elimination of H during stepwise thermal annealing is fully consistent with a hydrogen density-of-states model in which isolated Si-H bonds are energetically deepest. Sixth, the absorption of SiH3 radicals on the growth surface does not require the abstraction of H from surface Si-H bonds, and the surface structure is in dynamic equilibrium with the silane plasma. To explain these observations, we propose a direct-insertion model with a penta-coordinated metastable state. The speaker promises that the talk will stimulate new thinking about a-Si:H and uc-Si:H growth mechanisms!

9:30 AM A1.3
NEW INSIGHTS IN THE DEPOSITION MECHANISM OF a-Si:H. M.C.M. van de Sanden , W.M.M. Kessels, A.H.M. Smets, B.A. Korevaar and D.C. Schram, Dept. of Applied Physics, Eindhoven University of Technology, Eindhoven, THE NETHERLANDS.

In recent years much research has been devoted towards the understanding of the growth mechanism of a-Si:H. The model as proposed by Matsuda et al., Gallagher et al. and Perrin et al. [1] (hereafter referred to as the MGP-model) based on dominantly SiH3 striking the growth surface has been successful in explaining at least the growth kinetics of a-Si:H in relation to the chemical state of the surface.
However, many questions remain still to be answered. Although several mechanisms have been proposed, up to now the exact incorporation mechanism of both hydrogen and dangling bonds remains a mystery. Moreover, the connection of the MGP-model with thermodynamic models of the growth mechanism by Street and coworkers is still under research although it is clear that the incorporation of weak bonds during growth is a key issue. Another aspect not covered by the MGP model is the fact that recent experiments have demonstrated that other particles contribute significantly (up to 10-20%) to the growth of a-Si:H, in particular ions and small (positive) clusters.
In this paper we will discuss recent experiments which reveal new insight in the growth mechanism of a-Si:H. The dominant role of hydrogen in abstracting hydrogen from the surface explaining the weak substrate temperature dependence of the growth rate as observed in many different studies, the influence of ions and clusters and the connection with the quality of a-Si:H will be dealt with. Furthermore, growth rate and substrate temperature variation studies have lead us to propose a hydrogen incorporation mechanism capable of explaining the observed activation energy of only 0.15 eV. A similar mechanism for the incorporation of dangling bonds explains the small defect densities (10-6 of bulk density) observed in a natural way.
[1] J. Perrin et al. J. Vac. Sci. Technol. A 16 278 (1998)

9:45 AM A1.4
DEVELOPMENT OF ULTRA CLEAN PLASMA DEPOSITION PROCESS. Toshihiro Kamei and Akihisa Matsuda, TFSSC Superlab, Electrotechnical Laboratory, Tsukuba, JAPAN.

It is commonly assumed that plasma process easily allows impurity incorporation due to the plasma-wall interaction process. Namely, the reactive species in the plasma, e.g., H pick up the impurity atoms from the wall into plasma. Indeed, even a-Si:H films made by conventional UHV plasma-CVD system contain 1018 cm-3 of O, 1017 - 1018 cm-3 of C, and 1016 - 1017 cm-3 of N. In particular, further reduction of oxygen content is very difficult. We have, however, challenged this difficult issue and succeeded in a reduction of impurity contents in a-Si:H down to 1015 cm-3 of O, 1015 -1016 cm-3 of C, and 1014 cm-3 of N. This is essential not only for clarifying the microscopic mechanism of photo-induced degradation in a-Si:H [1], but also proves to be very important for increasing the crystalline grain size in muc-Si:H.[2] In this paper, the detailed features of our ultra clean plasma deposition system are addressed. In addition, the specific origins of impurities both in a-Si:H and muc-Si:H are also discussed: outgassing of the reactor wall, the purity of feed gas and the plasma-wall interaction process. [1] T. Kamei et al., Appl. Phys. Lett. 68, 2380 (1996) [2] T. Kamei et al., Jpn. J of Appl. Phys. 37, L265 (1998)

SESSION A2: METASTABILITY
Chair: Peter A. Fedders
Tuesday Morning, April 6, 1999
Metropolitan III (A)
10:30 AM *A2.1
PHOTOINDUCED EXPANSION IN HYDROGENATED AMORPHOUS SILICON. Shuichi Nonomura , Tamihiro Gotoh, Motoi Nishio, Tomonari Sakamoto, Shoji Nitta, Gifu Univ, Dept of Electrical Engineering, Gifu, JAPAN; Michio Kondo, Akihisa Matsuda, Thin Film Silicon Solar Cells Superlab, ETL, Ibaraki, JAPAN.

The new structural aspect of photodegradation (Stabler Wronski) effect in hydrogenated amorphous silicon is presented in this paper. It is well known that the large fractional thickness change is observed in the chalcogenide amorphous semiconductors. However no direct evidence in a-Si:H has been reported. The number of dangling bond's creation by strong light irradiation is the order of 1016. So, it is difficult to observe the related volume change by the current methods. We have developed a simple and sensitive detection technique, the optical-lever bending method, for a small expansion or extraction in thin films. The obtained results by this method are followings. The volume change induced by thermal expansion due to the photothermal effect and residual expansion was observed in hydrogenated amorphous silicon prepared by PECVD. The latter residual expansion was persistent after light soaking and was recovered by thermal annealing at 200C. The time dependence of the volume expansion with light soaking shows the same time dependence of photoinduced defect density. The photoinduced volume changes normalized by the initial volume are the order of 10-6, which values are two orders smaller than chalcogenide glasses such as a-As2S3. The normalized volume change of a-Si:H with the different sample preparation conditions of PECVD such as the hydrogen dilution ratio r (r=SiH4/H2) and substrate temperature will be shown. Also it will be demonstrated that the photoinduced expansion is observed in hydrogenate amorphous silicon prepared by photo CVD and hot-wire (cat-) CVD.

11:00 AM A2.2
SLOW DEGRADATION OF HYDROGENATED AMORPHOUS SILICON PHOTOCONDUCTIVITY UNDER PULSED ILLUMINATION. Stephan Heck and Howard M. Branz, National Renewable Energy Laboratory, Golden, CO.

We degraded hydrogenated amorphous silicon (a-Si:H) using light pulses of 40 microseconds to 10 milliseconds. These metastable photoconductivity degradations were compared to degradation with continuous light of the same intensity and same exposure time. Pulses were obtained by mechanically chopping a beam of about 0.15 W/cm2 red light. Remarkably, for a given integrated exposure time we observe higher photoconductivities (by up to 50%), as we shorten the pulses. For example, to obtain the same amount of degradation with 100 microseconds pulses as with continuous illumination, the integrated sample exposure time must be doubled. Experiments were conducted to exclude thermal effects. Our result cannot be explained with a simple carrier driven degradation mechanism, because electron and hole populations rise and fall [Hoheisel et al., Phil. Mag. B, v57, 411, 1988] to steady-state values in less than 1 microsecond. Our experiments show that light induced degradation of a-Si:H involves a second timescale of order 100 microseconds, never before measured. For example, in models based on mobile hydrogen as a precursor for metastable defect formation, this newly observed timescale could be the time to reach a steady-state population of mobile hydrogen. During illumination with pulses shorter than the mobile hydrogen rise time, the average mobile hydrogen population is lower than during continuous illumination, and defect creation is suppressed. Our experiments are consistent with models in which defect creation rates depend upon the mobile hydrogen concentration, as in the hydrogen collision model [Branz, Solid State Commun., v105, 385, 1998]. This research was supported be the U.S. DOE under contract DE-AC36-83CH10093

11:15 AM A2.3
KINETICS OF LIGHT INDUCED DEFECT CREATION BETWEEN 40 AND 300 K IN INTRINSIC A-SI:H. N.A. Schultz , Z.V. Vardeny, P.C. Taylor, University of Utah, Department of Physics, Salt Lake City, UT.

Electron spin resonance (ESR) measurements using 2nd harmonic detection lead to a significantly enhanced sensitivity over the conventional 1st harmonic detection. Using this technique, we measured the kinetics of the light induced production of band-tail electrons and holes and the production of deep defects (silicon dangling bonds) of hydrogenated amorphous silicon (a:Si:H) at different temperatures. Under illumination of a-Si:H at temperatures below 150 K a rapidly increasing light induced (LESR) signal is found after the light is turned on. This fast component is followed by a much slower LESR increase over several hours. Both of these components have been ascribed to an accumulation of carriers in band tail states1. It is possible to quench most of the long-lived band tail carriers through re-excitation with low energy light (infrared quenching). We find, however, that light of at least 0.35 eV is necessary to quench these band tail carriers, from which it can be concluded that the deeply-trapped band tail carriers are trapped at least 0.35 eV below the band edges. Furthermore, we find that even after infrared quenching these band-tail carriers accumulate under illumination with a time dependence of t1/3, just as the well known time dependence for creation of silicon dangling bonds (Staebler-Wronski Effect (SWE)) at room temperature. However, these carriers are not metastable at 300 K and recombine at temperatures above about 150 K. We also measured the increase of metastable silicon dangling bonds under illumination (0.5 W/cm2) between 40 and 275 K. To separate the increase of the dangling bonds at low temperatures from the much larger density of long-lived carriers in the band-tails we warmed up the sample to about 250 K after each illumination period and cooled it back down before each ESR measurement. In contrast to previous reports2, we find a decrease of the degradation rate for dangling bonds at lower temperatures. Assuming a thermally activated degradation mechanism, we find an activation energy of about 6 meV, corresponding to a temperature of about 70 K. 1) B. Yan, P. C. Taylor, to be published. 2) P. Stradins, H. Fritzsche, Phil. Mag. B 69, 121 (1994).

11:30 AM A2.4
METASTABLE STRUCTURAL CHANGES IN a-Si:H STUDIED BY X-RAY PHOTOEMISSION SPECTROSCOPY. Shuran Sheng , Edward Sacher and Arthur Yelon, Groupe de Recherche en Physique et Technologie des Couches Minces & Département de Génie Physique et de Génie des Matériaux, Ecole Polytechnique de Montréal, Montréal, Quebec, CANADA; Howard M. Branz, National Renewable Energy Laboratory, Golden, CO; Denis P. Masson, Nortel Technology, Ottawa, Ontario, CANADA.

It has been recognized that metastable defect creation leading to the Staebler-Wronski effect (SWE) in a-Si:H is not a simple phenomenon. There is evidence of metastable structural changes over the whole or, at least, a major part of, the a-Si:H network. It is very important to clarify the relation between defect creation and structural changes to understand the full complexity of the SWE. We have previously reported on X-ray photoemission spectroscopy (XPS) measurements of light-induced structural changes in a-Si:H; we observed metastable shifts in bonding energies of Si core levels [1]. However, we did not consider the effect of the X-rays themselves (e.g., X-ray-induced metastable changes) on the experimental results. A detailed investigation of X-ray-induced structural changes in undoped a-Si:H shows that, on X-ray irradiation, the Si2s and Si2p peaks shift simultaneously to lower bonding energy by the same amount, nearly saturating at about 0.1 eV after 1 h of irradiation at the intensity used. The shifts can be largely reversed by annealing at 110 ƒC for 7 h or at 200 ƒC for 1 h, suggesting a lower annealing energy ( 0.4 eV) than for the SWE changes in electronic properties ( 1.1 eV). Careful study of light-induced structural changes in a-Si:H shows that both Si2s and Si2p peaks also shift by an equal energy with light-soaking, but in a more complicated way than the X-ray-induced effect. Preliminary measurements also suggest that these light-induced XPS changes can be annealed with a lower activation energy than the electronic properties. Thus, measurement of XPS changes in Si bonding does not merely monitor the SWE dangling bond (DB) population in a new way. The metastable XPS changes may be an independent light-induced phenomenon or a side-effect of the DB creation process. [1] D.P. Masson, A. Ouhlal, and A. Yelon, J. Non-Cryst. Solids 190, 151 (1995)

11:45 AM A2.5
STABILITY OF AMORPHOUS SILICON THIN FILM TRANSISTORS. R.B. Wehrspohn , S.C. Deane and M.J. Powell, Philips Research Laboratories, Redhill, Surrey, UNITED KINGDOM.

The creation of dangling bond defects in amorphous silicon thin film transistors is responsible for the threshold voltage shift under bias stressing. These dangling bond defects can then be removed by annealing. The rate of defect creation is determined by a distribution of energy barriers for defect creation, while the rate of defect removal is determined by a separate distribution of energy barriers for defect removal. The time and temperature dependence of defect creation and defect removal can be unified by a thermalisation energy concept [1]. This accounts for the fact that creating defects for a long time at a low temperature is exactly equivalent to creating defects at a higher temperature for a shorter time. This result is quite generally true, whenever the defect creation process consists of thermal activation over an energy barrier, with any distribution of barrier heights. The thermalisation energy analysis allows us to extract the distribution of energy barriers for the defect creation and defect removal processes.
We find that the defect creation process has a distribution of energy barriers with the most probable energy barrier of about 1.0eV, while the most probable energy barrier for defect removal varies between 1.1eV and 1.5eV, depending on how the defects were created. The results suggest that the microscopic mechanism for defect creation and removal is different. We propose the rate-limiting step for defect creation is Si-Si bond breaking, but the rate-limiting step for defect removal process is Si-H bond breaking.
We have investigated how the barrier height distribution, for defect creation, varies with different deposition conditions of the amorphous silicon. We find that both the width of the distribution and the most probable energy (peak) of the distribution has a small but measurable variation with material deposition conditions. The width of the distribution correlates well with the bulk Urbach energy, but the peak of the distribution, which plays a larger role in determining the threshold voltage shift does not does not correlate with Urbach edge or hydrogen content. Microscopic mechanisms to account for these important observations will be discussed.
[1] S.C. Deane, R.B. Wehrspohn and M.J. Powell, Physical Review B, in press, November 15th issue (1998).

SESSION A3/B5: JOINT SESSION:
AMORPHOUS AND HETEROGENEOUS TFTs
Chair: Ruud Schropp
Tuesday Afternoon, April 6, 1999
Metropolitan III (A)
1:30 PM A3.1/B5.1
LASER CRYSTALLIZED POLYSILICON TFT'S USING LPCVD, PECVD AND PVD SILICON PRECURSOR MATERIALS-A COMPARATIVE STUDY. Ronald T. Fulks , James B. Boyce and Jackson Ho, Xerox Palo Alto Research Center, Palo Alto, CA.

Low temperature, glass-compatible, polysilicon thin film transistor (TFT) technology using laser crystallization has become increasingly important for flat panel display applications because it enables integrated drivers and higher resolution. In many research laboratories, the precursor material for crystallization was deposited by Low Pressure Chemical Deposition (LPCVD). More recently, Plasma Enhanced Chemical Vapor Deposition (PECVD) films have been used in polysilicon production lines due to the availability of PECVD equipment for large area amorphous silicon processes. In addition, PVD (sputtered) silicon is a candidate for crystallization where very low temperature processes are desired. In this study polysilicon TFT's were fabricated by excimer laser crystallization of active layer silicon which was deposited by three different methods: 1) LPCVD at 550$^{\circ}$C; 2) PECVD at 225$^{\circ}$C; and 3) PVD at room temperature. CMOS devices were produced with the same low temperature (less than 600$^{\circ}$C) top gate process and the laser anneal condition was optimized for the material type and thickness. For PECVD material a pre-anneal step of 450$^{\circ}$C for 1 hour was required before crystallization to avoid bubbling and ablation due to hydrogen evolution, but no such anneal was required for either LPCVD or PVD material due to their low hydrogen content. For 50 nm films, laser energy densities were typically in the range of 300-400 mJ/cm2. Excellent devices were obtained for all three materials with n-channel field effect mobilities greater than 100 cm2/V-sec and on/off ratios greater than 108 at 5 V drain bias. Detailed device results will be presented comparing the three silicon precursor materials for use in a low temperature polysilicon TFT process. Implications for future low temperature process technologies on large area substrates will also be discussed.

1:45 PM A3.2/B5.2
INTEGRATED AMORPHOUS AND POLYCRYSTALLINE SILICON TFTs WITH A SINGLE SILICON LAYER. K. Pangal , Y. Chen, J.C. Sturm, S. Wagner, Dept. of Electrical Engineering, Princeton University, Princeton, NJ.

There has been considerable interest to integrate both a-Si:H TFTs, for low leakage in the OFF state, and poly-Si TFTs, for high drive currents, on the same substrate for flat panel displays. We reported earlier that a room temperature hydrogen plasma exposure in a parallel plate diode type Reactive Ion Etcher (RIE) can reduce crystallization time of a-Si:H by a factor of five [1]. This plasma enhanced crystallization could also be spatially controlled by masking with patterned oxide, so that both amorphous and polycrystalline areas can be realized simultaneously at desired locations. We have used this technique to successfully fabricate both a-Si:H TFTs and poly-Si TFTs on a single glass substrate from a single Si layer for both channels. After PECVD a-Si:H deposition on 1737 glass substrate and selective hydrogen plasma exposure, the maximum processing temperature was 600$^{\circ}$C to selectively crystallize the a-Si:H film. Subsequent TFT fabrication involved re-hydrogenation using hydrogen plasma in the PECVD chamber, followed by n+-microcrystalline silicon ($\mu$c-Si:H) deposition for source and drain contacts. After patterning the active areas and source drain contacts, SiNx was deposited to form the gate dielectric. Aluminum gate and source and drain contacts were patterned after contact holes were etched in the silicon nitride. The field-effect mobility was 0.2 and 9 cm2/Vs for the a-Si:H and poly-Si TFTs respectively. The leakage current was $\sim$30 fA/$\mu$m for the a-Si:H in the OFF state and ON current of the poly-Si TFT was $\sim$0.5 $\mu$A/$\mu$m, with ON/OFF ratios of both types of devices $\ge$105.
[1] K. Pangal, et. al., to be published in Proc. Symp. Mat. Res. Soc., 507 (1998).

2:00 PM A3.3/B5.3
STRUCTURE SENSITIVE HYDROGENATION EFFECTS IN POLYCRYSTALLINE SILICON HIGH VOLTAGE THIN FILM TRANSISTORS. F.J. Clough , Y.Z. Xu and E.M. Sankara Narayanan, Emerging Technologies Research Centre, Department of Electrical and Electronic Engineering, De Montfort University, Leicester, UNITED KINGDOM.

Polycrystalline silicon (poly-Si) low voltage (LV) and high voltage (HV) thin film transistors (TFTs) are important for the implementation of large area integrated circuitry. A key process step in the fabrication of high quality poly-Si TFTs, with uniform and reproducible performance, is hydrogen passivation of grain boundary and intra-grain defects [1]. The sensitivity of the hydrogenation process to device geometry is therefore an important consideration.
The structure sensitivity of the effects of rf-plasma hydrogenation on the operating performance of a range of poly-Si TFT configurations has been investigated. All devices reported were fabricated simultaneously on the same substrate using a standard low temperature ($\le$ 620$^{\circ}$C) SPC poly-Si process [2]. Structures investigated include conventional offset drain (OD) HVTFTs both with and without a metal field plate (MFP) covering the intrinsic poly-Si offset region. The effects of hydrogenation on self-aligned LV TFTs are well documented [1] but are reproduced as a control in the present study.
Channel length dependent variations in the performance of hydrogenated LVTFTs have been attributed to a diffusion limited process [1]. We report the sensitivity of post-hydrogenation device performance to the length of the offset region (Loff = 5 to 40 $\mu$m). Short hydrogenation times ($\sim$ 30 mins) produce a 5 order improvement in the on/off ratio of OD HVTFTs. Further hydrogenation (up to 12 hrs) results in a predictable increase in the pre-threshold slope and reductions in threshold voltage and leakage current. These improvements are accompanied by a previously unreported 1 to 2 order reduction in the device on current. A similar effect is observed in all OD HVTFT structures in which some portion of the offset region is unmodulated by a metal field plate. On state conduction in the offset region is examined as a function of temperature and planar electric field. The increase in the on resistance is attributed to a reduction in the poly-Si defect density which moderates carrier transport through the offset region.
[1] S.D. Brotherton, Semiconductor Science & Technology, 10 (1995) 721.
[2] F.J. Clough et al., Applied Physics Letters, 71 (1997) 2002.

2:15 PM A3.4/B5.4
QUASI DRIFT AND DIFFUSION: A GRAIN SIZE DEPENDENT TFT POLYSILICON MODEL. W. Eccleston , Department of Electrical Engineering and Electronics, University of Liverpool, Liverpool, UNITED KINGDOM.

It is well known that two of the ways of increasig the mobility of electrons at the interface between polysilicon and oxide, and decreasing transistor threshold voltage, is to increase both grain size and quality. Earlier theories for predicting the electrical characteristics of Thin Film Transistors (TFTs) have assumed either an empirical relationship between field effect mobility and lateral field, or a constant average moblity. Both of these treatments ignore the discrete nature of the grains and their boundaries. Using one dimensional approaches it was previously shown that a model based on carrier movement across grain boundaries was capable of explaining the electrical characteristics in the higher current, gradual channel, regime (MRS Autumn 1997 and JAP Aug. 98). The process of conduction was found to be analogous to that c-Si, and was termed quasi drift. It provides expressions for the variation of field effect mobility with grain size and lateral field. Using similar principles we have also analysed the flow of electrons between source and drain in the subthreshold region. The model depends on the movement of carriers across grain boundaries, through the difference in electron concentration between adjacent grains. The process has therefore been termed, by analogy with the processes in c-Si, quasi diffusion. The higher current region is consistent with a single band edge trap, whilst for smaller surface potentials there is an exponential distribution of traps and a characteristic temperature which is independent of measurement temperature. From these models it is possible to determine the relative effects of the grain boundaries and the quality of the grain material in both modes of operation and ties TFT theory I with the longer established single crystal MOS analysis. The physical principles of predicting the variation of off-current with gate and drain voltage is also briefly discussed.

2:30 PM A3.5/B5.5
APPLICATION OF SELF-ALIGNED AMORPHOUS Si TFTS. J.P. Lu , P. Mei , C. Chua, J. Ho, Y. Wang and J. B. Boyce, Xerox Palo Alto Research Center, Palo Alto, CA; R. Lujan, Xerox dpiX, Palo Alto, CA.

Self-aligned a-Si TFTs provide superior characteristics over the traditional, non-self-aligned TFTs. They are advantageous for application as pixel switching elements as well as for integration of driver circuitry in large-area displays and imagers. Using laser doping process, we are able to fabricate a-Si TFTs with self-aligned poly-Si source/drain contacts. These new devices exhibit excellent DC and AC performance. We have demonstrated that ring oscillator circuits using self-aligned TFTs have, on average, 35$\%$ higher oscillation frequency than the ones using traditional TFTs. We have also demonstrated that as short as 1 $\mu$s propagation delay per logic stage is achievable with short-channel (3 $\mu$m) amorphous Si TFTs. Shift registers using short-channel TFTs and dynamic logic technology will be demonstrated. We also designed and investigated a gate line driver for image sensor arrays, which includes a shift register and is capable of driving 24pF capacitive load per line with rising time and falling time less than 1 $\mu$s.

2:45 PM A3.6/B5.6
RUGGED a-Si:H TFTs ON PLASTIC SUBSTRATES. Helena Gleskova and Sigurd Wagner, Princeton University, Dept of Electrical Engineering, Princeton, NJ; Zhigang Suo, Princeton University, Dept of Mechanical and Aerospace Engineering, Princeton, NJ.

Thin-film transistor electronics are particularly rugged when made on foils of compliant substrates. The reason is that the structure can be designed such that strain, whether built-in or induced by forced bending, is taken up largely by the substrate. We demonstrate this discovery using a-Si:H TFTs made on 25 $\mu$m thick polyimide foils. The TFTs show no change in performance after bending to a radius of curvature of 0.5 mm. The a-Si:H TFTs were grown by PE-CVD at a substrate temperature of 150$^{\circ}$C on 25 $\mu$m thick Kapton E substrates. The TFTs were made in the bottom-gate back-channel etch configuration, with channel length L = 15 $\mu$m and width W = 210 $\mu$m. Typical parameters of the as-fabricated TFTs are: off-current $\sim$ 10-11 A, on-current $\sim$ 10-5 A, threshold voltage $\sim$ 3.5V and linear regime electron mobility $\sim$ 0.5 cm2/Vs. The as-fabricated TFT/substrate structure was curved with a radius of curvature R of 18 cm and the TFTs on the outside. Individual transistors were stressed mechanically by bending either outward (the devices facing out) or inward (the devices facing in). Single TFTs were bent to decreasing R, beginning with R = 4 mm down to R = 0.5 mm. For each radius of curvature, the TFT was stressed for one minute, then released and remeasured. No change in any of the TFT parameters listed above was observed, in either outward or inward bending. We show that this result agrees with calculations of the in-plane strain produced in the TFTs by the bending of a compliant substrate. We assume a ratio of the Young$\prime$s moduli Y(TFT)/Y(substrate) of 100.

SESSION A4/B6: JOINT SESSION:
TFTs AND DISPLAYS
Tuesday Afternoon, April 6, 1999
Metropolitan III (A)
3:30 PM *A4.1/B6.1
MATERIAL ISSUES, SCALING AND PERFORMANCE OF 200ppi COLOR QSXGA DISPLAYS. Kai Schleupen , Frank R. Libsch, Evan G. Colgan, Manabu Kodate1, Hisanori Kinoshita2, Hiroaki Kitahara2, IBM T.J. Watson Research Center, IBM Corp., Yorktown Heights, NY; 1LCD Dev., Yamato Laboratory, IBM Japan Ltd, Yamato, JAPAN; 2LCD Dev., IBM Japan Ltd, Yasu, JAPAN.

A 16.3" direct-view active matrix display with the highest information content (Quad SXGA) and highest resolution (200ppi) ever shown has been developed using cost effective a-Si:H TFT technology. In addition, the charge sense testing of each of the 15.7 Million pixels in the array is carried out with the assistance of peripheral integrated a-Si:H selection circuitry. These accomplishment will be discussed with an emphasis on the TFT and material issues required.

4:00 PM A4.2/B6.2
CONTROL OF AMLCD OFF CURRENT ARTIFACTS THROUGH BACK CHANNEL LAYER SURFACE MODIFICATIONS. Takatoshi Tsujimura, Frank Libsch 1, Takashi Miyamoto, Tomoya Tokuda2, LCD Dev., Yamato Laboratory, IBM Japan Ltd, Yamato, JAPAN; 1IBM T.J. Watson Research Center, IBM Corp, Yorktown Heights, NY; 2Display Technologies Inc., Yasu, JAPAN.

The growing trend toward larger-diagonal active-matrix liquid crystal displays (AMLCD) panel sizes and higher spatial and/or gray-scale resolution has demanded a higher performance a-Si TFT. For example, the trend toward higher-gray-scale-resolution AMLCDs implies controlling the voltage at each pixel to an equivalent voltage of less than half the least significant bit (LSB) over a frame time. Approximating for the power law of 2.2 (gamma) dependence between front-of-screen luminance observed and gray-scale voltage level input, a half-level gray-scale resolution for an 8-bit data driver can be translated into approximately 3mV steps. Low enough levels of leakage and photogenerated currents with a backlight luminance approaching 1E-13 to 1E-14 A/um are desired. The optimization of a low off-current a-Si TFT is necessary. In this work we present a study investigating back channel layer surface modifications and its applicability for AMLCD applications. Various a-Si surface modifications, including added thin films, and chemical and temperature anneals, have been carried out and the influence upon the a-Si TFT back channel and the front channel clearly characterized. For example, the study reveals for the first time the parallel back channel threshold voltage shift without back channel mobility degradation that can result with the proper SiOxNy / amorphous silicon back channel interface.

4:15 PM A4.3/B6.3
A HIGH-VOLTAGE HYDROGENATED AMORPHOUS SILICON THIN-FILM TRANSISTOR FOR REFLECTIVE ACTIVE-MATRIX CHOLESTERIC LCD. J.Y. Nahm , J.H. Lan, T.K. Chou, B.H. Min, T. Goda, J. Kanicki, Univ of Michigan, Dept of Electrical Engineering and Computer Science, Ann Arbor, MI.

A high-voltage hydrogenated amorphous silicon thin film transistor (HV a-Si:H TFT) with thick double layer gate insulator ( 0.95 $\mu$m) is proposed for cholesteric liquid crystal display (Ch-LCD) application. The thick double layer gate insulator consists of 0.85 $\mu$m benzocyclobutene (BCB) formed by spin coating and 0.1 $\mu$m amorphous silicon nitride (a-SiNx:H) deposited by plasma enhanced chemical vapor deposition. Since the double layer gate insulator is very thick and the BCB layer planarizes gate metal lines for a better step coverage, this high-voltage a-Si:H TFT operates not only drain-source voltage up to 100 V but also gate-source voltage up to 100 V, which is a necessary feature for Ch-LCD application. Extracted device parameters for this high-voltage a-Si:H TFT are field effect mobility of 1.04 cm2/Vs, threshold voltage of 13.6 V in the linear region, on/off current ratio of $\sim$ 1$\times$106, and subthreshold of 0.84 V/dec. The mobility value is not much different from that of the conventional a-Si:H TFT indicating that the intrinsic a-Si:H channel layer and a-SiNx:H / a-Si:H interface is not significantly affected by the usage of BCB material. However, the extracted threshold voltage is very high either because the gate insulator is too thick to induce electron carriers, or there are high interface charge density at the BCB / a-SiNx:H interface and charge density in the BCB layer. Using this high-voltage a-Si:H TFT structure with the thick double layer gate insulator, we fabricated a reflective active-matrix cholesteric liquid crystal display with 2 inch by 2 inch size.

4:30 PM A4.4/B6.4
HIGH-DIELECTRIC CONSTANT INSULATORS AND THE AMLCD PROCESS: IS AMORPHOUS BST A CANDIDATE? P. Andry , D. Neumayer, P. Duncombe, C. Dimitrakopoulos, F. Libsch, A. Grill, B. Laibowitz, R. Wisnieff, IBM TJ Watson Research Center, Yorktown Heights, NY.

As the active matrix flat panel industry continues to move towards higher resolution displays, manufacturers are looking for ways of increasing the performance of the active and passive elements which comprise the TFT array. The development a high-dielectric contant insulator material suitable for large area AMLCD fabrication is under investigation as a means of attaining this increased performance. As a gate insulator replacement, such a material would increase TFT transconductance allowing smaller array devices to be used and perhaps expanded functionality on the glass outside of the array. As a storage capacitor dielectric in a Cs-under-gate process, it would enable a reduction in storage capacitor area and $\Delta$Vp error while increasing aperture ratio. The basic materials requirements imposed by the active matrix fabrication process are outlined. Results of a study on the properties of amorphous barium strontium titanate (a-BST) spun from chemical solution deposition are presented and its applicability for AMLCD applications is discussed.

4:45 PM A4.5/B6.5
WHY Si3N4:H IS THE PREFERRED GATE DIELECTRIC FOR AMORPHOUS Si TFTs AND SiO2 IS THE PREFERRED GATE DIELECTRIC FOR POLYCRYSTALLINE Si TFTs. Gerald Lucovsky , NC State Univ, Dept of Physics, Raleigh, NC and James C. Phillips, Lucent Bell Labs, Murray Hill, NJ.

Two factors that contribute to the electrical quality/performance of semiconductor-dielectric interfaces in TFTs are i) charge transfer dipoles (CTPs) [1], and ii) average coordination determined bonding constraints [2]. CTPs at heterointerfaces arise from differences in average electronegativities of the interface constituents. Based on Ref. 1, the partial charge on Si atoms at Si-SiO2 and Si-Si3N4 interfaces are respectively, 0.20 and 0.12 e. The larger partial charge of the Si atoms at the a-Si:H-SiO2 interface contributes to a greater upward band-bending and larger depletion region as compared with a-Si:H-Si3N4 interfaces [3]. Another factor contributing to interface quality are interfacial bonding constraints which are proportional to the average number of bonds per interface atom, Nav. Based on of Ref. 2, this is 2.8 for both a-Si:H-SiO2 and a-Si:H-Si3N4:H (at.% H $\sim$0.3) interfaces. As in homogeneous thin films, Nav $\sim$3 marks a demarcation between device-quality and highly defective interfaces. Therefore band-bending is the determinant factor in a-Si:H TFTs, and, as extensively reported, devices with Si3N4:H gate dielectrics will have lower threshold voltages than devices with SiO2. In contrast the determinant performance factor at crystalline-Si (c-Si) dielectric interfaces is Nav. c-Si interfaces with plasma-deposited and thermally annealed silicon nitrides have significantly higher defect densities than c-Si-SiO2 interfaces [4], consistent with differences in Nav, $\sim$2.8 for Si-SiO2 and increasing to $\sim$3.5 for Si-Si3N4. Electrical behavior analogous to c-Si dielectric interfaces is predicted, and observed for poly-Si dielectric interfaces, since bond constraints at the surfaces of the constituent crystallites are also the determinant factors.
[1] G. Lucovsky, H. Yang and H.Z. Massoud, J. Vac. Sci. Technol. B16, 2191 (1998).
[2] G. Lucovsky and J.C. Phillips, submitted to Applied Physics Letters.
[3] G.N. Parsons, C. Kusano and G. Lucovsky, J. Vac. Sci. and Technol. A5, 1655 (1987).
[4] V. Misra, et al., submitted to Electron Device Letters.

SESSION A5: POSTER SESSION:
THIN FILM TRANSISTORS
Chair: Helena Gleskova
Tuesday Evening, April 6, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A5.1
THIN FILM TRANSISTORS OF MICROCRYSTALLINE SILICON DEPOSITED BY PE-CVD. Yu Chen , Sigurd Wagner, Princeton University, Department of Electrical Engineering, Princeton, NJ.

We report the fabrication of top gate TFTs made with microcrystalline silicon ($\mu$c-Si) deposited at 360$^{\circ}$C. The $\mu$c-Si is grown by DC excited PE-CVD from a source gas mixture of SiH4, SiF4 and H2, with a typical flow ratio of 1:20:200, at a pressure of 120 Pa and a Power density of 160 mW/cm2. The TFT structure is built on un-passivated Corning 7059 glass, with 300 nm $\mu$c-Si, 60 nm n+$\mu$c-Si source and drain contact layers, 200 nm SiO2 or 300 nm SiN2 gate insulator, and 100 nm Al gate, source and drain electrodes. We find that I$_{\rm OFF}$ is set by the conductance of the $\mu$c-Si channel material. I$_{\rm ON}$ in saturation reflects a field-effect mobility of up to 8 cm2V-1s-1. The highest I$_{\rm ON}$/I$_{\rm OFF}$ ratios are 105. Typical values for Vth are 7 V and for the subthreshold slope 1.3 V/dec. At present the TFT performance is limited by the material properties of the $\mu$c-Si channel and the interface between the insulator and the $\mu$c-Si i-layer.

A5.2
TEMPERATURE DEPENDENT TRANSIENT LEAKAGE CURRENTS IN AMORPHOUS SILICON THIN FILM TRANSISTORS. F. Lemmi , R.A. Street, Xerox PARC, Palo Alto, CA.

The transient response of a-Si:H thin film transistors (TFTs) provides information about gap states in the channel and about the TFT conduction mechanisms. We present results of a detailed parametric study of transient leakage currents in TFTs, extending from 10-3 sec to >100 sec and at temperatures up to 400K. The form of the transient decay depends most notably on the off-state gate voltage, which determines the leakage current mechanism and magnitude. The measurements use chains of many TFTs, such that we can measure dc on/off ratios of 1011. In the sub-threshold region (e.g. V$_{\rm GS}$ $\sim$ -2V) some samples exhibit non-monotonic decay of the drain current, with a minimum followed by a slow increase to a steady state. Typically this effect is barely visible at room temperature due to the extremely low values of the dc current. Higher temperatures enhance the phenomenon, which has activation energy of about 1 eV for the current magnitude and time constant. We attribute the effect to back-channel conduction. This explanation is consistent with the form of the TFT transfer characteristics, and the weak drain-source voltage dependence excludes a contact injection mechanism. At more negative gate off-state voltages, some samples exhibit increasing leakage currents, indicative of hole conduction. These samples feature a monotonically decreasing transient decay with a pronounced drain-source voltage dependence, consistent with contact injection being enhanced by a hole enriched channel in the high field drain region. Effects of on-state gate pulse width and amplitude are also discussed, as well as the effect of high temperature stress on the TFT transients.

A5.3
OPTICAL FILTER FOR FABRICATING SELF-ALIGNED AMORPHOUS Si TFTS. P. Mei , J.P. Lu, C. Chua, J. Ho, Y. Wang and J. B. Boyce, Xerox Palo Alto Research Center, Palo Alto, CA; R. Lujan, Xerox dpiX, Palo Alto, CA.

Self-aligned structures for bottom-gate amorphous Si TFTs provide a number of advantages, including reduced parasitic capacitance, smaller device dimensions, and improved uniformity in device performance for large-area electronics. A difficult challenge in making self-aligned TFT structures is the necessity of making source/drain contacts that exhibit low contact resistances and that are precisely aligned relative to the gate electrode. In this presentation, we describe a novel process for fabricating self-aligned amorphous Si TFTs. This process utilizes a pulsed excimer laser (308 nm) to dope or to activate dopants in a-Si to form the source/drain contacts. An important feature of the device design is an optical filter to protect the a-Si channel region from radiation damage during the 308 nm laser process. However, the optical filter allows the transmission of the uv light for lithography exposure from the backside of the substrate to align the channel region with the gate electrode. This new process enables the fabrication of high performance self-aligned a-Si TFT with poly-Si source and drain contact.

A5.4
THIN FILM TRANSISTORS BASED UPON MICROCRYSTALLINE SILICON ON POLYIMIDE SUBSTRATES. Alan Constant , Tony Witt, Howard Shanks, Allen Landin, Ken Bratland, Microelectronics Research Center, Iowa State University, Ames, IA.

Microelectronic devices based on thin film transistors (TFTs) are currently being manufactured on glass substrates for various LCD display technologies. Polycrystalline silicon is typically used as the active layer to achieve switching speeds and drain currents approaching those of crystalline silicon. However, efforts to build similar devices on flexible polymeric substrates have been hampered by the limits placed on processing temperature by the polymers (<350$^{\circ}$C). Devices fabricated on polyimides therefore currently employ amorphous silicon ($\alpha$-Si:H) which can be deposited at suitably low temperatures. These $\alpha$-Si:H based devices exhibit lower performance than their polycrystalline counterparts, due in part to the significantly lower channel mobility of $\alpha$-Si:H ($\sim$ 1cm2/V-sec vs $\sim$ 100cm2/V-sec for polysilicon). Switching speeds for these devices are on the order of 1 msec with a typical IDS=100 $\mu$amps (at VDS= 10V, VGS= 10V) for devices with L=2 and W/L=10. This study reports on the fabrication of inverted gate TFT devices and circuits on polyimide using a low temperature microcrystalline silicon ($\mu$m-Si:H) deposition process. The anticipated improvement in channel mobility of $\mu$m-Si:H ($\sim$ 10cm2/V-sec) should lead to enhanced device performance. The TFT on polyimide process is discussed emphasizing the low temperature $\mu$m-Si:H deposition. Results from the electrical characterization of $\mu$m-Si:H (in device structures) and by x-ray and optical absorption measurements (on as-deposited material) are presented. Device performance is compared with that of $\alpha$-Si:H based TFTs constructed on polyimide substrates.

A5.5
THE RECRYSTALLIZATION DEPTH CONTROL OF THE EXCIMER-LASER-RECRYSTALLIZED POLY-CRYSTALLINE SILICON FILM. Kee-Chan Park , Kwon-Young Choi, Jae-Hong Jeon, Min-Cheol Lee and Min-Koo Han, Seoul Nat'l Univ, School of Electrical Engineering, Seoul, KOREA.

Excimer laser recrystallization of a-Si film has been investigated intensively for the possibility of utilizing superior current driving capability of poly-Si TFT's at low process temperature (below 450$^{\circ}$C). However, poly-Si TFT's have several problems such as large leakage current and electrical instability. In order to improve these problems of poly-Si TFT's, the incorporation of lateral a-Si offset in the channel of poly-Si TFT's has been reported recently. The purpose of this work is to report a new method to control the recrystallization depth of a-Si film during the laser recrystallization in order to fabricate poly-Si TFT's with vertical a-Si offset. The vertical a-Si offset is more advantageous than the lateral one because the precise control of the offset length which is determined by deposition, not by photo-lithography process, is possible. Thick a-Si films of which the thickness is varied from 1000$\AA$ to 4000$\AA$, were deposited on the buffer silicon dioxide films by PECVD or LPCVD. The upper surface of a-Si was oxidized by dipping in the boiling (120$^{\circ}$C) solution of hydrogen peroxide and sulfuric acid for 10 minutes. The thickness of the oxide film which was examined by TEM, was about 20$\AA$. On the thin oxide, 400$\AA$ thick a-Si films were deposited. Then XeCl excimer laser beam was irradiated on the samples. The cross-sectional TEM images showed that upper thin a-Si film was recrystallized while the bottom a-Si remained amorphous as before. The oxide film clearly defined the boundary layer between the upper poly-Si and the bottom a-Si. The TEM images also showed that there were no silicon grains piercing through the thin oxide. Over 90$\%$ of the irradiated laser beam energy is absorbed in about 200$\AA$ depth of a-Si. a-Si which directly absorbed the laser energy, melts and nucleation takes place. Then silicon grains begin to grow from the nuclei. During the grain growth, latent heat from the recrystallized poly-Si is transferred down to the bottom a-Si. a-Si which has not directly absorbed the laser energy is also recrystallized by the latent heat. When the grain growth reaches the native oxide, it is blocked by the thin oxide due to the crystallographic differences between silicon and oxide. When there is too much latent heat, nucleation also takes place in the bottom a-Si and it is recrystallized. However, the a-Si can be preserved when the laser energy is adequately controlled. In conclusion, we can decide the recrystallization depth of a-Si film during the excimer laser annealing.

A5.6
NON-TRADITIONAL PATTERNING AND LOW TEMPERATURE FILM DEPOSITION TECHNIQUES FOR AMORPHOUS SILICON THIN FILM TRANSISTOR FABRICATION. Martin K. Erhardt , Hyun-Chul Jin, John R. Abelson, Ralph G. Nuzzo, Univ of Illinois, Depts of Chemistry & Materials Science and Engineering, Urbana, IL.

We have fabricated amorphous silicon thin film transistor device structures on glass at low temperature using a non-traditional soft lithographic patterning approach in place of traditional photolithography. In this technique, molded polymer templates replace photoresist as etch and deposition resists, and have been successfully used for the fabrication of multilayer device architectures with micron-scale feature resolution. Patterning sub-micron features also appears to be feasible using this method, as does the patterning of large areas and curved surfaces for electrooptical applications.

A5.7
HIGH-PERFORMANCE DAMASCENE-GATE THIN-FILM TRANSISTORS. Eugene Y. Ma and Sigurd Wagner, Princeton University, Department of Electrical Engineering, Princeton, NJ.

We report a new bottom-gate TFT structure which utilizes an inlaid gate. With no step coverage requirement, thick gate lines and thin gate dielectrics can be used, lowering threshold voltage, sub-threshold slope and gate-line resistance. These improvements in TFT performance are necessary if large, high-resolution, video-quality displays are to be realized. Devices were fabricated using Cr as the gate metal, e-beam evaporated to thicknesses between 150 nm and 200 nm. The ``damascene'' gate TFT structure is achieved using a simple lift-off process, without the need for chemical-mechanical polishing. Profilometry reveals smooth surface topology with step mismatch approximately 10 nm. Silicon nitride gate insulators of various thickness were used, from 280 nm down to 50 nm. The active and doped layers are intrinsic and n+ amorphous silicon of thicknesses 160 nm and 50 nm, respectively. The source/drain metal is 120 nm of e-beam evaporated Cr. All films were grown using a multi-chamber RF-PECVD system. Experimental results reveal significant improvement in TFT performance for devices with thinner gate dielectrics, as expected. With a reduction in gate dielectric thickness from 280 nm to 50 nm, the sub-threshold slope decreases from 0.6 V/dec to as low as 0.1 V/dec, while the threshold voltage falls from 2.6 V to 0.9 V. These improvements are obtained without sacrificing mobility or breakdown field strength, which remain relatively constant at 0.6 cm2/Vs and 2 MV/cm, respectively. Interestingly, the threshold voltage displays a non-linear dependence on gate dielectric thickness, which indicates the presence of fixed charge in the nitride. Using a simple model and assuming uniform charge distribution, we are able to obtain a close fit between predicted and experimental data, with the extracted value for nitride charge density being comparable to other reported values.

SESSION A6: POSTER SESSION:
HIGH DEPOSITION RATE
Chair: Scott J. Jones
Tuesday Evening, April 6, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A6.1
WIDE-GAP AND DEVICE-QUALITY a-Si:H FROM HIGHLY H2 DILUTED SiH4 PLASMA DECOMPOSED BY HIGH Rf POWER. Norihiro Terada , Shigeo Yata, Akira Terakawa, Shingo Okamoto, Kenichiro Wakisaka and Seiichi Kiyama, New Materials Research Center, Sanyo Electric Co., Ltd., Osaka, JAPAN.

It was found that the effects of H2 dilution for depositing a-Si:H were enhanced by high rf power. Consequently, very wide-gap a-Si:H with device-quality (an optical gap (Eopt) of 1.82eV with an (ahv)1/3 plot, corresponding to >2.1eV with Tauc's plot, and photoconductivity of 10E-6 S/cm can be obtained at a high deposition rate (DR) of 12A/s without carbon alloying. The H2 dilution of SiH4 was previously reported to be useful in preparing wide-gap and high-quality a-Si:H, which is suitable for the top i-layer of stacked cells and/or the p-layer, by increasing the H content (CH). However, relatively low DR (<1A/s) remains a problem. For further improvement of the productivity of a-Si solar cells, it is necessary to deposit high-quality a-Si:H at high DR. We investigated the rf power density dependence of the effect of H2 dilution. In high rf power (750mW/cm2), a-Si:H film properties (CH, Eopt, SiH2/SiH, photoconductivity) from pure SiH4 are equivalent to those of low rf power (75mW/cm2) at a high H2 dilution ratio (R=[H2]/[SiH4]) of above 10. When R increases, CH and Eopt monotonously increase while retaining a low SiH2/SiH. This tendency of high rf power is different from the case of low rf power, with which increasing R results in decreasing CH at low R and increasing CH at high R. These results suggest that increasing rf power enhances H incorporation reactions due to H2 dilution. It is considered that high rf power causes the depletion of SiH4 and the extinction of H radicals, expressed by SiH4 + H* $\righarrow$ SiH3* + H2, is suppressed. A high H radical density enhances incorporation of H into a-Si:H, resulting in very wide-gap a-Si:H with a high CH. Acknowledgment: This work was supported by NEDO as a part of the New Sunshine Program under the MITI.

A6.2
HIGH RATE DEPOSITION OF MICROCRYSTALLINE SILICON USING RESONANCE PLASMA SOURCE (HELIX) - PLASMA PROPERTIES AND DEPOSITION RESULTS. H. Grueger , R. Terasa, A. Haiduk and A. Kottwitz, Semiconductor and Microsystems Technology Laboratory, Dresden University of Technology, Dresden, GERMANY.

Microcrystalline silicon layers have been deposited by PECVD using a resonance plasma source (Helix) operating at frequencies of 46, 68, 113 and 162 MHz. The resonance frequencies used were given by two different coils with five and seven turns, working at the lambda / 4 resonance respectively the first harmonic (3/4 lambda resonance). The use of the harmonics enhances the frequency range with the same coil layout. This solved the problem of small resonator sizes or low number of turns. Samples have been prepared on glass (Corning 7059) and crystalline silicon substrates. The plasma discharges in hydrogen and various hydrogen / silane mixtures were investigated by radial resolved optical emission spectroscopy and mass spectroscopy (Hiden EQP plasma monitor) of neutrals and ions in the middle of the discharge to gain information about densities and energies of electrons, ions and other species in the plasma. Growth rate and layer properties have been studied for different excitation frequencies, pressures, plasma powers and gas compositions. Plasma monitoring revealed the formation of positive ions, negative ions were dominant only in plasmas with weak excitation. The density of positive hydrogen ions increased steadily 15 times by raising the frequency from 46 MHz to 161 MHz, the radiation from hydrogen molecules decreased 50 $\%$. The light emission increased constant with increasing plasma power; reduction of the discharge pressure from 40 Pa to 7 Pa increased the emission 500 $\%$. Especially the use of harmonic resonance frequencies changed the shape of the discharge, caused by additional inductively coupled power. Growth rates up to 1.5 $\mu$m/h have been achieved for micro crystalline layers at 230$^{\circ}$ƒC deposition temperature. The hydrogen content was below 10 at.$\%$. Raman spectroscopy measurements reveal that 20 to 70 $\%$ of the silicon was crystalline depending on the silane concentration. The influence of deposition conditions on the layer properties will be discussed in detail.

A6.3
AMORPHOUS SILICON SOLAR CELLS TECHNIQUES FOR REACTIVE CONDITIONS. Satoshi Shimizu , Kojiro Okawa, Toshio Kamiya, C.M. Fortmann and Isamu Shimizu, The Graduate School, Tokyo Institute of Technology, Yokohama, JAPAN.

In our previous study, highly stable hydrogenated amorphous silicon (a-Si:H) had successfully prepared from chlorinated silanes, i.e., SiH2Cl2, or SiHCl3, decomposed in ECR-hydrogen plasma.[1] This novel technique offers some attractive advantages for the large scale production; namely enabling to grow high quality a-Si:H at high growth rate more than 15 A/s together with high efficiency (nearly unity) of utilization of source molecule. In this study, the root causes of solar cell problems resulting from the reactive deposition processes are explored using device and material analysis. First, n-i-p structure was chosen to avoid damages of TCO caused by exposing to the reactive ECR-hydrogen plasma. Rather high Voc as high as 0.8 Volt was obtained by providing a buffer layer among the n/i interface to prevent deterioration of the n-layer. The improvement of conversion efficiency has been disturbed so far due to the rather low Fill Factor of around 50$\%$ arisen from the hetero-interface made at the contacts of the buffer/i interface. Solar cells prepared, however, appear to have improved stability for light soaking relative to the standard a-Si:H prepared from SiH4, while its solar cell efficiency should be improved furthermore by optimizing the components such as the layers used as buffer, n, p and TCO. Ongoing experiments will be up-dated at the time of the conference.
[1]M.Azuma, T.Yokoi and I.Shimizu, J.Non-Cryst.Solids, 198-200(1996)419.



A6.4
He-DILUTION FOR THE INCREASE OF DEPOSITION RATE AND FEEDSTOCK UTILIZATION DURING THE GROWTH OF a-Si:H AND ITS ALLOYS. A.R. Middya , G. Wood, G.H. Lin and D.E. Carlson, Solarex, A Business unit of Amoco/Enron, Toano, VA.

Helium dilution has been investigated as an alternative to hydrogen dilution because of the existence of its metastable state (He*: 20eV) which has the potential to increase the deposition rate (Rd;), to improve feedstock utilization and to modify growth kinetics. The beneficial effect of He-dilution to improve electronic properties of a-SiGe:H alloys by reducing microstructural defects was observed first in RF PECVD, in a special discharge regime. Here we report the results on He-diluted a-Si:H and its alloys developed in DC PECVD. Even though the deposition rate of He-diluted (10:1) a-Si:H is found to be 52% higher than H-diluted one, the initial efficiencies (9.1 to 9.4%) and the stabilized efficiency (7.2 $\pm$ 0.2%) of single junction cells (d $\sim$ 310nm) and the kinetics of degradation are similar for both the dilution. The performance of single junction H- and He-diluted a-SiGe:H cells (d $\sim$ 200nm) is also similar although Rd; of He-diluted layer is 20% higher. Stability of a-Si:H is found to improve with He-dilution as in case of H-dilution, however the Rd; still can be maintained at 0.12 nm/s or more for high He-diluted (20:1) films. H- and He-diluted silane plasma under different discharge conditions have been compared in details. It has been observed that just by replacing H by He enhances Rd; i.e. gas utilization is better for He-diluted plasmas. Incorporation of He-diluted layers in the a-Si/a-SiGe:H tandem devices has been initiated. The process time has been reduced by 17% for He-diluted tandem devices compared to that of standard H-diluted device without loss in initial efficiency. The percentage degradation in efficiency is about 15-16% before saturation, a typical value observed for our standard H-diluted devices of comparable thickness. Experiments are underway to analyze the microstructure of the two types of material.

A6.5
PROBLEMS OF POWER FEEDING IN LARGE AREA PECVD OF AMORPHOUS SILICON. U. Stephan, J. Kuske, Forschungs und Applikationslabor Plasmatechnik GmbH Dresden, GERMANY; H. Grueger , A. Kottwitz, Semiconductor and Microsystems Technology Laboratory, Dresden University of Technology, Dresden, GERMANY.

The production of amorphous silicon, e.g. for solar cells, requires large area, high-deposition rate plasma reactors. Increasing the radio frequency from the conventional 13.56MHz up to VHF has demonstrated higher deposition and etch rates and lower particle generation, a reduced ion bombardement, and lower breakdown, process, and bias voltages. But otherwise the use of VHF leads to some problems: the non-uniformity of the deposition rate increases due to the generation of standing waves (TEM wave) and evanescent waveguide modes (TE waves) at the electrode surface. Increasing the frequency and/ or the deposition area the plasma impedance, the capacitic stray impedance of the RF electrode, and other parasitic capacitive impedances decrease. Increasing the frequency and/ or the RF power, the phase angle of the discharge and of the impedance at every point at the lines between the RF matching network and the RF electrode tends more and more towards -90$^{\circ}$. This results in increasing currents and standing waves with ex-tremely high local current maximas. Together with increasing resistances of lines and contacts due to the skin effect and loss-caused heating up of the lines the power losses increase extremely up to 90$\%$ and more. In spite of an increase in the coupled power, the plasma power does not increase. Thermical destructions of the lines due to an extreme expansion or melting are possible. Some solutions to reduce the non-uniformity of the deposition rate like multipower feeding, central backside power feeding, electrode segmentation, use of load impedances, published in former publications, will be discussed for several reactor types (coaxial, large area, linear plasma source) in view of the efficiency of power coupling and the practical realization. Solutions to minimize the power losses at the lines will be presented.

SESSION A7: POSTER SESSION:
HYDROGEN
Chair: Chris G. Van de Walle
Tuesday Evening, April 6, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A7.1
COMPARATIVE STUDY OF OPTICAL AND ELECTRONIC PROPERTIES OF RF-SPUTTER-DEPOSITED (RFS) AND PLASMA ANNEALED a-Si:H and a-Si:D. K.E. Junge and J. Shinar, Ames Laboratory - USDOE* and Department of Physics, Iowa State University, Ames, IA; R. Niedes, I. Balberg, The Racah Institute of Physics, The Hebrew University, Jerusalem, ISRAEL.

The optical absorption, electron spin resonance, infrared absorption, conductivity, and photoconductivity of RFS a-Si:H and a-Si:D films, and the photocarrier grating measurements of MOS structures based on these films, is revisited and expanded to include postdepositional high-temperature annealing in an H or D plasma. The results are discussed in relation to the role of the H and D plasma in eliminating the defects usually associated with RFS films, and the recent findings on the slower dynamics and consequent greater stability of deuterated films relative to hydrogenated material. The possibility of achieving high stabilized photoconductivity through optimization of this silane-free approach is also discussed. *Ames Lab is operated by ISU for USDOE under Contract W-7405-Eng-82.

A7.2
CHANGES IN HYDROGEN BONDING AND HYDROGEN EVOLUTION IN a-Si:H SAMPLES DEPOSITED ON THE EDGE OF MICROCRYSTALLINITY. A.H. Mahan , National Renewable Energy Laboratory, Golden, CO; J. Yang and S. Guha, United Solar Systems Corp., Troy, MI.

We present the results of infrared (IR) absorption and H evolution measurements on a series of PECVD deposited a-Si:H films, where the amount of H dilution has been systematically varied. Films deposited at the highest dilution levels have been fabricated into world-record efficiency solar cells, and several materials indicators (X-ray, Raman, capacitance profiling) suggest that these films are structurally right on the edge of microcrystallinity. Although the peak frequency of the stretch mode of these films remains at $\sim$2000 cm-1 with increasing dilution, indicating monohydride bonding, the peak frequency of the Si-H wag mode shifts downward from $\sim$640 cm-1 to 625 cm-1. This latter frequency has been identified as being due to H bonded on the surfaces of crystalline Si. The stretch and wag mode FWHMís are typical of device quality a-Si:H samples containing $\sim$8-10 at. % H. In addition, a sharp, low temperature H evolution peak is observed, suggesting an evolution pathway consistent with some type of an interconnected `grain-boundary-like' structure. The shift in peak position of the wag mode for the high H diluted samples indicates that, even though the lattice is still almost completely amorphous, the vast majority of the H may be bonded on the surfaces of small Si microcrystallites. This in turn argues for a large spatial inhomogeneity in the film H content, suggesting that there may be large spatial regions in the amorphous tissue that contain minimal H. We comment on the sensitivity of the IR and evolution techniques as probes of the local film structure, and discuss these results in the context of structural models and how they relate to the reduced light induced metastability demonstrated in the high H diluted samples and solar cells.

A7.3
ANISOTROPY IN HYDROGENATED AMORPHOUS SILICON FILMS AS OBSERVED USING FTIR-ATR SPECTROSCOPY. John D. Webb , Lynn M. Gedvilas, Richard S. Crandall, Eugene Iwaniczko, Brent P. Nelson, A.H. Mahan, National Renewable Energy Laboratory, Golden, CO.

We used polarized attenuated total reflection (ATR) measurements together with Fourier transform infrared (FTIR) spectroscopy to obtain the vibrational spectra of the Si-H stretching and wagging modes in hydrogenated amorphous silicon films 0.5 - 1. 0 microns in thickness deposited using various methods and temperatures on crystalline silicon substrates. We used silicon ATR substrates having a 0.7-cm optical path length, shorter than that used in previous work. The short-path length ATR technique gave sufficient sensitivity and spectral range to enable detection of the stretching mode first overtone vibrational band at 3736 cm-1, the wagging mode first overtone band at 1230 cm-1, and the stronger fundamental stretching and wagging bands at 2000 and 640 cm-1, respectively. Our polarized FTIR-ATR measurements of hot-wire a-SiH films showed that the wagging mode and (especially) its first overtone are oriented strongly parallel to the film growth direction, while the stretching modes show no preferential orientation. This observation is consistent with some degree of anisotropy in the films, which preferentially restricts the wagging vibration in the direction parallel to the film plane. Our results also explain why the weak wagging mode first overtone band has not been reported previously by other groups using transmission FTIR spectroscopy, in which the electric field of the transmitted radiation is normal to the film growth direction.

A7.4
CHARACTERIZATION OF HYDROGEN IN HYDROGENATED NANO-CRYSTALLINE SILICON. Takashi Itoh , Kanta Yamamoto, Kenichi Ushikoshi, Shuichi Nonomura and Shoji Nitta, Gifu Univ., Dept. of Electrical Engineering, Gifu, JAPAN.

Hydrogenated nano-crystalline silicon (nc-Si:H) films have been prepared by PECVD using silane and hydrogen gases. The condition of hydrogen in nc-Si:H films has not been understood in detail yet. In this report, the characterization of hydrogen in nc-Si:H films has been studied using FTIR absorption spectroscopy and gas effusion spectroscopy. Samples are prepared by RF (13.56MHz) PECVD with 1$\sim$2$\%$ silane in hydrogen at 250$^{\circ}$C. A sample prepared with RF power of  80W is amorphous. The crystallinity of the sample increases with decrease in RF power. In gas effusion spectrum of the sample with low crystallinity, three main evolution peaks of hydrogen are found near 400, 500 and 600$^{\circ}$C. Comparing with previous reports, the origins of hydrogen evolutions near 400, 500 and 600$^{\circ}$ would be hydrogen evolution from dihydride and monohydride surface on nc-Si and Si-H bonds in a-Si, respectively. In that of the amorphous sample, same three main peaks are also found. In an amorphous sample prepared using $\sim$10$\%$ silane in hydrogen, however, only one peak near 600$^{\circ}$C is found. These results could indicate that the amorphous sample prepared with the high dilution of silane in hydrogen has nano particles like seed crystals. A gas effusion spectrum of a sample with high crystallinity varies. We study the dependence of FTIR absorption spectrum of the sample on crystallinity and annealing temperature. These results show that the peaks near 880 and 2080cm-1 would be related to hydrogen in amorphous region and the peaks near 840, 900, 2100 and 2130cm-1 would be related to hydrogen in nano crystalline region. The hydrogen in nc-Si:H are discussed with data of Raman spectroscopy, X-ray diffraction and ESR in detail.

A7.5
STRUCTURAL ORIGIN OF BULK MOLECULAR HYDROGEN IN HYDROGENATED AMORPHOUS SILICON. X. Liu , Cornell University, Dept of Physics, Ithaca, NY; E. Iwaniczko, National Renewable Energy Lab, Golden, CO; R.O. Pohl, Cornell University, Dept of Physics, Ithaca, NY; R.S. Crandall, National Renewable Energy Lab, Golden, CO.

We recently observed [1] a liquid-solid phase transition of molecular hydrogen in hydrogenated amorphous silicon produced by hot-wire chemical-vapor deposition through low-temperature elastic measurements. By optical microscopy and stylus profilometry, we have now determined that this molecular hydrogen exists in bulk form contained in macroscopic bubbles at the interface between film and substrate. Removing these bubbles also removes the elastic anomalies. Taking the total amount of hydrogen effused, 2 at.% [1], as an upper limit of molecular hydrogen, we estimate that 7% of the bubble volume is filled with condensed molecular hydrogen at low temperatures, and from this we determine the pressure in the bubbles at the temperature of their growth (440$^{\circ}$C) to be 18 MPa. This pressure is the upper limit of the bonding strength. No sign of bulk molecular hydrogen has been observed in device-quality a-Si:H properly prepared by either hot-wire or plasma-enhanced chemical-vapor deposition (PECVD). Based on the sensitivity of our technique, this means less than 0.01 at.% H in the bulk form. Nevertheless, bubbles, and the elastic anomalies, can be produced in device-quality PECVD a-Si:H films by a 15 minutes anneal at 400$^{\circ}$C. The origin of the large internal friction in molecular hydrogen below the phase transition temperature is suggested to be dislocation motion in the premelting region. The striking effect on the shear modulus may be caused by the enhanced bonding between film and substrate when the molecular hydrogen freezes.

A7.6
MICROSTRUCTURE AND HYDROGEN DYNAMICS IN a-Si(1-x)C(x):H. R. Shinar , Microelectronics Research Center (MRC), Iowa State University (ISU), Ames, IA; J. Shinar, Ames Laboratory-USDOE* and Physics Department, ISU, IA; D.L. Williamson, Physics Department, Colorado School of Mines, Golden, CO; S. Mitra, Physics Department, University of Tulsa, Tulsa, OK; H. Kavak MRC, ISU, IA and Physics Department, Cukurova University, Adana, TURKEY; V.L. Dalal, MRC and Elec. Eng. Department, ISU, IA.

Small angle x-ray scattering (SAXS), infrared (IR) absorption, and deuterium secondary ion mass spectrometry (DSIMS) studies of the microstructure and hydrogen dynamics in rf-sputter-deposited (rfs) and undoped and B-doped electron-cyclotron resonance (ecr)-deposited a-Si(1-x)C(x):H are described. In the rfs carbides with x < 19 at.%, the SAXS indicated a residual columnar microstructure and a 0.5 - 1 vol.% of $\sim$1 nm-size nanovoids, whose content increases by $\sim$100% after annealing at up to 375 C. For x < 3 at.% the rfs carbides exhibited power-law time-dependent H diffusion constants, with an exponent which varied from 0 to 0.5, and an activation energy of $\sim$1.4 eV. The ecr carbides, where x = 14 at.%, exhibited similar power-law time dependent diffusion constants, consistent with a low nanovoid content. However, the activation energy was an anomalously low 1.0 eV. The IR absorption of both the rfs and ecr films indicated that during annealing there is a net transfer of H from Si- to C-bonded sites. Boron doping reduced the bulk-like Si-bonded H-content, suggesting that it incudes nanovoids, consistent with the observed suppression of long-range motion of most of the H and D atoms. However, a small fraction of the H atoms appeared to undergo fast diffusion, reminiscent of the fast diffusion in B-doped a-Si:H. *Ames Lab is operated by ISU for USDOE under Contract W-7405-Eng-82.

A7.7
THE CHARACTERIZATION OF HYDROGEN COMPOSITION IN HYDROGENATED AMORPHOUS SILICON THIN FILMS USING SIMS. Gary R. Mount , Stephen P. Smith and Joseph F. Kirdhhoff, Charles Evans & Associates, Redwood City, CA.

Secondary Ion Mass Spectrometry (SIMS) is a well known and highly utilized characterization tool for profiling dopants in semiconductor materials due to its high sensitivity to all elements, and good depth resolution. SIMS can also be very effective for the determination of stoichiometry in thin film materials. By using the CsM+ analytical protocol, composition as a function of depth can be characterized achieving high levels of accuracy, precision and depth resolution. In this study we investigate the use of SIMS and the CsM+ analytical protocol for the characterization of hydrogen in hydrogenated amorphous silicon. Accuracy of the composition determination is investigated and results are compared with Hydrogen Forward Scattering (HFS) measurements. Precision of the measurement is vital for process development and control since differences between films need to be compared. Precision is examined by comparing a number of measurements from the same sample and we show better than $\pm$5$\%$ precision. Profile depths are usually ascertained by measuring the SIMS crater with a stylus profilometer. Accuracy is dependant on the profilometer, and in the assumption that the sputter rate does not change during the analysis. There has been some discussion that hydrogen content at the percent levels in silicon changes sputter rate. We investigate and report results of sputter rate as a function of hydrogen composition. Finally we investigate depth resolution. As device sizes scale downwards, film thickness also decreases. By decreasing primary beam energy, SIMS primary beam mixing effects can be reduced and depth resolution improved so that composition can be investigated in 3.0nm thick films.

SESSION A8: POSTER SESSION:
HETEROGENEOUS MATERIALS
Chair: Jan Kocka
Tuesday Evening, April 6, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A8.1
STRESS IN HYDROGENATED MICROCRYSTALLINE SILICON THIN FILMS. D. Peiro, C. Voz, J. Bertomeu, J. Andreu , E. Martinez and J. Esteve, Dept of Applied Physics and Optics, Universitat de Barcelona, Barcelona, Catalunya, SPAIN.

A range of hydrogenated silicon alloys can be deposited by hot-wire CVD. The films have structures ranging from amorphous to nearly pure microcrystalline. All these materials are electronically active and have applications in photovoltaic devices, thin film transistors and sensors. The films present internal stresses that depend on material structure. These stresses influence the electronic properties of the films and also their mechanical stability. In the present work we have obtained a range of material structures by controlling the deposition parameters of the films. The structure of these materials has been studied by X-ray diffraction, and the internal stresses have been characterized by beam bending method and microscratch test method. Correlation between stress and the electrical properties has been discussed.

A8.2
STRUCTURAL CHARACTERIZATION OF MICROCRYSTALLINE Si:H FILMS DEPOSITED AT LOW TEMPERATURE BY REMOTE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION FROM SiH4-H2/He AND SiF4-Si2H6-H2. Young-Bae Park , AMLCD Development Team I, Samsung Electronics Co., San 24 Kiheung-Eup, Yongin-City, Kyunggi-Do, REPUBLIC OF KOREA; Xiaodong Li, Department of Mechanical Engineering, The Ohio State University, Columbus, OH; Shi-Woo Rhee, Laboratory for Advanced Materials Processing (LAMP), Department of Chemical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Kyung-buk, REPUBLIC OF KOREA.

Silicon films with nanometer-scale microstructure are widely used as active and contact layers in thin film transistors (TFTs). Si:H films deposited on c-Si, Corning 7059 glass, thermally-grown SiO2, and SiNx:H at low temperatures (150-450$^{\circ}$C) by remote plasma enhanced chemical vapor deposition (PECVD) from SiH4-H2/He and Si2H6-SiF4-H2 were characterized using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman spectroscopy, X-ray diffraction (XRD), UV-visible spectrometer, and FT-IR. The Si:H films were found to be a mixture of amorphous and polycrystalline phases. The polycrystalline fraction of the as-deposited films is ranged from 55 to 85%. The polycrystalline phase exhibits columnar structure with needle-like grains. The grain size is ranged from 2 to 50 nm. The effects of substrates and deposition parameters on crystallinity, grain shape and size, preferred orientation, and polycrystalline fraction were studied. The grain size values measured by different characterization techniques were compared. The roles of hydrogen, helium and fluorine were elucidated to explain low temperature crystallization and grain boundary passivation processes.

A8.3
TRANSITION FROM HYDROGENATED AMORPHOUS SILICON TO MICROCRYSTALLINE SILICON. A. Kattwinkel , R. Braunstein, Department of Physics and Astronomy, University of California at Los Angeles, Los Angeles, CA; Qi Wang, National Renewable Energy Laboratory, Golden, CO.

The electronic transport properties of a series of samples prepared by hot-wire chemical vapor deposition with a transition from the a-Si:H to the $\mu c$-Si:H region was measured applying the photoconductive frequency mixing technique. We found both improved stability and partly dramatically different values for the photomixing lifetime and mobility close to the onset of microcrystallinity. In particular, the photomixing-lifetime of charge carriers in some of the $\mu c$-Si:H samples turns out to lie about two orders of magnitude higher than that of the a-Si:H films. The mobility, on the other hand, is shown rather to decrease at the transition to the $\mu c$ - region. Additional measurements of the range and depth of long-range potential fluctuations yield a possible explaination for our results: grain boundaries may serve as scattering centers and barriers against recombination.

A8.4
ANISOTROPIC TRANSPORT IN MICROCRYSTALLINE P-I-N DEVICES. M. Fernandes, A. Maccarico, J. Martins, P. Louro, M. Vieira , Electronics and Communications Department, ISEL, Lisboa, PORTUGAL; A. Fantoni, FCT/UNL, Monte da Caparica, PORTUGAL; R. Schwarz, Dept. Physics, IST, Lisboa, PORTUGAL.

Entirely $\mu$c-Si:H p-i-n structures presenting an enhanced sensitivity to the near infrared region (>1000 nm) and a positive spectral response are analysed under different external voltage bias and light illumination conditions.
In order to gain insight into the transport mechanism we have correlated the experimental current-voltage dependence and the spectral response at different applied bias with the structural and optoelectronic properties of the individual layers. Results show that the external voltage and light wavelength are the limiting factors in the device performance under reverse or forward bias lower than the open circuit voltage (VOC). For forward bias higher than VOC the device behaviour is limited mainly by the i-layer structure and it is almost independent of the external conditions.
A two phase model to explain the transport properties is proposed using as input parameters the measured experimental data. The anisotropy of the microcrystalline material is approached applying the heterostructure transport equations on a two-dimensional rectangular domain. The role played by the boundary regions between the crystalline grains and the amorphous tissue is treated similarly to a junction interface and leads to the presence of local electric fields in these regions. The influence of the local electric field on the transport mechanism is outlined.
The results show that the transport is mainly concentrated in the crystalline grains. The conduction within the amorphous regions is poor and it contributes to the transport only by allowing a percolation of the carriers through the crystalline grains. The percolation path is different for electrons and holes and is determined by the local fields at the boundaries. These local fields are independent of the externally applied condition, and they can be related to the persistence of the small photocurrent observed when a bias voltage is applied which is higher than the open circuit voltage.

A8.5
OPTIMIZATION OF REACTIVE MAGNETRON SPUTTERED MICROCRYSTALLINE SILICON FILMS USING LOW ENERGY ION BOMBARDMENT. J.E. Gerbi , J.R. Abelson, Coordinated Science Laboratories and the Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, IL.

We use real-time spectroscopic ellipsometry and post-deposition TEM to analyze the formation kinetics and microstructure of hydrogenated or deuterated microcrystalline (uc-Si:H or D) thin films. 0.5 micron thick microcrystalline films are of current interest for solar cell, hybrid solar cell, and thin film transistor applications. Additionally, we have demonstrated that very thin (100A) uc-Si films on glass can serve as nucleation layers for the direct deposition of px-Si at temperatures less than 450$^{\circ}$C [1]. In this work, we explore the influence of low energy ion bombardment during deposition on the phase formation and microstructure of uc-Si:H(D) films. We deposit uc-Si:H(D) films by reactive magnetron sputtering of a Si target using 1.60mT Ar plus H2 or D2 at partial pressures from 4.5 to 5.5mT, with substrate temperatures as low as 140$^{\circ}$C. In our system, the ion flux and energy are decoupled parameters: to modify the ion flux, a cylindrical magnetic field created by external Helmholtz coils directs a weak plasma toward or away from the substrate; to modify the ion energy, the substrate is biased with respect to the anode. The ratio of arriving ions to depositing Si atoms can be varied from $\sim$ 1 to > 30. We show that biasing of the substrate to produce ion energies $\le$ 50 eV (as often done in conventional diode sputtering systems at higher pressures) produces damage which degrades the uc-Si microstructure. We find marked differences in microstructure using high fluxes of ions at ion energies <$\sim$ 30 eV, and we will report both the microstructural and electronic properties of the films.

A8.6
LOW TEMPERATURE, DIRECT DEPOSITION OF THIN, FULLY POLYCRYSTALLINE SILICON THIN FILMS USING PLASMA IMMERSION ION IMPLANTATION. Jung H. Shin and Hwang Huh, Korea Advanced Institute of Science and Technology (KAIST), Dept. of Physics, Taejon, KOREA.

Direct, low temperature deposition of polycrystalline silicon thin films is an often sought goal. However, films deposited by conventional plasma enhanced chemical vapor deposition contain an initial thin layer of amorphous/nanocrystalline silicon, posing a problem for applications which require film thickness of 100 nm or less. It is well known that ion irradiation can induce effects such as beam enhanced grain growth, beam enhanced nucleation, and beam enhanced crystallization which would be beneficial for depositing fully polycrystalline thin films at low temperatures, but so far ion irradiation could not be applied in a pratical manner. Here we report on direct, low-temperature deposition of thin, fully polycrystalline Si films using plasma immersion ion implantation during electron cyclotron resonance plasma enhanced chemical vapor deposition of SiH4. The plasma and the implantation process provide the power to heat the substrate, obviating the need for external heating. Fully polycrystalline films with thickness of less than 50 nm and grain sizes of several tens of nm can be deposited at deposition temperatures as low as 480$^{\circ}$C. The beam-solid interaction during the initial stage of film deposition is identified to be important, and the possible mechanisms will be discussed.

A8.7
STRUCTURAL PATHSWAYS TO FORMATION OF Si NANOCRYSTALS FROM REMOTE PECVD THIN FILMS: DIFFERENCES BETWEEN SUBOXIDES, SiOx, AND CARBON-DOPED SUBOXIDES, SiOxCy. David Wolfe , Gerald Lucovsky, NC State University, Raleigh, NC.

Studies are reported on the thermal-dissociation of suboxide films of i) Si and O, and ii) Si,O, and C, each deposited by RPECVD at $\sim$250$^{\circ}$C. Alloy compositions have been determined by X-ray photoelectron spectroscopy (XPS) and Rutherford back scattering (RBS), and coupled with infrared (IR) absorption indicate that the films are inhomogeneous with Si rich and Si-O rich regions. Analysis of as-deposited films indicates bonded hydrogen predominantly in Si-H arrangements. Film chemistry and local bonding structure have been tracked by infrared absorption spectroscopy (IRAS) and Raman scattering as a function of post-deposition annealing temperatures. Upon annealing to 500$^{\circ}$C, the bonded H is effectively eliminated from both the SiOx and SiOxCy films, and a further separation into Si-rich and Si-O rich regions is identified through increases in the frequency of the Si-O-Si bond stretching vibration. Upon additional annealing to 900$^{\circ}$C, the SiOx films show a separation into Si nanocrystals and stoichiometric SiO2, as evidenced by IRAS, Raman and transmission electron microscopy (TEM) characterizations. The SiOxCy films initially show no C-O bonding by IRAS; however on annealing to 800-1000$^{\circ}$C display Si-O-C groups which are eliminated upon further annealing to $\sim$1050$^{\circ}$C. After annealing to $\sim$1050$^{\circ}$C, there is IRAS, Raman and TEM evidence for separation into Si nanocrystals, stoichiometric SiO2, and a disordered Si-C material in which C atoms are bonded to four Si-neighbors which are in turn bonded to O atoms and encapsulated in an SiO2 network. This paper presents the first experimental evidence for i) solid state Si-O-C bonding in a metastable phase-separated alloy, and ii) SiO2 terminated, chemically-ordered Si-C non-crystalline bonding arrangements.

A8.8
AMORPHOUS SILICON PRECIPITATES IN (100) C-Si FILMS GROWN BY ECR-CVD. M. Birkholz , J. Platen, I. Sieber, W. Bohne, J. Roehrich, W. Fuhs, Hahn-Meitner-Institut, Berlin, GERMANY.

Understanding the growth process of silicon films is of relevance for the development of thin film Si solar cells. For this purpose, silicon films were grown on (100) ${\it n}$-Si with an electron-cyclotron resonance chemical vapor deposition (ECR-CVD) system by decomposition of SiH4 at 325$^{\circ}$C. Structure and composition of thin films were investigated by SEM, Raman spectroscopy, SIMS and elastic recoil detection analysis (ERDA). Epitaxial growth was achieved for some hundred nm thin film [1]. For more than $\sim$ 1 $\mu$m thick films, however, SEM revealed the occurrence of conical structures orientated upside-down with their basal plane in the film surface. The apex of the cones is typically situated 300-500 nm above the wafer-film interface and their aperture angles range from 30-40$^{\circ}$. Depth-profiling of the elemental composition of thin films by means of ERDA showed the hydrogen content ${\it C}$H(${\it z}$) to exhibit a pronounced increase with increasing film thickness ${\it z}$, reaching concentrations up to 2.8 $\%$ in the top-most layer of a 1.3 $\mu$m thick film. Position-sensitive Raman reflection spectroscopy evidenced the coexistence of c-Si and a-Si:H by the occurrence of two bands at 520 and 480 cm-1. The ratio of integrated intensities ${\it
I}$520/${\it
I}$480 was found to depend sensitively upon the position of the 1 $\mu$m laser spot on the sample surface. We assume the conical structures to consist of pure a-Si:H embedded in single-crystalline Si. Due to the geometrical shape of precipitates the a-Si:H volume fraction increases with increasing film thickness. This model can consistently explain all experimental results, i. e. the monotonic increase of the hydrogen content ${\it C}$H(${\it z}$) and the position sensitivity of Raman spectroscopy. [1] J. Platen, U. Zeimer, B. Selle, K. Kliefoth, S. Brehme, W. Fuhs, MRS Spring Meeting 1999, symposium V

A8.9
GROWTH AND PROPERTIES OF MICROCRYSTALLINE GERMANIUM-CARBIDE ALLOYS. Jason Herrold , Vikram L. Dalal, Dept. of Electrical and Computer Engr., Iowa State University, Ames, IA.

We report on the preparation and properties of microcrystalline (Ge,C) alloys grown using a remote, reactive H plasma beam deposition technique. The plasma beam was generated using an ECR reactor. The films were grown at low temperatures (about 300 C) on glass, stainless steel and c-Si substrates. The optical properties of the films were measured using spectrophotometer and two-beam photoconductivity techniques. The C content was measured using XPS techniques. We find upto 3% C incorporation in the lattice. The degree of crystallinity determined using Raman spectroscopy was very good. x-ray diffraction measurements indicated grain size in the range of a few ten nm. The best crystallinity was obtained on conducting substrates, indicating the importance of H ion bombardment in promoting crystallinity. We find that the absorption curves for increasing C content remain similar to the curves for c-Ge, but are shifted to higher energies. Thus, the absorption curve is sharper than for c-Si in the same energy range.The defect densities remain low for the range of C content measured. Because of its sharper absorption curve compared with c-Si, the material may be attractive for photovoltaic energy conversion.

A8.10
INFLUENCE OF THE VARIATION OF INTERELECTRODE SPACE IN THE DEPOSITION OF MICROCRYSTALLINE SILICON FILMS BY PECVD. Dimitris Mataras , Lefteris Amanatidis, University of Patras, Dept of Chemical Engineering, Patras, GREECE.

A major problem in the 13.56 MHz Plasma-Enhanced Chemical Vapor Deposition (PECVD) deposition of $\mu$c-Si from highly diluted silane in hydrogen, is that the deposition rate becomes very low when high crystallinity is desired. A very important parameter influencing the deposition rate, is the discharge geometry, in terms of interelectrode space and powered-to-grounded surface area ratio. In this work we present results concerning the influence of interelectrode distance on the deposition rate of $\mu$c-Si, along with measurements of the actual power consumed in the discharge and the spatial distribution of emission from different active species. Power measurements are based on Fourier transform current and voltage analysis, on a well characterized reactor. The deposition rate measured for distances between 10 and 25mm for 2% SiH4 in H2, at a total pressure of 500mTorr and constant peak to peak voltage Vpp=400Volt, presents a maximum at 17mm. The combination of electrical and spatially resolved optical emission intensity of SiH* measurements at the same conditions leads to a separation of the deposition conditions into three regimes. One between 10-14mm, where the effective electron density decreases, leading to very low rates. Another one between 15-18mm where the dissociation of silane increases and the low distance enhances the surface-arrival propability of radicals, thus resulting to the highest rates. And finally a third region between 20-25mm where the production of radicals is high, however their consumption before reaching the growing surface, due to secondary gas-phase reactions increases, leading to a decrease of the deposition rate. No major influence of the interelectrode spacing on the crystallinity of the films is observed, remaining at all cases nearly 70%, as measured by laser Raman spectroscopy. This behavior reveals the importance of discharge geometry in order to optimize the deposition rate of microcrystalline silicon. The combination of these results with the optical emission and the power measurements gives the possibility for an in depth analysis of the reasons leading to the observed phenomena.

A8.11
BONDING CONSTRAINTS AT CRYSTALLINE-NON- CRYSTALLINE INTERFACES: APPLICATION TO MICROCRYSTALLINE Si AND MICROCRYSTALLINE Si,O ALLOYS. Gerald Lucovsky , NC State Univ, Dept of Physics, Raleigh, NC; James C. Phillips, Lucent Bell Labs, Murray Hill, NJ.

Limitations on the performance of solar cell and thin film transistors (TFTs) associated with intrinsic metastable defect formation during device operation have prompted a renewed interest in the implementation of microcrystalline Si ($\mu$c-Si) and microcrystalline Si,Ge ($\mu$-Si) alloys in these device structures. Unlike, a-Si:H, $\mu$c-Si and$\mu$c-Si,Ge do not display photo- or current-induced metastable defect formation. The transport and phototransport properties of intrinsic $\mu$-Si are improved significantly by boron compensation, so that this quasi-intrinsic material can then be integrated into TFTs that display drive currents, under equivalent gate and drain bias voltages, that are more than an order of magnitude higher than in devices with a-Si:H channel regions. This paper provides a new approach to understanding how bonding at the amorphous-Si nanocrystal-Si internal interfaces in the $\mu$c-Si material plays a crucial role in determining their electrical properties. Lucovsky and Phillips [1] have previously demonstrated that constraint theory, as originally developed for bulk glasses [2], can be extended to interfaces between crystalline Si and SiO2 and Si3N4 in field effect transistor (FET) gate stacks. This paper further extends this concept to internal interfaces between nanocrystals and their encapsulents in microcrystalline materials. This approach provides explanations for: i) the preferential bonding of H on nanocrystal surfaces in µc-Si:H, ii) the microscopic mechanism by which boron compensation reduces defects in $\mu$c-Si; and iii) the structural perfection of nanocrystal Si-SiO2 interfaces in $\mu$c-Si,O alloys as demonstrated by the absence of any photoluminescence associated with crystal Si surfaces with nanoscale roughness [3]. [1] G. Lucovsky and J.C. Phillips, J. Non-Cryst. Solids 227, 1221 (1998). [2] J. C. Phillips, J. Non-Cryst. Sol. 34,153 (1979); 43, 37 (1981). [3] G. Lucovsky, A. Banerjee, B. Hinds, B. Claflin, K. Koh, and H. Yang, J. Vac. Sci. Tech. B 15, 1075 (1997).

SESSION A9: POSTER SESSION:
HETEROGENEOUS AND HETEROJUNCTION SOLAR CELLS
Chair: Christopher R. Wronski
Tuesday Evening, April 6, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A9.1
USE OF A GAS JET TECHNIQUE TO PREPARE MICROCRYSTALLINE SILICON BASED SOLAR CELLS AT HIGH I-LAYER DEPOSITION RATES. S.J. Jones , R. Crucet, X. Deng, J. Doehler, R. Kopf, A. Myatt and M. Izu, Energy Conversion Devices, Inc., Troy, MI.

A Gas Jet technique has been used to prepare microcrystalline silicon thin films at high deposition rates. The techniques involve the use of a gas jet flow which is subjected to a high intensity microwave source. With this technique, microcrystalline Si films have been prepared at deposition rates as high as 20 $\AA$/s. The films have dense microstructures which do not absorb oxygen upon exposure to the atmosphere. The quality of the material has been optimized through variation of a number of deposition conditions including the substrate temperature, the silane and hydrogen gas flows, and the applied power. The best films were made using deposition rates near 16 $\AA$/s. These materials have been used as i-layers for nip single-junction solar cells. The doped layers for these cells were made using standard PECVD practices and different deposition equipment. As expected, the cells absorb a significant amount of red light. Using a 610nm cutoff filter which only allows red light to strike the device, pre-light soaked currents as high as 10 mA/cm2 and 2% red-light efficiencies have been obtained. This compares with 11-12 mA/cm2 and 4% red-light efficiencies obtained for high efficiency a-SiGe:H devices. The efficiencies for the microcrystalline Si cells are presently handicapped by low open circuit voltages (near 0.45V) due to the low bandgap of the material. However, further optimization of the cell structure should lead to improvements in the FF for the microcrystalline cells. After long-term light soaking, the efficiencies for the a-SiGe:H cells degrade by 10-15% while those for the microcrystalline cells degrade less than 5%. With this increased stability, one should obtain higher stable efficiencies for the microcrystalline silicon devices with further deposition condition optimization and material improvements.

A9.2
MICROSTRUCTURAL DEFECTS OF DEVICE QUALITY HOT-WIRE CVD POLY-SILICON FILMS. Jatin K. Rath and Ruud E.I. Schropp, Utrecht University, Debye Institute, Utrecht, THE NETHERLANDS.

Cells incorporating profiled poly-Si:H films as i-layers have been made at a deposition rate of 0.5 nm/s on stainless steel substrates in the configuration SS/n-$\mu$c-Si:H(PECVD)/i-poly-Si:H(HWCVD)/p-$\mu$c-Si:H(PECVD)/ITO. The profiled layers are made by depositing device-quality poly-Si:H layers on top of a seed layer of high nucleation density. The polycrystallinity of the i-layer was confirmed by Raman spectroscopy of the cell before deposition of the ITO. The XTEM image of the cell on a SS substrate shows that the n-layer is immediately microcrystalline right at the interface with the SS. Some cracks are observed in the n-layer in the planar direction when the n-layer is deposited at a lower substrate temperature than the poly-Si i-layer. A high-temperature n-microcrystalline layer is necessary to withstand the subsequent deposition of a poly-Si:H layer. However, the p-microcrystalline layer is uniform and the p/i interface is continuous. In the poly-Si:H layer two types of voids exist: spherical voids with sizes all below 15 nm, and elongated voids, often present between columns of large crystals that are 150-250 nm wide at the top. The density of spherical voids is usually $\approx$ 50/$\mu$m3 for the 5-15 nm sized voids, but larger concentrations occur locally, up to 1000/$\mu$m3. In some areas small voids (< 5 nm) occur in large densities (2000/$\mu$m3). The above result clearly shows the compact nature of poly-Si:H films. On the other hand the seed layer is highly porous and has large interconnected voids at a density of 25000/$\mu$m3. For our n-i-p cell with poly-Si i-layer we further increased the efficiency to 4.41 % with a fill factor of 0.607. A current density of 19.95 mA/cm2 is generated in an only $\approx$ 1.2 $\mu$m thick i-layer without back reflector. The diode quality factor determined from dark I-V characteristics is 1.54, which suggests significant drift component to the collection of photogenerated carriers. A comparison of quantum efficiency of this cell with a poly-Si i-layer and a cell (in the same configuration) with an amorphous silicon (HWCVD) i-layer confirms the high current generating potential of the poly-Si cell in the low energy region. The present work demonstrates the high current generating capacity of a thin film cell on a foreign cheap substrate using a HWCVD poly-Si:H i-layer.

A9.3
MICROCRYSTALLINE SILICON N/P AND P/N TUNNEL JUNCTIONS FOR AMORPHOUS SILICON-BASED MULTIJUNCTION SOLAR CELLS. Joohyun Koh, A.S. Ferlauto , P.I. Rovira, C.R. Wronski and R.W. Collins, Center for Thin Film Devices, The Pennsylvania State University, University Park, PA.

We have applied real time spectroscopic ellipsometry (RTSE) to characterize the formation of completely microcrystalline silicon ($\mu$c-Si:H) tunnel junctions consisting of p and n layers in both p-on-n (n/p) and n-on-p (p/n) configurations for use in amorphous silicon (a-Si:H) based p-i-n and n-i-p multijunction solar cells, respectively. The a-Si:H intrinsic layers and $\mu$c-Si:H doped layers are prepared by plasma-enhanced chemical vapor deposition at 200$^{\circ}$C using recipes optimized for single junction devices. RTSE provides information on the nucleation behavior, as well as the microstructural evolution and the thickness-dependent dielectric function for the $\mu$c-Si:H doped layers in the actual device configuration. The results of this study reveal the importance of the effect of the underlying film structure on the evolution of the overlying film properties. As an example, $\mu$c-Si:H n layers prepared on a-Si:H i layers in the p-i-n solar cell configuration exhibit optical properties consistent with a single-phase, nanocrystalline structure after  200 $\AA$; e.g., the room temperature absorption onset is near 2.4 eV. In contrast, when the $\mu$c-Si:H n layer is prepared under identical conditions on a 200 $\AA$ $\mu$c-Si:H p layer, in order to simulate the tunnel junction of an n-i-p multijunction device, the 200 $\AA$ n layer exhibits a much larger grained structure and bulk-like crystal Si optical properties, similar to what would be expected for a 400 $\AA$ p layer. This experiment reveals that the grain structure is continuous across the $\mu$c-Si:H p/n interface. This behavior suggests that both the p and n layers in the tunnel junction are modified and show characteristics of a larger grained electronic material than the individual layers in single junction devices. We characterize in detail controlled methods for disrupting the continuous grain structure across the n/p junction for enhanced recombination, for example, through plasma treatments and interface layers that lead to a renucleation of the subsequent $\mu$c-Si:H layer.

A9.4
ETCHING CONDITIONING OF TEXTURED CRYSTALLINE SILICON SURFACE FOR a-Si/c-Si HETEROJUNCTION. Maria Luisa Addonizio, Rosario De Rosa, Francesco Roca , Ettore Chiacchio, Mario Tucci, Centro Ricerche ENEA, Portici, ITALY.

The development of hybrid heterojunction fabricated by growing ultrathin amorphous silicon by Plasma Enhanced Chemical Vapour Deposition using temperatures below 250$^{\circ}$C offers the potential to obtain high efficiency solar cells even on glassy substrates. The surface preparation represents one of the most critical process steps. We investigated several wet and dry cleaning procedures of the crystalline substrate to leave an uncontaminated surface, both for mono and poly silicon. The best results were obtained by dry etching process involving CF %3, for a wide range of gate on-periods, 10ms- 80ms, and gate on-voltages, 15V- 30V, which indicates an efficient charge transfer by the switching TFT. These results demonstrate that in our devices, the image lag is controlled by the intrinsic defect levels in the a-Si photodiode.

A16.2
MORE INSIGHT INTO THE TRANSIENT PHOTOCURRENT RESPONSE OF THREE-COLOR DETECTORS. Helmut Stiebig , Bernd Stannowski, Dietmar Knipp, Heribert Wagner, Forschungszentrum Juelich, Juelich, GERMANY.

Two terminal devices as nipiin or piinip structures based on amorphous silicon can be used as color detectors. Due to the voltage controlled collection efficiency the spectral sensitivity can be shifted from blue to green and red. These devices show pronounced stationary properties as good color separation, high linearity between the photocurrent and the incident light and a high dynamic range exceeding 95dB. However, the transient behavior of these devices limits their application in the field of electronic imaging. Especially the photocurrent responses after switching-on of light show considerable delay times. Under certain illumination conditions a delayed photocurrent onset or a sign reversal during the photocurrent onset is observed depending on the applied bias and wavelength. To explain these properties of a nipiin detector numerically calculated transients are compared with experimental data. The simulation program is based on a spatial defect distribution resembling the defect-pool model. The delay times can be explained by recharging of dangling bonds. The delayed current onset and the sign reversal is attributed to trapping of holes in the vicinity of the central p layer. This results in a remarkable potential shift in the central p layer. The potential shift is caused by the depletion of the p layer in the dark and a refilling of states under illumination. In the transition region the presumably forward biased diode after light exposure is temporarily reverse biased. Therefore, this diode generates a photocurrent which compensates or overcompensates the photocurrent of the expected reverse biased diode. The numerical simulations point out that the inherent transient behavior of the color detector based on two antiseriell connected diodes is limited by the refilling rate of defects. This fact explains the nearly linear relationship between the increase of the delay time with a decrease of the light intensity.

A16.3
NEAR INFRARED RESPONSE OF AMORPHOUS SILICON DETECTOR GROWN WITH MICROCOMPENSATED ABSORBER LAYER. D. Caputo , G. de Cesare, A. Nascetti, F. Palma, University ``La Sapienza'', Dept. of Electronic Engineering, Rome, ITALY; M. Tucci, ENEA-CRIF, Portici, ITALY.

In this work we report on the detailed characterization of the near infrared response of an hydrogenated amorphous silicon sensor. The device is based on a micro-compensated absorber layer in a single junction structure as presented at the MRS Spring Meeting 1998. Photocurrent response up to 2 $\mu$m has been observed at room temperature. This sensitivity is ascribed to optical excitation of thermal generated carriers from trap states toward valence and conduction band and to the electric field distribution in the device.
Preliminary results achieved by modeling the experimental data with a numerical model able to take into account sub-band gap absorption, indicates that the shape of the photocurrent as a function of incident wavelength can be reproduced assuming a slope of the band tail equal to 80 meV, Gaussian distributions of dangling bonds lying at 0.82 and 1.05 eV and charged defects positioned around 1.35 eV above the valence band. The model explains also the enhancement of the sensitivity under forward bias voltage experimentally observed.
The possibility to use the infrared sensor in low bit rate communication systems (up to 125 kbit/sec) has been demostrated by including our detector in a front-end system.

A16.4
EFFECTS OF MATERIAL PROPERTIES IN THREE COLOR AMORPHOUS SILICON DETECTORS. D. Caputo , F. Palma, F. Irrera, University ``La Sapienza'', Dept. of Electronic Eng., Rome, ITALY; L. Colalongo, Bologna Univ., Dept. of Electronic Eng., Bologna, ITALY; F. Lemmi, Xerox PARC, Palo Alto, CA; M. Tucci, ENEA-CRIF, Portici, ITALY.

Practical use of color sensors in large area arrays requires periodic readout of the photo-charge stored in the parasitic capacitance of the device by a transient technique of sensing [1]. In a-Si:H stacked junctions devices color information is obtained by the so called self -biasing process [2]: during an integration time, the three junctions independently loose charge; during the reading pulse parasitic capacitance of the three junctions in serie are re-charged. The net balance between the lost and re-fed charge depends on the voltage drop at junctions. Equilibrium is reached after a few cycles, when charge lost in a cycle is the same in the three cells, and equal to the re-fed one. This charge is estabilished by the reverse biased junction and accounts for the light intensity. In this work we study the effects of material properties on the reading process of two and three color detectors by using two dimensional simulation program in transient regime. We describe effects of the density of defects in intrinsic and doped layers of the structure, including the presence of quasi-shallow defects in a-SiC:H, doped materials, and at interfaces. Simulations show the possibility to engineer materials in color detector materials in order to optimize response speed as a function of the illumination intensity range. [1] R. L. Weisfield, Mat. Res. Soc. Symp. Proc. Vol. 258 (1992), p. 1105. [2] F. Irrera, F. Palma, F. Lemmi, M. Diotallevi, Mat. Res. Soc. Symp. Proc. (1998)

A16.5
SIGNAL MULTIPLICATION AND LEAKAGE CURRENT SUPPRESSION IN AMORPHOUS SILICON P-I-N DIODES BY FIELD PROFILE TAILORING. Wan-Shick Hong * and Victor Perez-Mendez, Physics Division and *Engineering Division, Lawrence Berkeley National Laboratory, Berkeley, CA; Fan Zhong, dpiX, Palo Alto, CA; Ali Mireshghi, Sharif University, Tehran, IRAN.

The performance of amorphous silicon p-i-n diodes as radiation detectors in terms of signal to noise ratio can be greatly improved when there is a built-in gain mechanism. We describe an avalanche gain mechanism which is achieved by introducing stacked, intrinsic, p-type, and n-type layers into the diodes structure. We replaced the intrinsic layer of the conventional p-i-n diodes with i-p-i*-n-i multilayers. The i* layer (typically 1 3 microns thick) achieves an electric field higher than 106 V/cm, while maintaining the p-i interfaces to the metallic contact at electric fields lower than 7 x 104 V/cm, when the diode is fully depleted. For use in photo-diodes applications the whole structure is less than 10 microns thick. Avalanche gains of 10 50 can be obtained when the diode is biased to  500 V. Also, dividing the metallic electrodes into strips of 2 microns wide and 20 microns apart reduced the leakage current up to an order of magnitude, and increased light transmission without creating inactive regions.

A16.6
CHARACTERIZATION OF MULTILAYER HYDROGENATED AMORPHOUS SILICON FILM STACKS. Ting Cheong Ang , Man Siu Tse, Nanyang Technological University, School of Electrical & Electronics Engineering, SINGAPORE; Lap Chan, John L. Sudijono, Chartered Semiconductor Manufacturing, R&D Dept, SINGAPORE.

a-Si:H is used in thin film transistors (TFTs) for flat panel displays, optoelectronic devices and schottky barrier diodes. Under high electric field stress, the bonds in a-Si:H are broken, resulting in several orders increase in the leakage current. This leads to considerable power consumption. To reduce the leakage current, various barrier layers are incorporated together with a-Si:H, forming multilayer a-Si:H film stacks. In this paper, the properties of the multilayer a-Si:H film stacks are compared and discussed with that of aSi:H. In the multilayer a-Si:H film stacks, a-Si:H is sandwiched between dielectrics like silicon oxide and silicon nitride films. The silicon oxide and silicon nitride films are used as barriers to reduce the leakage current in a-Si:H. The $\alpha$-Si:H film and the dielectrics are deposited sequentially by plasma enhanced chemical vapor deposition(PECVD) in an in-situ process. Characterization of the a-Si:H and multilayer a-Si:H film stacks is carried out using metal-insulator-metal (MIM) capacitor structures. Both single capacitor structures and capacitor arrays are fabricated and used for the electrical characterization. Leakage currents in a-Si:H can be reduced by several methods, one of which is by increasing the a-Si:H film thickness. However, increasing the film thickness yields only marginal reduction in the leakage currents. On the other hand, significant leakage current reduction of up to three orders of magnitude is achieved with the addition of the silicon oxide and silicon nitride barrier films. In addition, higher breakdown voltage is also obtained. The composition of the film stack influences the electrical properties and I-V characteristics show that the greatest leakage current reduction is achieved with a silicon oxide/a-Si:H/ silicon oxide film stack.

A16.7
DIRECTIONAL BREAKDOWN OF METAL/a-Si:H/n c-Si DIODES AND ITS APPLICATIONS IN PROMs. Hong Zhu and Stephen J Fonash, The Pennsylvania State University, Electronic Materials and Processing Research Laboratory, University Park, PA.

We have found that we can cause directional breakdown of specifically constructed metal/intrinsic a-Si:H/n c-Si heterojunction Schottky diodes. After the required voltage stressing treatment, we observed that the forward current is increased by at least two orders of magnitude; however, the reverse leakage current typically is reduced. We found this process is not reversible when stabilized with an annealing (180$^{\circ}$C) step. If excessive voltage stress is not used, diodes remain unchanged even when exposed to higher temperatures than those used for the annealing. This directional breakdown phenomenon is found to be a-Si dependent; ie, we did not find this behavior in diodes where different deposition conditions were used for the a-Si.. We will discuss the possibility of using this behavior to fabricate Programmable Read Only Memory cells. Using our AMPS software, we also studied this breakdown mechanism and described design suggestions for even further improving the performance.

A16.8
INNOVATIVE DIODES BASED ON AMORPHOUS-POROUS SILICON HETEROJUNCTION. Rosario De Rosa , Vera La Ferrara, Girolamo Di Francia, Luigi Quercia, Laura Lancellotti, Francesco Roca, Mario Tucci, CR ENEA Portici, ITALY.

In this paper we present an innovative diode based on the heterojunction between amorphous silicon and porous silicon growth on c-Si. The device takes advance from both technologies. In fact, amorphous silicon is easily deposited by Plasma Enhanced Chemical Vapour Deposition and it has a double effect: realisation of an high performance junction at temperature less than 250$^{\circ}$C, and passivation of the porous silicon material against the natural oxidation due to ageing in the environment.. The porous technology allows to obtain a controlled textured silicon surface independently from crystalline silicon orientation just to give the opportunity to reduce surface reflectivity and blue shift of the absorption spectra in solar cell application. The high surface/volume ratio of porous material increases the response of the electrical parameters as effect of change in external conditions so the devices can be successfully used like gas sensor. We realised several diodes starting from a p-type <100> oriented 7-13 $\Omega$/cm resistivity crystalline polished wafer on which we grew a porous layer of few microns by electrochemical etching of silicon in 2 M HF/Acetonitrile solution. We used aqueous HF solution to remove the nano-porous phase leaving only the macroporous surface. Amorphous n+ layer was deposited after dry etching conditioning of the silicon surface. Both diodes and solar cells where characterised by I-V light/dark Capacitance-Voltage-Frequency measurement; solar cells by Q yield measurement, too. In dark condition we found the typical large area planar diode behaviour, showing a Inverse Current J0= 10-7 A$\cdot$cm2. Under standard AM 1.5 light we obtained an encouraging photovoltaic conversion efficiency greater that 10%. We designed a new device configuration that increased the device response to gas exposure.

A16.9
COLLECTION EFFICIENCIES GREATER THAN UNITY BY ELECTRON OR HOLE GATING IN a-Si:H p-i-n DIODES. J.H. Zollondz , C. Main, S. Reynolds, Univ of Abertay Dundee, School of Science and Engineering, Dundee, UNITED KINGDOM; R. Bruggemann, Carl von Ossietzky Univ Oldenburg, Fachbereich Physik, GERMANY.

We report measured hole gating in thick a-Si:H (3.5 $\mu$ m) p-i-n diodes under reverse bias conditions. Previous publications showed very high collection efficiency values for electron gating (p-side bias, n-side probe) up to 50 (i.e. 5000%) for measured and simulated data and predictions of up to 400 (i.e. 40000%) from simulations. Reversing the usual sides of illumination for (electron) gating a situation can be created where, by n-side bias and p-side probe illumination, holes can be gated to travel through the sample and get collected at the contact. Even though the holes have much lower mobility, by this process we can still get collection efficiencies greater than unity. Moreover, the measurement is difficult because of unwanted illumination by stray bias beam photons on the more sensitive p-side, caused by reflections within the apparatus. Simulation of this situation again corroborates the measured data. A wide ranging study of the gating phenomenon in relation to different incident wavelengths and photon fluxes for bias and probe beam was undertaken. Different i-layer thicknesses were examined in relation to gating for these different situations. We present comparisons of electron and hole gating by measurement and simulation and explain the phenomenon in terms of field changes near to the incident bias interface irrespective of the illumination side.

A16.10
CHARACTERIZATION OF CRYSTALLIZED MIXED-PHASE SILICON ON LOW TEMPERATURE OXIDE FILM FOR BEAM CURVATURE IN MICROELECTROMECHANICAL SYSTEMS(MEMS) CHIP. N. Sim and J. O'Connor, Analog Device Inc., Wilmington, MA; T. Dolukhanyan and C. Sung, Center for Advanced Materials, Dept of Chemical & Nuclear Engineering, University of Massachusetts, Lowell, MA.

The microstructures of crystalline mixed-phase silicon films grown by low pressure chemical-vapor deposition(LPCVD), implantation, and thermal annealing processes for beam curvature on accelerometer chip have been investigated by transmission electron microscopy(TEM), spreading resistance(SRP), sheet resistance(Rs), and stress measurements. Results of physical measurements have been related to understand mixed-phase silicon properties as a function of the film thickness, the grain size, doping concentration, junction depths, sheet resistance, and stress. TEM results have shown a good agreement with Rs and SPR ones based upon physical models while stress measuerments before released beam do not reveal the similarity. The negative and positive beam curvature on accelermeter chip depend strongly on their microstructure which was influenced by the temperature and pressure during the undoped amorphous polycrystalline silicon deposition process. When grain sizes and density of grains are comparable, beam curvature depends much more strongly on density of grains at the interface between amorphous polycrystalline silicon and low temperature oxide film. The purpose of this paper is investigate optimized physical properties of polysilicon films with process variables confirmed by TEM microstructures on a submicron scale.

A16.11
NOISE OF a-Si:H PIN DIODE PIXELS IN IMAGERS AT DIFFERENT OPERATING CONDITIONS. Frank Blecher , Bernd Schneider, Jürgen Sterzel, Markus Böhm, Universität-GH Siegen, Institut für Halbleiterelektronik (IHE), Siegen, GERMANY; Konstantin Seibel, Silicon Vision GmbH, Siegen, GERMANY.

Amorphous silicon pin diodes are widely used for large area sensor arrays as well as for Thin Film on ASIC (TFA) image sensors. The noise of the pin diode pixels may limit the dynamic range (DR) and the signal to noise ratio (SNR) of these image sensors. The noise power spectral density of the diode is calculated with experimentally determined flicker noise coefficients by superposition of the thermal noise spectrum and the shot and flicker noise spectra of photocurrent and dark current. Now we propose a new method for the calculation of SNR and DR in pin diode pixels. The method is based on the superposition of the five noise components and on our expansion of Hooge's law. Hooge's law for homogenous materials is modified for the quantitative description of flicker noise in one dimensional pin sensors. The paper validates the superposition of noise components by measurements of SNR and DR. Furthermore formulas to determine the SNR and the DR in a-Si:H pin diode pixels will be presented for the first time. With these formulas the noise can be calculated as a function of pixel area, dark current, photocurrent, and the integration time of the imager. The new method is an efficient tool to calculate SNR and DR of a-Si:H pin diode pixels in imagers, especially when operation conditions vary strongly, e.g. for autoadaptive imagers in TFA-technology. Such imagers cover an illumination in the range of 0.05...100,000 lx and an integration time of 5 $\mu$s...2.56 ms. The calculated SNR and DR are compared with measurements.

SESSION A17: POSTER SESSION:
DEFECTS AND STRUCTURE
Chair: Arthur Yelon
Wednesday Evening, April 7, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A17.1
AMORPHOUS SILICON: STRUCTURE AND DYNAMICS. N. Barriquand , V. Paillard, P. Roca I Cabarrocas, M. Djafari Rouhani, G. Landa, Laboratoire de Physique des Solides, ESA5477 CNRS, Toulouse, FRANCE.

We have determined both by computer simulations and optical measurements, structural and dynamical properties of amorphous silicon layers. A comparison is made between Raman spectra and vibrational density of states. Concerning the simulation, for the construction of the amorphous system as the translational symmetry is broken we have choosen the supercell approach. The description of inter-atomic forces is made through Keating-like semi- empirical potentials. After the minimum total strain energy is reached, the vibrational eigenstates or normal mode frequencies are determined by diagonalization of the dynamical matrix defined with the same potential. Finally, an approximation of the Density of Vibrational States is obtained by sampling the vibrational frequencies spectrum. The influence of growth conditions, and specially the form and distribution of nanovoids is discussed. In order to fit the experimental spectra we have compared different expressions of the potential inside the choosen family. In particular we have focused our attention on the determination of the localized vibrational states and their relationship to the local distribution of heterogeneities and the correlative distribution of strains.

A17.2
ON THE RELATION BETWEEN ELECTRONIC DEFECTS AND CHEMICAL SPECIES IN HYDROGENATED AMORPHOUS SILICON. D. Caputo , G. de Cesare, F. Irrera, A. Nascetti, F. Palma, University ``La Sapienza'', Dept. of Electronic Engineering, Rome, ITALY; F. Lemmi, Xerox PARC, Palo Alto, CA.

In this work we discuss a unified model based on the mutual interaction between hydrogen and occupation of electronic defects. The basic assumption is that different hydrogen configurations correspond to different electronic defects. In particular, clustered hydrogen relates to charged defects, while diluted hydrogen relates to dangling bonds. Equilibrium is established between the different configurations depending on the specie concentration and the formation energy. Since in principle the specie is formed by both the hydrogen configuration and the related electronic defect, the formation energy depends on electronic occupation probability changing under charge injection. Photoconductivity model determines the electronic defect occupation and the variations of defects formation energies. The model combines effects of hydrogen concentration, electronic occupancy in a common description of the equilibrium in amorphous silicon films. A wide range of experiment can be explained ranging from temperature evolution of hydrogen and electronic defect concentration, evolution of defects under light soaking and light induced annealing. Different hypotesis of the relationship between chemical configurations and electronic defects are examined by the use of numerical stiff solution of this unified equilibrium problem. In particular we compare degradation and annealing experiments, within the frame of defect pool, deep defects, charged defect, and relaxation models.

A17.3
CURRENT NOISE MEASUREMENTS OF SURFACE DEFECT STATES IN AMORPHOUS SILICON. Peter W. West , J. Kakalios, Univ of Minnesota, School of Physics and Astronomy, Minneapolis, MN.

An important step in the processing of hydrogenated amorphous silicon (a-Si:H) based devices is typically the etching of the top semiconductor surface. However, the etching procedure may introduce surface defect states which can affect the device's performance. We report new results of coplanar conductance fluctuations in a-Si:H which are sensitive to the etching treatment of the top surface. The 1/f noise in these films is linear and exhibits Gaussian statistical characteristics. The noise properties are identical across similar samples and are sensitive to light soaking, surface preparation, and temperature. Reactive ion etching surface treatment results in a 1/f current noise spectral density which also features a pronounced thermally activated Lorentzian power spectrum extending above the normal 1/f noise level. This Lorentzian noise peak disappears following wet chemical etching or ion milling, and returns following further reactive ion etching treatment. In this way the current noise spectral density serves as a spectroscopy of surface defects introduced by the surface etching process which are not readily detected using conventional transport measurements. Implications of these results for various 1/f noise models in a-Si:H are discussed. Supported in part by NSF DMR-9424277 and the University of Minnesota

A17.4
INFRARED ELECTROABSORPTION SPECTRA IN AMORPHOUS SILICON SOLAR CELLS. J. H. Lyou , Nikos Kopidakis, Eric A. Schiff, Syracuse Univ, Dept of Physics, Syracuse, NY; Steven S. Hegedus, Univ of Delaware, Inst of Energy Conversion, Newark, DE; S. Guha, J. Yang, United Solar Systems Corporation, Troy, MI.

We have measured the infrared electroabsorption spectrum in several series of amorphous silicon pin solar cells and Schottky barrier diodes. In all samples we find an electroabsorption band in the infrared, typically with a peak energy of 0.9 eV, but with the detailed spectrum varying substantially between different devices. The existence of this band has not, to our knowledge, been reported previously. The electroabsorption strength of the infrared band is linear in electric field. Interband electroabsorption in amorphous silicon is quadratic in electric field, and is associated with field-induced changes in electronic states at the bandedges. The infrared band's peak energy agrees fairly well with the known optical transition energies for dangling bond defects, but the linear dependence on electric field and the magnitude of the signal are surprising for defect-related processes analogous to the interband process. The dependence on device-structure suggests that the infrared electroabsorption band is an interface effect, and we attribute the infrared band to depletion effects in the doped layers. This work was supported by the Thin Film Photovoltaic Partnership of the National Renewable Energy Laboratory and partially by Korea University Special Research Scholarship.

A17.5
DETECTION OF PHOSPHORUS-DEFECT COMPLEXES IN N-TYPE AMORPHOUS SILICON AND HYDROGENATED AMORPHOUS SILICON. Mihail P. Petkov, Kelvin G. Lynn , Marc H. Weber, Dept. of Physics, Washington State Univ., Pullman, WA; Richard S. Crandall, National Renewable Energy Laboratory, Golden, CO.

Positron annihilation spectroscopy (PAS) using a beam is utilized to identify the phosphorus-defect complex (*D-) in n-type hydrogenated amorphous Si (a-Si:H). The radiation detected after annihilation gives a characteristic P-signature, regarded as a *D- 'fingerprint' with a coincident detection technique. Experimental evidence, obtained from a comparison between 31P+ and 29Si+ implanted samples, as well as from theoretical calculations will be presented. This work lays the foundations for PAS studies of impurity-defect related processes in a-Si:H.

A17.6
CAPACITANCE SPECTROSCOPY OF DEFECTS IN A-SI/C-SI HETEROSTRUCTURES. Maximilian Rosch , Thomas Unold, Ralf Pointmayer, Gottfried H. Bauer, Carl von Ossietzky Univ, Physics Dept, Oldenburg, GERMANY.

Heterodiodes of amorphous silicon/crystalline silicon have many applications such as solar cells, photodetectors and x-ray detectors. In contrast to the fabrication of c-Si homojunctions, the high temperature process of dopant diffusion for these devices is replaced by the low temperature deposition of doped a-Si:H. Consequently, the a-Si:H /c-Si interface, which is influenced by the surface cleaning and the a-Si:H deposition process itself, plays a very important role for the device properties. In particular, high densities of interface defects have a detrimental effect on recombination and transport in the device. In this study defects at the interface were characterized with frequency and temperature dependent capacitance measurements, thermally stimulated capacitance and photocapacitance. A difficulty in the interpretation of the data is to distinguish the influence of defects at the interface and of those in the highly doped a-Si:H layer. For clarification, a newly developed transient numerical simulation was applied which solves the time dependent poisson equation and the continuity equations with consideration of charge emission and capture from a continuous density of states in the bandgap. By simulating the influence of interface defects on the capacitance, good agreement with the measurements has been obtained. We present capacitance measurements of a series of (n)-a-Si:H/(p)-c-Si and (p)-a-Si:H/(n)-c-Si samples with different wafer etching before the a-Si:H deposition, and the introduction of a thin intrinsic a-Si:H layer between the doped a-Si:H and c-Si. The resulting differences in the interface defects and the effect on device properties are discussed.

A17.7
DEFECT-RELATED ABSORPTION OF A-SI:H FILMS FOR SOLAR CELLS. Tatiana Globus , P. Paxton Marshall, University of Virginia, Dept of Electrical Engineering, VA; Gautam Ganguly, Solarex, Toano, VA.

The sub-band gap defect-related absorption of a series of a-Si:H films of different thickness, have been characterized using transmission and reflectance measurements over a wide range of wavelengths. Using an algorithm introduced earlier to extract the optical absorption spectrum over a wide energy range [1], we have shown that it is possible to discern defect related absorption bands in the sub-band gap region which correlated with those obtained from transfer characteristics of a-Si:H thin film transistors. Using a series of samples of different thickness prepared at Solarex, using standard intrinsic a-Si:H conditions on glass and SnO2 coated glass, we are able to identify three, fairly well defined absorption bands. Of these, the  1.6 eV, near-edge band appears to dominate with decreasing thickness. With this near edge absorption subtracted, films of different thickness appear to have the same Tauc Gap of 1.71 eV. The near-edge absorption appears to be quite different from the conventionally assumed exponential edge. Two other defect related bands peaked at 1.15 eV and 1.4 eV and have absorption coefficients of  100 cm-1. This value is significantly higher that those estimated from indirect techniques like photothermal deflection spectroscopy or photoacoustic spectroscopy. We will present results from samples grown under different conditions, and discuss correlations between the defect structure seen by our direct absorption measurements and techniques like time-of-flight as well as time/energy resolved photoluminescence. 1. T. Globus, S. Fonash, and G. Gildenblat, Optical Characterization of Hydrogenated Silicon Films in the Extended Energy Range, MRS Proc., V. 406, p. 313, Boston, Fall 1995.

A17.8
EXCITATION AND STRUCTURE RELATED Er3+ EMISSION IN a-Si:H. S.B. Aldabergenova , M. Albrecht, G. Frank, H.P. Strunk, Univ of Erlangen-Nürnberg, Institut für Werkstoffwissenschaften, Erlangen, GERMANY; A.A. Andreev and V.G. Golubev, A. F. Ioffe Physical-Technical Institute, St. Petersburg, RUSSIA; C. Inglefield, J. Viner, I. Ermakov, P.C. Taylor, Univ of Utah, Dept of Physics, Salt Lake City, UT.

We report on prominent room temperature photoluminescence peaks of Er3+ at 1.54 $\mu$m in Er doped a-Si:H thin films. These films were prepared by DC magnetron co-sputtering of Si targets with pellets of metallic Er in (4%SiH4 + 96%H2) gas mixture. The intensity of this photoluminescence corresponding to 4I$_{13/2} \to ^4$I15/2 transitions is practically temperature independent between 300K and 77K. It is greatly enhanced by a factor of about 80 when the films were annealed at a threshold temperature of 350$^{\circ}$C. Photothermal deflection spectroscopy shows that the a-Si:H:Er film highly absorbs in the Urbach absorption edge. Raman scattering spectrum reveals a broad peak centered at 480cm-1 and no obvious structural relaxation of the amorphous matrix induced by the annealing procedure can be seen. Evolution of the structure of the films and a rearrangement of the oxygen bonds with annealing are analyzed with high resolution transmission electron microscopy and electron energy loss spectroscopy. Photoluminescence excitation spectroscopy indicates two different mechanisms of Er3+ emission: (i) excitation and recombination occur directly in intra-shell Er3+ states; (ii) indirect excitation of Er3+ centers through localized states in the host matrix.

SESSION A18: POSTER SESSION:
RECRYSTALLIZED, AMORPHIZED AND
POROUS MATERIALS
Chair: Vikram L. Dalal
Wednesday Evening, April 7, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A18.1
ESR MEASUREMENTS OF a-Si:H AND a-Si0.5Ge0.5:H FILMS UNDER SOLID-PHASE CRYSTALLIZATION. I.H. Yun, O.H. Roh, J.K. Lee , Chonbuk National Univ, Dept of Physics, Chonju, KOREA.

We have investigated the solid-phase crystallization of a-Si1-xGex:H (x=0 and 0.5) films by using electron spin resonance (ESR) and x-ray diffraction (XRD). The films were deposited on Corning 1737 glass in a plasma-enhanced chemical vapor deposition (PECVD) system using SiH4 and GeH4 gases. The substrate temperature was 200$^{\circ}$C and the r.f. power was 3W. The films were then annealed to be crystallized at 600$^{\circ}$C in a N2 atmosphere. It was observed that, for the a-Si:H film, the spin density increased from $2 \times 10^{17}$ cm-3 to $1 \times
10^{19}$ cm-3 after 4 hours of annealing, and then rapidly decreased to about $4 \times 10^{18}$ cm-3 as the film was crystallized. The g-factor was also found to first increase with the annealing time, and decreased after the film was crystallized. For the as-deposited a-Si0.5Ge0.5:H sample, the ESR spectrum showed only Ge-dangling bond (Ge-db) signal. But, with the increased annealing time, Si-dangling bond (Si-db) signal also appeared besides the Ge-db signal. The Ge-db spin density increased from $3 \times 10^{18}$ cm-3 to $2 \times 10^{19}$ cm-3 for the first stage of annealing and then decreased to about $3 \times
10^{17}$ cm-3 after being crystallized; the Si-db spin density just increased to about $2 \times 10^{17}$ cm-3 and remained nearly constant for further annealing. It is thought that the exodiffusion of hydrogen results in the increase of spin density in the beginning, and then some portions of the amorphous components are converted into the crystalline phase by further annealing, leaving the grain boundary defects quite stable. The difference between Si-H and Ge-H bound energy should also be considered.

A18.2
Transferred to W9.50.

A18.3
ELECTROCHEMICAL TAILORING AND OPTICAL INVESTIGATION OF ADVANCED REFRACTIVE INDEX PROFILES IN POROUS SILICON LAYERS. Shahin Zangooie , Roger Jansson, Hans Arwin, Linkoping University, Laboratory of Applied Optics, Department of Physics and Measurement Technology, Linkoping, SWEDEN.

The visible room temperature photoluminescence and electroluminescence obtained from electrochemically etched silicon in ethanoic solutions of HF have been the subject of considerable interest due to its potential use in silicon based integrated optoelectronics. In addition, by proper depth variations of the index of refraction through computer controlled modulation of the current density during etching, it is possible to fabricate advanced structures such as Bragg reflectors, Fabry-PÈrot filters and optical wave guides. Porous silicon (PS) is also an interesting candidate for applications in the fields of gas and biosensor technologies. Here, we report on the fabrication of PS layers in the thickness range 100-1000 nm with non-conventional index profiles according to general mathematical relations such as linear, exponential and sinusoidal equations. In addition, multilayers (commonly called superlattices) made by stacking of periods of different index patterns are manufactured. Optical characterization is performed employing variable angle spectroscopic ellipsometry which offers a non-destructive and fast way of detailed in-depth investigation of a complex material such as PS. The in-depth variations of the index of refraction is modelled using proper optical models based on a multilayer approach including graded layers and the Bruggeman effective medium approximation. These models can also resolve deviations from an ideal structure such as occurrence of in-depth inhomogenties and interface roughness. From the refractive index profile, the porosity profile is readily obtained. These types of tailored structures may find applications in disciplines such as optoelectronics and sensor technology since the profiling ability provides us with interesting optical and microstructural characteristics different from the properties found in conventional single layer or multilayer PS structures with the refractive index of the sublayers being constant.

A18.4
A STEM STUDY OF P AND Ge SEGREGATION TO GRAIN BOUNDARIES IN Si1-xGex THIN FILMS. W. Qin , Institute of Microelectronics, SINGAPORE; D.G. Ast, Dept of MS&E, Cornell University, Ithaca, NY; T.I. Kamins, Hewlett-Packard Laboratories, Palo Alto, CA.

Intrinsic, 300 nm thick, Si1-xGex films with x=0.02, 0.13 and 0.31 respectively, were deposited by CVD at a pressure of 100 Torr, on a 60 nm polysilicon seed layer, at temperatures between 600 and 800$^{\circ}$C, depending on Ge fraction, using SiH2Cl2 and GeH4. STEM x-ray microanalysis using 1 nm probe size, was conducted on planar Si1-xGex samples thinned from the backside. At none of the Ge fractions investigated, 0.02$\le$x$\le$0.31, did Ge segregate to Si1-xGex grain boundaries. SIMS analysis showed that films contained 1019 to 4x1019/cm3 H. The H concentration was uniform in depth and decreased with increasing deposition temperature. H has been reported to suppress the segregation of Ge to planar interfaces. It is conceivable that H also suppresses Ge segregation to grain boundaries, but further studies are needed to clarify the role and chemical status of H inside these films.
Next, P was implanted into a Si0.87Ge0.13 layer at 1x1016/cm2. After being capped with PECVD oxide, the wafer was annealed at 1000$^{\circ}$C for 1 hr in N2. The wafer was then divided into three pieces, which were annealed in N2 at 800$^{\circ}$C for 10 hrs, 750$^{\circ}$C for 24 hrs and 700$^{\circ}$C for 48 hrs, respectively. The segregation of P to grain boundaries was studied quantitatively by STEM x-ray microanalysis. Analysis showed that segregation was an equilibrium process with a segregation energy of 0.28 eV/atom. The amount of phosphorus segregated to boundary sites was found to vary with grain boundary structure. As in the intrinsic films, Ge did not segregate to grain boundary sites in P implanted Si0.87Ge0.13 films. One additional factor might be that P competes with Ge for grain boundaries and thus further impedes Ge segregation.

A18.5
EXPERIMENTAL VERIFICATION OF A RANDOM MEDIUM MODEL FOR THE OPTICAL BEHAVIOUR OF ULTRATHIN CRYSTALLINE SILICON LAYERS GROWN ON POROUS SILICON. Lieven Stalmans , IMEC vzw, Leuven, BELGIUM; Moustafa Ghannam, Kuwait University, Dept of Electrical and Computer Engineering, Safat, KUWAIT; A.A. Abouelsaood, Cairo University, Dept of Physics and Mathematics, Faculty of Engineering, EGYPT; Jef Poortmans, Matty Caymax, Johan Nijs, IMEC vzw, Leuven, BELGIUM.

We have developed a model for light propagation in porous silicon (PS) based on the random-medium theory. The low porosity case is considered, with silicon (Si) being the host material and assuming randomly distributed spherical voids as scattering particles. The specular and diffuse part of the light could be determined and treated separately. The model is applied to the particular case of interest, in which porous silicon would be used as a diffuse back reflector in thin film Si solar cells, realized in an ultrathin (1-3 micron) epitaxially grown Si layer on PS. The minority carrier diffusion length requirements for efficient charge collection are relaxed in such thin Si solar cells, but light trapping is of utmost importance in order to confine the majority of the incident photon flux in the small material volume available. Therefore, three layer structures consisting of (150-1000 nm) epi on PS on Si have been fabricated by atmospheric pressure chemical vapor deposition (APCVD) on a Si wafer of which 150-450 nm of the surface has been made porous by electrochemical etching. The structural analysis of the epitaxial Si-growth and the resulting morphology of both the porous and the epitaxial layer reveals that the porous layer remains largely intact, while the epi-quality strongly depends on the inital porosity and the temperature of the CVD-growth. The light reflection has been measured in the 300-1000 nm wavelength range. An excellent agreement is found between the experimentally measured reflectance curves and those calculated using the proposed model. The values of the porosity and of the layer thicknesses used to obtain such perfect fitting correspond, within a reasonable experimental error, to those obtained independently by experimental methods. Such agreement provides an experimental verification of the random-medium approach for porous silicon and certainly validates the use of the proposed model for the low porosity case.

A18.6
GRAIN BOUNDARY FILTRATION BY FILM PATTERNING IN SELECTIVE NUCLEATION AND SOLID PHASE EPITAXY OF Si AND Ge ON AMORPHOUS SUBSTRATE. Hiroshi Tanabe , Claudine M. Chen and Harry A. Atwater, Thomas J. Watson Laboratory of Applied Physics, California Institute of Technology, Pasadena, CA.

Selective nucleation and solid phase epitaxy (SNSPE) is a promising process that employs patterned selective nucleation to enable larger Si and Ge grain size than that achievable by conventional unseeded solid phase crystallization. Ideally the selective nucleation region would be a single crystal, but in practice metal induced nucleation yields a large number of nanometer-scale crystalline Si grains. As the lateral SPE starts at the each periphery of the selective nucleation site, several grains are produced from each nucleation site. In this report, we propose and demonstrate a grain boundary filtration technique by using patterned films to seed growth of single grain Si and Ge. The patterns basically consist of (I) a small metal doped Si or Ge island seed region, (II) a large rectangular island for single grain fabrication and (III) a narrow seed selection region that connects the seed region and the single grain region. In region (I), lateral SPE starts at edge of the selective nucleation site and SPE continues in all directions with a variety of orientations. The growth of almost all grains is stopped at the pattern edge of region (I), but a few grains survive to grow into the seed selection region. Further grain selection occur in the seed selection region (III) and only one grain orientation is able to reach region (II). Consequently the main island has a single crystal seed. We experimentally demonstrated this grain boundary filtration for crystallization of phosphorous doped a-Ge films with In-induced selective nucleation and undoped a-Si films with Ni-induced selective nucleation. Phosphorous doped (2 x 1015 cm-2) 100-nm-thick a-Ge film on thermally oxidized Si was used. The a-Ge film was lithographically patterned and etched to define mesa islands and selective nucleation regions consisted of 20-nm-thick In film selectively deposited on the a-Ge seed region. Selective nucleation region size is typically 2 $\mu$m diameter, seed selection region is 2 $\mu$m width and 2 - 10 $\mu$m length, and several kinds of size and shape of island patterns are investigated. Both In-induced nucleation and SPE were performed at 400$^{\circ}$C for several hours. Transmission electron microscopy (TEM) revealed a large number of grains around the selective nucleation region and that the number of grains was reduced in the seed selection region. In the region (II), lateral SPE started from single grain seed and TEM and electron diffraction analysis revealed the presence of only a few low-angle boundaries in the lateral SPE region. We also applied this technique to a system of a-Si with Ni-induced selective nucleation. Detailed results and grain boundary filtration mechanisms for Ni-induced selective nucleation in 75-nm-thick a-Si annealed at 580$^{\circ}$C will also be discussed.

A18.7
POSSIBILITY OF QUASI-SINGLE-CRYSTALLINE SEMICONDUCTOR FILMS. Takashi Noguchi , Setsuo Usui, Dharam Pal Gosain, Research Center, Sony Corporation, Yokohama-shi, JAPAN; Yuji Ikeda, Personal AV Company, Sony Corporation, Tokyo, JAPAN.

The existence of a novel tetrahedral semiconductor Quasi-Single-Crystalline (QSC) phase is posited. In the QSC semiconductor phase, the films consist of grains with a diamond structure of tetrahedral elements, such as Si, Ge and C, and the grains have a preferred orientation, such as <111> normal to the films. The lattices perpendicular to the grain boundaries are quasi-matched with neighboring grains. The grain size is larger than the film thickness. The grains form a regular array, distributed more uniformly than in existing conventional poly-crystalline semiconductor films. In the case of QSC films with <110> or <111> orientation, the grains have a hexagonal shape. On the other hand, in the case with <100> orientation, the grains have a square-based shape. Nucleation of the grains in order to form the QSC phase is achieved by SPC (Solid Phase Crystallization) or ELA (Excimer Laser Annealing) at fixed sites. Otherwise, the preferred grain orientation is realized by surface-energy-driven grain growth. Because of the small-angle grain boundaries, tetrahedral QSC semiconductor films are expected to have extremely low energy barriers at the grain boundaries. The QSC films are expected to exhibit electronic properties superior to conventional poly-crystalline silicon films and should be suitable for application to innovative thin-film devices on arbitrary insulating substrates.

A18.8
LATERAL SOLID PHASE CRYSTALLIZATION OF AMORPHOUS SILICON UNDER HIGH PRESSURE. Seung-Mahn Lee , Rajiv K. Singh, Department of Materials Science and Engineering, University of Florida, Gainesville, FL.

We have investigated the effect of presence for selective crystallization of amorphous silicon films on amorphous substrate. By controlling the applied pressure, the nucleation and growth of silicon can be controlled to obtain improved quality silicon film on amorphous substrates. Using a single crystal silicon or polycrystalline diamond seed pressed onto the amorphous silicon surface, selective nucleation of silicon can be obtained. Amorphous silicon was deposited on SiO2/Si substrate using LPCVD. The amorphous silicon with top seed materials is then annealed at low temperature (< 600$^{\circ}$C) for times ranging from 3 to 10 hrs and for pressures ranging from 10 MPa to 25 MPa in a hot pressure furnace. During the annealing, the crystallization of the amorphous silicon is characterized by a uniform transition of the amorphous-to-crystalline interface from amorphous surface laterally to the SiO2 film. X-ray diffraction, transmission electron microscopy, and atomic force microscopy have been used to characterize the crystallinity and microstructure of the silicon films crystallized by surface-seeded crystallization.

A18.9
SPECTROSCOPIC INVESTIGATIONS OF CRYSTALLINITY AND ELECTRONIC-STRUCTURAL TRANSITIONS DUE TO SOLID-PHASE CRYSTALLIZATION OF AMORPHOUS SI1-XGEX. Shinya Yamaguchi , Nobuyuki Sugii, Kiyokazu Nakagawa and Masanobu Miyao, Hitachi Ltd, Central Research Lab, Tokyo, JAPAN.

Crystallization process and electronic-structural transitions due to solid-phase crystallization (SPC) of amorphous Si1-xGex (x=0-0.33) have been investigated by ellipsometric spectroscopy (2-5 eV) with growth-temperature up to 900$^{\circ}$C. Dispersion analysis on the ellipsometric spectra unveiled that a qualitative estimation of crystallinity of samples can be obtained by an attenuation coefficient of optical transitions, which are relevant to microscopic randomness of bond networks. Growth-temperature dependence of spectra exhibit that continuous improvement of crystallinity of Si in the temperature range of 700-900$^{\circ}$C which is far above the crystallization temperature. This phenomenon could not be obtained by the conventional measurements (e.g. transport) and imply that electronic-structural transition due to crystallization of Si prevails in wide energy region in which the optical probe should be attempted. The crystallinity of Si1-xGex (x=0.10-0.33), on the other hand, showed abrupt saturation of the improvement just above the crystallization temperatures. Furthermore, their crystallinities in the final state of growth are much less than that of Si. Local-lattice strain induced by Ge atoms may decrease the activation energy of crystallization of Si and itinerant property of Ge reduce the crystallinity of Si1-xGex.

A18.10
AMORPHIZATION IN SILICON INDUCED BY HIGH ENERGY ELECTRON IRRADIATION AT LOW TEMPERATURES. Jun Yamasaki, Seiji Takeda , Osaka Univ, Dept of Physics, Toyonaka, Osaka, JAPAN.

We have found that amorphization in Si single crystals is induced by 2MeV electron irradiation at 20-30K. The thermal recovery of irradiation damage is reduced at the low temperatures. We have studied this phenomenon by in-situ high voltage electron microscopy (HVEM).
At present, in spite of many investigations of ion implantation induced amorphization, the mechanism of amorphization in Si by charged-particle-irradiations remains unclear. An experiment of irradiation with electrons which are the simplest charged particles is useful for the elemental understanding of irradiation effects. However, it has been thought that electron irradiation fails to amorphize Si even at <10K (1).
We have been performed electron-irradiation-experiments in Si foils with the 2 and 1MeV electron beams at 20-30K and room temperature. At 20-30K, the irradiated area is amorphized by 2MeV electron irradiation at a dose higher than 7.5$\times$ 1022e-/cm2. Whereas, the 1MeV irradiation fails to amorphize Si even at a dose higher than 8.0$\times$1023e-/cm2, which is 10.7 and 1.4 times as large as the values in our 2MeV irradiation and of the previous 1MeV irradiation(1), respectively. At room temperature, the prolonged 2MeV irradiation at a dose over 2.0$\times$1023e-/cm2 fails to amorphize Si.
We have investigated in detail the amorphized areas at room temperature. In the radial distribution function (RDF) derived from the amorphized areas, the third peak which is an index of clystalinity is disappeared. High resolution transmission electron microscope images indicate that the amorphous-crystal interface is not abrupt and the fragments of tiny clystals are buried in amorphized areas.
In this study, we have succeeded in the in-situ observation of amorphization processes and of the dependence of recoil energies and temperatures on the amorphization of Si.
(1) D.N. Seidman, R.S. Averback, P.R. Okamoto, and A.C. Baily Phys. Rev. Lett. 58, 9 (1987) 900

A18.11
DIRECT SIMULATION OF ION BEAM INDUCED AMORPHIZATION OF SILICON. Keith M. Beardmore and Niels Gronbech-Jensen, Theoretical Division, Los Alamos National Laboratory, Los Alamos, NM.

Using molecular dynamics (MD) simulation, we investigate the atomic scale processes involved in the mechanical response of silicon to ion-irradiation. We employ a realistic and efficient simulation model to directly simulate ion beam induced amorphization. Structural properties of the amorphized sample are compared to experimental data and with results of other simulation studies. We observe the creation of induced stress and an expansion of the target upon amorphization. This is in contrast to simulations of quenching which result in a denser structure relative to crystalline Si. The difference in density is shown to be attributed to local defects within the amorphous network.

A18.12
RAPID THERMAL ANNEALING CRYSTALLIZATION OF HIGH RATE DEPOSITED AMORPHOUS SILICON FILMS ENHANCED BY AL COATING ON SUBSTRATE. Kuixun Lin , Xuanying Lin, Houyun Liang, Chuying Yu, Ping Wu, Wangzhou Shi, Ruohe Yao, Amorphous Semiconductor Laboratory, Shantou Univ, Shantou, Guangdong, P.R.CHINA.

A group of amorphous silicon films with different thickness were deposited at rate of 1-2 nm/s and rapid crystallized within 10 minutes at 550 degree centigrade, enhanced by Al coating on the substrates. The crystallized films were characterized by Raman spectrum, XRD Spectrum and observed by SEM. The mean grain size of the crystallized films is about 500nm, and the size of large grain is about 4-5 micrometers. The conductivity of the films increases of 4 orders of amplitude after crystallization. The experimental results and analysis demonstrate that it is promising to fabricate poly-crystal silicon films with large scale production for solar cell's materials at low cost using a high rate deposition technology of amorphous silicon film, rapid thermal annealing process within a short time of about 10 minutes at low temperature of 550 degree centigrade enhanced by Al coating on substrate.

SESSION A19: POSTER SESSION:
GROWTH AND PROPERTIES
Chair: Harv A. Mahan
Wednesday Evening, April 7, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A19.1
REMOTE SILANE PLASMA CHEMISTRY EFFECTS AND THEIR CORRELATION WITH a-Si:H-FILM PROPERTIES. W.M.M. Kessels, M.C.M. van de Sanden, A.H.M. Smets , B.A. Korevaar and D.C. Schram, Dept. of Appl. Physics, Eindhoven University of Technology, Eindhoven, THE NETHERLANDS.

A remote plasma created by expanding an argon-hydrogen plasma from a thermal plasma source into a low pressure chamber with silane is investigated by a combination of (appearance potential) mass spectrometry and Langmuir probe measurements. These measurements reveal that at high hydrogen flows the plasma source acts mainly as an atomic hydrogen source leading to a dominant production of SiH3, due to the interaction of atomic hydrogen with silane. Furthermore a small flow of hydrogen ions from the source leads to the formation of hydrogen-poor cationic silicon clusters SixH3+, containing up to 10 silicon atoms, due to sequential ion-silane reactions. Despite the contribution of these ions to film growth of about 6 %, solar grade material is obtained at a growth rate of 10 nm/s and a substrate temperature of 400$^{\circ}$C with good film properties in terms of film density, defect density and opto-electronic properties.
At low hydrogen flows the source acts mainly as an (argon) ion source and while the ion flow is much larger than for high hydrogen flows the cluster ion flux towards the substrate is only slightly higher. This is attributed to very fast dissociative recombination of initial silane ions with electrons leading to very reactive (poly)silane radicals, particularly SiHx (x < 3). In this case a poor film quality is obtained. Therefore the contribution of these radicals (and reaction products) as well of the cationic clusters to film growth is correlated to the film properties and the influence of the several particles on film quality is discussed.

A19.2
CHEMICAL REACTIVITY IN THE GROWTH AND PROCESSING OF a-SixC1-xH BY METHYLSILANES CVD. Moon-Sook Lee and Stacey F. Bent, Stanford University, Department of Chemical Engineering, Stanford, CA.

Hydrogenated amorphous silicon carbon alloy (a-SixC1-x:H) is of interest for use in optoelectronic devices with tunable bandgaps. The detailed structure and bonding of the films can affect the optoelectronic properties of these alloy systems. In this study, thin a-SixC1-x:H films were grown using mono-, di-, tri-, and tetramethylsilane as single source precursors by both hot wire chemical vapor deposition (CVD) and electron cyclotron resonance (ECR) plasma enhanced CVD. The motivation of this study is to investigate the extent to which carbon can be incorporated at the low power and low temperature regime using highly methylated sources, and to investigate how both the carbon concentration and its bonding configuration can be controlled by hydrogen dilution during growth and post-growth treatment. Hydride groups (SiHx- and CHx-) were identified and their reactivity compared using in situ multiple internal reflection FTIR and temperature programmed reaction/desorption (TPR/D). X-ray photoelectron spectroscopy (XPS) was used to characterize the chemical composition and the effect of H-incorporation on Si and C bond states. In conjunction with XPS, near edge X-ray absorption fine structure (NEXAFS) studies were undertaken to provide information about Si and C hybridization in the films. The concentration of methyl species in the film increases with the number of methyl groups in the precursor for both HW- and ECR-PECVD. However, films with high concentrations of methyl groups evolve large amounts of silanes and methylsilanes upon heating, indicating instabilities in the material. The carbon content can be shifted from CH3 to CH2 and CH hydrides by increased growth temperature or by hydrogen dilution. Post-growth hydrogenation was not found to have the same effect. The results will be discussed in the context of film growth reactions.

A19.3
PLASMA-SURFACE INTERACTIONS IN THE PECVD OF SILICON: AN ATOMIC-SCALE ANALYSIS. Shyam Ramalingam , Dimitrios Maroudas and Eray S. Aydil, University of California, Santa Barbara, CA.

Plasma-enhanced chemical vapor deposition (PECVD) from silane (SiH4) containing discharges is used widely for the production of hydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H). Developing deposition strategies for improving film quality requires a better fundamental understanding of the radical-surface interaction mechanisms. We have implemented a comprehensive atomic-scale study of the interactions between surfaces of crystalline silicon substrates and amorphous silicon films with SiHx (0<x<4) radicals from the gas phase. Our analysis combines molecular-dynamics simulations for reaction identification and mechanistic understanding with quantitative analyses of the reaction energetics and kinetics towards the development of a chemical reaction database for the PECVD process; such a database is required for development of modeling tools for stochastic deposition simulations over realistic growth time scales. In this presentation, we focus on the reactions of silyl (SiH3) radicals with Si surfaces since the radical has been speculated to be one of the dominant precursors leading to deposition. Molecular-dynamics (MD) simulations of silicon deposition with SiH3 as the deposition precursor have revealed a number of important reactions that occur during PECVD of Si films. Surface hydrogen atoms are abstracted by impinging SiH3 radicals through an Eley-Rideal mechanism that creates a surface Si dangling bond and returns the radical to the gas phase as a SiH4 molecule. On the pristine Si(001)-(2X1) surface, SiH3 can adsorb onto the surface either by attaching to a surface Si dangling bond, forming Si-Si bonds with adjacent dimer atoms of the same row or by bridging through Si-Si bond formation, dimer atoms of adjacent rows.In addition, the radical can migrate on the surface until it attaches to a reactive site. On the H-terminated c-Si surface, the radical can attach dissociatively upon impingement in the Si-down configuration at the dimer bond center; this leads to breaking of the dimer bond, formation of Si-Si bonds with the atoms of the original dimer, and transfer of a H atom from the Si atom of the radical to one of the atoms of the original dimer, thus increasing the concentration of SiH2 on the surface. During the initial stages of the deposition simulation, formation of disilane on the deposition surface through Si-Si bond formation between two adsorbed silyl radicals was observed. Disproportionation reactions have also been observed. Thus our MD monitoring has confirmed several reactions that have been proposed in experimental literature. The energetics of all these reactions have been analyzed and some of the key results are presented. MD-deposited films from nanosecond-scale simulations have been characterized; comparison with experimental measurements of the hydride content in the deposited films have been found to be satisfactory. Finally, the silyl radical is seen to be very mobile on a-Si:H film surfaces, especially on amorphous films with high H concentration.

A19.4
INTRINSIC, N- AND P-DOPED a-Si:H THIN FILMS GROWN BY DC MAGNETRON SPUTTERING WITH DOPED TARGETS. A. Johansson , K. Järrendahl, J. Birch, B. Hjörvarsson*, H. Arwin, Dept of Physics and Measurement Technology, Linköping Univ, Linköping, SWEDEN; *Dept of Physics, Royal Inst of Technology, Stockholm, SWEDEN.

Intrinsic, n- and p-doped a-Si:H films were deposited by dc magnetron sputtering with the intention of making photo diodes on CMOS substrates for digital cameras. This application puts demands on both the deposition process (e.g. low growth temperatures) and the properties (e.g. wide range optical absorption) of the a-Si:H films. The films were synthesized in an Ar-H2 atmosphere where the H2 partial pressure was used to control the incorporation of H in the films. The corresponding H contents were 3-20$\%$ as determined by nuclear reaction analysis (NRA). The growth temperature was 250$^{\circ}$C. The films were sputtered from pure silicon targets and doped silicon targets with 1 at $\%$ B or P. Also doping by co-sputtering from composite Si/B4C targets was explored. The sputtered a-Si:H films were analyzed with several techniques and also compared with high-quality CVD-samples from Xerox.1 Spectroscopic ellipsometry was used to determine optical properties in terms of the dielectric function from which optical band gaps between 1.5 - 2 eV, were obtained using Tauc plots. Atomic force microscopy (AFM) yielded surface roughness of 0.5 - 5 nm rms, which was considered in the band gap determination. Transmission electron microscopy (TEM) analysis concluded dense, amorphous films and electron spin resonance (ESR) indicated low dangling bond densities. The doping contents was determined by secondary-ion mass-spectroscopy (SIMS) and infrared (IR) absorption measurements showed a 2000cm-1/2100cm-1 ratio for intrinsic films of 2-20. Dark conductivity was determined to 10-5$\Omega$-1cm-1 for n-doped films and 10-2$\Omega$-1cm-1 for p-doped films.
1 Samples kindly provided by R.A. Street at Xerox Park in Palo Alto, USA.

A19.5
GROWTH OF MATERIALS AND DEVICES IN A-Si:H USING CHEMICAL ANNEALING TECHNIQUES. Vikram L. Dalal , Xi Huang, Vesa-Pekka Lempinen, Iowa State University, Electrical and Computer Engr., Ames, IA.

We report on the growth and properties of a-Si:H materials and devices made using chemical annealing techniques. The chemical annealing was done using inert gas treatment of thin (3-5 nm) layers. Multiple growth/anneal cycles were used to grow materials upto a micrometer thick. The p-i-n devices were prepared on stainless steel substrates. Both growth and annealing were done using the ECR-CVD process. The substrate temepratures were in the 325-350 C range. The reactor conditions were sytematically varied so as to expose the films and devices to different ion bombardment conditions. We find that while inert gas annealing does reduce the bandgap of the material, yielding E04 gaps of 1.82-1.85 eV, the electronic properties are not quite good enough unless small quantities of H are also used in the process. Using such a combination of H and He, good materials and devices were produced. We found that control of p/i interface was critical in order to produce good devies.

A19.6
THE PROPERTIES OF a-SiC:H AND a-SiGe:H FILMS DEPOSITED BY 55 kHz PECVD. B.G. Budaguan , A.A. Sherchenkov, Institute of Electronic Technology, Moscow, RUSSIA; J.W. Metselaar, University of Technology, Mekelweg, Delft, NETHERLANDS; A.A. Aivazov, UniSil Corp., Mountain View, CA.

The a-SiC:H and a-SiGe:H films are currently widely used as a high and a low bandgap optoelectronic materials for a tandem and a triplet solar cell fabrication. The use of these materials increases the stabilized efficiency of a solar cell, which is the key problem of these devices. In our previous works it was shown that the device quality a-Si:H at high growth rate can be fabricated by a low frequency glow discharge (55 kHz PECVD). So, in this work we investigated the deposition and the properties of a-SiC:H and a-SiGe:H alloys fabricated by 55 kHz PECVD at the different amount of the methane and germane content in a gas mixture.
The increase of a-SiC:H deposition rate in comparison with typically published values ($\sim$3$\AA$/c) was observed. The analysis of growth mechanism by SIMS and plasma optical emission spectra measurements showed that the chemical reactions between SiHn spices and CH4 control the incorporation of C in a-SiC:H films and that the increase of radical fluxes to the growth surface is responsible for the increase of deposition rate. The specific features of a-SiC:H and a-SiGe:H microstructure fabricated by low frequency glow discharge were revealed by IR and AFM analysis. In a-SiC:H films the islands of low size were distinguished on the surfaces of large islands. The distribution of islands was narrower than in a-Si:H and their concentration decreased with the increase of carbon content in films.
The large variation of the total hydrogen content in a-SiGe:H did not affect the optical bandgap, while change of SiHn bonds concentration strongly controlled the electronic properties such as dark conductivity, $\eta$$\mu$$\tau$ product, defect density and Urbach slope. The results of optoelectronic properties and SW effect measurements of 55 kHz a-SiC:H and a-SiGe:H films demonstrated the applicability of this high and low bandgap materials for solar cell fabrication.

A19.7
STABLE AMORPHOUS SILICON AND IMPROVED MICROCRYSTALLINE SILICON BY PHOTON-ASSISTED ELECTRON CYCLOTRON RESONANCE CHEMICAL VAPOR DEPOSITION. Young J. Song , Wayne A. Anderson, State University of New York at Buffalo, Dept. of Electrical Engineering, Amherst, NY.

Amorphous (a-Si) and microcrystalline silicon ($\mu$c-Si) are widely used in photovoltaics and thin film transistors. These products suffer from instability and less than desired electrical properties. We have utilized photon-assisted electron cyclotron resonance chemical vapor deposition (PA-ECRCVD) resulting in great improvement in both areas. For example, PA-ECRCVD compared with conventional ECRCVD gives carrier lifetime of 1.35 $\mu$s compared to 0.17 $\mu$s, and photovoltaic solar cell efficiency of 10 $\%$ compared to 5.9 $\%$. Moreover, the PA-ECRCVD cell only degraded to 9.8 $\%$ compared to the ECRCVD cell degradation to 5.5 $\%$, under long term exposure to tungsten lamp illumination. In addition, PA-ECRCVD gives much enhanced crystallinity in $\mu$c-Si as revealed by atomic force microscopy and Raman spectroscopy. Other properties and applications will be discussed.

A19.8
SIMULATION OF THIN FILM DEPOSITS USING A MODIFIED BALLISTIC DEPOSITION MODEL. Arnab Gupta , Alan J. Markworth, Dept. of Materials Science and Engineering, The Ohio State University, Columbus, OH; James H. Saunders, Battelle Memorial Institute, Columbus, OH.

Simulation of deposit growth on a two-dimensional substrate was studied based on a new model that tracks individual cubic particles as they form a deposit structure. The present model is an extension of the classical ballistic deposition model. Effects of three different parameters were studied. These include an attraction parameter that is a measure of the particle-to-particle attractions, an interaction length within which the particles are assumed to influence and be influenced by surrounding particles, and allowed sticking positions (face-face, edge-edge and corner-corner) that favors particular growth directions. Structures with widely varying properties were obtained using this model. The three parameters were found to have considerable effect on the structure including indications of morphological phase transformations. A new property of the system (saturated roughness/deposit growth rate) was identified that can classify the different types of observed deposit growths into a single type.

A19.9
AN OPTICAL GAP CALIBRATION APPLIED TO THE CASE OF HYDROGENATED AMORPHOUS SILICON. D.E. Sweenor, Department of Physics, Rensselaer Polytechnic Institute, Troy, NY; S.K. O'Leary , Faculty of Engineering, University of Regina, Regina, Saskatchewan, CANADA; B.E. Foutz, School of Electrical Engineering, Cornell University, Ithaca, NY.

There are many different empirical means whereby the optical gap of an amorphous semiconductor may be defined. We analyze some hydrogenated amorphous silcon data with respect to a number of these empirical measures for the optical gap. By plotting these various gap measures as a function of the breadth of the optical absorption tail, we provide a means of relating these disparate measures of the optical gap. The applicability of this calibration to other sets of hydrogenated amorphous silicon data is investingated.

A19.10 DEPOSITION OF a-Si:H DEVICES IN A RTR SYSTEM FOR PHOTOVOLTAIC AND MACROELECTRONIC APPLICATIONS. M. Scholz , D. Peros and M. Böhm, Institut für Halbleiterelektronik, Universität GH-Siegen, GERMANY.

This work presents first results of potential manufacturing processes for integrated series connected hydrogenated amorphous silicon (a-Si:H) thin film solar modules and/or pin-diode/TFT based macroelectronic circuits on flexible tapes. A RTR (Reel- T o- R eel) deposition system on laboratory scale has been built. The system consists of seven metal sealed UHV stainless steel chambers to obtain ultra high vacuum as a basis for high quality a-Si:H layers. In order to support continuous movement of the tape in the RTR process the chambers cannot be isolated from each other. The necessary pressure difference between the sputtering chambers and the PECVD ( P lasma E nhanced C hemical V apor D eposition) chambers is provided by pressure stages. They are optimized for high molecular flow resistance without any influence on the moving substrate tape. The back metal contacts and the optical semitransparent contacts consisting of TCO ( T ransparent C onductive O xide) are deposited by rf magnetron sputtering, the a-Si:H film system is deposited by PECVD. Parallel to the film deposition a Nd:YAG laser patterning system is coupled into one chamber. This allows for instance a total manufacturing of integrated series connected solar modules in one system without breaking the vacuum. Our present investigations focus on the deposition of doped and intrinsic high quality a-Si:H based layers in neighboring chambers. Results on the optimization of the chamber interior are presented. The quality of semiconducting films deposited in adjacent chambers is studied with regard to potential contamination effects. First results of the properties of devices manufactured in the RTR process are reported.

SESSION A20: POSTER SESSION:
AMORPHOUS SOLAR CELLS
Chair: David E. Carlson
Wednesday Evening, April 7, 1999
8:00 P.M.
Metropolitan Ballroom (A)
A20.1
NEW METHOD TO CHARACTERIZE TCO/P CONTACT RESISTANCE IN a-Si SOLAR CELLS AND MODULES. Steven S. Hegedus , Michael Gibson, Institute of Energy Conversion, University of Delaware, Newark, DE; Gautam Ganguly, Rajeewa Arya, Solarex, Toano, VA.

Minimizing the resistance or barrier height between the p-layer and TCO of superstrate p-i-n a-Si modules is critical for the successful utilization of TCO materials like ZnO and new p-layers like uc-SiC. However, characterization of the TCO/p junction in operational devices is difficult since it is in series with the dominant p-i-n junction. We have developed a new method to characterize the TCO/p contact resistance, Rc, and the TCO sheet resistance, Rsh, in a-Si mini-modules. Four-terminal JV measurements are made using three different contact configurations. In the standard JV test configuration, voltage is measured across the same contacts as where the current is flowing. The other two configurations measure the voltage using a floating TCO contact on an adjacent cell segment, where there is no current flow through its TCO/p contact. Analysis of the three JV curves determines R = Rc + Rsh. For TCO strips of length L and width W, a plot of R vs L/W has a slope of Rsh and an intercept of Rc. Self-consistency is demonstrated by obtaining R from two different methods with excellent agreement. We applied this approach to a-Si mini-modules made at Solarex Thin Film Division having different types of SnO2. We found Rsh of 8-9 Ohm/square for the three TCOs, yet the starting values were 10-14 Ohm/square. This decrease in SnO2 sheet resistance is attributed to interaction between SnO2 and the plasma during the p-layer deposition and is quantitatively consistent with reports of increased mobility in SnO2 with mild H2 plasma treatments. Values of Rc ranged from 2-3 Ohm-cm2. Results on mini-modules with different p-layer conditions and TCO plasma treatments will be presented.

A20.2
OPTIMIZATION OF HIGH EFFICIENCY AMORPHOUS SILICON ALLOY BASED TRIPLE-JUNCTION MODULES. A. Banerjee , J. Yang and S. Guha, United Solar Systems Corp., Troy, MI.

A systematic approach has been used to scale up high efficiency 0.25cm2 active-area triple-junction devices to high-efficiency encapsulated modules of aperture area 910-920cm2. The device structure consists of a bottom cell and a middle cell made of amorphous SiGe alloy and a top cell comprised of amorphous Si alloy. The cells have been fabricated on textured Ag/ZnO back reflector made on 5mil thick stainless steel substrate. In order to analyze the losses involved in the scale-up, intermediate aperture area, 44cm2 and 460cm2 modules have also been fabricated. The uniformity over the entire aperture area has been investigated using 0.25cm2 devices and $\sim$44cm2 mini-modules. Current-voltage and quantum efficiency-wavelength characteristics of the devices have been used for the uniformity study. The devices and the modules have been light soaked for 1000 hours to obtain the saturation values. The best initial and final active-area efficiency obtained at the device level are 14.5$\%$ and 12.9$\%$, respectively. The best initial and stable values of efficiency for 44cm2 aperture area encapsulated modules are 12.3$\%$ and 11.2$\%$, respectively. The best initial efficiency of a $\sim$920cm2 aperture area encapsulated module is 12.1$\%$. Light soaking of a $\sim$915cm2 aperture area encapsulated module of initial efficiency 11.5$\%$ led to a stable efficiency of 10.5$\%$. The results represent new world records at the module level and close to the world record (reported by us earlier) at the device level. The work demonstrates the viability of fabricating high efficiency amorphous Si/Si/Ge alloy based commercial product. National Renewable Energy Laboratory (NREL) is in the process of confirming the module results. NREL is also independently doing light soaking of our modules. This paper presents various aspects of the large-area module work.

A20.3
OPTIMIZATION OF AMORPHOUS SILICON SOLAR CELLS AT DEPOSITION TEMPERATURES BELOW 100$^{\circ}$C. Christian Koch , Manabu Ito, Markus B. Schubert, Jurgen H. Werner, University of Stuttgart, Institute of Physical Electronics, GERMANY.

The real challenge for further cost reduction of hydrogenated amorphous silicon (a-Si:H) based solar cells is the use of low-cost polymer substrates, like polyethylene (PE) or polyethyleneterephtalate (PET). The low thermal stability of these inexpensive plastic materials, however, limits the deposition temperature to values below 100$^{\circ}$C. At such low temperatures, films from standard plasma-enhanced chemical vapor deposition (PECVD) suffer from inferior structural quality which results in tremendous deterioration of their optoelectronic properties. Small drift and diffusion lengths of both electrons and holes prevent such films from any application in photovoltaics. We observe, furthermore, a drastic decrease in the dark conductivity of doped layers, for both (n)- and (p)-type doping. Dopant activation is obviously much more difficult in these low-temperature structures with enhanced disorder, hydrogen and void content. These problems in low-temperature a-Si:H PECVD can however be resolved by proper adjustment of the deposition parameters, like growth rate, plasma power and gas composition. We demonstrate remarkable improvements in the optoelectronic quality of a-Si:H from H2-diluted discharge at 75$^{\circ}$C and at 100$^{\circ}$C, as determined by photoconductivity, constant-photocurrent measurements (CPM) and steady-state photocarrier gratings (SSPG). State-of-the-art (n)-type conductivity is restored whereas (p)-layer quality is still inferior. We report on a variety of differnt cell types, including single and tandem cell structures, in both pin- and nip-configuration. From pinpin tandem cells we record initial efficiencies of $6.0\%$ and of $3.8\%$ at deposition temperatures of 100$^{\circ}$C and 75$^{\circ}$C, respectively. Our nipnip tandem structures attain similar values upon illumination through the substrate. This gives us the chance of depositing solar cells on non-transparent, low-cost polymer substrates, the mechanical flexibility of which inspires thinking about a wide variety of novel applications.

A20.4
OPTICAL MODELING OF a-Si SOLAR CELLS. Bhushan Sopori , Jamal Madjdpour, Yi Zhang, Wei Chen, National Renewable Energy Laboratory, Golden, CO; Steven S. Hegedus, Institute of Energy Conversion, Newark, DE.

The current amorphous Si solar cells use texturing and many other design features that are very difficult or even impossible to handle by simple optical analysis. These features include (i) nonplanar interfaces, (ii) a combination of thick and thin layers, (iii) multiple semiconductors of different optical properties, and (vi) dielectric and metal coatings. More mature numerical analysis tools are needed to deal with the optical design and analyses of these kinds of cells and modules. In this paper we describe some important features of a new software, PV Optics, and briefly discuss its applications to a-Si solar cell analysis and design. Experimental and theoretical results are compared, with the model giving detailed insight into several well-known empirical observations. Improved understanding of the following critical issues will be presented: 1. Dependence of light trapping and photo-currents on the texture parameters in multijunction devices 2. Reflection and optical losses associated with the back reflectors, and approaches for maximizing device performance 3. Dependence of multijunction component cell photo-currents on the thicknesses of each layer.

A20.5
MATERIALS REQUIREMENTS FOR THE BUFFER LAYERS USED TO OBTAIN SOLAR CELLS WITH HIGH OPEN-CIRCUIT VOLTAGES. Bolko von Roedern , National Renewable Energy Laboratory, Golden, CO; Gottfried Bauer, FB Physik, Carl von Ossietzky Universitaet, Oldenburg, GERMANY.

This paper reviews the experimental schemes used to obtain amorphous silicon (a-Si) solar cells with high open-circuit voltages (Voc) and the dependence of Voc on temperature. In many cells, the temperature variation of Voc follows the tendency of the thermodynamical treatment given by the separation of Quasi-Fermilevels in the absorber. However, investigating specific devices with different room-temperature values of Voc reveals that the details of the junction processing, rather than fundamental thermodynamic limits arising from bulk recombination, limit Voc. It is argued that resistive buffer layers can reduce the impact of contact recombination on the splitting of the Quasi-Fermilevels at the junction and thus effectively optimize Voc. Our review shows that this scheme is applicable to all thin-film solar cells as well as to wafer-based crystalline Si cells, explaining, for example, the need of thin intrinsic a-Si buffer layers in Sanyo's HIT solar cells. Because we observe similar benefits of using resistive buffer layers in cells with dissimilar chemistries in the junction region, we argue that the benefits arise from the properties of the buffer layers used, rather than from optimizing the specific chemical grading of the junction in each individual cell or cell type. Our analyses suggest to try further optimization of Voc by using materials in the junction region of cells which are not suggested by conventional cell models. This research was supported by the U.S. DOE under contract DE-AC36-83CH10093.

A20.6
AMORPHOUS SILICON SOLAR TECHNIQUES FOR HIGH TEMPERATURE AND/OR REACTIVE DEPOSITION CONDITIONS. M. Kanbe, T. Komaru, K. Fukutani, T. Kamiya, C.M. Fortmann and I. Shimizu.

Previously Fukutani et al. [1] reported indicate that a high temperature, argon annealing technique deposits relatively stable amorphous silicon materials with band gaps as small as 1.51 eV. Elsewhere, Yamamoto et al. [2] reported that a-Si:H materials prepared from relatively inexpensive SiCl2H2 offered improved stability relative to standard device quality materials. Using device and material analysis the root cause of solar cell problems resulting from high temperature and/or other reactive deposition processes is explored. Problems include sodium diffusion from glass substrates, reduction of conducting oxide films by reactive plasma species and induced diffusion of n or p layer dopant species. It is significant that many of these deleterious changes occur to some extent even during solar cell preparation using standard mild conditions. This work explores a number of novel techniques to mitigate these problems. For example, the strategic placement of semi-transparent Cr layers offers both improved fill factor, open circuit voltage, and surprising, these layers also improved the short circuit currents. Special reduction resistant ZnO layers also aid the preparation of improved performance solar cells. These more heat and chemically resistant substrate coatings facilitate the preparation of both amorphous and micro crystalline silicon layers which reduce impurity and dopant diffusion for improved solar cell stabilized performance. 1. K. Fukutani, T. Sugawara, W. Futako, T. Kamiya, C.M. Fortmann, I. Shimizu, Extremely narrow gap $\sim$1.5 eV amorphous silicon, Mat. Res. Soc. Symp. Proc. 1998 in press 2. Y. Yamamoto, W. Futako, K. Fukutani, M. Hagino, T. Sugawara, T. Kamiya, C.M. Fortmann, I Shimizu, Stable wide gap solar cells prepared by low temperature processing, Mat. Res. Soc. Symp. Proc. 1998 in press

A20.7
LUMINESENCE SPECTRA OF a-SiGe:H n-i-p CELLS. Lei Wu, Guozhen Yue, Jing Lin, Daxing Han , Dept of Physics and Astronomy, Univ of North Carolina, Chapel Hill, NC; Xunming Deng, Dept of Physics and Astronomy, Univ of Toledo, Toledo, OH.

a-SiGe:H cell has been used as both narrow-gap and mid-gap cells in a triple-junction structure. To improve the triple-junction cell performance it is necessary to optimize the individual cell parameters. a-SiGe:H n-i-p cells deposited by glow discharge chemical vapor deposition (GD CVD) with varied GeH4 : Si2H6 ratio (40:60, 45:55, and 50:50) were studied. In this work the localized states energy profile in the intrinsic layer was studied by both electroluminescence (EL) and photoluminescence (PL) spectroscopy. The temperature dependencies of both PL and EL spectra were measured from 80 K to 200 K. The EL spectra contain two Gaussian bands: a main band and a defect band. With a gradual increase of Ge content, the main band peak energy shift from 1.24 eV to 1.04 eV and the half width (HWFH) widen from 0.25 eV to 0.3 eV. It indicates a gradual decreasing of the band gap and a broadening of the tail states. Interestingly, unlike the EL peak energy, it is always 0.2 eV lower than the PL peak energy in a-Si:H samples; the PL peak is at the same energy position of the EL peak in the a-Si:Ge:H samples. According to the dispersive-transport-controlled recombination model, this can be explained because the excess carrier lifetime of the a-SiGe:H sample is much shorter than that of the a-Si:H sample. This work is supported by DOE, NREL and The Thin Film Partnership subcontract XAK-8-17619-11. Guozhen Yue is partially supported by NSF-INT-9604915.

A20.8
SIMULATION OF BANDGAP GRADING IN HYDROGENATED AMORPHOUS SILICON ALLOY SOLAR CELLS. E. Schroten , M. Zeman, R.A.C.M.M. van Swaaij, L.L.A. Vosteen, and J.W. Metselaar; Delft University of Technology - DIMES, Dept. of Electronic Components, Technology and Materials, Delft, THE NETHERLANDS.

Amorphous silicon germanium ( a-SiGe:H) has proven to be a suitable low band gap material for the intrinsic layer of the bottom solar cell in a tandem structure. Band gap grading in the intrinsic layer near the p-i and i-n interfaces to accommodate the band offsets has considerably improved the cell characteristics. So far, the effect of these graded parts on the electronic behavior of the cell is unclear. In this paper results will be presented on the simulation of solar cells including graded i-layers, aiming at a better understanding of the bottom cell operation. The parameters required for the simulation of the cells are obtained from simulations of properties of single layers of intrinsic a-SiGe:H. Layers with optical Tauc gaps of 1.78, 1.61, and 1.49 eV have been deposited and characterized. By matching the experimental data to simulation results, a well-calibrated parameter set is obtained. To refine the parameter set p-i-n devices utilizing the previously characterized single layers are simulated and compared to deposited cells. Subsequently, the changes in parameter values were evaluated as a function of optical gap, which led to a further refinement. Finally, these parameter sets have been applied to model band gap grading. Therefore cells in which grading was implemented near the p-i, the i-n, and near both interfaces, have been modeled. We will demonstrate that this procedure leads to a large, but consistent parameter set, which enables us to obtain good agreement with experiments. We show that grading of the band gap of the i-layer near the interfaces has a large influence on the local electric field. This affects the external parameters of the cell considerably. In addition, this study shows that optimizing the material quality is a necessary but not sufficient condition for obtaining high performance solar cells.

A20.9
EFFECT OF BUFFER LAYERS IN NARROW BANDGAP a-SiGe SOLAR CELLS. X.B. Liao, J. Walker and X. Deng , Dept of Physics and Astronomy, Univ. of Toledo, Toledo, OH.

In the standard a-SiGe solar cell used as the narrow bandgap (NBG) bottom cell of our typical triple-junction solar cells, a-Si buffer layers were used on both sides of the a-SiGe layer with a device structure of n(a-Si)-b1(a-Si)-i(NBG a-SiGe)-b2(a-Si)-p(mc-Si). In this study, we investigated the effect of additional thin a-SiGe buffer layers inserted between the NBG a-SiGe layer ($\sim$1.3-1.5 eV) and the a-Si buffer layers, with a structure ofn(a-Si)-b1(a-Si)-b3(a-SiGe)- i(NBGa-SiGe)-b4(a-SiGe)-b2(a-Si)-p(mc-Si). For a-SiGe cells with standard amount of Ge (GeH4/Si2H6=0.88), the addition of b4 buffer layer, at the p-i interface, resulted in an increase in FF from 51.7% to 55.5%, while Voc and Jsc were somewhat unchanged. The addition of b3 (besides b4) resulted in a significant increase in Voc from 0.647V to 0.775V and, much to our surprise, a drop in Jsc from 19.2 mA/cm2 to 18.6 mA/cm2 (mostly in the red, as determined from QE measurement). There was a net increase in efficiency (Pmax) of approximately 22% compared to device without any a-SiGe buffers. For a-SiGe solar cells with higher Ge content (GeH4/Si2H6=1.3), a similar effect was observed. The device Voc and FF changed from 0.604V and 43.4% to 0.756V and 45.9% with the addition of b3 and b4 buffer layers. Further study is underway to determine the mechanism for the increased Voc and decreased red spectral response with the b3 buffer layer. In summary, we have observed a sizable increase in the efficiency of narrow bandgap a-SiGe solar cells when a-SiGe buffer layers are inserted between the i-layer and a-Si buffer layers.

A20.10
CHARACTERISTICS OF DIFFERENT THICKNESS a-Si:H/METAL SCHOTTKY BARRIER CELL STRUCTURES-RESULTS AND ANALYSIS. Zhou Lu , Lihong Jiao, R.W. Collins* and C.R. Wronski, Center for Thin Film Devices, Electrical Engineering and Physics Department*, The Pennsylvania State University, University Park, PA.

The contributions of the bulk intrinsic a-Si:H in n($\mu$c-Si:H)-i(a-Si:H)-nickel Schottky barrier cell structures to their characteristics have been identified and quantified by studying structures with intrinsic regions from 0.2 to 1.0 micron thickness. The dark and light I-Vs as well as internal quantum efficiencies were investigated for intrinsic a-Si:H prepared with 10:1 hydrogen dilution and semitransparent, thermally evaporated nickel barrier contacts. The forward I-Vs were measured up to 2V, together with the Fill Factors at both AM1.5 and 0.1 AM1.5 and the internal quantum efficiencies after obtaining the nickel film transmission from reverse bias characteristics. Results were obtained with illumination through the nickel as well as the transparent conducting oxide substrates. The characteristics of these different thickness cell structures, which clearly exhibit the contributions of the bulk i layers, are self consistently analyzed using AMPS and operational gap state parameters based on detailed studies of the corresponding a-Si:H films.

SESSION A21: DETECTORS AND NOVEL DEVICES
Chair: Charles Main
Thursday Morning, April 8, 1999
Metropolitan III (A)
8:30 AM A21.1
HIGH RESOLUTION, HIGH FILL FACTOR a-Si:H SENSOR ARRAYS FOR OPTICAL IMAGING. J.T. Rahn , F. Lemmi, P. Mei, J.P. Lu, J.B. Boyce, R.A. Street, R.B. Apte, S.E. Ready, K.F. van Schuylenbergh, J. Ho, R. Fulks, Xerox Palo Alto Research Center, Palo Alto, CA; R.L. Weisfield, Xerox dpiX, Palo Alto, CA.

Amorphous silicon large area sensor arrays are in production for x-ray medical imaging. The most common pixel design works very well for many applications but is limited in spatial resolution because the available sensor area (the fill factor) vanishes in small pixels. One solution is a 3-dimensional structure in which the sensor is placed above the active matrix addressing. However, such high fill factor designs can introduce cross talk between pixels due to leakage currents and capacitative coupling effects. We present data for a design in which the a-Si:H p-i-n photodiode sensor layer has a continuous i-layer and top p+-layer, and a patterned n+-layer contact to the pixel. Arrays of 64 $\mu$m and 75 $\mu$m pitch have been fabricated and are the highest resolution a-Si:H arrays reported to date. Their resolution matches the expectation for their pixel size, and sensitivity has been improved by the high fill factor. One new design includes the option to use TFTs with self-aligned source and drain contacts, which reduces the data line capacitance, leading to lower system noise. The high fill factor design greatly suppresses lateral leakage currents, while retaining ease of processing. However, the continuous a-Si:H i-layer still exhibits some cross-talk effects, particularly under saturation conditions, and the capacitance introduced by the 3-dimensional structure can affect both electronic noise and cross-talk. We discuss these effects and their dependence on the materials used in the array fabrication.

8:45 AM A21.2
A NOVEL DESIGN OF a-Si:H X-RAY DETECTORS AND SIGNAL READ OUT CIRCUIT FOR 2-D MEDICAL IMAGING APPLICATIONS. S.S. Fann , H.L. Hwang, Department of Electrical Engineering, National Tsing Hua University, Hsin Chu, TAIWAN, R.O.C.; Y.L. Jiang, Department of Electrical Engineering, National Chung Hsing University, Taichung, TAIWAN, R.O.C.; J.C. Wu, New Product Development Department, Electronics Research & Service Organization, Industrial Technology Research Institute, Hsin Chu, TAIWAN, R.O.C.

A novel design of a:Si:H p-i-n photodiode with a silicon nitride capacitor dielectric layer as the X-ray detector and its accompanying operation circuit were proposed in this work. The experimental data showed the number of charges extracted by read out circuit was linearly proportional to the total incident X-ray dose, and it proved that the device structure is a valid concept to use in the applications of X-ray radiography imaging systems. Since the sensor of X-ray in medical radiography applications has to measure the total dose that the sensor was exposed, we define the final converting signal is electric charges instead of electric current. Based on this concept, the sensor was designed as an a-Si:H p-i-n diode with a silicon nitride layer inserted between n layer and aluminum electrode. This silicon nitride layer is not only as the capacitor's dielectric layer to accumulate charges but also as the blocking layer to reduce electron-hole pair recombination rate . Each pixel of these new design X-ray detectors has two TFT's as the switching components, thus it differs from that there is only one TFT each pixel in the conventional matrix addressed 2 dimensional imaging array. One set of TFT's were set to be ON and let the charging loop be closed when the sensors are operating in photovoltaic mode to measure the X-ray dose. When incident X-ray was quenched, these TFT's were reset to be OFF, and the charging loops of pixels become open, and the accumulated charges of this pixels array will be extracted out through the components of another TFT's set column by column, just by the same operation sequence of the read data cycle of a conventional data storage matrix. The experimental results presented that the proposed novel device design with associated operation circuit is a valid concept to make a-Si:H p-i-n photodiodes as efficient X-ray detectors without increasing the device structure or fabrication complexity, and furthermore, they can be fabricated with TFT's, the switching components on the same glass substrate to form a matrix addressed two dimensional X-ray detectors array.

9:00 AM A21.3
UV IMAGER IN TFA TECHNOLOGY. F. Mütze2 , K. Seibel2, B. Schneider1, F. Blecher1, S. Coors1, A. Eckhardt1, P. Rieve2, M. Wagner2, M. Böhm1,2, 1Institut für Halbleiterelektronik (IHE), Universität-GH Siegen, Siegen, GERMANY; 2Silicon Vision GmbH, Siegen, GERMANY.

An image sensor with enhanced sensitivity for near ultraviolet radiation (UVA) has been fabricated in TFA (Thin Film on ASIC) technology. The device employs an amorphous silicon pin detector optimized for UV detection by carbonization and layer thickness variation. The front electrode consists of an Al grid or TCO. A thickness of 4-5nm for the a-SiC:H boron-doped front layer and 40nm for the a-Si:H intrinsic layer provides a compromise between high transparency for UV radiation and low dark reverse current. The a-Si:H phosphorous-doped rear layer is chosen relatively thick (100nm) in order to suppress the photoresponse at longer wavelengths. Measurements show a peak responsivity of 90mA/W at 380nm.
The UV imager prototype consists of 128x128 pixels with a size of 25$\mu$mx25$\mu$m each, fabricated in a 0.7$\mu$m CMOS process. The pixel electronics is designed for constant voltage mode operation. Global sensitivity control serves to achieve a dynamic range in excess of 80dB. A glass absorption filter type UG11 with an out-of-band signal <3$\%$ for the AM1.5 solar source is employed in order to suppress the non-UV content of broadband light sources. The sensor can be used in fields such as chemical and medical applications, solar irradiance monitoring and astronomy.

9:15 AM A21.4
RESISTLESS PATTERNING OF HYDROGENATED AMORPHOUS SILICON FILMS. Russell E. Hollingsworth , Materials Research Group, Inc., Wheat Ridge, CO; Mary K. Herndon and Reuben T. Collins, Colorado School of Mines, Physics Department, Golden, CO; J.D. Benson and J.H. Dinan, Night Vision and Electronic Sensors Directorate, Ft. Belvoir, VA; J.N. Johnson, E-OIR Measurements, Spotsylvania, VA.

Practical methods for directly patterning hydrogenated amorphous silicon (a-Si:H) films have been developed. Direct patterning involves selectively oxidizing the a-Si:H surface by local removal of hydrogen passivation. The oxide layer formed in this way then becomes a mask for subsequent hydrogen plasma etching. Methods for selective oxidation of the a-Si:H surface have been extensively studied, along with studies of the air stability. The hydrogen passivated a-Si:H surface was found to be stable against native oxide formation in air for periods exceeding five days. Examination of the pattern generation threshold dose for excitation wavelengths from 248 to 633nm provides indirect evidence for electron-hole recombination breaking of the silicon-hydrogen bond. An additional hydrogen removal mechanism was observed whereby simple proximity of a tapered fiber optic probe less than 30nm from the sample surface resulted in pattern generation. Etch selectivity between oxide and a-Si:H on the order of 1000 to 1 allows features as much as 1 micron thick to be developed even though the grown oxide is only about 1 nm thick. Patterns were generated in both intrinsic and doped a-Si:H films by several means, including contact printing with a mask aligner, in situ projection lithography with an excimer laser, and direct writing with a near-field scanning optical microscope (NSOM). Direct patterning of a-Si:H films has a wide range of potential applications. We have demonstrated a-Si:H as an in situ photoresist material for patterning HgCdTe infrared detector arrays with all process steps done in vacuum. We have also demonstrated 100nm line widths using NSOM writing with a photolithography goal. Direct patterning of a-Si:H could simplify the manufacturing of thin film transistors, or other devices that require patterned silicon films.

9:30 AM *A21.5
APPLICATIONS OF a-Si:H AND $\mu$c-Si:H SURFACE MICROMACHINING TECHNIQUES FOR LARGE AREA SUBSTRATES. M. Boucinha, V. Chu, Instituto de Engenharia de Sistemas e Computadores (INESC), Lisbon, PORTUGAL; J.P. Conde , Department of Materials Engineering, Instituto Superior Tecnico, Lisbon, PORTUGAL.

Microelectromechanical systems (MEMS) technology has gained wide use in the fabrication of sensors and actuators for micromotors, optoelectronics, fluid systems and biophysics applications. Crystalline silicon has been used as the base material for MEMS, either as the substrate or as a structural component of the device. However, applications which require either low processing temperatures or large area, inexpensive substrates, such as glass or plastic, require different processes and materials. Surface micromachining techniques have been developed to produce air-gap structures on glass using a-Si:H, $\mu$c-Si:H or metal (Al) as the main structural materials. The sacrificial material used is either a low-density, high etch rate (in BHF) a-SiN:H or photoresist. The silicon nitride process is limited by the glass softening point and required the development of a protective coating to minimize the underetching of the glass. With the photoresist process, a maximum temperature of 100$^{\circ}$C can be used. Simple structures, such as bridges and cantilevers, were fabricated using the two different processes on glass substrates. The bridges have spans up to 50 $\mu$m and the cantilevers have spans up to 20 $\mu$m and a height up to 1.2 $\mu$m. These 3D structures can be used as the starting point for applications in thin-film electronic devices. The first demonstration of this technology is the fabrication of an air-gap TFT, where the dielectric is replaced by air. The fabrication of other types of devices will be discussed. Understanding the dynamic properties of these structures under an applied external electric field is important in characterising how they will behave in a device. A study of the electromechanical properties of thin film bridges and cantilevers will be presented.

SESSION A22: DEFECTS, BANDTAILS AND TRANSPORT
Chair: Hiroaki Okamoto
Thursday Morning, April 8, 1999
Metropolitan III (A)
10:30 AM A22.1
A MOLECULAR DYNAMICS STUDY OF BAND TAILS IN a-Si:H. P. A. Fedders , Department of Physics, Washington University, St. Louis, MO and D. A. Drabold, Department of Physics and Astronomy and Condensed Matter Surface Sciences Program, Ohio University, Athens, OH.

The prevailing view of band tail states in a-Si:H is that they are well localized on stretched bonds. These states are routinely invoked in defect pool models, models of light induced defects, models of hopping conductivity, and many other models. However theoretical work on the band tails themselves is sparse. In order to theoretically study band tails, we have created large (over 500 atoms) supercells of a-Si and a-Si:H with no geometrical or spectral defects. We then perform ab initio calculations on the relaxed supercells to support earlier tight binding work on unrelaxed supercells that show the band tails are very delocalized. Further, using these cells, we show the valence band tail states are associated statistically with short (not long) bonds and conduction band tails with long bonds. There are no discernable bond angle correlations! Since there is virtually no work on the geometrical structure of band tails, we also investigate this. We find that the geometrical structure of all the band tail states changes greatly upon hydrogenation even when only a minimal number of H atoms are added.

10:45 AM A22.2
BAND TAILS INDUCED BY DOPING AMORPHOUS SILICON. G. Allan, C. Delerue, M. Lannoo , IEMN, Dept ISEN, Villeneuve d'Ascq, FRANCE.

Low doping efficiency is observed in a-Si:H. It is generally admitted that it is first necessary to fill the band gap states which exist in these materials. Electrons or holes introduced by hydrogenoid impurities can only contribute to the conductivity when these localized states are filled. This necessitates high impurity concentrations which are much larger than the ones generally used for bulk crystalline semiconductors. The low doping efficiency is also attributed to the belief that almost all the impurities are incorporated into inert three-fold coordinated sites whereas the remaining four-fold coordinated impurities essentially follow the common rules, i.e. they give shallow levels near the band edges and they bring an extra carrier to the system. Here we present electronic structure calculations which show that most of the hydrogenoid impurities in four-fold coordinated sites give localized states in the bandgap which result from the interplay between the Coulomb potential of the impurity and the potential fluctuations induced by the disorder. The main consequence is that the Urbach tail broadens considerably in agreement with experimental measurements.

11:00 AM A22.3
EFFECTS OF CHLORINE ON DOPANT ACTIVATION IN a-Si:H. Adam Payne and Sigurd Wagner, Department of Electrical Engineering, Princeton University, Princeton, NJ.

We have deposited films using dichlorosilane and silane under a variety of deposition conditions and discovered that incorporation of chlorine can have a significant effect on the dark conductivity of such films. The dark conductivity of films deposited using SiCl2H2 and SiH4 doped with diborane increases by as much as a factor of 100 over the usual a-Si:H,B films deposited without SiH2Cl2. The effect is observed at gas phase concentrations of diborane from 3E-5 to 5E-3 and for both DC and RF plasma depositions, although it is more noticeable for the DC discharge. An increase in dark conductivity is also observed in B doped a-Si,C:H films deposited with dichlorosilane, albeit with a change in the Tauc gap. Chlorine reduces the conductivity of undoped a-Si:H films, as well as the conductivity in P doped a-Si:H deposited from a discharge containing a chlorinated silane gas. For undoped a-Si:H films deposited using SiCl2H2 and SiH4, the films with chlorine had a reduced dark conductivity by one order of magnitude from 1E-11 S/cm to 10E-12 S/cm. We have deposited solar cells using these chlorinated p-type a-SiC:H films as the p-layers and will report cell characteristics at the conference. We also will discuss several alternatives for the mechanism of chlorine enhanced or reduced dopant activation. This work is supported by the Electric Power Research Institute and the Princeton Plasma Physics Laboratory.

11:15 AM A22.4
DRIFT MOBILITIES IN NEW SPECIES OF HYDROGENATED AMORPHOUS SILICON. Rao Prasanna , Eric Schiff, Syracuse University, Dept of Physics, Syracuse, NY; Christopher Wronski, Zhou Lou, Penn State University, Center for Thin Film Devices, University Park, PA; and Gautam Ganguly, ETL, Tsukuba, JAPAN.

We report temperature dependent photocarrier drift mobility measurements from the time-of-flight technique for two unconventional types of hydrogenated amorphous silicon a-Si:H. The first type of a-Si:H, prepared using strongly diluted silane in hydrogen, has a larger optical bandgap than conventional a-Si:H prepared at lower dilution. In pin diodes with hydrogen-diluted intrinsic layers, we measure a significantly lower electron mobility (compared to the mobility in conventional a-Si:H) throughout the temperature range of 100 K - 300 K. The decline is reminiscent of a previously reported decline of the electron mobility in hydrogenated amorphous silicon-carbon alloys, which also have larger optical gaps than conventional a-Si:H. In the second type of a-Si:H, we studied the temperature dependence of the hole drift mobility in triode-deposited a-Si:H samples, as previously examined by Ganguly et al. Ganguly reported much larger hole mobilities (more than ten times) than for conventional a-Si:H. We confirmed these remarkably large values. This research was supported by the Thin Film Photovoltaic Partnership Program of the National Renewable Energy Laboratory.

11:30 AM A22.5
ELECTRICAL TRANSPORT AT THE JUNCTION OF TANDEM SOLAR CELLS STUDIED USING A PINP STRUCTURE. N. Palit, Arup Dasgupta, S. Ray, P. Chatterjee , Indian Association for the Cultivation of Science, Energy Research Unit, Calcutta, INDIA.

Simulation of experimental current-voltage (J-V) and spectral response characteristics of an incomplete cell structure - PINP - has been used to understand the electronic transport in the np ``tunnel'' junction having two different types of p-layer: (a) hydrogenated amorphous silicon carbide and (b) hydrogenated microcrystalline silicon. The reason for choosing a PINP structure, is the striking difference between the J-V characteristics obtained experimentally, with case (a) having very low conversion efficiency, as against a high fill factor and efficiency in case (b). The ``tunnel'' junction is modelled by a heavily defective recombination layer (RL) with a reduced mobility gap. The potential barrier for electrons moving towards this region is reduced by band gap grading. Analysis of transport properties as a function of position indicates that when light shines on the device having a-SiC:H as the p-layer at the junction, the bands are rendered almost flat, recombination is high, and trapped electrons accumulate over the i-layer. In contrast, the field over the i-layer remains strong under illumination when the p-layer at the junction is microcrystalline. The difference in behaviour when (a) an amorphous and (b) a microcrystalline p-layer is used, is found to be entirely due to the high trapping of holes under illumination in the large valence band tail of p-a-SiC:H. Microcrystalline silicon on the other hand has short band tails; hence the charge from the dopants is not balanced by the trapped hole population in this p-region under illumination. This results in a strong field both at the np junction and over the i-layer; the latter giving rise to good J-V characteristics. From the satisfactory match between theory and experiments we also infer that transport in p-microcrystalline silicon consists of (i) recombination through its amorphous regions (simulated by recombination through RL) and (ii) transport through the crystallites.

11:45 AM A22.6 PHOTOCONDUCTIVITY TRANSIENT RESPONSE FROM THE STEADY STATE IN AMORPHOUS SEMICONDUCTORS. C. Main , S. Reynolds, J.H. Zollondz, Univ Abertay Dundee, School of Science and Engineering, Dundee, UNITED KINGDOM; R. Bruggemann, Fachbereich Physik, Carl von Ossietzky Univ, Oldenburg, GERMANY.

We present analysis, computer modelling and experimental measurements of the photoconductive decay which occurs on cessation of illumination, in amorphous semiconductors. This situation differs in several respects from the impulse response widely used in transient photoconductivity (TPC) studies. For example, traps will initially be occupied up to a quasi - Fermi level, rather than empty, and recombination, which determines the pre-`switch-off' photocurrent will be expected to play a more significant role in the decay at short times. We examine critically several intuitively plausible but erroneous models which have been used to interpret this decay - for example, the assumption that the rate limiting step in the decay process is the thermal release of trapped carriers from the vicinity of the quasi Fermi level, which leads to a simple expression to obtain the carrier drift mobility using the steady state photocurrent and initial decay rate. This analysis also gives a simple relation between the generation rate dependence of the steady photocurrent, and that of the observed decay time. Measured decay rates, however are often much faster than that predicted by the above assumption, and the generation rate dependencies do not follow the relation expected. In this paper, we explore the processes of relaxation of the excess carrier distributions, and examine the relative roles of re-trapping and recombination in exemplar cases of exponential trapping state profiles, with linear (monomolecular) and non-linear (bimolecular) recombination. A rich variety of possible decay behaviour is revealed. Results of experimental measurements of the decay from steady state and TPC in films of amorphous silicon carbide are presented. While these appear initially to be at variance with the predictions of the above models, we demonstrate that the observations can be reconciled fully with theory, albeit in an unexpected way.

SESSION A23: HETEROGENEOUS MATERIALS II
Chair: Ping Mei
Thursday Afternoon, April 8, 1999
Metropolitan III (A)
1:30 PM A23.1
OPTICAL TRANSITIONS IN LIGHT-EMITTING NANOCRYSTALLINE SILICON THIN FILMS. Toshihiko Toyama , Yoshihiro Kotani, Akihito Shimode, Satoshi Abo, Hiroaki Okamoto, Osaka Univ, Grad School of Engineering Science, Dept of Physical Science, Toyonaka, Osaka, JAPAN.

Optical transitions in nanocrystalline Si ( nc-Si) thin films have been studied by electroreflectance (ER) spectroscopy in conjunction with their photoluminescence (PL) properties [1,2]. The boron-doped nc-Si thin films were deposited by plasma CVD, and anodized in HF aqueous solution. The mean crystal size, L0, was changed mainly due to the deposition conditions of plasma CVD. Intense ER features are observed at 293 K at the fundamental gap of 1.20-1.37 eV and E1 direct gap of 3.1-3.4 eV. In the nc-Si thin film with L0 < 2 nm, an extra ER feature is found at 2.2 eV. With a decrease in L0 from 3.2 nm to below 2 nm, the transition energy of the fundamental gap is increased, and the ER signal is intensified. The band-gap widening would be an evidence of quantum confinement (QC) in the nc-Si thin films, and the increased signal indicates indirect-to-direct conversion. Although no pronounced ER feature is found at around dominant PL peaks of 1.65-1.75 eV, the PL peak being located above the fundamental gap by $\sim$0.4 eV is shifted toward blue when the mean crystal size is decreased. This can be basically explained in terms of a simple QC model including the crystal size distribution.1. T. Toyama et al., submitted.2. T. Toyama et al., Mater. Res. Soc. Symp. Proc. 507 (in press).

1:45 PM A23.2
STRUCTURED POLYSILICON FOR PHOTONIC APPLICATIONS. J.G. Fleming , Shawn-Yu Lin, Sandia National Laboratories, Albuquerque, NM.

Silicon's indirect bandgap makes it a relatively inefficient emitter and adsorber of light. However, due to its pivotal role in microelectronics, the silicon-processing infrastructure is unrivaled. This has resulted in intense interest in ways to overcome this inherent problem. Examples include quantum confinement using nano-sized particles or sheets, alloying Si with Ge and C, and doping with erbium. In this work, we demonstrate a fundamentally different approach, a three-dimensional polysilicon photonic lattice. These structures are the photonic analogues of semiconductors. The photonic band structure results when light encounters an ordered arrangement of materials with differing refractive indexes. When correctly designed and fabricated such structures exhibit the property that photons with the band gap energy can not penetrate the lattice, regardless of their angle of incidence. The existence of photonic band gaps was proposed over a decade ago and demonstrated at millimeter wavelengths using macromachined repeating structures made of alumina rods. However, a reduction in wavelength to the infrared requires structures with minimum feature sizes on the order of a micron and, up till now, fabrication difficulties have hindered research in this area. In this presentation we will describe the fabrication of a polysilicon photonic crystal with stopgap between 10 and 15 microns and the results obtained during testing. We will also outline efforts to shrink the lattice down to the point where it is active in the 1.3-2.0 micron regime. These engineered materials have many potential commercial and military applications. Examples include thermal emissivity modification, notch filters, high-speed switches, silicon LED's and lasers, and photonic circuits. This work was supported by the United States Department of Energy under contract DE-AC04-94AL85000. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy.

2:00 PM A23.3
A NOVEL EXCIMER LASER CRYSTALLIZATION METHOD OF POLY-SI THIN FILM BY GRID LINE ELECTRON BEAM IRRADIATION. Ji-Hoon Kang , Cheol-Min Park, Min-Cheol Lee and Min-Koo Han, Seoul Nat'l Univ., School of Electrical Engineering, Seoul, KOREA.

Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated by excimer laser annealing are promising for active matrix liquid crystal displays (AMLCD's). The electrical characteristics of poly-Si TFTs are dependent on the grain size and defect density of poly-Si film. A considerable attention has been paid to increase the grain size and arrange a specific location of grain boundary in poly-Si film through an effective laser recrystallization of amorphous silicon (a-Si) film. In this paper, we have proposed a novel fabrication method poly-Si thin film, which is selectively irradiated by electron beam before excimer laser annealing. We have performed selective electron beam (e-beam) irradiation by e-beam lithography instrument. The e-beam irradiated to a-Si layer with grid shape may affect the a-Si and make the mechanical defect that act as liquid silicon nucleates at the a-Si layer. The solidification of poly-Si occurs in a perpendicular direction to e-beam grid line, so that the grains may be enlarged until the grain boundaries meet in the middle of poly-Si grid line. We deposited a-Si layer with plasma enhanced chemical vapor deposition (PECVD) and their thickness was 800$\AA$. The e-beam irradiation was performed at an energy level of 30 KeV. The XeCl excimer laser crystallization was performed at an energy density of 250mJ/cm2. After excimer laser recrystallization, we investigated the microstructure of our poly-Si film by SEM (scanning electron microscope) and TEM (transmission electron microscope). From TEM image, we can see that the lateral grain growth from the e-beam edge and the average grain size is about $\sim$$\mu$m. The experimental data show that the proposed method successfully enlarges the grain size of the poly-Si layer. We fabricated a poly-Si TFTs in order to verify the effects of the proposed method. Our device exhibit considerable enhanced characteristics, such as high ON characteristics due to enlarged and well arranged poly-Si grains, compared with conventionally laser-crystallized poly-Si TFT.

2:15 PM A23.4
LOW-TEMPERATURE PREPARATION OF POLY-SILICON THIN-FILMS HAVING GIANT GRAINS. Wen-chang Yeh , Masakiyo Matsumura, Tokyo Inst of Tech, Dept of Physical Electronics, Tokyo, JAPAN.

Poly-silicon thin-film with giant grains has been prepared by taking advantages of the newly proposed stacked substrate structure and the energy-gradient excimer-laser annealing (ELA) method. The grain size was enlarged to as large as 13$\mu$m. In the energy-gradient ELA method, grains can be grown to the maximum characteristic size given by a product of the maximum velocity of crystal-growth and solidification duration of the molten Si film, since nucleation along the grain-growing direction is completely suppressed. Thus elongation of the duration by reducing the heat removal rate from the molten Si layer to the substrate is very effective for giant gain growth. This situation was achieved successfully by introducing a low heat-capacitance layer at the Si/substrate interface, since temperature at the interface is just at the melting temperature of Si. Since the heat-capacitance of solid is a nearly material-independent parameter, the answer could be found only in the porous material. We have chosen the spin-on-glass porous SiO2 film as the interlayer since it is heat-tolerant, and crystallized the 200nm-thick Si film on it by a single shot of KrF excimer-laser light pulse. The grain size was enlarged from 3.5$\mu$m for the reference sample having thermal SiO2 substrate to 13$\mu$m for the new structure having the 1.2$\mu$m-thick porous SiO2 interlayer with porosity of 70%. In conclusion, this giant-grain Si layer seems very effective as the seed layer for the epitaxially-grown Si layer aiming at high-performance poly-Si thin-film solar-cells.

2:30 PM A23.5
THE ROLE OF VACANCIES AND DOPANTS IN SI SOLID PHASE EPITAXIAL CRYSTALLIZATION. S. Rassiga1, C.M. Chen 2, M.P. Petkov1, M.H. Weber1, K.G. Lynn1 and H.A. Atwater2, 1Dept of Physics, Washington State Univ, Pullman, WA; 2Dept of Applied Physics, California Inst of Technology, Pasadena, CA.

Understanding the mechanisms of dopant-enhanced solid phase Si crystallization is important in developing an optimum process for low-temperature solid phase growth of large-grained polycrystalline Si thin films on amorphous substrates (e.g., glass) for future thin film poly-Si photovoltaic applications. Despite extensive study, the mechanism for dopant enhanced solid phase epitaxy (SPE) at high (> 1019/cm3) doping concentrations is not well established. Previous work has not generally correlated the SPE rate with direct measurements of the concentration of point defects that could enhance the SPE rate. In the present work, we investigate the role and interaction of vacancies and dopants in crystallization of amorphous Si (a-Si) by solid phase epitaxy (SPE). To this end, we correlate: (i) the solid phase epitaxy rate measured by time-resolved reflectivity (TRR), (ii) the total and electronically-active doping concentrations measured by secondary mass spectrometry (SIMS) and spreading resistance analysis, and (iii) the vacancy concentration at the amorphous-crystalline interface measured by positron annihilation spectroscopy (PAS) in crystallization of a-Si. Phosphorus was implanted into a-Si on Si (001), which was previously amorphized by 29Si+ implantation, to create nonuniform P doping profiles with concentrations below and above the solid solubility limit in crystalline Si. Phosphorus doped samples compensated with a similar boron profiles were also studied. Samples were vacuum annealed so that the amorphous-crystal interface was stopped at various depths relative to the P doping profile, providing frozen frames of the SPE process. PAS is used to obtain vacancy depth profiles, as well as to identify the impurity-defect complexes, thus yielding direct information about their influence on the SPE kinetics. Momentum-resolved PAS measurements enable the detection of bound vacancy-P complexes. Using this method, we have observed a population of vacancies bound to P in the region of the amorphous-crystal interface during SPE. Other features observed in PAS depth profiles, as well as the relative role of vacancies and dangling bonds in SPE will be discussed. This work is supported by the United State Department of Energy, Basic Energy Sciences.

SESSION A24: ORDERING AND PROTOCRYSTALLINITY
Chair: Sigurd Wagner
Thursday Afternoon, April 8, 1999
Metropolitan III (A)
3:15 PM *A24.1
AMORPHOUS SILICON ALLOY MATERIALS AND SOLAR CELLS NEAR THE THRESHOLD OF MICROCRYSTALLINITY. Jeffrey Yang and Subhendu Guha, United Solar Systems Corp., Troy, MI.

One of the most effective techniques used to obtain high quality amorphous silicon alloys is the use of hydrogen dilution during film growth. The resultant material exhibits a more ordered microstructure and gives rise to high efficiency solar cells. As the hydrogen dilution increases, however, a threshold is reached, beyond which microcrystallites begin to form. In this paper, we review some of the interesting features associated with the thin film materials obtained from various hydrogen dilutions. They include the observation of linear-like objects in the TEM micrograph, a shift of the principal Si TO band in the Raman spectrum, a sharp, low temperature peak in the H2 evolution spectrum, a shift of the wagging mode in the IR spectrum, and a narrowing of the Si (111) peak in the X-ray diffraction pattern. These spectroscopic tools have allowed us to optimize deposition conditions to near the threshold of microcrystallinity and obtain desired high quality materials. Incorporation of the improved materials into device configuration has significantly enhanced the solar cell performance. Using a spectral-splitting, triple-junction configuration, the spectral response of a typical high efficiency device spans from below 350 nm to beyond 950 nm with a peak quantum efficiency exceeding 90$\%$; the triple stack generates a photocurrent of 27 mA/cm2. The effect of the improved materials on various solar cell structures, including a 13$\%$ stable triple-junction device, will be presented.

3:45 PM A24.2
KINETICS OF LIGHT INDUCED CHANGES IN P-I-N CELLS WITH PROTOCRYSTALLINE Si:H. Randy J. Koval, Yeeheng Lee, Joohyun Koh*, R.W. Collins*, and C.R. Wronski , Center for Thin Film Devices, Electrical Engineering and Physics Departments*, The Pennsylvania State University, University Park, PA.

Studies have been carried out on the effects that the phase transition between the amorphous and microcrystalline phases in protocrystalline a-Si:H materials (1) have on solar cell characteristics and their light induced changes. A variety of p(a-SiC:H)-i(a-Si:H)-n($\mu$c-Si:H) cell structures were investigated with intrinsic a-Si:H layers from .1 to 1.0 micron thick and fabricated with hydrogen dilution of silane, R=H2/SiH4, from 5 to 20. The light induced changes were investigated with the solar cells at different temperatures using AM1.5 illumination, with and without IR filters, from the annealed to the degraded steady states. The effects of the phase transitions in these materials are evaluated from the systematic changes in both the rates as well as the values of the degraded steady state of the fill factors obtained with different R and thickness of the i-layers.
(1) Joo et. al., APL 1998

4:00 PM *A24.3
MEDIUM RANGE ORDER IN a-Si:H BELOW AND ABOVE THE ONSET OF MICROCRYSTALLINITY. D.L. Williamson , Department of Physics, Colorado School of Mines, Golden, CO.

Medium range order (MRO) and the formation of microcrystallites in a-Si:H have been probed by x-ray diffraction studies. The films examined were grown by plasma-enhanced chemical-vapor deposition (PECVD) and hot-wire chemical-vapor deposition (HWCVD). Long signal averaging enabled good quality data from films as thin as those used in solar cells. Effects of hydrogen dilution, substrate temperature, film thickness, and type of substrate have been examined. Analysis of the first diffraction peak of the a-Si:H phase demonstrates that its width, directly related to MRO, is reduced by higher hydrogen dilution in PECVD growth and by higher substrate temperature in HWCVD growth. This improved MRO appears to be a precursor to the onset of microcrystallinity and correlates with better light stability. Since the bonded hydrogen contents are quite different in the optimized PECVD and HWCVD a-Si:H, these improvements seem unrelated to the hydrogen level in the films. Further large improvements of MRO are observed in the residual amorphous phase above the onset of microcrystallinity. The high-hydrogen-diluted PECVD material is shown to have a high sensitivity to the nature of the substrate (bare stainless steel, n-layer-coated stainless steel, crystalline Si) and to the film thickness, tending to become microcrystalline at larger thicknesses. The latter is consistent with a recently proposed phase diagram in which the phase boundary between amorphous and microcrystalline phases depends on film thickness and level of hydrogen dilution.

4:30 PM *A24.4
WHAT CAN SPECKLE SAY ABOUT METASTABILITY IN a-Si(H)? J. Murray Gibson , P.M. Voyles, J.R. Abelson, University of Illinois, Urbana, IL and M.M.J. Treacy, NEC Research Institute, Princeton, NJ.

We have recently shown that amorphous silicon hydrogen thin films exhibit a structural instability on exposure to light. The data came from Fluctuation Microscopy - a new electron microscope method for analyzing structure in amorphous materials. The method examines spatial fluctuations in diffracted intensity. It elucidates the four-atom (pair-pair) correlation function. We have shown that this function is much more sensitive to medium-range order than the conventional two-body (pair) correlation function from diffraction[1]. Our experiments on pure vacuum-deposited amorphous silicon and germanium have indicated a ìparacrystallineî structure, which transforms towards a random network on thermal annealing[2]. (The paracrystalline state is the thermodynamically unstable fine-grained limit of nanocrystalline material, where grain boundary strains render the material highly distorted, with a diffraction pattern almost indistinguishable from a random network.) Recent experiments on a-Si(H) have shown a similar structural change, from paracrystallinity towards a random network, on broadband light exposure[3]. We believe this fundamental structural instability may be important in understanding the Staebler-Wronski effect, and will discuss our latest experimental results. These include details of the role of hydrogen and comparison of material from several sources. 1 J. M. Gibson and M. M. J. Treacy, Phys. Rev. Lett. 78, 1074-1077 (1997). 2 M. M. J. Treacy and J. M. Gibson, J. Non-Cryst. Solids 231, 99-110 (1998). 3 J. M. Gibson, M. M. J. Treacy, P. M. Voyles, and J. Abelson, Applied Physics Letter in press (1998).

SESSION A25: HYDROGEN IN METASTABILITY
Chair: Eric A. Schiff
Friday Morning, April 9, 1999
Metropolitan III (A)
8:30 AM A25.1
HYDROGEN FLIP MODEL OF METASTABLE STRUCTURAL CHANGES IN AMORPHOUS SILICON. R. Biswas , Y.P. Li, Dept. of Physics, Microelectronics Research Center and Ames Laboratory, Iowa State University, Ames, IA.

Recent experimental measurements of light-induced degradation, have found large changes of the amorphous network that exceed the low density of metastable dangling bonds. These include changes in infrared (IR) absorption, photodilation and NMR measurements of increased H-local motion. We propose a new metastable defect associated with bonded hydrogen atoms in a-Si:H, that accounts for many of these changes. We find higher energy metastable state is formed when H is flipped to the backside of the Si-H bond at a monohydride site. We find the dynamic dipole moment of this `H-flip' defect is larger and increases the infrared absorption. The IR-changes observed by the Beijing group are then caused by a change in the bonding environment of the Si-H bond. Simulations use both tight-binding molecular dynamics and abinitio methods. This H-flip defect also accounts for increased local H-motion and a small volume expansion similar to photodilation measurements. The density of these H-flip defects and their relation to two-level states will be discussed. *Supported by EPRI and DOE.

8:45 AM A25.2
EXPLANATION OF HYDROGEN DIFFUSION PHENOMENA IN a-Si:H BASED ON THE ``H COLLISION" MODEL OF METASTABILITY. Howard M. Branz , National Renewable Energy Laboratory, Golden, CO.

The ``hydrogen collision'' model of light-induced metastability in hydrogenated amorphous silicon (a-Si:H) provides fresh insights into the mechanism of H diffusion in a-Si:H. Previous work [Branz, MRS Symp. A, Spring 1998] showed that the ``H collision'' model explains the main metastability observations, including: 1) the creation kinetics of threefold-coordinated Si dangling bond defects (DBs) by continuous illumination at room temperature and 4K, 2) the DB creation kinetics under pulsed illumination and 3) the electron spin resonance lineshape of the DB. The model assumes trap-controlled H diffusion and requires that the principle H trapping state is the neutral DB. In this paper, I show that this trap-controlled model of H diffusion provides new explanations of two features of thermal H diffusion in a-Si:H; I derive both the linear DB-dependence of diffusion coefficient with doping and the time-dependence of H diffusion (``dispersive'' diffusion). In addition, the room temperature diffusion coefficient of mobile H can be estimated from metastability measurements; [Heck and Branz, this Symposium] it is about 10-6 cm2-s-1. This value is higher by several orders of magnitude than the measured room T diffusion coefficient of H in crystalline Si (c-Si). This new estimate of the mobile H diffusion coefficient implies a 0.2 eV activation energy for mobile H diffusion in a-Si:H, comparable to the theoretical estimates of the barrier to diffusion in perfect c-Si. This research was supported by the U.S. DOE under contract DE-AC36-83CH10093.

9:00 AM A25.3
STRUCTURAL CHANGES AND MOBILE HYDROGEN IN a-Si:H OBSERVED BY PROTON NMR. Jonathan Baugh, Daxing Han and Yue Wu , Department of Physics & Astronomy, University of North Carolina, Chapel Hill, NC.

High temperature proton NMR is applied to investigate hydrogen dynamics and microstructures in a-Si:H. In addition to the generic broad and narrow lines observed in all a-Si:H, an additional narrow line (less than 1 kHz wide) is observed as the temperature is raised. This narrow line is shifted gradually to the up-field by about 4 ppm with respect to the generic narrow line (a few kHz wide). Below 150$^{\circ}$C, the change of the proton spectrum with temperature is reversible; the hydrogen associated with this additional narrow line is shown to originate from hydrogen originally associated with the broad line. The spin-lattice relaxation time of the this up-field shifted narrow line is about 10 ms. This, along with its small linewidth, suggests that this line originates from mobile hydrogens; whether this is associated with mobile atomic hydrogen or molecular hydrogen remains to be clarified. This up-field shifted narrow line is most visible in hot-wire a-Si:H.
Above 150$^{\circ}$C, some irreversible decrease of the broad line is detected when room temperature spectra taken before and after the high temperature experiments are compared. It was interesting to note that dramatic annealing effect occurs in hot-wire samples annealed above T=250$^{\circ}$C even though the substrate temperature is 360$^{\circ}$C. The previously reported broad line of 50 kHz in hot-wire samples disappears and the spectra at room temperature becomes very similar to that of glow-discharge samples; the linewdith of the remaining broad line is 30 kHz. This experiment indicates that two types of Si-H clusters exist in a-Si:H; those associated with the broad line of 50 kHz is less stable against elevated temperatures than that associated with the 30 kHz broad line.
This work is supported by DOE, NREL and Thin Film Partnership subcontract XAK-7-17619-11, and by NSF under the contract DMR-9802101.

9:15 AM A25.4
LIGHT-INDUCED STRUCTURAL CHANGE IN HYDROGENATED AMORPHOUS SILICON OBSERVED BY INTERNAL FRICTION. X. Liu , Cornell University, Dept of Physics, Ithaca, NY; E. Iwaniczko, National Renewable Energy Lab, Golden, CO; R.O. Pohl, Cornell University, Dept of Physics, Ithaca, NY; R.S. Crandall, National Renewable Energy Lab, Golden, CO.

We observe an increase of the low-temperature internal friction of hydrogenated amorphous silicon prepared by both hot-wire and plasma-enhanced chemical-vapor deposition after extended light-soaking at room temperature. Since low-temperature internal friction is not directly sensitive to the density of dangling bonds, the observed increase must have a structural origin, i.e. an increase of the lattice disorder. Experiments conducted on samples prepared by hot-wire chemical-vapor deposition show that this change anneals out at room temperature after about 70 days. Repeating the light-soaking can again increase the low-temperature internal friction but to a smaller extent. This indicates that the light-induced structural change and its relaxation can be divided into irreversible and reversible parts. A striking observation is that the irreversible part involves an increase of an internal friction peak which we associate with the freezing of molecular hydrogen trapped in cavities in amorphous silicon. This internal friction peak also slowly relaxes to its original value after prolonged annealing at room temperature. It is hoped that this kind of study will aid to our understanding of the profound influence of light-soaking on hydrogenated amorphous silicon. Work supported by SRC (Grant 95/SC/069), NSF (Grant DMR-9701972), and the NREL FIRST program

9:30 AM A25.5
A COMPARISON OF THE DEGRADATION AND ANNEALING KINETICS IN AMORPHOUS SILICON AND AMORPHOUS SILICON-GERMANIUM SOLAR CELLS. D.E. Carlson , L.F. Chen, G. Ganguly, G. Lin, A.R. Middya, Solarex, Toano, VA; R.S. Crandall and R. Reedy, National Renewable Energy Laboratory, Golden, CO.

The degradation and annealing kinetics of both a-Si:H and a-SiGe:H p-i-n solar cells were investigated under varying conditions. We find that a-SiGe:H single-junction cells degrade more slowly than a-Si:H cells when exposed to light. However, while the degradation of a-Si:H cells shows clear evidence of saturating, the degradation of a-SiGe:H cells does not appear to saturate within the time frame of our experiments. Degraded (light-soaked) a-SiGe:H cells recover more slowly than degraded a-Si:H cells when annealed under either open-circuit or reverse bias conditions in the dark. Degraded a-SiGe:H cells also recover more slowly than a-Si:H cells when exposed to intense illumination (60 suns) under a strong reverse bias. In addition, we find that when as-deposited a-SiGe:H and a-Si:H cells are exposed to a strong reverse bias at elevated temperatures (reverse bias annealing), the a-SiGe cells exhibit a much smaller and slower improvement in performance than the a-Si:H cells. Thus, in every case, the kinetics associated with degradation and annealing are slower for a-SiGe:H cells than for a-Si:H cells. Since hydrogen motion may be involved in the degradation and recovery mechanisms, we investigated the diffusion of deuterium in sandwich structures using both a-SiGe:H and a-Si:H layers. The data indicate that the diffusion of hydrogen in our a-SiGe:H films is more complex than in the a-Si:H films. There is evidence that some of the hydrogen can diffuse out of our a-SiGe:H films very rapidly while there is also a component that diffuses much more slowly.

SESSION A26: HIGH DEPOSITION RATE
Chair: Ruth Shinar
Friday Morning, April 9, 1999
Metropolitan III (A)
10:15 AM *A26.1
HIGH-RATE GROWTH OF STABLE a-Si:H. Tomoko Takagi , Ryo Hayashi, Wataru Futako, Tomonori Nishimoto, Michio Kondo, Akihisa Matsuda, Electrotechnical Laboratory, TFSSCS Lab., Ibaraki, JAPAN.

The gas-phase species in silane plasma was studied for the understanding of the growth mechanism of hydrogenated amorphous silicon (a-Si:H) films, such as deterioration of film property with the increase in the growth rate and light induced degradation. We measured various gas-phase species in silane plasma using a quadrupole mass spectrometer, and took attention to the higher-order silane related species formed in the plasma. A parallel-plate plasma enhanced chemical vapour deposition (PECVD) system with an excitation frequency of 13.56 MHz was used. The discharge condition was varied giving growth rates of a-Si:H ranging from 2 Å/s to 20 Å/s. We observed the signal intensities of SiH2+, Si2H4+, Si3H6+ and Si4H8+ as the most abundant ions in the mass fragmentation related to monosilane, disilane, trisilane and tetrasilane molecules, respectively. The film property of a-Si:H films after light-induced degradation was monitored by the fill factor (F.F.) of photo current - voltage characteristics in n+ crystalline Si / a-Si:H / Ni Schottky cells under 6 hours of 3-sun (300 mW/cm2) light soaking at 60 $^{\circ}$C. The F.F. after light soaking deteriorated with the increase in the growth rate. The contribution of higher-silane related radicals to the film growth, monitored as the higher silane molecular fraction in the plasma, showed a relation with the F.F. after light soaking. We identified the contribution of higher-order silane-related radicals to the film growth as a measure of the degradation property of a-Si:H films. It is suggested from the results mentioned above that suppression of gas-phase higher-order silanes is a clue to obtain stable a-Si:H solar cells at high growth rate.

10:45 AM A26.2
ANALYSIS OF PLASMA PROPERTIES AND DEPOSITION OF AMORPHOUS SILICON ALLOY SOLAR CELLS USING VERY HIGH FREQUENCY GLOW DISCHARGE. Baojie Yan , Jeffrey Yang and Subhendu Guha, United Solar Systems Corp., Troy, MI; Alan Gallagher, University of Colorado at Boulder, CO.

We have recently shown that amorphous silicon (a-Si) alloy solar cells deposited at 0.6 nm/sec by a modified very high frequency (MVHF) glow discharge technique exhibit similar performance and stability to those made by radio-frequency (RF) at 0.3 nm/sec. In this paper, we discuss the plasma properties in the MVHF system and the progress of a-Si alloy solar cells made by MVHF technique. A retarding field analyzer was installed in our MVHF system to measure the energy distribution of positive ions. The results show that the ionic energy distribution for H2 plasma with 75 MHz excitation at a pressure of 100 mtor has a peak at 22 eV with a half-width of about 6 eV. However, with 13.56 MHz excitation, the peak appears at 37 eV with a much broader half-width of 18 eV. The introduction of SiH4 to the plasma shifts the distribution to a lower energy. Increasing the pressure not only shifts the distribution to a lower energy but also broadens the distnbution. The ionic current density at the substrate is found to be about five times higher for MVHF plasma than for RF plasma with the same power input. The deposition of a-Si alloy solar cells using MVHF was investigated in detail at different pressures to study the effect of ion bombardment on cell properties. Lowering the pressure results in a deterioration of cell performance. Under optimum conditions, a-Si alloy solar cells made at deposition rates between 0.2 and 0.9 nm/sec show similar performance and stability. The effect of hydrogen dilution on a-Si alloy solar cell performance is different for MVHF and RF. By optimizing the deposition conditions, a 10.8$\%$ initial efficiency of a-Si/a-SiGe/SiGe triple-junction solar cell was achieved at a deposition rate of 0.6 nm/sec.

11:00 AM A26.3
FAST VHF-GD DEPOSITION OF a-Si:H LAYERS AND SOLAR CELLS IN A LARGE AREA (40X40CM) PECVD REACTOR. U. Kroll , D. Fischer, J. Meier and A. Shah, Institut de Microtechnique, Universite de Neuchatel, Neuchatel, SWITZERLAND; L. Sansonnens and A. Howling, Centre de Recherches en Physique des Plasmas, Ecole Polytechnique Federale de Lausanne, Lausanne, SWITZERLAND.

It has been pointed out in several independent studies performed in small research reactors that a glow discharge at excitation frequencies higher than standard RF at 13.56 MHz leads to an increase in the deposition rate. By scaling up the reactor dimensions to industrial large area reactors, however, this beneficial effect is accompanied by the inconvenience of a less uniform deposition at frequencies in the VHF range. Recently, this has been explained [1,2] by a deterioration in the RF interelectrode voltage uniformity as a quarter of the free space wavelength becomes comparable to the reactor dimensions in the VHF-range. In this study the film thickness uniformity and the deposition rate in a single chamber large area industrial reactor with electrode dimensions of 40x40cm has been investigated in the frequency range of 60 MHz to 120 MHz. The a-Si:H film thickness uniformity, analyzed by a light interferometry technique and a step profiler, will be interpreted in terms of 2 dimensional interelectrode voltage measurements and voltage simulations, as presented in Ref [1]. The plasma excitation frequency of 80 MHz has been found to be a good compromise between the gain in deposition rate and the homogeneity requirements necessary for a-Si:H solar cells. Under these conditions using hydrogen dilution high deposition rates of 6-7 $\AA$/s with a film uniformity of $\pm$5% over a usable substrate size of 30x30cm have been obtained. Furthermore, in this single chamber deposition system high performance 0.36 µm thick a-Si:H solar cells were fabricated in a total process time of around 20 minutes. [1] L. Sansonnens et al., Plasma Sources Sci. Technol. 6 (1997) 170; [2] J. Kuske et al., Mat. Res. Soc. Symp. Proc. 377 (1995) 27

11:15 AM A26.4
PERFORMANCE OF a-Si-H SOLAR CELLS AT HIGHER GROWTH RATES. G. Ganguly , G. Lin, L.F. Chen, M. He, G. Wood, D.E. Carlson, and R. Arya, SOLAREX, Toano, VA.

It is recognized that the initial and stable performance of plasma processed a-Si based photovoltaic devices drop with increasing growth rate of the i-layer which is related to changes of the plasma conditions used to increase the growth rate. The changes that occur when the plasma power (voltage and/or current) is increased include ñ a larger contribution of reactive radicals (SiH2/SiH3) due to depletion of source gases, relatively larger ion fraction (SiH3 +/SiH3) and ion-energy due to increased plasma voltage, and a larger contribution of radicals containing multiple silicon atoms (Si2H5/SiH3, ..). We have compared the relative detriment caused by each of these sources through systematic variation of plasma conditions. The afflicted cell parameters are the solar cell current and/or the degradation of the solar cell fill factor. Higher hydrogen dilution results in greater loss of performance with increasing growth rate. On the other hand, increasing either the silane partial pressure, or the total pressure causes a smaller loss of performance with increasing growth rate. We identify ion-energies and reactive radicals as the dominant constraints to increasing growth rate for the reactor geometry and growth conditions we use. Controlling the plasma conditions towards reducing the effects of these two factors improves the performance significantly. The remnant loss of performance at higher growth due to the increased contribution of multi-silicon containing radicals will be discussed.

11:30 AM A26.5
PREPARATION OF TRIPLE-JUNCTION a-Si:H NIP BASED SOLAR CELLS AT DEPOSITION RATES NEAR 10 $\AA$s USING A VERY HIGH FREQUENCY TECHNIQUE. S.J. Jones , X. Deng, T. Liu and M. Izu, Energy Conversion Devices, Inc., Troy, MI.

In an effort to find an alternative deposition method to the standard low deposition rate 13.56 MHz PECVD technique, the feasibility of using a 70 MHz rf plasma frequency to prepare a-Si:H based i-layer materials at high rates for nip based triple-junction solar cells has been tested. As a prelude to multi-junction cell fabrication, the deposition conditions used to make single-junction a-Si:H and a-SiGe:H cells using this Very High Frequency (VHF) method have been varied to optimize the material quality and the cell efficiencies. It was found that the efficiencies and the light stability for both a-Si:H and a-SiGe:H single-junction cells remain relatively constant as the i-layer deposition rate is varied from 1 to 10 $\AA$/s. Also these stable efficiencies are similar to those for cells made at low deposition rates (1 $\AA$/s) using the standard 13.56 MHz PECVD technique and the same deposition equipment. Using the knowledge obtained in the fabrication of the single-junction devices, a-Si:H/a-SiGe:H/a-SiGe:H triple-junction solar cells have been fabricated with all of the i-layers prepared using the VHF technique and deposition rates near 10 $\AA$/s. Doped layers for these devices were prepared using the standard 13.56 MHz rf frequency and deposition rates near 1 $\approx$/s. Pre-light soaked efficiencies of greater than 10% have been obtained for these cells prepared at high rates. Also after 600 hrs. of light soaking under AM1.0 conditions, the cell efficiencies degraded by 10-15%, values similar to the degree of degradation for high efficiency triple-junction cells made by the standard 13.56 MHz method using i-layer deposition rates near 1 $\AA$/s. Thus, use of this VHF method in the production of large area a-Si:H based multi-junction solar modules will allow for higher i-layer deposition rates, higher module throughput and reduced module cost.

11:45 AM A26.6
HIGH QUALITY a-Si:H FILMS GROWN AT HIGH DEPOSITION RATES. Yoram Lubianiker , Yanyang Tan, J. David Cohen, Department of Physics, University of Oregon, Eugene, OR; Gautam Ganguly, Electrotechnical Laboratory, Tsukuba City, JAPAN.

We have studied intrinsic a-Si:H samples that were grown at 250$^{\circ}$C by the rf PECVD of silane, with (4:1) and without hydrogen dilution, keeping the silane partial pressure constant (20 mTorr). The growth rate was varied from 2 to 16 $\AA$/sec, by altering the rf power. The defect densities, determined by the Drive-Level Capacitance Profiling method, were found to be similar for the diluted and non-diluted materials at the lowest growth rates. For the non-diluted material we found an increase in the defect density, in both the annealed and light soaked state, as the growth rate was increased. In contrast, there was a minimum in the defect density for the hydrogen diluted material at the relatively high growth rate of 11 $\AA$/sec. This led to a stable defect density of 9x1015 cm-3 (after 100 hours of degradation at a light intensity of 2.2 W/cm2).
Transient photocurrent and photocapacitance spectorcopies reveal an anomalous absorption spectrum, which suggest the existence of microcrystallites inside the amorphous matrix. While the former spectra is almost independent of the growth conditions, the latter contains a feature (absorption shoulder at h$\nu$ $\ge$ 1.1 eV) which decreases as the rf power increases , suggesting a reduction in the volume fraction of the crystallites. However, we conclude, based on the absence of any microcrystalline signature in the Raman spectra and in the degradation kinetics, that the crystalline fraction is small. We suggest that hydrogen atoms released from silane lead to the formation of microcrystallites at these low pressures, while increasing the rf power, which enhances the ion-bombardment, tends to disrupt the growth of the microcrystallites.