Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

spring 1998 logo1998 MRS Spring Meeting & Exhibit

April 13 - 17, 1998 | San Francisco
Meeting Chairs: John A. Emerson, Ronald Gibala, Caroline A. Ross, Leo J. Schowalter









Symposium Q—Materials Issues in Chemical-Mechanical Polishing

Chairs 

Michael Fury 
Advanced Microelectronic Materials 
AlliedSignal Inc 
Sunnyvale, CA 94089-1134 
408-962-2017

Dale Hetherington
Sandia National Laboratories
MS 1084
Albuquerque, NM 87185-1084
505-844-6155

Jayashree Kalpathy-Cramer 
LSI Logic 
MS J-202 
Santa Clara, CA 95054 
408-433-6528

* Invited paper

SESSION Q1: 
Chair: Michael A. Fury 
Wednesday Morning, April 15, 1998 
Pacific J
8:30 AM *Q1.1 TRIBOCHEMICAL POLISHING OF CERAMICS. T.E. Fischer, S.R. Hah and V. Muratov, Stevens Institue of Technology, Hoboken, NJ. 

Tribochemistry is the modification, usually acceleration, of chemical reactions by simultaneous friction. An important such reaction is the dissolution of ceramics in suitable fluids. Since it occurs only at friction contacts, namely at asperities, the tribochemical dissolution provides ultra-flat surfaces devoid of microcracks and other subsurface defects that result from mechanical machining. A number of models have been proposed for these phenomena, some describing a combination of chemical attack and mechanical removal of reaction products. It is generally observed that the apparent activation energy in the temperature dependence of tribochemical reactions is much lower than for the case of the corresponding static reactions. This indicates that the chemical reactions themselves are modified by friction. Experiments are being performed, which aim at observing the tribochemical reactions on the rubbing surfaces in order to gain further insights on their modification caused by friction. These include in situ FTIR spectroscopy, dependence on mechanical parameters such as sliding speed and load, temperature dependence, and the exploration of specific reactions. 

9:00 AM *Q1.2 
SURFACE CHARACTERIZATION OF POLYURETHANE CMP PADS. Krishnashree Achuthan*, D.L. Hetherington**, S.V. Babu*, *Clarkson University, Potsdam, NY; **Sandia National Laboratories, ALbuquerque, NM. 

The surface characteristics of polyurethane pads impact polishing performance [1]. Pad properties such as mechanical modulus, glass transition temperature, polymer density, and surface roughness have shown to influence CMP removal rates and stability [2-6]. Information relating pad properties to polishing performance is sparse in the literature. One reason is due to the lack of adequate measurement techniques. Recently we have concentrated on utilizing two measurement techniques aimed at studying the pad surface properties and their relationship to polishing performance. These measurements include surface topography using a laser scanning confocal microscopy, and surface modulus using a stylus modulus profiler. This talk focuses on the characterization of polish pad surfaces from mechanical, chemical and tribological perspectives. The statistical nature of the polyurethane pad surfaces is detemined using tribological metrics such as average and RMS roughness, skew, kurtosis, bearing ratio, and power spectral density. These measurements are taken using a portable laser scanning confocal microscope. The chemical nature of the pad surface is analyzed utilizing Raman and FTIR measurements. Hydrolysis of polyurethane is found to be the most dominant chemical change occurring due to polishing. The effects of soaking polish pads in different ammonia and potassium based solutions of differing pH and ionic strength on changes in pad surface modulus are investigated. Surface modulus of polish pads is found to be sensitive to the soaking time and temperature. The influence of pad wear on planarization characteristics such as step height and ILD thickness variations on features with varying densities and on a 256K SRAM chip examined over a pad wear range of 250 m showed planarization to be independent of pad wear. 

9:30 AM *Q1.3 
THE APPLICATION OF SCANNING PROBE MICROSCOPY (SPM) TOWARD THE UNDERSTANDING CHEMO-MECHANICAL POLISHING (CMP). Yale E. Strausser, Digital Instruments, Inc., Santa Barbara, CA. 

A variety of modes of scanning probe microscopy (atomic force, nano-indenting, force measurement, etc.) have been applied to addressing many of the problems of chemo-mechanical polishing over the last 3 to 5 years. As a result our understanding of local effects in CMP has expanded. Among other things, SPM has been used to measure the effect of local feature density on local polishing rate, small scale effects of dielectric material composition on local polished surface roughness, local morphology produced because of an abrupt boundary in the pre-polish morphology, and variation in local removal rate due to changes in the solution chemistry. These results and others will be brought together to produce a summary of the strengths and weaknesses of SPMs in CMP process development and monitoring. 

10:30 AM Q1.4 
FRICTIONAL FORCE MEASUREMENTS IN THE CHEMICAL-MECHANICAL POLISHING PROCESS. U. Mahajan, R.K. Singh, J. Adler, B. Moudgil, University of Florida, Gainesville, FL. 

With the emergence of chemical-mechanical polishing ( CMP ) as the method of choice for global planarization of dielectric and metal films, the need to understand the fundamental aspects of the process has assumed significant importance. In this paper, the role of frictional forces during the CMP process has been studied. An in-situ lateral force measurement apparatus was designed and fabricated, and measurements were carried out on unpolished wafers. Experiments were conducted in polishing of silica and tungsten-coated wafers using standard slurry conditions. The frictional force measurements were conducted as a function of time and process conditions, and were correlated with the change in surface characteristics of the polished wafers. Atomic Force Microscopy (AFM) and Ellipsometry were among the techniques used to characterize the samples before and after the experiments. The results can be used to obtain a better understanding of the dynamic processes occurring at the wafer-pad interface during the CMP process. 

10:45 AM Q1.5 
SURFACE FORCE MEASUREMENT IN CHEMICAL MECHANICAL POLISHING AND POST-CMP CLEANING. Joshua Adler, Uday Mahajan, Yakov Rabinovich, Robert Chodelka, Rajiv Singh and Brij Moudgil, NSF Engineering Research Center for Particle Science and Technology and The Department of Materials Science and Engineering, University of Florida, Gainesville, FL. 

The local and global planarity of a polished surface is critically dependent on the interactions between particles suspended in the polishing slurry and the surface. Chemical mechanical polishing (CMP) techniques often employ extreme pH conditions or high oxidizer concentrations such that theoretical predictions of stability and adhesion force areinaccurate. Additionally, the precise behavior of dispersants, especially in corrosive environments is relatively undefined. Through the quantitative direct measurement of interparticle forces and corresponding CMP, these issues may be resolved. The purpose of this investigation is to clearly describe the surface forces present in CMP of silica interlevel dielectric and tungsten interconnects through direct measurement via atomic force microscopy (AFM) and to correlate solution conditions with measured polishing rate, planarization, and particle attachment. Of specific interest in interlevel dielectric polishing by silica suspensions is the variation of surface force and solution conditions as dissolution occurs at high pH. Nanoindentation and scratch techniques were also applied to characterize the surface mechanical properties under corresponding polishing conditions. In the polishing of tungsten interconnect material by alumina slurries in the presence of oxidizer at acidic pH, the effect of dissolution of the alumina and oxidation of tungsten on surface forces and cross contamination has been investigated. Additionally, at high oxidizer concentrations, the contributions of hydration forces, due to adsorbed salt, have been measured and implications for post-CMP cleaning considered. Critical for both systems is the behavior and effectiveness of selected surfactant and polymeric dispersants at high pH or oxidizer concentration. Lastly, to establish the practical impact and interaction of these forces, surfaces polished under corresponding solution conditions were evaluated. 

11:00 AM *Q1.6 
MECHANISMS OF TUNGSTEN REMOVAL DURING CHEMICAL MECHANICAL POLISHING. David Stein, University of New Mexico, Department of Chemical and Nuclear Engineering, Farris Engineering Center, Albuquerque, NM; Dale Hetherington, Sandia National Laboratories, Albuquerque, NM; Joseph L. Cecchi, University of New Mexico, Department of Chemical and Nuclear Engineering, Farris Engineering Center, Albuquerque, NM. 

Tungsten chemical-mechanical polishing (CMP) has been recognized as the most effective method of forming plugs and vias utilized in multi-level metal ULSI circuits. Most of the work to date has focused on empirical cause and effect relationships in which process variables such as slurry composition, pad type, applied pressures, and platen and carrier speeds are studied using the methodology of statistically designed experiments. These empirical models allow for adequate manufacturing process control, however, they provide little information on the fundamental tungsten removal mechanisms that occur during polish. Clearer understanding of the removal mechanism(s) will benefit next generation designs of slurries and pads and will improve W CMP manufacturing processes. 
The focus of this talk is on removal mechanisms of tungsten during CMP. Corrosive wear has been studied. This mechanism involves the formation of a surface passivation layer on the metal that is removed by the mechanical action of the polish. DC and AC based electrochemical oxidation rate measurements and results from in-situ potentiostatic control of the metal indicate corrosive wear is not the predominant mechanism of metal removal during tungsten CMP. Results from tribological surface characterization, AFM, SEM, and TEM will be presented as a method to elucidate possible wear mechanisms. 

11:30 AM Q1.7 
STABILIZATION OF Al2O3 SLURRIES IN PRESENCE OF OXIDIZERS FOR CHEMICAL MECHANICAL POLISHING. B.J. Palla, D.O. Shah, Dept of Chemical Engineering, University of Florida, Gainesville, FL; M. Bielmann, U. Mahajan, D. Kumar, R.K. Singh, Department of Materials Science and Engineering, University of Florida, Gainesville, FL. 

Alumina (Al2O3) containing slurries are commonly used for chemical mechanical polishing (CMP) of tungsten. These slurries contain a strong oxidizer which is essential to the process. However, the combination of these components results in an unstable slurry, and they are typically mixed at point of use. In this paper, it is reported that alumina slurries containing potassium ferricyanide (K3Fe(CN)6) as the oxidizer have been stabilized using a combination of surfactants. The surfactant system which was fond to stabilize the slurry contains both an anionic surfactant and a nonionic surfactant. The anionic surfactant adsorbs on the positively charged alumina surfaces, and the nonionic surfactant attaches to the hydrocarbon chain of the anionic surfactant. The nonionic surfactant contains a long polar chain which then serves as a steric barrier to coagulation of the alumina particles. With this combination of surfactants, the slurries containing alumina and a high concentration of K3Fe(CN)6 were fond to be stable for over 48 hours. This extent of stability was characterized by visual observation as well as transmittance measurements on a UV-VIS spectrometer and diffusion coefficient measurements from Quasi-Elastic Light Scattering (QELS). In addition, the electrochemical behavior of CVD tungsten was characterized by static electrochemical measurements on the slurry containing these surfactants by measuring the dissolution rate. From this data, the effect of the surfactant on the dissolution rate on tungsten for the CMP process was determined. 

SESSION Q2: 
Chair: Jayashree Kalpathy-Cramer 
Wednesday Afternoon, April 15, 1998 
Pacific J
1:30 PM *Q2.1 
ELECTROCHEMICAL CHARACTERIZATION OF COPPER AND ALUMINUM DURING CHEMICAL-MECHANICAL PLANARIZATION. Carlyn Sainio, David J. Duquette Materials Science and Engineering Department, Rensselaer Polytechnic Institute, Troy, NY. 

Chemical-mechanical planarization (CMP), as the final step in an inlaid metal process, is being investigated as a method for global planarization of interconnects. Chemicals are added to the slurry to oxidize the metal surface and/or dissolve abraded particles, thereby enhancing mechanical removal of material. Consequently, CMP is a chemical, electrochemical, and mechanical process. Although electrochemistry alone cannot explain the large polish rates experienced during CMP, electochemical techniques can be used as a means to understand the process by which metal (in particular copper and aluminum) is planarized during CMP. By characterizing the electrochemical parameters (e.g. open circuit potential, current density) that control CMP, it is possible to determine optimum potential-pH ranges for planarization and develop slurry compositions for further study. Even though CMP does not occur under thermodynamic equilibrium, potential-pH (Pourbaix) diagrams can be used to predict the species which are present during CMP. Conventional electrochemical techniques (e.g. open circuit potential, linear polarization resistance, potentiodynamic polarization) have been used to understand the fundamental processes by which metal (copper and aluminum) is removed during CMP. A rotating disk electrode assembly has been constructed for electrochemical studies of blanket films and patterned wafers (Figure 1). Independent control of electrochemical potential, relative speed of the pad to the wafer, and applied force allow investigation of the relationship between electrochemistry and mechanical parameters such as polishing velocity and polishing pressure. Studies to date indicate that planarization of copper in ammonia-containing solutions is controlled by complexing in the solution. The instantaneous dissolution rate of copper at the surface cannot explain observed CMP rates. Instead, the removal rate is controlled by the mass transport of CMP products. On the other hand, planarization of aluminum is controlled by the balance between passive film formation and metal dissolution. In addition to passivators, etchants are essential in the slurry for a scratch-free surface. 

2:00 PM Q2.2 
THE ROLE OF THE SLURRY IN THE DEVELOPMENT OF A COPPER CMP PROCESS THAT SUPPORTS MULTILEVEL, DUAL INLAID METALLIZATION IN SEMICONDUCTOR DEVICES. David K. Watts, Janos Farkas, Jaime Saravia, Jason Gomez, Melissa Freeman, Chelsea Dang, Susan Rabke, John Mendonca, Rabiul Islam, Jeff Klein, Advanced Products Research and Development Laboratory, Motorola,Austin, TX. 

Dual inlaid copper metallization is being proposed as the technology for back-end integration in the future generations of multi-level devices [1-4]. The dual inlaid approach has the potential for significant process simplification and the low resistivity of copper offers performance advantages. Critical to the success of dual inlaid technology is the development of a robust planarization process which is capable of producing a coplanar surface at each level. The process must also avoid thinning of the metal lines which leads to increased line resistances and ultimately poor device performance. One of the most critical consumables for a successful copper CMP process is a slurry that meets the requirements for multilevel integration. Sufficient planarization requires protection of the "low" and recessed areas during CMP. In copper CMP this may be achieved by the formation of a protective copper oxide layer, which is subsequently removed by mechanical abrasion. However, tailoring of the chemistry at the copper/slurry interface may be required to allow for a suitable balance between the formation of the passivating layer and its subsequent removal. Adequate rate and uniformity must be achieved while the stringent requirements on parameters such as copper dishing and dielectric erosion must be met to meet electrical specifications and maximize yield. This paper describes the development of an effective copper CMP process to address these challenges. In order to determine the capability of the process in multilevel dual inlaid back-end integration, one aspect of the CMP process that needs to be well characterized is the planarity performance. Figure 1 shows a test structure used to characterize the planarity performance at CMP and an example of profiles across the surface of this test structure under different process conditions. The results of varying degrees of recess into the copper lines and of dielectric erosion will depend heavily on the slurry components and the pattern density. The extent of recess of the copper and erosion of the dielectric will have a direct impact on copper line resistances and ultimately device performance. Results will be presented from electrochemical characterization of the corrosion chemistry at the copper/solution interface and related to the CMP mechanism, the post-CMP planarity and the electrical performance. 

2:15 PM Q2.3 
MECHANISM OF DISSOLUTION AND PLANARIZATION OF COPPER FILMS IN HYDROGEN PEROXIDE - GLYCINE CONTAINING SLURRIES. Suryadevara Babu, Hariharaputhiran Mariappan, Clarkson University, Dept. of Chemical Engineering; Jie Zhang, and Yuzhuo Li, Clarkson University, Dept. of Chemistry, Potsdam, NY. 

While a large number of slurry chemistries are available for the polishing of copper films, and significant progress has been made in utilizing them in manufacturing, very little is known about them in the published literature. One of the more attractive slurries consists of hydrogen peroxide and glycine with a pH 4-5. In this paper, the complementary roles played by the two chemicals, gIycine and hydrogen peroxide, in conjunction with abrasives (alumina or silica particles) in the dissolution and polishing of copper are discussed. Rotating disc electrode (RDE) and polishing experiments were performed to isolate the individual contributions of the chemicals and the abrasives to the polish process. Sputter- and CVD-deposited blanket copper films and some patterned structures were polished. Either glycine or hydrogen peroxide alone produces minimal dissolution and polishing even with added abrasives. However, there is a two orders of magnitude increase in the dissolution rate and a four-fold increase in the polish rate when both are present. Several experiments have been performed to identify the factors that make the combination of these two chemicals effective and will be discussed in the presentation/paper. A group from Toshiba showed that copper oxide (CuO) is insoluble in a mixture of glycine and hydrogen peroxide, and that a copper oxide film is absent from the copper surface immediately after polishing. Thus, competition between oxidation of the copper surface and abrasion play a critical role. We investigated the oxidation process further by using the RDE technique and by directly monitoring the concentration of the OH radical, a much stronger oxidant than hydrogen peroxide, as a function of temperature using p-nitrosodimethylaniline (PNDA) as an OH trap. The results indicate unambiguously that OH concentration is negligible when only one of the chemicals is present, but increases dramatically in the presence of both. This suggests that the copper-glycine complex is an extremely effective catalyst for the decomposition of hydrogen peroxide and the formation of the OH radical. Electrochemical measurements confirm these observations and will be discussed along with the observed dishing characteristics and the applicability of the Preston equation. 

3:00 PM Q2.4 
CHARACTERIZING PSG FILM QUALITY WITH SIMS: IMPLICATIONS FOR CMP ETCH RATES. Gary R. Mount, Charles Evans and Associates, Redwood City, CA. 

CMP etch back on interlevel dielectric layers provides a well planarized surface and meets low thermal budget requirements. However, CMP etch rates are dependent on phosphorous concentrations in PSG. At concentrations over 3 wt%, a 1% change in stoichiometry can lead to over a 1% change in CMP etch rate. Predictable CMP etch rate and final planarized film thickness therefore requires good control over stoichiometry both across-the wafer and through the film. SIMS is one technique that can monitor these parameters. Phosphorous quantification by wet chemistry, while effective for bulk concentration, does not show stoichiometry changes through the thickness of the film. Multi-stage deposition tools can invariably produce films of uneven quality. This study reveals Quadrupole SIMS to be an effective tool for characterizing across the wafer and in-depth concentrations for both BPSG and PSG. An assessment of the Quadrupole SIMS depth profile accuracy and precision is presented. The implications of B and P concentration changes as a function of depth on CMP etch rates is discussed. 

3:15 PM Q2.5 
CONSUMABLE LIFE/FAILURE DIAGNOSIS IN OXIDE CHEMICAL MECHANICAL PLANARIZATION USING ACOUSTIC EMISSION SENSING TECHNOLOGY. David Dornfeld and Jianshe Tang, University of California at Berkeley, Berkeley, CA. 

Oxide chemical mechanical planarization (CMP) is a planarization technology suitable for logic and DRAM devices with feature size less than sub-half microns. Oxide CMP CM also provide a technological advantage in front-end process modules such as Shallow Trench Isolation (STI). Usually, high material removal rate and acceptable within-chip, within-wafer and wafer-to-wafer uniformity can be achieved through a consistent polishing process. However, in oxide CMP, the pads, slurries and carrier films have complex interactions with one another as well as with various components of the polishing equipment, which makes it difficulty to keep the process highly consistent and repeatable. Degradation of interlayer dielectric (ILD) removal rate and short pad life are serious concerns in chemical mechanical planarization technology. Glazing of the pad surface and inadequate pad conditioning are the primary causes of the decrease in removal rate. The present state of the art in commercially available pad conditioners typically utilizes a robot-driven mechanical action between the processing of each wafer. Depending on the conditioning parameters, the state of a pad after conditioning can be different and adversely affect both the polishing rate and uniformity from wafer to wafer. For the purpose of optimizing CMP process and therefore improving productivity and throughputs, it would be necessary, to develop a strategy to monitor and control a CMP process consistency, consumable degradation and failure. In the previous research, acoustic emission (AE) sensing technique were developed, and experimental results showed that the AE signal is sensitive to the CMP process parameter variation [1] and micro-scratch occurring [2]. In this paper, an AE sensing system with a wireless signal transmission unit was developed and used for the experimental investigation of the CMP process consistency. This system has a sensor/transmitter which detects the AE signals generated in CMP and sends the signals to a receiver which is connected to a computer performing data acquisition and analysis. The sensor/transmitter unit of the system can be easily fixed to a rotating spindle, therefore it is convenient to integrate this system to an existing conventional CMP machine head. Some primary experimental results show that the pad surface roughness, pad life and slurry degradation can be determined with this system. The abnormal CMP process, such as sudden drop of material removal rate, can also be precisely detected. 

3:30 PM Q2.6 
FAILURE ANALYSIS OF NICKEL PLATE AND CVD DIAMOND CMP PAD CONDITIONERS. Jerry W. Zimmer, Joseph Yehoda, sp3 Corporation, Mountain View, CA; Michael A. Fury, Allied-Signal Advanced Microelectronic Materials, Sunnyvale, CA. 

Conditioning of CMP polishing pads is a process required to establish and maintain stable and acceptably high removal rates for ILD planarization. It is typically accomplished by applying a diamond-impregnated nickel disk to the pad surface useing a controlled down force and sweep rate. Commonly used conditioners have a diamond particle size in the range of 100-200 grit. The grit size determines the polishing removal rate at which the system stabilizes, but it also mechanically abrades the pad surface. The loss of diamonds on the pad surface is a critical reliability concern for these devices, given the severity of wafer scratching and yield loss which would presumably occur in the event of such a failure. This paper investigates the structure and failure mechanism of two types of pad conditioners: the conventional nickel-diamond, and DiabondTM, an alternative that consists of diamond grit epitaxially bonded to a CVD diamond film on a silicon substrate. Grit size distribution and spatial distribution are characterized in unused conditioners by SEM analysis. Observed failures in used conditioners include wear of the exposed diamond facets, wear of the base film, diamond fracture, and catastrophic diamond loss. The nickel-diamond is also subject to corrosion of the metal base, which can weaken the bond to each particle. Abrasion of the polishing pad by the two types of conditioners is also characterized as a means to explain the improvements in pad life and polishing rate observed when using the DiabondTM conditioner. 

3:45 PM Q2.7 
STUDY OF POLISH MATERIAL-REMOVAL BY ELECTROCHEMICAL METHOD ON DIFFERENT COMPOUND SEMICONDUCTORS. A. Nemcsics, Res. Inst. for Technical Physics of Hungarian Acad. of Sci. ; L. Dávid, Inst. of Microelectronics and Techn., Kando K. Polytechnic, Budaest, HUNGARY. 

The electrochemical layer removal is widely used in the process of semiconductor. After the layer removal the surface of the remained layer is generally rough. Under certain conditions this layer removal may be selective, which development of dislocation is carried out [1]. Under other conditions the selectivity is decreased and the surface remain smooth after the dectrochemical etching,. This behavior of the electrochemical etching is used in the measurement technique ([C-V profiling, especially by the multilayer structures [2] and the fabnication of the micro-mechanical devices [3]. In the present work a lot of compound semiconductor (GaAs, GaP, InGaAs) are compared from polish material-removal, while are used more electrolytes. The experiments were carried out with several doping substrates and epitaxial layer (VPE [4] and MBE [5]).The electrochemical cell and measuring set is described in Ref. [6](. For different compound semiconductor were established the suitable electrolyte and the optimum bias. The investigation of the surface were carried out with optical-microscopy, SEM and alfa-step profiling equipment. The comparing of the surface roughness was used with the roughness number introduced by us [7]. 
SESSION Q3: 
Chair: Dale L. Hetherington 
Thursday Morning, April 16, 1998 
Nob Hill A
8:30 AM *Q3.1 
CORRELATION OF MATERIAL CHARACTERISTICS OF CMP CONSUMABLES TO PROCESS PRODUCTIVITY. Janos Farkas, Chelsea Dang, Melissa Freeman, David Watts, Advanced Products Research and Development Laboratory/Motorola, Austin, TX. 

Chemical Mechanical Polishing (CMP) has become an established and enabling technology for manufacturing advanced semiconductor devices.