Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

spring 1998 logo1998 MRS Spring Meeting & Exhibit

April 13 - 17, 1998 | San Francisco
Meeting Chairs: John A. Emerson, Ronald Gibala, Caroline A. Ross, Leo J. Schowalter









Symposium C—Materials Issues in Vacuum Microelectronics

Chairs

Thomas Felter 
Lawrence Livermore National Laboratory 
L-356 
Livermore, CA 94550 
510-422-8012

Christopher Holland
SRI International
Menlo Park, CA 94025
415-859-6382

Lawrence Pan 
Candescent Technologies Corp 
San Jose, CA 95119 
408-360-2667

Wei Zhu
Bell Labs, Lucent Technologies
Room 1A-125
Murray Hill, NJ 07974
908-582-7659

Symposium Support 
*Bell Laboratories, Lucent Technologies 
*Candescent Technologies Corp. 
*Lawrence Livermore National Laboratory 
*Motorola, Inc. 
*SRI International
Proceedings published as Volume 509 
of the Materials Research Society 
Symposium Proceedings Series.
 


* Invited paper

TUTORIAL 

STB/C: FLAT PANEL DISPLAY MATERIALS AND LARGE AREA PROCESSING 
Monday, April 13, 1:30-5:00 p.m. 
Nob Hill B/C 
This course will provide an overview of the Flat Panel Display (FPD) market and detailed discussion of dominant and emerging FPD technologies. Topics include display-market trends, thin-film transistor technologies for active matrix liquid-crystal displays (AMLCD), reflective LCD technologies, Organic Light-Emitting Devices (OLED) technology, phosphor materials and Field Emission Display (FED) technologies, and plasma display technology. It is intended to serve as an introductory course for entry-level engineers and also to provide a survey of recent developments in FPD technologies for display industry professionals. 

Instructors: 
Tsu-Jae King
, University of California-Berkeley 
Bruce Gnade, DARPA 

SESSION C1: GATED CATHODE ARRAYS 
Chairs: Christopher Holland and William A. Mackie 
Tuesday Morning, April 14, 1998 
Nob Hill C
8:30 AM *C1.1 
MATERIALS ISSUES IN THE FABRICATION AND OPERATION OF FIELD-EMITTER ARRAYS. Capp Spindt, SRI International, Applied Physical Sciences Laboratory, Menlo Park, CA. 

Microfabricated field-emitter arrays have attracted genuine interest for a variety of applications. The most prominent of these are flat-panel display, microwave amplifiers, x-ray tubes, electron-beam probes, ionizers for vacuum-pressure gages and mass spectrometers, and electronic-charge management on spacecraft. By far the most exciting from a commercial point of view has been flat-panel field-emission display, while microwave applications are the most challenging with respect to cathode performance. Display applications require attention to issues related to economic high-volume production, very low-voltage operation, and a very high level of uniformity over large areas but only very low emitter-tip loading. On the other hand, microwave applications require only very small areas, but very high emitter-tip packing densities, and the highest possible emitter-tip loading. Ionization and charge-management applications require only moderate emission performance, but present special problems with regard to stability and lifetime in relatively harsh environments. Designing an emitter array to meet the requirements of any of these applications involves dealing with lithography issues concerning emitter size and packing density; materials issues as they relate to fabrication processes, stability and lifetime with regard to hostile environments; electronic properties such as dielectric constant, resistivity, temperature-coefficient of resistivity, work function of the emitter tip; and,in some cases, the cost of large-scale production. How these issues relate to the requirements of the various applications, the options available, and the impact of these various options on performance will be discussed and illustrated with experimental results. Some examples of the experimental results to date are emitter-tip loadings of 100-µA/tip, emission-current density of 2,000 amps/sq.cm., emission modulation at 10 GHz, a peak emission of 180 mA from a 10,000-tip array, operation at liquid-nitrogen temperatures, operation at 700C, operation at up to atmospheric pressure in nitrogen without suffering arc damage, and continuous operation for eight years under ultra-vacuum conditions. 

9:00 AM C1.2 
THEORETICAL ANALYSIS OF FOWLER NORDHEIM PARAMETERIZATION AND RLC CHARACTERISTICS FOR RING CATHODE FIELD EMITTER ARRAYS FOR NEXT GENERATION RF AMPLIFIERS. K. L. Jensen, Naval Research Laboratory, Washington, DC. 

Of all applications for which field emitter arrays (FEAs) are being designed, RF vacuum microelectronics is the most technically challenging application. The typical current per tip required for power amplifiers is typically three orders of magnitude larger than that required for displays for a given array: displays require current densities on the order of 0.1 A/cm2, whereas next-generation amplifiers require above 100 A/cm2. Consequently, the impact of poor vacuum in the tube is more significant, and the ion energy that can impact on an emitter tip is large. Nevertheless, due to their high current density capabilities and instant turn-on, field emitter arrays (FEAs) have been recognized for some time to be a promising alternative to thermionic emitters for use in Inductive Output Amplifiers (IOAs). The steady evolution in the performance of field emitter arrays, particularly in the form of ring cathodes designed for IOAs, motivates an optimism that FEAs may usher in a new class of rf amplifiers due to their ability to create density modulated beams at GHz frequencies. Field emitters arranged in a ring cathode configuration have been under development for inclusion into an emission-gated (density modulation at the cathode as opposed to velocity modulation by the circuit) helix-based Inductive Output Amplifier (the `Twystrode'). An analytical model of the field emitter is developed; its use in obtaining analytical estimates of Fowler Nordheim A and B parameters, effective resistance and capacitance of the array under several GHz modulation, signal propogation lengths, total current and current density, and effects of emitter non-uniformity and space charge, is described. Using a simple model of the amplifier device, the characteristics of the array appropriate for use in an emission-gated TWT (Twystrode), as determined by estimates of gain, efficiency, power output, and optimized length, are compared with the requirements of the amplifier. On the basis of the simple model, initial calculations show that an electronic efficiency of 32% with 15 dB gain @ 10 GHz may be possible from an array producing 260 mA peak (1.74 microA/tip) @ 35 V and 71 mA average current using a helix 1.51 cm long for an array characterized by BFN = 353 V and AFN = 4.80 A/V2 = 32.07 A/V2-tip, and Gm/C of 3.4x1010 A/V-F. The analysis and the genesis of the predicted requirements shall be discussed. 

9:15 AM C1.3 
A NEW LATERAL FIELD EMITTER ARRAYS INHERENTLY INTEGRATED WITH MOSFET. Moo-Sup Lim, Cheol-Min Park, Min-Koo Han, School of Electrical Eng., Seoul Nat'l Univ., Shinlim-dong, Kwanak-ku, Seoul, KOREA; Yearn-Ik Choi, School of Electrical Eng., Ajou Univ., Wonchun-dong, Kyung-ki do, KOREA. 

It is well known that the stability of field emission current and the efficiency of control gate are key problems to real applications. There are many researches to improve the stability and uniformity of field emission current using the active device such as Field-Effect-Transistors (FETs). But the fabrication process becomes complicated due to the additional process of the integration of FETs and FEAs. In this paper, we propose a new lateral field emitter inherently integrated with MOSFET without any additional process step. The proposed device has stable anode current and the gate current of the device is nearly zero. Lower insulator layer including 500nm thick nitride and 50nm thick oxide was deposited on Si wafer. 100nm thick amorphous Si was deposited and selective n+ doping is performed. Upper insulator layer including 50nm thick oxide, 100nm thick nitride was deposited. Tip patterning was performed and upper insulator layer, poly-Si layer and oxide were etched with anisotropic dry etching and then the poly-Si layer was over-etched intentionally in order to make micro-cavity. It should be noted that the region of cathode is n+ doping poly-Si, and that of tip is undoped poly-Si. The oxidation was performed to sharpen the poly-Si tip, and isolates an anode and a cathode. The thermal oxide was removed by BOE etchant. Insulator and Al were deposited sequentially. Finally an electrical interconnection was then fabricated in proper locations by employing mask step. The main structure of the new device consists of the n+ cathode connected to undoped poly-Si tip and the n+ anode. MOS(Metal-Oxide-Semiconductor)-structure is fabricated on the undoped poly-Si tip. The key feature of new field emitter is the undoped tip, which is modulated by the MOS structure. The role of gate electrode is to modulate undoped tip, so the device turns off under the no gate bias even the anode bias is applied. When the gate bias is applied under the anode bias, the device turns on and the anode current is limited by the charge in the inversion layer, so the anode current may be very stable and uniform. Because the gate electrode is isolated by insulator, the gate current is nearly zero. Moreover, the fabrication process of the device is very simple. 

9:30 AM C1.4 
FABRICATION AND FOCUSING CHARACTERISTICS OF MICROLENSES FOR NANOTIPS. Pierre Legagneux, Didier Pribat, Thomson-CSF Central Research Laboratory, Orsay, FRANCE; Vu Thien Binh, V. Semet, D. Guillot and S.T. Purcell, Laboratoire d'Emission Electronique, CNRS, Universite Claude Bernard Lyon 1, Villeurbanne, FRANCE. 

Coplanar microlenses for the extraction, focusing and deflection of electrons from a nanotip have been simulated, fabricated and tested. After simulation of the electron trajectories in the microlenses, a novel process has been developed for parallel fabrication on four-inch silicon wafer of 10 x 10 mm microlenses by modern microlithography and micromachining techniques. Each microlens consists of an extraction electrode, a planar focusing electrode and a set of deflection electrodes. Pyramidal mesas are first etched on the backside of the Si substrate in order to allow tip positioning. The extraction electrode is then patterned and etched by reactive ion etching. This electrode is a degenerated polysilicon films with a 1 m diameter hole for tip hosting. It is separated by a 1 m-thick insulator layer from the focusing and deflection eletrodes which are deposited and patterned on the insulator. The focusing electrodes exhibit 10 m diameter apertures aligned with the extraction grids with a misalignment below 0.1 m. The deflection electrodes are four quadrants of an annular ring outside the focusing lens. With such an arrangement and with a nanotip electron source, the simulated spot size is below 10 nm. This result has been obtained for a nanotip biased at- 100 V, the extraction electrode grounded and by applying around - 100 V on the focusing electrode. This latter value depends on the tip to extraction grid distance. Our first experimental results on the focusing and deflection of the beam will be presented and discussed. 

9:45 AM C1.5 
ELECTRON EMISSION FROM GATED DIAMOND EMITTER ARRAY. Seung-Chul Ha, Dae-Hwan Kang, and Ki-Bum Kim, Division of Materials Science and Engineering, Seoul National University, Seoul, KOREA; Seok-Hong Min, Research Institute for Advanced Materials, Seoul, KOREA. 

We have fabricated the gated diamond field emitter array (triod system) by using selective diamond growth technique based on the well known bias enhanced nucleation(BEN) process and tested the feasibility by investigating the field emission property. Thermal oxide of about 500 nm was first grown on the (100) Si substrate. SiO2, Mo gate layer, and SiO2 were then sequentially deposited by using low pressure chemical vapor deposition(LPCVD) and sputtering methods. Finally, SiO2 (300nm)/Mo(300nm)/SiO2(2.0m)/Si was formed. For another set of samples, gold and titanium of 300nm was deposited on Si substrate, in order to lower the interface Schottky barrier between Si substrate and diamond emitter. The contact holes of 23 m were patterned by using the standard photolithography and reactive ion etching process. BEN treatment was done at -150V DC bias voltage for 20 min. in the CH4+H2(5:95) plasma. And the diamond deposition was performed at 650 ºC for 4 hours in the CH4+H2 (0.5:99.5). Diamond emitter array was selectively deposited only in the contact holes. Our preliminary field emission results showed that the diamond field emitter was turned on at around 195V with the current level of about 0.8 A. 
SESSION C2: Si CATHODE 
Chairs: Christopher Holland and William A. Mackie 
Tuesday Morning, April 14, 1998 
Nob Hill C
10:30 AM C2.1 
EPITAXIAL SHARPENING OF SI FIELD EMITTER ARRAYS. K.D. Hobart, F.J. Kub, J.L. Shaw, H.F. Gray, M.E. Twigg, and P.E. Thompson, Naval Research Laboratory, Washington DC. 

A novel technique has been developed whereby self-assembled epitaxial processes have been exploited to produce atomically sharp Si features, a process termed here as ``epitaxial sharpening.íí The technique has been investigated to address tip-to-tip microstructural non-uniformities that prevent current density scaling as the number of field emitters increases. It has been found that the self-assembled epitaxial growth process produces a tip structure that is defined by low surface energy (111) as well as (113) facets and creates a pyramidal form with an atomically sharp apex. In this work, the epitaxial sharpening process has been applied to conventional as-fabricated Si field emitter arrays (FEAs). In addition, the self-assembled epitaxial process has been studied in detail by depositing Si on nanoscale (10-100 nm) Si (100) mesa structures in a Group IV molecular beam epitaxy (MBE) chamber and characterizing the microstructure by high resolution transmission electron microscopy (HRTEM). This work has revealed a mesoscopic process that produces faceted pyramidal structures for sufficiently small Si mesas. HRTEM images suggest that the apex of the pyramid is atomically sharp. This process was subsequently applied to conventional Si FEA wafers without backside metallization. For the epitaxial sharpening process 100Å of Si was deposited at a substrate temperature of 550ºC. HRTEM images of the as-fabricated emitters indicated that the oxidation sharpening process was incomplete resulting in tips with 100Å flat (100) tops. Following the epitaxial sharpening process HRTEM showed atomically sharp tips with (111) facets. It is believed that this process will be useful for improving emission uniformity for high current density applications such as for vacuum RF power sources. Comparative electron emission characteristics will be presented. 

10:45 AM C2.2 
CHARACTERISTICS OF SURFACE-EMITTING COLD CATHODE BASED ON POROUS POLYSILICON. Takuya Komoda, Matsushita Electric Works, Ltd. Central Research Lab., Osaka, JAPAN; Xia Sheng, and Nobuyoshi Koshida, Tokyo University of Agriculture and Technology, Tokyo, JAPAN. 

It was demonstrated that porous polysilicon(PPS) diode fabricated on the silicon substrate operated as efficient and stable surface emitting cold cathode. 1.5 m of non-doped polysilicon layer was formed on n-type <100> silicon wafer and anodised in a solution of HF(50%): ethanol = 1:1 at a current density of 100 mA/cm2 for 5 minutes under illumination by a 500W tungsten lump from a distance of 20 cm. Subsequently, PPS layer was oxidised in a rapid thermal oxidisation(RTO) furnace for 1 hour at a temperature of 700ºC. A semi-transparent thin Au film (about 10 nm thick) was deposited onto the PPS layer as a positive electrode and ohmic contact was formed at the back of the silicon wafer as a negative electrode. When a positive bias was supplied to Au electrode in a vacuum, the diode emitted electrons uniformly. No electron emission was observed in the negatively biased region. Emission current was about 10-4 A/cm2 at 20V bias, and no fluctuation of emission current was observed as a function of time. Emission current was not affected by a surrounding pressure up to 13 Pa. It is envisaged that mechanism of this emission is attributed to hot electron tunnelling. 

11:00 AM C2.3 
QUASI-BALLISTIC ELECTRON EMISSION FROM POROUS SILICON DIODES WITH A GRADED-BAND MULTILAYER STRUCTURE. Xia Sheng and Nobuyoshi Koshida, Division of Electronic and Information Engineering, Faculty of Technology, Tokyo University of Agriculture and Technology, Koganei, Tokyo, JAPAN. 

We report here that fluctuation-free and quasi-ballistic electron emission is obtained from porous silicon (PS) cold cathodes[1] with a modified PS structure. PS layers with normal and graded-band multilayer structures were formed by photo-anodizing heavily-doped n-Si in an HF solution. Particular interests were paid into the relationship between the emission stability and the output electron energy distribution from the two kinds of PS diodes. It is shown that for a normal structure device, the diode and emission currents show a significant fluctuation, while the corresponding energy distribution of output electrons is a Maxwellian broad shape independent of the bias voltage. In contrast, the experimental results of the stability for a graded-multilayer structure PS diode indicate that both diode and emission currents show a fluctuation-free behavior. The energy distribution for this device is not Maxwellian any more, and it strongly depends on the bias voltage. The shift in the peak energy fairly corresponds to the difference in bias voltage. This indicates that electrons are emitted quasi-ballistically from the PS diode. It is also shown that there is a strong correlation between the emission mode and the output energy distribution. The quasi-ballistic emission closely relates to the fluctuation-free behavior. The observation of fluctuation-free and ballistic emission can be explained as a significant decrease in the electron energy loss in PS layer due to a reformed electric field exiting in the modified PS. This new phenomenon is very important for both understanding the electron transport in PS and developing PS devices with new operation mode in applications to Si-based optoelectronic and vacuum microelectronic devices. 

11:15 AM C2.4 
FIELD EMISSION PROPERTIES OF SiC/Si HETEROSTRUCTURES SYNTHESIZED BY MEVVA IMPLANTATION. Dihu Chen, S.P. Wong, W.Y. Cheung, W. Wu, E.Z. Luo, J.B. Xu, I.H. Wilson, Dept. of Electronic Engineering & Materials Technology Research Centre, The Chinese University of Hong Kong, Hong Kong, CHINA; R.W.M. Kwok, Dept. of Chemistry, The Chinese University of Hong Kong, Hong Kong, CHINA. 

Remarkably low turn-on field of electron field emission of about 1 V/m has bean observed from planar SiC/Si heterostructures formed by high dose C implantation into Si using a metal vapor vacuum arc (MEVVA) ion source. The implant energy was 35 keV and the dose was ranging from 5x1017 to 1.2x1018 cm-2. The dependence of the field emission properties on the implant dose and annealing conditions were studied in detail. The chemical composition depth profiles were determined by XPS and the surface morphology was observed using AFM. Our results showed that good emission properties are associated with the formation of a thin surface stoichiometric SiC layer and a surface morphology of densely distributed small protrusions. An insufficient implant dose would lead to a buried SiC layer rcsulting in inefficient field emission from the surface Si layer. On the other hand, an excess implant dose would lead to too thick a SiC layer resulting in a higher turn-on field probably because of the higher series resistance of the SiC layer. While the as-implanted sample surface was observed to be too flat, for samples with prolonged thermal annealing, the protrusions become flattened in shape, larger in size and smaller in number, thus leading to a smaller field enhancement factor and a smaller density of emission sites. The results of our effort to optimize the implant and annealing conditions to achieve efficient planar field emitter structures by this technique will be presented and discussed. 

11:30 AM C2.5 
HOT ELECTRON FIELD EMISSION FROM SILICON. V.A. Fedirko and V.D. Shadrin, Moscow State University of Technology ``Stankin'', Moscow, RUSSIA. 

We report on the results of the theoretical investigations and numerical simulation of hot electron emission from silicon trough a thin oxide layer. The adequate description of electron tunnelling in high electric field trough an oxide in four-band model is used. The effective one-band Shroedinger equation is obtained for the envelope of the Bloch functions. It is then solved numerically to obtain the energy dependence of the electron tunnelling coefficient in high electric fields. We show that field emission from the [100] face of silicon through an oxide layer takes place from two valleys lying along the (001) direction. The magnitude and the spectra of the tunnelling transmission coefficient are strongly effected by the fact that the electron becomes effectively much heavier while tunnelling through states deep in the band gap

SESSION C3: CATHODE MATERIALS 
Chairs: Thomas E. Felter and Capp Spindt 
Tuesday Afternoon, April 14, 1998 
Nob Hill C

1:30 PM *C3.1 
HIGH CURRENT FIELD EMISSION FROM MONOLITHIC ZRC EMITTERS AND ZRC FILM COATED MO EMITTERS. W.A. Mackie, Tianbao Xie, and P.R. Davis, Linfield Research Institute, McMinnville, OR. 

We have been working for several years on field emission from hafnium carbide and zirconium carbide. These studies have covered emission from solid carbide field emitters as well as thin film carbide overcoatings on single tip field emitters of W, Mo, and Si. In addition, thin film carbide overcoatings have been used on field emitter arrays of both Mo and Si. These carbide materials have electron emission properties making them attractive candidates for stable, low voltage microelectronic field emitter arrays. Uses for arrays or single emitters of these carbides include video displays, microwave applications, high current, small spot electron sources and cold cathodes for operation in poor vacuums. Since molydbenum is one common FEA emitter material we used it in this study. Our aim is to determine improvements in I-V characteristics, emission stability, capability of emission at high currents and in poor vacuum conditions, and the ability to withstand exposure to atmospheric pressure without degradation. Individually rabricated Mo field emitters were dosed via PVD from a high-purity ZrCx source. The deposited film was subjected to a variety of heating treatments, followed by FEM examination and determination of I-V characteristics. The results of these experiments indicate that work function reductions of the order of 1 eV can be achieved. The observed FEM patterns indicate that the lowest film work functions occur on and around the (100) planes of the underlying Mo emitter. Stable high current emission has also been obtained in the 0.5 mA range with ZrCx films on Mo. This represents a ten fold increase in the obtainable emission levels comparcd to emission from a clean Mo substrate. Emission of 100-250 A in Torr vacuum levels ale also prcsented. The mechanism for these improvements are discussed as well as effects of stoichiometry. 

2:00 PM C3.2 
ELECTRON FIELD EMISSION FROM BULK CERAMIC ZnO. Jeffrey T. Cheung, J. Waldrop, W. Gunning, Rockwell Science Center, Thousand Oaks, CA; Will Tong, Lawrence Livermore National Laboratory, Livermore, CA. 

Uniform electron field emission was observed from bulk ceramic ZnO at a threshold field of lower than 105 volts/cm. High emission efficiency can be attributed to three major factors related to material properties: wide bandgap, heavy n-type doping, and rough surface morphology. Samples used in the study have electron concentration in the mid 1020 cm-3 range at room temperature. Their Fermi levels are pushed up into the conduction band, approximately 0.5 eV above the band edge as determined from Moss-Berstein shift. Consequently, electron affinity is reduced. Field enhancement by rough morphology formed during sintering also assists the emission process. Various surface features including sharp ridges, peaks and pits were revealed by Atomic Force Microscopy. One of the most significant advantage of ZnO field emitter is its resistance against oxidation which has been the major cause of performance degradation for most traditional emitting materials. Because of its chemical robustness, ZnO electron field emitter can be operated in a wide range of vacuum conditions, thus greatly reduce the packaging cost and improve the long term reliability of field emission devices. Finally, we find it necessary to ëíburn iníí a fresh ZnO sample by applying a field slightly higher than eventual emission threshold but much lower than the vacuum break down field. The ëíburn iníí process triggers a sharp onset of electron emission before stead and reproducible emission is reached. The onset value depends on electron concentration of the sample. This phenomenon can be interpreted as field assisted desorption of chemisorbed oxygen. From the electron concentration and the onset field, we estimate the absorption energy of surface bond oxygen on ZnO to be 0.6eV. 

2:15 PM C3.3 
COMPARISON OF LATERAL FIELD EMITTER CHARACTERISTICS FOR TITANIUM SILICIDE, POLY-Si, AND SINGLE CRYSTAL Si TIP. Moo-Sup Lim, Cheol-Min Park, Min-Koo Han, School of Electrical Eng., Seoul Nat'l Univ., Shinlim-dong, Kwanak-ku, Seoul, KOREA; Yearn-Ik Choi, School of Electrical Eng., Ajou Univ., Wonchun-dong, Kyung-ki do, KOREA. 

Various materials such as molybdenum, silicon, and diamond-like-carbon have been studied for field emission devices in order to obtain more stable and large field emission current. Among them, Si-base materials are very advantageous due to its feasibility of process. The purpose of our work is to investigate Si-base materials : titanium silicide, polycrystalline silicon, and single crystal silicon using SIMOX(Separation by IMplantation of OXygen) wafer for lateral Field Emitter Arrays(FEAs). A schematic diagram of the fabrication process and key feature of the structure described in our recent paper[1]. Our experiment shows that poly-Si and single crystal Si FEAs have almost same turn-on voltage and current density, but single crystal Si FEAs have more stable anode current than poly-Si FEAs. It is well known that the work function and the local geometry of the emitter tip determine field emission current. In poly-Si FEAs, local geometry is varied because it has non-uniform surface in the process of oxidation or etching due to grain boundary. Therefore poly-Si tip FEAs have unstable anode current compared with single crystal Si tip FEAs. However, similar work function of each case leads to their almost same turn-on voltage and current density. Titanium silicide tip FEAs, which is made by annealing the composite layer of single crystal Si and titanium, have the most prominent characteristics for FEAs among them. The Fowler-Nordheim plots of each case show that the work function of titanium silicide is the smallest. And the uniform surface of the titanium silicide emitter can be seen by Scanning Electron Microscope(SEM). The characteristics of titanium silicide are similar to that of metal, so it has large current density more than twice. The smallest wok function, uniform surface, and the metallic characteristics of titanium silicide make it possible that it has the lowest turn-on voltage, the most stable anode current, and the largest current density. 

2:30 PM C3.4 
SYNTHESIS AND CHARACTERIZATION OF LOW WORK FUNCTION, STABLE Li-BASED ALLOY COATINGS FOR FIELD EMISSION DISPLAYS. P. Shah1,2O.Auciello1, A.R. Krauss1, M. Ding1, D.M. Gruen1, and T.L. Barr21 Argonne National Laboratory, Materials Science and Chemistry Divisions, Argonne, IL.

Abstract not available.