Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

spring 1997 logo1997 MRS Spring Meeting & Exhibit

March 31 - April 4, 1997 | San Francisco
Meeting Chairs: Linda G. Griffith-Cima, David J. Eaglesham, Alexander H. King

Symposium I—Polycrystalline Thin Films III - Structure, Texture, Properties, and Applications

Chairs

Brent Adams, Carnegie Mellon Univ
Fu-Rong Chen, National Tsing Hua Univ
James Im, Columbia Univ
Steven Yalisove, Univ of Michigan
Yimei Zhu, Brookhaven National Laboratory

Symposium Support

  • Applied Materials, Inc.
  • Denton Vacuum, Inc.
  • Taiwan Semiconductor Mfg. Co.
  • Thermionics Laboratory, Inc.
  • 1997 Spring Exhibitor

Proceedings published as Volume 472
of the Materials Research Society
Symposium Proceedings Series.

In sessions below "*" indicates an invited paper.

SESSION I1: EVOLUTION OF TEXTURE AND MICROSTRUCTURE I 
Chair: Zhi-Xiong Cai
Monday Morning, March 31, 1997
Golden Gate B2

8:45 AM *I1.1 
ELECTROMIGRATION OF VOIDS, L. M. Klinger, Technion-Israel Inst of Tech, Dept of Materials Engr, Haifa, ISRAEL; X. Chu, C. L. Bauer, Carnegie Mellon Univ, Dept of MS&E, Pittsburgh, PA; W. W. Mullins, Carnegie Mellon Univ, Dept MS&E, Pittsburgh, PA.

Analytic treatments of the electromigration of voids, both faceted and with isotropic surface properties, in the interior of a grain as well as along grain boundaries, will be presented. The role of defects in the migration of faceted voids will be discussed. Migrating voids whose leading surfaces become faceted tend to slow down and exhibit lateral spreading across an interconnect. The spreading may either stop, if capillarity gradients can balance surface electromigration or, if not, continue indefinitely. Voids at a grain boundary can migrate with or against the induced flux direction, depending on the relative strength of the grain boundary and surface fluxes. Steady-state shapes, sizes and velocities of these voids will be considered.

9:15 AM I1.2 
COMPARISON OF THE STRUCTURE AND PROPERTIES OF NANOCRYSTALLINE SILICON AND DIAMOND BY MD SIMULATIONS, Pawel Keblinski, Simon R. Phillpot, D. Wolf, Argonne National Laboratory, Materials Science Div, Argonne, IL; H. Gleiter, Forschungszentrum Karlsruhe, Karlsruhe, GERMANY.

Molecular-dynamics simulations were used to synthesize nanocrystalline silicon with a grain size of up to 75 by crystallization of randomly misoriented crystalline seeds from the melt [1]. The structures of the highly constrained interfaces in the polycrystal were found to be essentially indistinguishable from those of high-energy bicrystalline grain boundaries [2] (GBs) and similar to the structure of amorphous silicon. Despite significant disorder, these GBs exhibit predominantly four-coordinated atoms and have therefore very few dangling bonds. By contrast, analogous studies in diamond show that in high-energy Gbs, the majority of the atoms are three-coordinated (sp-like), while certain GBs exhibit a mixture of three- and four-coordinated (sp-like) atoms. Implications of these findings for electronics properties of diamond and silicon nanocrystalline films will be discussed.

9:30 AM I1.3 
ELECTRONIC STRUCTURE OF 5 (210) GRAIN BOUNDARY IN NiAl - EFFECT OF IMPURITIES, Nicholas Kioussis, Gang Lu, California State Univ, Dept of Physics & Astronomy, Northridge, CA; R. Wu, California State Univ, Dept. of Physics,; Mikael Ciftan, US Army Research Div, Dept Physics Div, Research Triangle Pk, NC; Antonios Gonis, Lawrence Livermore National Laboratory, Dept Chemistry & Materials Sci Div, Livermore, CA.

The L1 intermetallic compound NiAl exhibits unique mechanical properties that make it attractive for structural applications at elevated temperatures. An inherent drawback to using NiAl as useful structural material is the tendency of polycrystalline alloys to undergo brittle intergranular fracture. We have carried out first principles electronic structure calculations based on the full potential linearized augmented plane wave (FLAPW) method and the atomic force approach, to gain insight into the bonding mechanism underlying the brittleness of the clean 5 (210) grain boundary in NiAl, and the contrasting effects of the boron-induced strengthening and the hydrogen-induced environmental embrittlement on intergranular fracture. Detailed results of the density of states of the grain boundary energies, and of the charge density contours will be presented for unrelaxed and relaxed grain boundaries, and the role of the B- Ni- hybridization on the cohesion of the grain boundary will be discussed.

9:45 AM I1.4 
AN ATOMISTIC STUDY OF GRAIN STRUCTURE EVOLUTION DURING THIN FILM DEPOSITION, Hanchen Huang, Tomas Diaz de la Rubia, Lawrence Livermore National Laboratory, Dept of Chem & Matls Sci, Livermore, CA; George H. Gilmer, Bell Labs, Lucent Technologies, Dept of Silicon Processing, Murray Hill, NJ.

We have developed a new model of the vapor deposition of polycrystalline thin films. We have simulated the sputter depositon of Al onto amorphous substrates, and examined the structure of the film under various conditions. Void formation at low substrate temperatures is considered. The stochastic deposition process and shadowing lead to the spontaneous development of columnar microstructure. The influence of grain boundaries on the surface structure, and the effect of shadowing in the grooves formed by the grain boundaries will also be discussed. At higher temperatures where dense films are formed, the grain boundary microstructure resulting from the competitive growth of adjacent grains is examined. The model is based on a three dimensional kinetic Ising system, but an additional variable is introduced at each site to represent crystallographic orientation. Atoms in the vicinity of grain boundaries are assigned higher potential energies based on the degree of misorientation between them and the neighboring atoms. Information on grain boundary and surface energies obtained from the molecular dynamics method are used to paramerterize the model. Grain nucleation, ploycrystal formation, grain coarsening, and grain grooving are well reproduced. Results of grain nucleation on an amorphous substrate have been validated by experiments.

10:30 AM *I1.5 
TBD Michael J. Cima, MIT, Dept of MS&E, Cambridge, MA.

(Abstract Not Available)

11:00 AM I1.6 
EXPLAINING THE DEVELOPMENT OF IN-PLANE TEXTURE ON ROUGHENED SURFACES, Jay F. Whitacre, John C. Bilello, Univ of Michigan, Dept of MS&E, Ann Arbor, MI; Oleh P. Karpenko, Intel Corp, Santa Clara, CA; Steven M. Yalisove, Univ of Michigan, Dept of MS&E, Ann Arbor, MI.

A model has been developed which describes the development of a preferred crystallographic orientation in the plane of growth during thin film deposition on roughened surfaces. In geometries where a component of the adatom flux is directed at an oblique angle of incidence relative to the substrate surface, self-shadowing leads to anisotropic growth rates in the plane of growth. This phenomenon, combined with energetically favored growth directions, leads to the formation of columnar grains which are elongated in the plane of growth and also display texture. A series of experiments consisting of sputter depositing Mo on anisotropic roughened surfaces has been conducted. The rate of texturing was found to depend on substrate roughness and orientation with respect to system geometry. The crystallographic textures and morphologies of the resulting films were studied using scanning electron microscopy, plan view transmission electron microscopy, transmission electron diffraction, and grazing incidence x ray scattering via synchrotron radiation. Results will be presented which suggest that this model is a useful predictive tool.

11:15 AM I1.7 
IN-PLANE CRYSTALLOGRAPHIC TEXTURE OF BCC METAL THIN FILMS ON AMORPHOUS SUBSTRATES, James M. E. Harper, Kenneth P. Rodbell, IBM T.J. Watson Research Ctr, Silicon Technology, Yorktown Heights, NY; Evan G. Colgan, IBM T.J. Watson Research Ctr, Flat Panel Display Technologies, Yorktown Heights, NY; Robert H. Hammond, Stanford Univ, Dept of Applied Physics, Stanford, CA.

We show that dramatically different in-plane textures can be produced in bcc metal thin films deposited on amorphous substrates under different deposition conditions. The crystallographic orientation distribution of polycrystalline bcc metal thin films on amorphous substrates often has a strong (110) fiber texture, indicating that (110) planes are parallel to the substrate plane. This orientation is favored by minimization of surface and interface energies. When film deposition takes place under bombardment by energetic ions or neutral atoms at off-normal angles of incidence, the (110) fiber texture is modified by an in-plane texture, indicating non-random azimuthal orientation of the crystallites. Several selected orientations in bcc Mo and Nb films have been observed under different deposition geometries, in which the energetic particle flux coincides with channeling directions in the bcc crystal structure. These selected orientations are reviewed and tabulated. Next, an example is given in which the in-plane orientation of Mo thin films deposited on glass in two separate rectangular magnetron deposition systems differs by 90 degrees. In these two cases, there is a strong (110) fiber texture, but the in-plane (200) direction is oriented parallel to the scan direction in one system, and perpendicular to the scan direction in the other system. The conditions which produce such different in-plane textures in two apparently similar sputtering systems are discussed.

11:30 AM I1.8 
TURNOVER OF TEXTURE IN LOW-RATE SPUTTER-DEPOSITED NANOCRYSTALLINE MOLYBDENUM FILMS, Tilo P. Drusedau, Frank Klabunde, Mirko Lohmann, Jurgen Blasing, Otto-von-Guericke Univ, Inst fuer Experimentelle Physik/FKP, Magdeburg, GERMANY.

The structural properties of Mo-films prepared by magnetron sputtering onto silica substrates are investigated by x-ray methods, electron microscopy (TEM and REM cross-sections) and atomic force microscopy. The density of the low rate (1 /s) grown films can be controlled between 48 to 96 of the bulk material by the variation of argon pressure from 4.7 Pa to 0.45 Pa. The presence of columnar and primary voids is shown by small angle x-ray scattering. Films of 30 nm thickness are amorphous (high pressure sputter-deposition) or nanocrystalline with a (110) texture low pressure). Upon increasing the film thickness up to 3 m, the low density films become nanocrystalline too, and develop the common (110) fiber texture. In contrast, the (110) texture of the high density films turns over with increasing film thickness to a (211) texture with additional in-plane orientation of the crystallites. The texture turnover, which is absent at a deposition rate of 5 /s, is accompanied by an increase of the crystallite size from 110 to 220 and an increase of surface roughness measured by x-ray refractometry and AFM. The latter shows the appearance of dome-like structures forming the top of columns visible in TEM cross-sections. The conductivity of the films decreases monotonically with decreasing density from 2.4 10 to 2.1 10. The effect of texture turnover is discussed in terms of considerations on the energy input due to sputter deposition.

11:45 AM I1.9 
THE FIBER TEXTURE GROWTH AND THE SURFACE ROUGHNESS OF ZnO THIN FILMS, John A. Anna Selvan, Herbert Keppner, Ulrich Kroll, Johan Cuperus, Univ of Neuchatel, Inst de Microtechnique, Neuchatel, SWITZERLAND; William Eccleston, Univ of Liverpool, Dept of EE&E, Liverpool, UNITED KINGDOM; Nicolas Randal, CSEM, Neuchatel, SWITZERLAND; Arvind Shah, Univ of Neuchatel, Inst of Microtechnique, Neuchatel, SWITZERLAND.

In this paper we present the surface morphology in connection with the fiber texture of ZnO films at different experimental conditions. According to the evolutionary selection model [1] and the calculation of densities of surface energy <0001> textured ZnO film must be formed in equilibrium state. To obtain another texture, a non-equilibrium state must be used. In that case, the resultant texture will be along and respectively. We have grown ZnO films by RF magnetron sputtering using mixture of Ar and water vapor. The factors that influence the surface morphology and the texture, mainly surface mobility of adatoms and the ratio of Zn to O atoms, got varied with different experimental conditions. At low partial pressures of water vapor we have texture along <0001> direction showing equilibrium texture growth. At high partial pressures of water vapor the equilibrium is disturbed and we have the plane (110) and this increases with the partial pressure of water vapor. The additional thing is the growth along (100) and (103) planes, which is not expected. Especially, at high partial pressure of water vapor, the plane (101) is present with 100 relative intensity. The 'd' value as well as the 'g2/g1' values are very close to the cubic sphalerite type of ZnO reported by Radcewski et al. We postulate that there is a presence of mixed phase (cubic and hexagonal) at high partial pressure of water vapor. On the other hand, the surface morphology shows a strong connection with the texture and gives rise to two completely different surface morphologies. When ZnO is grown by chemical vapor deposition (CVD) using B as dopant, we have orientation of c-axis parallel to the substrate. The increase in the growth rate is due to the nonequilibrium condition for texture growth. The surface morphology corresponds to the orientation is different from the other two morphologies mentioned above. On the other hand, the ZnO films, grown by chemical vapor deposition (CVD) using Boron carrier gas II for doping, shows strong texture along (101) plane of ZnO films. This is completely different from general texture growth of ZnO. The surface texture show an enhancement with the growth of (100) plane and it is strongly influenced by the doping. This may be due to the sphalerite cubic structure formation of ZnO as we observe in the mixed phase of ZnO by RP magnetron sputtering method using mixture of water vapor and Ar.

SESSION I2: EVOLUTION OF TEXTURE AND MICROSTRUCTURE II 
Chair: Matthew J. Kramer
Monday Afternoon, March 31, 1997
Golden Gate B2

2:00 PM *I2.1 
TEXTURE AND PROPERTIES OF THIN FILMS, Jerzy A. Szpunar, McGill Univ, Metallurgical Engineering, Montreal, CANADA.

Several examples are selected to illustrate how the texture in thin films is developed and to explain the correlation between texture and anisotopic properties of films. In particular, the texture development during processes of electrodeposition and oxidation se discussed, Texture influence on properties is analyzed using magnetic Co-Cr films for magnetic recording media, electromigration failure in Al-Cu interconnects and the role of texture in controlling oxidation resistance of Ni based alloys.

2:30 PM I2.2 
PREFERRED ORIENTATION IN Ti FILMS SPUTTER-DEPOSITED ON SiO GLASS: THE ROLE OF WATER CHEMISORPTION ON THE SUBSTRATE, Takeshi Ohwaki, Tomoyuki Yoshida, Shoji Hashimoto, Hideki Hosokawa, Yasuichi Mitsushima, Yasunori Taga, Toyota Central R&D Labs Inc, Electronics Device Div, Aichi, JAPAN.

Ti thin films have been grown on SiO glass layers at 350 C by ultrahigh vacuum magnetron sputtering with a small amount of HO, H or O gas introduction to investigate the influence of adsorption on the crystallographic orientation of the Ti films. In situ reflection high-energy electron diffraction (RHEED) and ex situ X-ray diffraction (XRD) studies showed that a water vapor introduction at the beginning of sputter deposition promotes a highly preferred (002) orientation of Ti films, whereas H gas or O gas introduction does not affect the orientation of the Ti films. These results indicate that increase of water chemisorption on the substrate by water vapor introduction and the reduction of the surface energy enhance the self-assembly of the Ti atoms toward the most stable C-axis orientation. It has been demonstrated that the texture of the sputter-deposited Ti films can be controlled by the chemisorption of water on the substrate.

2:45 PM I2.3 
HIGHLY SUPERSATURATED, HIGHLY STRAINED SPUTTERED Ag-Co AND Ag-Ni ALLOY LAYERS, Ludmila Velterop, A. Buis, R. Delhez, Th. H. de Keijser, E. J. Mittemeijer, Delft Univ of Technology, Lab of Matls Science, Delft, NETHERLANDS; D. Reefman, Philips Research Laboratories, Eindhoven, NETHERLANDS.

Thin Ag-Co and Ag-Ni alloy layers were made by magnetron sputtering of 500 periods of 10 , each period containing 1, 1.5 or 2 Co or Ni and 9, 8.5, or 8 Ag, respectively. Low angle reflections corresponding to a periodicity of 10 could not be detected, suggesting absence of outspoken compositional modulation. Only reflections of a silver-rich phase were detected. These reflections had a tail at the high angle side of the peak. Transmission electron microscopy revealed that only a silver-rich phase was present. These observations indicate that the Co or Ni was (inhomogeneously) dissolved in the Ag. The silver matrix exhibited a fiber texture, the sharpness of which decreased with increasing Co or Ni content. The interplanar spacing, measured with XRD for several at specific tilt angles, showed a strong HKL and tilt dependence. The difference in d-spacing for planes at 0 and 70.5 tilt suggested the presence of very large strains, of the order of 1; this strain was almost independent of the Co or Ni content. Significant decomposition of these layers occurred upon heating and also occurred at room temperature after several months of aging. Upon heating, reflections of FCC cobalt or nickel appeared, the high angle tails of the silver reflections disappeared, the texture in the silver sharpened and the strain (i.e., the difference in d-spacing for planes at 0 and 70.5 tilt) decreased slightly. Upon heating at 723 K, recrystallization took place and the strain decreased strongly. The results obtained suggest that the present specimens are well suitable to study the effect of stress on decomposition in highly supersaturated specimens.

3:30 PM I2.4 
TEMPERATURE-DEPENDENT PLASTIC DEFORMATION OF PASSIVATED ALUMINUM THIN FILMS ON SILICON WAFERS, E. C. Chu, Andrew Gouldstone, MIT, Dept of MS&E, Cambridge, MA; Subra Suresh, MIT, Cambridge, MA; Yu-Lin Shen, Univ of New Mexico, Dept of Mech Engr, Albuquerque, NM; Marc Legros, Swaminathan Jayaraman, Kevin J. Hemker, Johns Hopkins Univ, Dept of Mech Engr, Baltimore, MD.

The effects of SiO passivation on the evolution of stresses and plastic deformation of polycrystalline Al thin films on Si substrates during thermal cycling are studied. The investigation invokes measurements of wafer curvature for up to twenty thermal cycles between room temperature and 450C, and observations of cross sectional film microstructure using transmission electron microscopy. It is found that the addition of a passivation layer alters the stress temperature response in the Al film. A significant increase in the number of cycles required to attain saturation is observed. Microscopic analyses suggest that grain evolution is altered by the passivation layer. The correlation between the thermal cycling response and the film microstructure, and the underlying mechanisms causing the observed behavior are discussed in detail.

3:45 PM I2.5 
XTEM STUDY OF HILLOCK IN ALTA ALLOY FILMS FOR INTERCONNECTIONS OF LIQUID-CRYSTAL-DISPLAYS, Eiji Iwamura, Takashi Ohnishi, Hidetaka Hayashi, Kobe Steel Ltd, Electronics Research Lab, Kobe, JAPAN.

Hillock formation during annealing is still one of the most important problems for interconnections of liquid-crystal-displays. It has been shown that adding Ta into Al significantly decreases hillock number density [1], and microstructural study is required to understand the hillock suppression mechanism. In this paper, cross sectional microstructure of both hillocks and the film underneath the hillocks during annealing were examined by TEM, focusing on the interface between them. 
Al-2at%Ta alloy films with 1-thickness were deposited onto glass substrates (Corning #7059) by dc magnetron sputtering. Wedge technique in the use of a tripod polisher was employed to prepare XTEM specimen from the center of hillocks. The hillocks were composed of a number of equiaxed grains with less Ta content, and some of them seemed to grow out into the film underneath the hillock. It is observed that the incorporation of microstructure extended deep into the film as annealing temperature or time increased. A comparative discussion with AlCu alloy films will be presented.

4:00 PM I2.6 
AFM OBSERVATIONS OF OXIDE MORPHOLOGIES FORMED AT HIGH TEMPERATURES, F. Czerwinski, Jerzy A. Szpunar, McGill Univ, Dept of Metallurgical Engr, Montreal, CANADA.

The growth surfaces of oxides formed at high temperatures on substrates with different oxidation resistance, such at Pt, Si, Al, Ni, and Ti, were imaged using an atomic force microscope (AFM) in both contact and tapping modes. In addition to general differences in morphology of individual oxides and their anisotropy caused by the crystallographic orientation of substrate grains and by the type of substrate grain boundaries, some subtle details were documented. These include the nano size steps of growth on the inclined sides of pyramidal grains and the nucleus at the intersection of oxide grain boundaries with oxide/gas interface. Great attention was paid to the differences in morphology caused by the location of the dominant reaction front: oxide/gas interface for growth by outward diffusion of metal ions and oxide/metal interface for growth by inward diffusion of oxygen ions. For selected oxides, the morphological differences related to active diffusion paths of ionic species through the oxide (grain boundary network or lattice) were also assessed. An example of quantitative description of oxide/gas interface using roughness parameters if presented. Then, this description is used to demonstrate the essential differences in evolution of oxide growth morphology for oxides growing by inward diffusion of oxygen anions and by outward diffusion of metal cations.

4:15 PM I2.7 
FERROELECTRIC PROPERTIES AND CRYSTALLINE STRUCTURE OF BaMgF THIN FILMS GROWN ON Pt(111)/SiO/Si(100), Masashi Moriwaki, Koji Aizawa, Eisuke Tokumitsu, Hiroshi Ishiwara, Tokyo Inst of Technology, Precision & Intelligence Lab, Yokohama, JAPAN.

BaMgF is one of the most promising ferroelectrics for non-volatile memory applications. Our previous work showed that BaMgF could be grown on Si(111) directly []. However, the ferroelectric properties and the quality of the interface between BaMgF and Si substrate are not good enough for practical use. On the other hand, we have recently reported BaMgF layers with better ferroelectric properties could be achieved by using /Pt(111)/SiO/Si(100) structure []. This improvement seems correlated with a better crystalline quality of the BaMgF films on Pt compared with that on Si. 
In this study, the surface morphology and ferroelectric properties of BaMgF films grown on Si and Pt/SiO/Si are investigated. We found that the both sizes and shapes of BaMgF grains significantly depend on the substrate. When a 200 nm thick (111) - oriented Pt films sputtered at 600 on SiO was used as the substrate, the BaMgF films are highly textured with a large average grain size ( 0.4 ). Every individual grain was observed to have an extremely flat surfaces. The FWHM value of (120) peak in the rocking curve was 0.68. BaMgF films grown directly on Si(111) had a random orientation, with smaller average grain size ( 0.03 ). The 2Pr and 2Ec determined by P - E hysteresis loop under 10 V bias were 2Pr = 3.8 [C/cm], 2Ec = 380 [kV/cm], respectively. We will discuss how these differences in the films structures could affect the ferroelectric properties of the BaMgF films.

SESSION I3: GRAIN BOUNDARIES AND INTERFACES I 
Chairs: Fu-Rong Chen and Yimei Zhu 
Tuesday Morning, April 1, 1997
Golden Gate B2

8:45 AM *I3.1 
GROWTH AND TEM CHARACTERIZATION OF HETEROEPITAXIAL THIN METAL FILMS, K. H. Westmacott, S. Hinderberger, Lawrence Berkeley National Laboratory, Natl Ctr for Electron Microscopy, MSD, Berkeley, CA; Ulrich Dahmen, Lawrence Berkeley National Laboratory, Berkeley, CA.

Epitaxial fcc, bcc and hcp metal and alloy films were grown by PVD on Si and Ge (111) and (001) surfaces and a few Ge (110) surfaces at different deposition temperatures. The resulting epitaxial relationships and morphological characteristics of these films were characterized by transmission electron microscopy and diffraction. Simple epitaxial relationships were found mainly for the fcc metals that form binary eutectic systems With Si and Ge. Of these, silver exhibited exceptional behavior in forming in a single crystal cube-cube relationship on all five semiconductor surfaces. Aluminum and gold both formed bicrystal films on (100) substrates, but differed in their behavior on (111) substrates. Silicide formers such as the fcc metals Cu and Ni, as well as all bcc and hcp metals investigated, did not adopt epitaxial relationships on most substrates. However, highly textured, single crystal, bicrystal and tricrystal films of many metals and alloys could be grown by using a Ag buffer layer. The topology and structure of interfaces in these films was characterized by conventional and high resolution electron microscopy in plan-view and cross-section. It is shown that the films grown are close approximations of Potts model structures for n = 1 through 4. The factors controlling epitaxial growth of metal films are discussed in light of the observations and compared with the predictions of established models for epitaxial relationships.

9:15 AM I3.2 
GROWTH AND STRUCTURE OF THIN TiO FILMS ON SAPPHIRE, Thomas Wagner, Max-Planck-Inst, Inst Werkstoffwissenschaft, Stuttgart, GERMANY.

Thin TiO films have been deposited by molecular beam epitaxy methods on the basal plane of sapphire at different temperatures. The films were characterized employing reflection high-energy diffraction (THEED), Auger electron spectroscopy (AES), x-ray diffraction (XRD) techniques and conventional and high-resolution transmission electron microscopy (CTEM, HREM). At room temperature, the deposition of titanium in an oxygen atmosphere resulted in polycrystalline Ti2O3 films with very fine grain size. The stability of these films was investigated by annealing at high temperatures. Changes of the film morphology and microstructure were determined using RHEED, CTEM, and HREM. At a very high substrate temperature of 1223 K, epitaxial TiO films formed on the basal plane of sapphire. As revealed by HREM investigations, the lattice mismatch between TiO and AlO has been accommodated by a regular arrangement of misfit dislocations at the TiO/-AlO phase boundary. By comparing the microstructure of those films grown at high temperature, the mechanisms governing secondary grain growth in polycrystalline TiO films are displayed.

9:30 AM I3.3 
NANOCRYSTALLINE TIN DIOXIDE THIN FILMS: MICROSTRUCTURE, CRYSTAL DEFECTS AND GROWTH MECHANISMS, Xiaoqing Pan, Univ of Michigan, Dept of MS&E, Ann Arbor, MI.

Nanocrystalline SnO2 thin films, prepared by electron beam evaporation from high purity SnO2 and post deposition annealing in air at 700°C with different times, were investigated using high resolution transmission electron microscopy (HRTEM) and analytical transmission electron microscopy. The films are composed of nanocrystalline SnO2 crystallites and pores. There exists a high density of crystal defects, such as crystallographic shear planes, dislocations of both ordinary and dissociated types, as well as twin boundaries. Many of the crystallites are multiply twinned about the 101 lattice planes. HRTEM investigations revealed that the density of twin boundaries as well as twinning steps decreases with increasing the annealing time. Crystallites which are multiply twinned with complicated twinning configurations have relatively small dimensions with respect to the crystallites free of twins or with a simple twinning configuration. Small nuclei of twins and faults were frequently observed at the surfaces of crystallites. Twin nucleation and growth were observed during in-situ electron irradiation within electron microscope. The mechanisms of the film growth are discussed on the basis of the experimental observations.

9:45 AM I3.4 
TRIPLE JUNCTION SEGREGATION OF Bi IN POLYCRYSTALLINE OF THIN Cu FILM, Kai-Min Yin, National Tsing Hua Univ, Dept of Nuclear Engr & Physics Engr, HsinChu, TAIWAN; Alexander H. King, SUNY-Stony Brook, Dept of MS & Engr, Stony Brook, NY; Fu-Rong Chen, National Tsing Hua Univ, Dept of Nuclear Engr & Physics Engr, HsinChu, TAIWAN; L. Chang, National Science Council, Engineering Div, Taipei, TAIWAN; J. J. Kai, National Tsing Hua Univ, Dept of Nuclear Engr & Engr Physics, Hsinchu, TAIWAN.

The segregation of bismuth to grain boundaries in copper has been widely known and studied for many years. However, only a few studies of triple junction behavior have been reported, in contrast with the profusion of studies of grain boundary structure and behavior; but there is growing interest in them for a number of reasons. Triple junctions are the lines where three grain boundaries meet, and are an essential feature of polycrystalline materials. They are implicated in the formation of electromigration damage in this conductor lines, and they can represent a large fraction of the material volume in nanocrystalline materials. 
Thin films of copper were prepared by evaporating copper onto freshly cleaved rock salt substrates in a background vacuum of approximately 10 torr. The substrate temperature was 310C. The specimens were doped with bismuth by encapsulating the thin film of Cu with a reservoir Cu/Bi alloy in each end of a quartz tube which was kept at 500 C for 6 hours. Bismuth-doped specimens were observed in a JEOL 2010F transmission electron microscope equipped with a field emission gun and an energy-dispersive x-ray detector. The microscope is capable of producing a probe size of 0.5 nm. 
We have carefully determined both the misorientation and composition profiles across boundaries as well as along the boundaries in three triple junctions. We report a finding of segregation to triple junctions that varies strongly from junction to junction and can exceed the segregation at grain boundaries by a large factor. The detail of experimental results will be presented in the conference.

10:30 AM *I3.5 
ATOMIC AND ELECTRONIC STRUCTURE OF DIAMOND GRAIN BOUNDARIES IN A POLYCRYSTALLINE FILM, Hideki Ichinose, Univ of Tokyo, Dept of Matls Science, Tokyo, JAPAN; M. Nakanose, Nissan Motor Corporation, Aerospace Div, Tokyo, JAPAN.

Atomic and electronic structure of diamond grain boundaries are investigated by both high resolution electron microscopy (HREM) and electron energy loss spectroscopy (EELS). A polycrystalline thin film diamond is prepared by ECRCVD method for this purpose. Energy resolution and electron beam size of an employed microscopy (JEM-2010F) are respectively 0.7eV and less than 1 nm. 
Atomic structures of CSL boundaries were apparently different from that of other covalent bonding semiconductors such as silicon and germanium. 9 boundary, for example, tended to be parallel with not (221) plane but (114) plane even though the latter was supposed to contain dangling bonds which cause to elevate boundary energy. Non symmetrical structure which is common in (112) 3 boundary in silicon was observable. 
A new line attributed to * state (bonding) appeared in EELS spectra of (114) 9, (112) 3 and some high angle one in addition to major * line which corresponded to unoccupied sp orbit of crystal diamond. The new line shows that a bonding is formed in the grain boundary which contains a three coordinated atom. A dangling bond (p orbital electron) of the three coordinated atom may easily change into state in diamond. The change can result in energy reduction of the boundaries to stabilize their atomic structure which is unexpected in other semiconductors.

11:00 AM I3.6 
ANALYSES ON THE GRAIN BOUNDARY ORIENTATION AND OXYGEN CONTENT OF YBaCuO, Jenn-Yue Wang, Brookhaven National Laboratory, Upton, NY; Alexander H. King, SUNY-Stony Brook, Dept of MS & Engr, Stony Brook, NY; Yimei Zhu, Brookhaven National Laboratory, Upton, NY; Yuan L. Wang, Masaki Suenaga, Brookhaven National Laboratory, Dept of Applied Science, Upton, NY.

The grain boundary orientation distribution of bulk processed high T superconductor YBa, total of 203 grain boundaries out of five processing conditions, was studied. Two complementary analytical approaches, Grain Boundary Misorientation Distribution (GBMD) from the random description, using a hypothesis test and analysis, and Grain Boundary Character Distribution (GBCD), using the Coincidence Site Lattice (CSL) model, were applied. The GBMD and GBCD both showed grain boundary evolution departing from being random above 935C processing temperature. The GBCD analyses indicated an approximately linear increase in population of CSL-related boundaries, among which the tetragonal CSL (c/a 3) boundaries grew in the same trend while orthorhombic boundaries (c/a = 3) becomes stagnated. The results from comparing the corresponding GBCD and measured Jc for each batch indicated that the tetragonal CSL boundaries were oxygen deficient and accounted for, among other current limiting factors, lower current carrying ability.

11:15 AM I3.7 
EXTRACTING THE GRAIN-BOUNDARY CHARACTER/FREE-ENERGY RELATIONSHIP FROM THE MICROSTRUCTURE: PURE <111> AND <100> TILT BOUNDARIES, Brent L. Adams, Carnegie Mellon Univ, Dept of MS&E, Pittsburgh, PA; David Kinderlehrer, Schlomo Ta'asan, Carnegie Mellon Univ, Dept of Mathematical Sciences, Pittsburgh, PA; William W. Mullens, Carnegie Mellon Univ, Dept of Materials Science & Eng, Pittsburgh, PA; Sorin Costiner, Carnegie Mellon Univ, Dept of Mathematical Sciences, Pittsburgh, PA.

This paper describes new analysis and experimental approach aimed at extracting the comprehensive relationship between grain boundary character and excess free energy. Here ''character''refers to the five macroscopic parameters fixing the lattice misorientation and inclination of the grain boundary. The approach is based upon the force and torque balance described by Herring's relation for microstructures exhibiting local equilibrium at triple junctions. A coupling of the balance relations for many triple junctions comprising boundaries broadly distributed over the full fundamental zone of grain-boundary character, is shown to enable analysis which retrieves the complete character/free-energy relationship. The required experimental approach is described in terms of orientation imaging microscopy. These capabilities are still in development. Test-bed simulations of the analysis are described for the case of pure <111> and <110> tilt boundaries, which are of interest for polycrystalline thin films.

11:30 AM I3.8 
READ-SHOCKLEY TILT BOUNDARIES IN THIN FILMS, Alexander H. King, SUNY-Stony Brook, Dept of MS & Engr, Stony Brook, NY.

We consider a small-angle tilt boundary with its rotation axis and its plane normal parallel to the plane of a thin film. We demonstrate that the traditional Read-Shockley analysis is not sufficient for this case. As a result of surface relaxations, the boundary has a long-range strain field that can be relaxed either elastically or plastically, causing buckles of the film in both cases. The energy of the boundary, per unit area, is considerably greater than the energy of the corresponding boundary in the "infinite crystal" case considered by Read and Shockley. Practical consequences of these findings are described, with special reference to the case of textured films.

11:45 AM I3.9 
STATISTICAL ANALYSIS OF GRAIN BOUNDARIES IN NiO FILMS GROWN ON Ni SINGLE CRYSTALS, A. P. Zhilaev, McGill Univ, Dept of Metallurgical Engr, Montreal, CANADA; Hualong Li, McGill Univ, Dept of Mining & Metallurgical Engr, Montreal, CANADA; F. Czerwinski, Jerzy A. Szpunar, McGill Univ, Dept of Metallurgical Engr, Montreal, CANADA.

An attempt was made to apply the statistical analysis of NiO grain boundaries in order to explain the dependence of NiO growth kinetics on the crystallographic orientation of Ni substrate. Study was conducted for two crystal faces of Ni, (100) and (111), exhibiting a difference in oxidation rate constants at 973 K, over one order of magnitude. The orientation distribution functions, calculated from x-ray measurements for NiO grown on both Ni faces, were analyzed numerically to assess the grain boundary character. The grain boundary misorientation and grain boundary character distribution functions, used to describe oxide structure, were derived for three different assumptions regarding the space correlation in orientation of adjacent grains: i) low angle boundary correlation, ii) coincidence site lattice boundary correlation, and iii) lack of correlation between neighboring grains. High temperature diffusion properties of the grain boundary network that was created were analyzed using diffusion constants available in the literature under assumption of various contribution of bulk and grain boundary diffusion paths to overall transport of ionic species. The growth parameters obtained were finally verified by comparison with experimentally measured oxidation kinetics.

SESSION I4: GRAIN BOUNDARIES AND INTERFACES II 
Chair: Siu-Wai Chan
Tuesday Afternoon, April 1, 1997
Golden Gate B2

2:00 PM *I4.1 
YTTRIA-STABILIZED CUBIC ZIRCONIA THIN FILMS GROWN BY MOCVD: TEXTURE, GRAIN GROWTH, AND GRAIN BOUNDARY STRUCTUREx, Karl I. Merkle, Argonne National Laboratory, Matls Science Div, Argonne, IL; G.-R. Bai, Argonne National Laboratory, Dept of Matls Science, Argonne, IL; Z. Li, C.-Y. Song, Argonne National Laboratory, Argonne, IL; Loren J. Thompson, Argonne National Laboratory, Matls Science Div, Argonne, IL; S. Wen, Argonne National Laboratory, Argonne, IL.

Metal-organic chemical vapor deposition (MOCVD) is a convenient route for the synthesis of single- and polycrystalline ceramic films. Thin polycrystalline YSZ films were produced by this technique. By suitable control of MOCVD deposition parameters, a wide spectrum of grain sizes, including highly textured thin films with grains typically aligned with [001] close to the film normal were obtained on a range of substrates, including SiO(Si), MgO, and Al . The development of the microstructure has been studied as a function of deposition temperature and thermal post-deposition annealing treatments. The film microstructures as observed at a range of length scales, using electron optical techniques, including cross-section and plan-view TEM and HREM, will be discussed. High- and low-angle tilt GBs are produced by in-plane rotations of columnar grains about axes close to the surface normal. Hence, atomic-scale interfacial structures could be observed for a variety of misorientations. Interface structures will be discussed in view of the effects of substrate type and orientation, GB geometry, processing conditions, and geometrical constraints.

2:30 PM I4.2 
X-RAY MICROANALYSIS OF AL/ZR MULTILAYERS IN THE TRANSMISSION ELECTRON MICROSCOPE, Mark A. Wall, Troy W. Barbee, Lawrence Livermore National Laboratory, Dept of Chem & Matls Science, Livermore, CA; J. Bentley, Oak Ridge National Laboratory, Metals & Ceramics Div, Oak Ridge, TN.

Small electron probe x-ray microanalysis was performed on Al-rich Al/Zr multilayered samples oriented in cross section in a 200 keV FEG TEM. Compositional line profiles were acquired across interfaces of as deposited and annealed Al/Zr multilayer samples. Thus, the composition of interfacial phase reactions in these Al/Zr multilayers can be measured. The results of these experiments demonstrate the power of this technique in evaluating local compositions across interfaces and the composition of a series of reactions at interfaces in these multilayered materials. The combination of this chemical analysis technique with the high resolution imaging and diffraction capabilities of the TEM can provide valuable information towards understanding microstructure evolution in multilayered materials. The experimental technique, data analysis, and limitations will be discussed.

2:45 PM I4.3 
IN-SITU SYNCHROTRON X-RAY DIFFRACTION STUDIES OF TiW DIFFUSION BARRIER INTERACTIONS WITH Al AND Si, D. B. Bergstrom, Johan R.A. Carlsson, I. Petrov, Joseph E. Greene, Univ of Illinois-Urbana, Dept of MS&E, Urbana, IL; Larry A. Clevenger, IBM East Fishkill Facility, Microelectronics Div, Hopewell Junction, NY; Christian Lavoie, Cyril Cabral, J. Jordan-Sweet, IBM T.J. Watson Research Ctr, Yorktown Heights, NY.

Polycrystalline bcc Ti films, 110 nm thick, were grown on Si(001) by UHV magnetron sputtering at T = 100C. 190 nm-thick Al overlayers were then deposited at Ts = 100C without breaking vacuum. Samples were isothermally annealed at temperatures between 450-550C in a chamber which was evacuated to 10 Torr and then backfilled with He. During annealing, a synchrotron x-ray source was used to obtain x-ray diffraction spectra, while quenched samples annealed for various times were examined by TEM, scanning TEM and RBS. During the initial Al/Ti reaction, a continous layer of highly-textured WAl forms prior to the formation of randomly oriented polycrystalline WAl. The (110), (200) and (211) WAl peak intensities increased parabolically with annealing time t, indicating diffusion-limited growth where the W diffusion through the continuous WAl layer into Al is the rate limiting step. Analyses of integrated peak intensities vs. t yields consistent results showing a diffusion-limited activation energy, E=3.4 eV). Near the final stages of Al layer consumption, the reaction-limited (E=3.2eV) formation of a (Ti_2 silicide phase is observed.

SESSION I5: IN-ROOM POSTER SESSION 
Chair: Susan E. Babcock
Tuesday Afternoon, April 1, 1997
4:00 P.M. 
Golden Gate B2

I5.1 
MICROSTRUCTURE AND OPTO-ELECTRONIC PROPERTIES OF CdSe-THIN FILMS , Uta Klement, Frank Ernst, Max-Planck-Inst, fur Metallforschung, Stuttgart, GERMANY.

CdSe thin films were investigated with regard to microstructure and opto-electronic properties in order to replace amorphous hydrogenated silicon (a-Si:H) as photosensitive part in the "retina" of an "electronic eye". In comparison with a-Si:H a higher photoconductivity is required combined with a good homogeneity of the photoconductive properties. Furthermore, compatibility of the processing techniques of photoconductor and thin film transistor is requested for later application. 
The influence of substrate material, like glass and Si-wafers, dielectric interlayers and additional annealing treatments was analyzed and will be discussed with respect to the optimization of the material. A bamboo-like microstructure with fibre texture formed by low energy (CSL-) grain boundaries is desired.

I5.2 
STRUCTURE AND CHEMISTRY OF Ti OVERLAYERS ON SAPPHIAE (0001), Thomas Wagner, Sonje Bernath, Manfred Ruhle, Max-Planck-Inst, Inst Werkstoffwissenschaft, Stuttgart, GERMANY.

The Ti/ -AlO system has been used as a model system to study growth processes and chemical reactions at metal/ceramic interfaces. Thin Ti films were grown by molecular beam epitaxy (MBE) on -AlO(0001) substrates. The structure and chemical composition of the films were characterized by in-situ Auger electron spectroscopy (AES), reflection high energy electron diffraction (RHEED), and transmission electron microscopy (TEM). At room temperature, in the very initial growth stage, the AES investigations exhibited a chemical reaction between the Ti and the -AlO substrate. A quantitative analysis of the AES date has been performed on the basis of a simple growth model, showing that 1-2 monolayers of Ti are oxidated. These results have been compared with TEM investigations of the Ti/ -AlO interface.

I5.3 
ON THE CONTRIBUTION OF TRIPLE JUNCTIONS AND GRAIN BOUNDARIES TO THE DIFFUSION FLUX THROUGH POLYCRYSTALLINE THIN FILM, Alexandre P. Zhilyaev, Valery Y. Gertsman, Jerzy A. Szpunar, McGill Univ, Dept of Metallurgical Engr, Monteal, CANADA.

At low temperatures and for high density of linear (dislocations, triple junctions) and planar (grain and interphase boundaries) defects, the main contribution to diffusion comes from these defects. Usually, a current of diffusion species is considered to be proportional to the volume fraction of different elements of the microstructure. However, it is clear that there also exists diffusion flux out of the triple junctions and grain boundaries into grain interior, which is proportional to the surface area of defects. In this paper, we present a model which enables evaluation of the contribution of different types of microstructural defects to the diffusion through polycrystalline thin film for different microstructures: bamboo, equaxial and columnar ones. A wide range of length scales (L/) is considered, where L and are the mean grain size and grain boundary width, respectively. It is shown that depending on the ratios between the diffusion coefficients of the bulk, grain boundary and triple junction, and on the length scale, different contribution from defects to the total diffusion flux through the thin film are registered. For some critical microstructural parameters, the contribution to the diffusion flux from some of the defects can diminish or vanish.

I5.4 
COLLECTIVE MODES OF MISFIT DISLOCATIONS IN LATTICE-MISMATCHED THIN FILMS, Levon B. Hovakimian, Tadao Miurra, Shun-ichiro Tanaka, ERATO JST, Tanaka Solid Junction Project, Yokohama, JAPAN.

This contribution examines the nature of collective vibrational modes in the assembly of misfit relieving dislocations. The physical system considered is a Lomer array of equispaced dislocations in a lattice mismatched interface between a substrate and a thin film with similar elastic properties. Under the influence of thermal shear stresses, arrayed dislocations have the freedom to fluctuate about their equilibrium positions. These fluctuations are not independent, since interfacial dislocations are correlated through their mutual interactions. Using the theory of elasticity, we first disclose the effective force constants of ''harmonic springs'' which establish the long-range correlations between the oscillating dislocations. Our principal interest is focused on the case where the film thickness is much less than the separation between the nearest-neighbor dislocations. We show how in this situation the traction-free surface of the film exerts a profound screening influence on the dislocation-dislocation correlations; it is demonstrated that the force constants exhibit sensitive dependence not only on the lattice mismatch, but also on the film thickness. We then deduce the dispersion of collective dislocation excitations and find that the latter propagate along the interface much more slowly than the ordinary sound waves. Our results reveal the manner in which the thickness of the film controls the magnitude of the vibrational quantum that characterizes the natural oscillations of arrayed dislocations. The role of this specific low-energy quantum in low-temperature interfacial thermodynamics will be discussed.

I5.5 
TRANSFORMATION TWINNING IN PrCoC MAGNETS, Lijun Wu, Weimin Bian, Yimei Zhu, Brookhaven National Laboratory, Dept of Applied Science, Upton, NY.

Recently, carbon doped Pr-Co magnet attracted great attention due to its high energy product. In a nominal PrCoC system, the PrCo phase, which is heavily twinned, coexists with the PrCo phase. To understand the property determining structure of the material, the structure of the twins and the origin of the twinning were studied by advanced transmission electron microscopy. Chemical analysis showed that carbon dopants only go into the PrCo lattice, suggesting that the doping of carbon results in a diffusionless phase transformation from cubic PrCo2 (space group Fd3m) to rhombohedral PrCo (x < 0.3, space group Rm). The twin has a reflection symmetry with the (110) lattice as its primary twinning planes, and the (112) lattice as secondary ones, or vice versa. Detailed crystallographic analyses aided by computer simulations of electron diffraction and images of the twins reveal that the carbon atoms usually occupy one of the two interstitial sites in the PrCo2 octahedron that is 0.42 away from the apex of its diagonal line, which is parallel to the [111] direction for one set of twins and to [11] for the other. The -angle of the rhombohedral varies from 60.5 to 63, corresponding to a carbon concentration of x = 0.l to x = 0.3 for the chemical formula PrCoC.

I5.6 
RAMAN AND PHOTOLUMINESCENCE STUDIES OF THE CdS/CdTe INTERFACE IN SPUTTER-DEPOSITED THIN-FILM SOLAR CELLS, Andreas Fischer, Upali N. Jayamaha, Eugene Bykov, Univ of Toledo, Dept of Physics and Astronomy, Toledo, OH; Alvin D. Compaan, Univ of Toledo, Dept of Physics & Astronomy, Toledo, OH.

The performance of CdS/CdTe photovoltaic devices is strongly determined by the properties of the CdS/CdTe interface region which forms during the heat treatment of the solar cell. We show that near resonant Raman scattering and photoluminescence spectroscopy are two powerful measuring techniques which allow one to analyze the interface region of CdS/CdTe solar cells. We have studied sputter-deposited solar cells for which the interface was exposed by an etch and polishing step after the cell was completed. Exploiting the resonant enhancement of the LO phonon modes if the exiting laser light approximately matches the fundamental gap of the semiconductor under study, the co-existence of two phases at the solar cell CdS/CdTe interface has been confirmed. From earlier Stokes shift measurements of single phase CdS(x)Te(1-x) alloy films, the compositions of these two phases have been measured to be x=0.080.02 and x=0.960.02. A depth profile revealed that the composition of each phase is constant regardless of the distance from the original junction. From photoluminescence spectroscopy the thickness of the interdiffused region has been estimated to be greater than 700 nm for sputtered cells, grown at 380 C and annealed with CdCl2 at 400 C for 20 min. In addition evidence has been found that the interdiffusion between CdS and CdTe is inhibited if CdTe is deposited with some oxygen mixed into the sputtering gas. For sputter-deposited CdS/CdTe solar cells, the incorporation of oxygen into CdTe appears to be beneficial for the cell performance.

I5.7 
INTERFACE EFFECTS ON THE ADHESION OF THIN ALUMINUM FILMS, Judith A. Schneider, S. Guthrie, Douglas L. Medlin, Neville R. Moody, Sandia National Laboratories, Livermore, CA.

Differences in the adhesion and fracture toughness of Al films on sapphire due to the presence of controlled contaminants were studied. The adhesion and fracture toughness properties were evaluated by use of microindentation and continuous scratch tests. A comparison was made of the properties of thin films of Al(500 to 1000 ) that were either sputtered or vapor deposited on an (0001) oriented sapphire substrate. Controlled contaminations of oxygen and carbon were introduced as the Al films were deposited. TEM was used to document the resulting interfacial structure. With oxygen contaminants, an amorphous interface was observed at the interface in the thin films which spalled during the continuous scratch testing.

I5.8 
INTERFACIAL REACTIONS IN THIN FILM AND BULK IRON/SILICON COUPLES, Yuhong Zhang, Douglas G. Ivey, Univ of Alberta, Dept of Chem & Matls Engr, Edmonton, CANADA.

Initial phase formation in thin film and bulk Fe/Si couples has been investigated using transmission and scanning electron microscopy (TEM and SEM). For the thin film couples, 165 nm of Fe was deposited by electron beam evaporation onto <111> oriented Si substrates. SiO capping layers (100 nm thick) were used to protect the Fe from oxidation during subsequent annealing Bulk diffusion couples were fabricated by clamping together polycrystalline Fe bar pieces and <111> oriented single crystal Si pieces and sealing under vacuum (10torr) in quart capsules. Annealing of thin film couples was done at temperatures ranging from 200-500C for up to several hours. Bulk couples were annealed at 700C for up to 1000 hrs. Interfacial reactions were detected in as deposited thin film couples. A layer <3 nm thick was identified, through electron diffraction, as poorly crystalline FeSi. Iron was the major diffuser during the formation of FeSi. FeSi was the next phase to form-initially detected after annealing at 300C for 3 hrs. Similar results were obtained for bulk couples. The first phase to form was ordered FeSi (initially detected after 7 hrs), followed by FeSi (at 23 hrs) and then FeSi (at 234 hrs). The formation and grown behavior of these phases will be discussed.

I5.9 
A THERMODYNAMIC MODEL FOR SOLID STATE AMORPHISATION (SSA): A COMPARISON OF SSA IN NI-TI MULTILAYERS WITH VARYING MICROSTRUCTURE., Amarante J. Bottger, R. J. Benedictus, Delft Univ of Technology, Lab for Matls Science, Delft, NETHERLANDS; E. J. Mittemeijer, Delft Univ of Technology, Lab of Matls Science, Delft, NETHERLANDS.

Solid state amorphisation (SSA) is of great technological interest because amorphous layers can serve as a diffusion barrier in integrated circuits or as a corrosion resistant layer on tools. On the basis of consideration of phase formation energies and the energy of interfaces and grain boundaries, the driving force of SSA in metal-metal couples can be calculated. It follows that the structure of interfaces and grain boundaries, and thus their energy is of crucial importance for the occurrence of SSA. The SSA behaviour of Ni-Ti multilayers, which differ in microstructure, is investigated using X-ray diffraction, (high resolution) electron microscopy and differential scanning calorimetry. For crystalline Ni- crystalline Ti- multilayers SSA occurs at both the Ni-Ti interface and the Ti grain boundaries. For the amorphous Ni-crystalline Ti multilayer SSA occurs at Ti grain boundaries only. These experimental observations are in agreement with the predictions. Also the enthalpy changes occurring during amorphisation are in very good agreement with the energy estimates.

I5.11 
MICROSTRUCTURE OF C-BN FILMS DEPOSITED BY IBAD : CORRELATION BETWEEN IR AND HRTEM ANALYSES, Gerard Nouet, CNRS, ISMRA, Caen, FRANCE; Samir Ilias, Jorome Pascallion, Valorie Stambolli, Daniel Bouchier, Univ de Paris-Sud, Inst d'Electronique Fondamentale, ORSAY, FRANCE.

Thin c-BN films have been grown on Si substrates with a boron sublayer using Ion Beam Assisted Deposition at two different values of assisting ion energy, i.e. 300 and 600 eV. The microstructure of these films has been studied on the one hand using IR investigations and, on the other hand, using HRTEM analyses. 
From succesive etchings performed on these c-BN films and from the integration of IR peaks of c-BN and h-BN near 1100 and 1400 cm-1 respectively, the in-depth distribution of phases within the films was emphasized. The results have clearly shown a stacking layered structure including a pure c-BN volume lying on a h-BN like basal layer next to the boron sublayer, with the existence of an intermediate region constituted of mixed c-BN and h-BN-like phase. The respective thickness of these regions depends on the ion energy. At 600eV, the intermediate mixed region exhibits a thickness much more important in comparison with the one of the films obtained at 300eV. These results have been perfectly correlated with the HRTEM analyses. Furthermore these analyses indicate a preferential texture <011> of the c-BN phase in the two cases with less well-crystallized grains for the films obtained at 600eV. The h-BN like basal interface is turbostratic with the (0002)planes preferentially aligned perpendicular to the substrate. A strong orientation relationship between the (0002) planes of the turbostratic phase and the (111) planes of the cubic phase indicates that the nucleation of the cubic phases is strongly correlated to the presence of the turbostratic phase.

I5.12 
TEM STUDIES ON THE MICROSTRUCTURAL EVOLUTION OF THIN ALUMINA FILMS ON IRON-ALUMINIDES, K. Prubner, Kathleen B. Alexander, Oak Ridge National Laboratory, Metals & Ceramics Div, Oak Ridge, TN; P. Y. Hou, Ian G. Brown, Lawrence Berkeley National Laboratory, Matls Science Div, Berkeley, CA; Troy W. Barbee, Lawrence Livermore National Laboratory, Dept of Chem & Matls Science, Livermore, CA.

The application of alloys at high temperatures requires protective surface oxides to slow down the oxidation of the metal. These oxide layers can be entirely formed by preferential oxidation of an alloy component or via the deposition of a thin film on the metal surface. In our experiment, thin (0.1-1 m), initially amorphous alumina films were deposited by a plasma deposition process and by chemical vapor deposition on iron aluminides (Zr-containing and Zr-free). Subsequently, these oxide films were heat treated at 1273 K in helium to study the crystallization and phase transformations within the film in the absence of oxidation. The influence of the deposited oxide films on the corrosion resistance of the iron aluminides was studied at 1273 K in air. Conventional and analytical TEM of cross sectional specimens was applied for the characterization of the microstructural and compositional evolution of the oxide scales. The investigations showed that the plasma deposited oxide films were initially amorphous. Upon heat treatment in helium, they crystallized first to metastable alumina and finally transformed to -Al. The phase transformation started at the oxide/metal interface and resulted in a roughened interface. When subjected to an oxidation environment, the oxide scale on the Zr-free alloy spalled from the metal, while the scale on the Zr-containing alloy remained adherent. The thermal oxide formed on top of the deposited film, indicating aluminum outward diffusion. The deposited film transformed fully to -Al during oxidation. Segregation of zirconium at oxide grain boundaries in the thermal oxide as well as in the former coating and at the scale/metal interface was found.

I5.13 
FORMATION OF A NEW ELECTRIC MATERIAL: FULLERENE/METAL POLYCRYSTALLINE FILM, Bing She Xu, Shun-ichiro Tanaka, ERATO JST, Tanaka Solid Junction Project, Yokohama 236, JAPAN.

We report a study of the formation of Fullerene/metal polycrystalline film. Metallic particles of Pt and Au having diameters of 1-6 nm, prepared using the Ar ion bombardment technique, were supported on an amorphous carbon film with thickness of 20 nm. Under electron beam irradiation, with intensity about 10e/cmsec, the amorphous carbon film under Pt, Au nanoparticles transformed into onion like fullerene film. The transformation mechanism and growth morphology have been investigated using a high resolution transmission electron microscopy. It is found that the nucleation and growth processes of onion-like fullerenes from amorphous carbon were divided into three steps with increasing the irradiation time. First, few elliptic shells were induced under Pt or Au nanoparticles; second, a gradual reorganization took place into the structures composed of concentric and spheroid graphitic or onion-like fullerenes; and finally, fullerenes were bonded with each other into a film.

SESSION I6: POSTER SESSION: 
MICROSTRUCTURE, STRESS, AND TEXTURE 
Tuesday Evening, April 1, 1997
8:00 P.M. 
Salon 7

I6.1 
THIN-FILM TEXTURES OF Au-Pd COUPLES, Young S. Chung, Keena Evans, Motorola Inc, CPSTG, Phoenix, AZ; William Glaunsinger, Arizona State Univ, Dept of Chemistry and Biochemistry, Tempe, AZ.

The crystal textures of polycrystalline films of Au-Pd couples before and after annealing were investigated via the x-ray diffraction (XRD)) pole figure and scanning tunneling microscopy (STM) methods. The extent of a specific plane texture exhibited a significant dependence on metallic species and composition. Interaction between the substrate surface and condensed metals during the initial nucleation process is important in determining the ultimate film texture. The texture formation on Au-Pd thin film couples displayed a strong dependence on the nature of the underlying seed layer. Gold films deposited on a palladium seed layer revealed much less texture than Au films deposited directly on a silicon dioxide surface. On the contrary, Pd films deposited on polycrystalline Au films showed higher degree of texture, compared to Pd Films deposited directly on silicon dioxide. Annealing the films greatly enhanced the depth of texture, and the order of deposition affects the texture of the Au-Pd alloy films after annealing.

I6.2 
DYNAMICAL IDENTIFICATION OF THE GROWTH PROCESSES AND STRUCTURE OF POLYCRYSTALLINE FILMS, Nicolay Bodyagin, Moscow Inst of Electronic Engineering, Dept of Microtechnology, Ryazan, RUSSIA; Arcady Aivazov, Moscow Inst of Electronic Technology, Dept of Microtechnology, Zelenograd, RUSSIA; Sergey Vikhrov, Ryazan Radiotechnical Academy, Faculty of MT, Ryazan, RUSSIA.

It is demonstrated that growth processes of polycrystalline structure can be described as self-organization processes. Theoretic evidence of the existence maximum attractor in solidification processes of the different materials was carried out. 
It is demonstrated that structure of polycrystalline films is spatially inhomogeneous, ''frozen,'' nonequilibrium system examined from the point of view of the nonlinear dynamics. Traditional approaches to the analysis of this system in terms of statistical characteristics such as spatial spectrum, correlation scale, and the like don't give information about its deterministic origin. Possibility of use of the well known in nonlinear dynamics approach taken for investigation of dynamics of the materials growth processes and order parameters of the structure to be proved. Employing this approach, we can reconstruct these characteristics by space series of any material property. The calculation algorithms of the dynamics invariants (correlation dimension, order parameters, global and local Lyapunov exponents, topological invariants) from material surface profile and time series of some growth characteristics are proposed. The principles of nonlinear modeling of the growth processes and structure of the materials on the basis of the dynamics invariants are considered. Dynamics of the growth processes and order parameters of the structure in macro- and mesoscale are different. They are described accordingly by global and local Lyapunov exponents. The analytical connection between the global and local Lyapunov exponents, on the one hand, and stability, some post-growth processes, limits of the properties reproductivity from one growth process to another accessible in the modern technologies, on the other hand, are proposed.

I6.3 
SIMPLE COMPUTER MODELING OF THE GRAIN MICROSTRUCTURE FOR THE ELECTROMIGRATION STUDIES., Kia Song Low, Anthony O'Neill, Univ of Newcastle Upon Tyne, Dept of Elect & Electronic Engr, Newcastle Upon Tyne, UNITED KINGDOM.

To simulate Electromigration in a 2-dimensional interconnect, we first model the microstructure of the track. Using a Monte-Carlo technique, we generate a plane of random seeds that model the nucleation seeds for grain growth in the track. This model is based on the assumption that a saturated nucleation rate takes place during the sputtering process, each nucleation seed will grow into a grain in which all the points in it are closer to the nucleating seed. Subsequent grain coarsening model is implemented based on the assumption that there are equal surface tensions acting on all the TriplePoints by its Grain Boundaries, which forces the TriplePoints to move towards a 120 degrees boundary orientation. Grain evolution from polycrystalline interconnect into a Bamboo structure is illustrated. Issues examined: the comparison of this simple model and the more elaborated model is made, the evolution of the statistical measures is carried out, and the incorporation of a second phase precipitate in the model is examined.

I6.4 
TEM OBSERVATIONS OF AG-TI BILAYERS AFTER THERMAL AGING TREATMENT IN A REDUCING AMBIENT, Adam I. Amali, Arizona State Univ, Center for Solid State Science, Tempe, AZ; James W. Mayer, Yuxiao Zeng, Y. Lee Zou, Terry L. Alford, Arizona State Univ, Dept of Chem Biochem & Matls Engr, Tempe, AZ; Fei Deng, S. S. Lau, Univ of California-San Diego, Dept of E&CE, La Jolla, CA.

Transmission electron microscopy (TEM) in both cross sectional and plan view is used to study the effect of annealing Ag-Ti bilayers deposited on SiO/Si substrates in an NH ambient. The resulting structure, texture, grain size and chemistry are investigated. Comparisons are made between films annealed at 400, 500, and 600C. Silver films show increasingly strong <111> texture with annealing temperature, while exhibiting columnar grain structure. Considerable grain growth with lateral grain sizes of up to 5 times the thickness of the Ag film is observed at 600C. The columnar grains typically extend through the Ag film thickness. The Ti/SiO interface uniformity and the absence of voids at the substrate surface are positive indicators of the role of titanium as a good adhesion promoter. At 600C, a uniform TiN encapsulation layer is observed on the Ag surface.

I6.5 
INFLUENCE OF UNDERLAYER AND ENCAPSULATION PROCESS ON THE TEXTURE OF SILVER THIN FILMS, Yuxiao Zeng, Y. Lee Zou, Terry L. Alford, James W. Mayer, Arizona State Univ, Dept of Chem Biochem & Matls Engr, Tempe, AZ.

The texture in Ag films as a function of underlayer materials and encapsulation process was characterized by both Bragg-Brentano scan and pole-figure techniques. The underlying Ti layer promoted strong Ag <111> fiber texture in contrast to the nearly random orientation of Ag grains in the case of a Cr underlayer. This underlayer dependence can be explained as the result of the minimization of interfacial energy. For Ag/Ti bilayers, <511> fiber texture was also measured in Ag films in addition to the strong <111> texture. The former texture component arose from the twinning of <111>-oriented grains due to the low stacking fault energy of pure Ag. The encapsulation process at elevated temperatures enhanced texturing because of the preferred growth of <111> grains at the expense of the randomly oriented grains. Compared to Al and Cu films processed under similar conditions, Ag films have more pronounced <111> texture.

I6.6 
TEXTURE AND MECHANICAL PROPERTIES IN IRON DEPOSITES, Yan-Dong Wang, Northeastern Univ, Dept of MS&E, Shenyang Liaoning, CHINA; Y. D. Liu, J. Z. Xu, Northeastern Univ, Dept of MSE, Shenyang, CHINA.

Texture of the iron deposite is an important microstructural character to affect the mechanical properties of used materials. Many previous investigations revealed that the textures in the iron deposites vary with electrolysis conditions, such as the bath composition, temperature and cathode, etc. The magnetic field treatment during the deposition may alter greatly the textures and microstructures of some magnetic materials, which lead to the significant change of the mechanical properties such as stress and hardness in those materials. In the present paper, the evolution of textures in the iron coatings deposited in various magnetic fields is investigated by the quantitative texture analysis technique. The stress and microhardness of the deposites with various textures are measured. The relationship among the textures, stress and microhardness in the iron coating is discussed.

I6.7 
STUDY OF TEMPERATURE INFLUENCE ON THE ORIENTATION OF CRYSTALLINE CLUSTERS OF TIN, V. I. Trefilov, Vladimir Maiboroda, G. A. Maksimova, G. M. Molchanovskaya, V. G. Imeninnik, Inst of Materials Science Problems, Kiev, UKRAINE.

The influence of melting and solidification of crystalline and condensated films of tin on their texturing is studied by TEM in situ. The microsamples were melted and overheated up to 400 C and cooled to room temperature. As the result of this processing in the limits of observed field the strong expressed primary crystallographic orientation of odd nanocrystals is observed on islands of solid phase. A condensated ''film'' has the orientations (111) and (113), and the ''crystalline'' one - (001). The orientation effect is explained as produced by the arising of dissipative structure in the metal on premelting stage and by its existence in the liquid stage. This structure is determined by the polarization of collective migrations of nanodispersive volume of metal during thermal excitement and causes the arising of advantage crystallographic of micrograins in hardened films.

I6.8 
MORPHOLOGICALLY CONTROLLED MICROCRYSTALIZATION AND ELECTRON TRANSFER OF Ru(II)(2, 2'-BIPYRIDINE) CHLORIDE UNDER LANGMUIR MONOLAYERS AND IN POLYIONIC THIN FILMS: A NEAR FIELD PHOTO-EXCITATION STUDY, Yongchi Tian, Frederic G. Guerin, Janos Hugo Fendler, Syracuse Univ, Dept of Chemistry, Syracuse, NY.

The interplay between Langmuir monolayers and aqueous Ru(II)(2,2'-bipyridine), Ru(bipy), subphase led to microcrystalization of Ru(bipy) in which the morphology of the 2D crystallites was dependent on the phase and organization of the monolayers, and whose exciton emission was based on their morphology. This fascinating phenomenon, for which biomineralization served as a perfect example, presented various versions with changes of monolayer materials and processing techniques. The grown crystallites of Ru(bipy) under the monolayers were trasferred onto solid support, which allowed optical microscopic and spectroscopic studies. Oriented crystals showed excitonic fluorescence emission with vetorial electron flow within the crystals. Electron transfer from the Ru(bipy) crystals to TiO2 small particles was found to be highly oriented with respect to the orientation of the crystal growth. All these mesoscopic processes were followed by near field scanning optical microscopy (NSOM) and NSOM-based spectroscopy.

I6.9 
NEAR-FIELD SCANNING OPTICAL MICROSCOPY STUDIES OF Cu(In,Ga)Se SOLAR CELLS, Amanda McDaniel, Julia W.P. Hsu, Univ of Virginia, Dept of Physics, Charlottesville, VA; Andrew M. Gabor, Evergreen Solar, Inc, Waltham, MA.

Thin file devices are among the most promising candidates for affordable solar cells. In order to understand what is necessary to reliably produce good cells, devices must first be fully characterized. Using near-field scanning optical microscopy (NSOM), we image the spatial variations in photoresponse of two Cu(In,Ga)Se (CIGS) cells, on both the surface and the cross-section. The efficiencies of these cells are < 9% and >14%. Our images show that the surface response of the high efficiency cell is roughly uniform with small fluctuations which correlate with, but are not identical to, the topography. Topographic images of the low efficiency cell reveal to feature sizes: small (100nm) particles which form large (10m) grains with deep crevices in between. Photovoltage images show drastic reduction in photoresponse associated with most crevices. We also see areas on both samples where features in the photovoltage image have no corresponding topography. From the cross-section images, we can measure the depth of the junctions. We found that the junction width and the response along the junction are non-uniform.

I6.10 
CHANGE FROM ARMORPHOUS TO POLYCRYSTALLINE GROWTH IN SPUTTERED CoZr/Cu MULTILAYERS, J. Langer, R. Mattheis, S. Schmidt, IPHT Jena, Jena, GERMANY; Stephan Senz, D. Hesse, Max-Planck-Inst, Dept of Microstructure Physics, Halle, GERMANY; Th. Schuhrke, R. Fischer, J. Zweck, Uni Regensburg, Inst Exp Angewandte Physik, Regensburg, GERMANY.

The structural properties of thin film multilayers are of great interest due to their potential in applications in a widespread field. Multilayers consisting of alternating nonmagnetic and ferromagnetic layers exhibit a spin valve effect often connected with a large change of electrical resistance in magnetic fields. To get a sufficient sensitivity for application as magnetic sensors the magnetic layers should be as soft as possible. Amorphization of crystalline ferromagnetic Co with at least 7at% Zr leads to the desired magnetic properties of thin film layers with a thickness of a few 10 nm. However, in thin film multilayers the thickness of the individual layers are in the order of a few monolayers. Here the question arises, wether the conditions for amorphization still hold in a bilayer system and how the amorphous layer influences the growth of the subsequent crystalline layer. 
For the investigations we chose the CoZr/Cu system. We varied the Zr content (0at%, 5at%, 10at%, 20at%), the thickness of the CoZr layer (1.3 and 4.0 nm) and the thickness of the Cu layer(1.3 and 2.2 nm). The layers were prepared by sputter deposition in a conventional vacuum system on thermally oxidized silicon. Prior to the multilayer deposition a 5 nm Fe buffer layer was sputtered. Structural characterization was performed by TEM. 
A columnar growth of polycrystalline grains can be observed for multilayers built up of 1.3 nm CoZr layers up to 10at% Zr content independently of the Cu layer thickness. Whereas for multilayers built up of 4.0 nm CoZr the columnar structure is destroyed at 10at% Zr content. A structure consisting of small grains predominately located in the Cu layers and of amorphous CoZr can be seen. The destruction of the columnar structure is accompanied by a <111> texture normal to the substrate. We explain this by a simple picture based on the hard sphere model.

I6.11 
MORPHOLOGY AND TEXTURE OF CHEMICAL-VAPOUR-DEPOSITED TiN FILMS, Noboru Yoshikawa, Atsushi Kikuchi, Tohoku Univ, Dept of Metallurgy, Sendai, JAPAN.

Chemical-Vapor-Deposited (CVD) TiN films have been utilized for various applications such as the protective coatings and the barrier layers in the electronic circuits. Many studies have been conducted to control the film microstructures, because they are related with the mechanical and electrical properties. According to the reports, various kinds of TiN film morphologies are formed, depending on the deposition conditions. In this study, TiN films were deposited by thermal atmospheric CVD, using source gas mixture of TiCl, H, and N. Films deposited under different conditions of gas flow rate, substrate temperature, and gas compositions were observed with SEM and TEM. The preferred orientation of film crystals were determined by means of XRD. Relationship between the morphologies of film crystals and their crystallography was investigated for discussing the causes of the preferred orientation. Films consisting of needle-like, flaky and polyhedral columnar crystals were observed, which had either (needle-like crystals) or preferred orientations. ''Star-shaped crystals'' or five-ling twinned crystals were sometimes observed. And the abnormal growth of these crystals occurred under specific conditions, where they existed with the matrix crystals, having completely different shape and scale, with preferred orientation.

I6.12 
MICROSTRUCTURAL EVOLUTION IN THERMALLY CYCLED Al AND Al/SiO THIN FILMS, Marc Legros, Swaminathan Jayaraman, Kevin J. Hemker, Johns Hopkins Univ, Dept of Mech Engr, Baltimore, MD; Yu-Lin Shen, Univ of New Mexico, Dept of Mech Engr, Albuquerque, NM; Andrew Gouldstone, MIT, Dept of MS&E, Cambridge, MA; Subra Suresh, MIT, Cambridge, MA.

Macroscopic experiments performed on thin films by wafer curvature techniques have shown that thermal cycling of Al thin films on Si substrates result in fundamentally different stress states that depend on whether the film is passivated or not. This stress response is related to plastic deformation and relaxation in the thin film, but our currant ability to understand and to model such behavior is limited by the difficulty associated with observing the microstructural changes that accompany these thermal stresses. In this study, cross-sectional TEM observations of thermally cycled Al and Al/SiO thin films have been used to record the microstructure at various stages of thermal cycling. Here, special emphasis has been placed on systematically documenting the evolution of grain structure and on characterizing the role of dislocations in influencing cyclic thermal deformation. These post-mortem observations are also compared and contrasted with TEM experiments conducted to capture the in-situ changes in microstructure.

I6.13 
STRESS CONTROL AND CHARACTERIZATION OF DIAMOND/SiC DOUBLE LAYER MEMBRANE FOR X-RAY MASK, Hitoshi Noguchi, Shin-Etsu Chemical Co, Adv Functional Matls Res Ctr, Gunma, JAPAN; Y. Kubota, Shin-Etsu Chemical Co, Gunma, JAPAN; T. Takarada, Gunma Univ, Faculty of Engr, Gunma, JAPAN.

Diamond and SiC films are promising materials for a mask membrane in x ray lithography. Particularly diamond has excellent properties, e.g., the highest Young's modulus and high transmittance for X-rays and visible light, and it has been considered to be the most suitable material. However, it is difficult to control the stress of diamond film while keeping the high quality (i.e., crystallinity and purity). On the other hand, although the properties of SiC film, such as Young's modulus and transmittance, are slightly inferior to those of diamond, the control of both stress and quality is relatively easy. 
In this paper, we have proposed the use of a diamond/SiC double layer structure as x-ray mask membrane. The membrane consists of the diamond film deposited on the SiC film on a Si wafer. The stress-controlled SiC film was first deposited on a Si substrate by r.f. magnetron sputtering method as the stress compensating layer. The high-crystallinity and high purity diamond film was then deposited by magnetically enhanced microwave plasma CVD method as the main layer. As a result of combining the diamond film with strong compressive stress and excellent quality with the SiC layer with strong tensile stress, we obtained a precisely stress-controlled free-standing membrane of diamond/SiC double layer for X-ray mask. it was found that the stress in the double layer film could be estimated as the average of stress in the diamond film and that in SiC film. We have also evaluated important properties, e.g., surface roughness, crystallinity, purity, optical transmittance, and Young's modulus, of the diamond/SiC double layer membrane.

I6.14 
PREPARATION AND RESIDUAL STRESS CHARACTERIZATION OF POLY-SILICON GERMANIUM FILMS PREPARED BY ATMOSPHERIC PRESSURE CHEMICAL VAPOUR DEPOSITION, Sherif Salah Sedky, Paolo Fiorini, IMEC, Dept of MAPMS, Leuven, BELGUIM; Matty Caymax, IMEC, Dept of ASPVMT, Leuven, BELGIUM; Kris Baert, IMEC, Dept of MAPMS, Leuven, BELGIUM.

Poly-silicon germanium alloys exhibit a reduced thermal conductivity with respect to poly-Si. Because of this property they have a big potential in micro-machining applications for preparing suspended structures thermally insulated from the substrate. Structural stability is affected by the total stress in the film (which must be slightly tensile) and by its distribution along the growth direction (which must be as uniform as possible). This work presents experimental results on the above subjects. Silicon germanium samples have been prepared in an atmospheric pressure chemical vapour deposition reactor on silicon wafers covered by 1 µm of oxide. In order to favour the deposition of the film a thin poly-Si nucleation layer was deposited. Atomic force microscopy characterisation shows that the nucleation layer is composed of islands with an average lateral dimension of 100 nm. The films have been grown at a temperature of 650C from a mixture of germane and diclorosilane, and contain about 30% of germanium, the percentage which minimise thermal conductance. For some films a thin cross section has been prepared and observed by TEM. There is evidence that the grain size is determined by the nucleation layer. 
The stress of the films has been determined by measuring the curvature of the wafer before and after deposition. The as grown films have a relatively low compressive stress (90 MPa). The value of the stress decreases upon annealing, and becomes nearly zero at an annealing temperature of 900C. For higher annealing temperatures (up to 1050C) the stress becomes tensile. We also measured the stress profile along the growth direction and we found that the stress is larger close to the substrate. For the sake of completeness and for comparison, stress measurements have been carried out also on poly-Si films grown in the same reactor. The total stress is still compressive but larger than that of poly-silicon germanium films. Studies on the relationship between the properties of the nucleation layer and the total stress of the film are in progress.

I6.15 
STRESS AND STABILITY OF SPUTTER DEPOSITED A-15 AND BCC CRYSTAL STRUCTURE THIN FILMS, Matthew O'Keefe, C. Edward Stutz, Air Force Wright Laboratory, Avionics Directorate, Wright-Patterson AFB, OH.

The stress and stability of metallic thin films is a critical aspect to the reliability of integrated circuits, especially in severe military environments. Due the requirements for low resistivity and good thermal and electrochemical stability, refractory metals such as tungsten are often used in fabricating semiconductor devices. In this study magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 and 650öC and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 200 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperature cycles in a Flexus 2900 thin film stress measurement system and an AG Associates 410T rapid thermal annealer. Data from the study indicates that annealing the A-15 structure results in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film or the heat treatment method used. Complete transformation of the A-15 structure into bcc resulted in a 1 GPa tensile increase in film stress. After transformation into the body centered cubic phase the as-deposited A-15 tungsten films were as stable as the as-deposited bcc films. In addition, changes in film resistivity were found to be more sensitive to crystal structure than changes in stress.

I6.16 
STRESS CONTROL IN SPUTTERED SILICON NITRIDE FILMS, Mark J. Mescher, Tuviah E. Schlesinger, Michael L. Reed, Carnegie Mellon Univ, Dept of E&CE, Pittsburgh, PA.

Control of stress in deposited thin films is important in micromachined devices. It is often desirable to produce films with very low stress or tensile stress to prevent buckling and/or stiction of a released micromechanical structure. In this work we show that stress in sputter deposited silicon nitride films can be controlled, making either compressive or tensile stress films achievable. These films were deposited via reactive rf magnetron sputtering using an elemental Si target and N as a reactive gas in an Ar ambient. Variation of stress as a function of deposition pressure and N/Ar gas mixture ratio was examined over a wider range of these two parameters than in previous work. More importantly, this parameter space includes conditions which produce near-stoichiometric Si films over a wide range of deposition pressures. Stress was determined from the deflection of released SiO cantilever beams whose initial deflection before SiN deposition was measured and determined to be insignificant. We demonstrated that both low compressive stress and even tensile stress can be achieved at the proper deposition conditions. The film stresses as a function of deposition pressure are explained in the context of previously developed peening models. Film stress as a function of N/Ar gas ratio is examined using the reactive sputtering model developed by Blom, Berg, et. al. [JAP 63, 887-91, 1988] to predict thin-film stoichiometry. Finally, requirements for the sputtering system, including pumping speed, target size, and rf power density, necessary to achieve the deposition conditions required for compressive or tensile stress films, will be presented.

I6.17 
POLYCRYSTALLINE ZnO- AND ZnO:Al-LAYERS: DEPENDENCE OF FILM STRESS AND ELECTRICAL PROPERTIES ON THE ENERGY INPUT DURING THE MAGNETRON SPUTTERING DEPOSITION, Klaus Ellmer, R. Cebulla, R. Wendt, Hahn-Meitner-Inst, Dept Solare Energetik, Berlin, GERMANY.

Doped and undoped zinc oxide films are widely used as transparent window and contact layers in thin film solar cells. Up to now the role of film stress on the layer and solar cell properties was not investigated in detail. In this paper the influence of the energy input from the magnetron sputtering plasma on the structural and electrical layer properties was investigated. The energy input during the deposition could be varied by simultaneously using RF- and DC-excitation at a fixed sputtering pressure.

SESSION I7: CHARACTERIZATION AND REPRESENTATION 
Chairs: Katayun Barmak and James S. Im 
Wednesday Morning, April 2, 1997
Golden Gate B2

8:30 AM *I7.1 
HIGH RESOLUTION X-RAY RECIPROCAL SPACE MAPPING OF WAVY LAYERS, Patricia Kidd, Queen Mary & Westfield College, Dept of Physics, London, UNITED KINGDOM; Paul F. Fewster, Philips Research Laboratories, Redhill, UNITED KINGDOM.

We apply the novel technique of High Resolution X-Ray Reciprocal Space Mapping (HRRSM) to the study of wavy layers in multilayer thin films. By mapping the diffuse scatter around the Bragg peaks in reciprocal space, we obtain information about the geometry of the layers. This gives us measurements of the layer compositions, lattice strain, lattice rotations, wave period and wave amplitude. We illustrate the effectiveness of this technique as a non-destructive method for the investigation of microstructure in thin films. The layers are InGaAs alloy, each 50nm thick, grown by MBE in a 2.4 micron multilayer stack on an (001) oriented InP substrate. Successive layers have alternating tensile and compressive strains with resect to the InP substrate. The layers develop a wavy microstructure with increasing wave amplitude further from the substrate. The layers also contain defects in the form of dislocations and stacking faults. The purpose of this work is to use the InGaAs alloy multilayer sample as a model structure in the initial stages of a program to apply HRRSM to the study of more complicated thin layer microstructures such as those exhibited, for example, in metal multilayer structures grown by PVD- and CVD-type processes. In this context, we comment on the potential of the technique in the area of thin films in general.

9:00 AM *I7.2 
QUANTITATIVE TEXTURE CHARACTERIZATION OF POLYCRYSTALLINE THIN FILMS: MEASUREMENTS, ANALYSIS AND EXAMPLES, Hans-Rudolf Wenk, Univ of California-Berkeley, Dept of Geology and Geophysics, Berkeley, CA.

Thin polycrystalline films often display very strong preferred orientation that influences physical properties such as electrical and thermal conductivity, elasticity, piezoelectricity, chemical reactivity, and abrasion resistance. Surprisingly there is still very little quantitative information about textures in thin films. Quantitative texture analysis has become well established in metallurgy and is used to relate the orientation distribution of crystallites in polycrystals to anisotropic physical properties. Slowly, similar techniques are being applied and modified to characterize textures in thin films. Most texture characterizations rely on pole figure measurements with an x-ray pole figure goniometer. Particularly for epitaxial films, use of a monochromator is essential to suppress diffraction from the substrate. Compared to bulk samples, intensity absorption/volume corrections are different for single and multiple layered films; but with proper modifications, quantitative information about the orientation distribution in moderately textured films and about orientation components in strongly textured epitaxial films can be obtained. 
For moderate textures, the orientation distribution can be obtained from pole figures. Axially symmetric fiber textures are observed in films such as nitrides, silicon, diamond and ferroelectrics with wide variations in texture, depending on fabrication conditions. Data are conveniently represented in inverse pole figures which are obtained from the orientation distribution and which identify texture components. 
In epitaxial films, orientation relations are best evaluated using a component representation in orientation space. Some features of such textures will be discussed with YBCO on a variety of substrates. 
In comparison to orientation determinations by TEM selected area diffraction or x-ray texture patterns, x-ray texture analysis with a pole figure goniometer provides a quantitative volume average of orientations which is representative of selected regions of the material and can be related to macroscopic properties. It is recommended that these techniques become a routine procedure in thin film characterization.

9:30 AM I7.3 
AN X-RAY PHOTOELECTRON SPECTROSCOPIC STUDY OF B-N-Ti SYSTEM, Sudipta Seal, Lawrence Berkeley National Laboratory, Berkeley, CA; A. Warwick, Lawrence Berkeley National Laboratory, Advanced Light Source, Berkeley, CA; A. Garcia, Harald Ade, North Carolina State Univ, Dept of Physics, Raleigh, NC; S. Cerasari, Eli Rotenberg, Lawrence Berkeley National Laboratory, Dept of Advanced Light Source, Berkley, CA; J. Denlinger, Univ of Michigan, Ann Arbor, MI; Brian P. Tonner, Univ of Wisconsin-Milwaukee, Dept of Physics, Milwaukee, WI; T. Barr, Univ of Wisconsin-Milwaukee, Milwaukee, WI; E. Benko, Inst of Cutting Tools.

Composite nitrides (such as BN, TiN) are widely used in various industrial applications because of their extreme wear and corrosion resistance, thermal and electrical properties. In order to obtain composite materials with optimal properties, it is important to elucidate whether any chemical reactions occur at nitride interfaces, e.g., those involving BN-Ti TiN. In the present case, structural and chemical features formed during plasma vapor deposition (PVD) of Ti/TiN on BN substrates have been studied using a combination of x-ray photoelectron spectroscopy and synchrotron radiation x-ray photoelectron spectroscopy (SPEM). In the latter technique, the films are subjected to spectral analysis of soft x ray emission in the 150-550 eV range. The sensitivity of this method to the detection of small impurities in the film. Diffusion of the nitridation and the interference from oxidation (e.g., TiO) and carbide formation are of particular concern. Cluster formation and interfacial growth are of general interest.

9:45 AM I7.4 
MICROSTRUCTURAL OBSERVATIONS OF SPUTTERED AND RELEASED POLYSILICON THIN FILM TENSILE SPECIMENS, Marc Legros, Kevin J. Hemker, William N. Sharpe, Johns Hopkins Univ, Dept of Mech Engr, Baltimore, MD.

The vast majority of microelectromechanical systems (MEMS) fabricated today depend on polysilicon thin films for structural support. Studies involving the mechanical performance of polysilicon thin films have progressed to the point where the elastic properties and tensile strength of these films can routinely be measured using a specially designed microsample tensile machine. Fundamental understanding and predictions of the mechanical behavior of these sputtered polysilicon films will require that these experimental measurements be complemented with detailed observations of the underlying thin film microstructure. In-plane and cross-section TEM observations have been performed, and emphasis has been placed on determining the flatness and dimensions of the polysilicon films, grain morphology, grain size distributions, and evidence of dislocation substructure. The films used in this study were originally sputtered on single crystal substrates at the Microelectronics Center of North Carolina (MCNC) and then the substrates were etched away to produce free-standing tensile specimens. TEM observations have been made on both attached and free-standing 3.5 m thick polysilicon films, and variations in the as-processed microstructure will be discussed by comparing observations of films from several different MCNC runs.

10:30 AM *I7.5 
AUTOMATED CRYSTAL ORIENTATION MAPPING (ACOM) OF THIN METALLIZATION LAYERS AND INTERCONNECTS, Robert A. Schwarzer, Technische Univ Clausthal, Inst fur Metallkunde, Clausthal, GERMANY.

An automated system ("ORKID" = Orientation from Kikuchi Diffraction) for the acquisition and interpretation of backscatter Kikuchi patterns with a computer-controlled SEM is presented. The digital beam scan enables individual grain orientations to be determined in an interactive as well as in a fully automated mode. Special features are the autocalibration and dynamic focus control by the computer. The ORKID system on the TEM enables the computer-assisted determination of deformation systems, in addition to individual crystal lattice orientations. With the present setup more than 5000 orientations can be measured per hour. Orientation maps are constructed by attributing to the raster points in the image a color specific for the grain orientation, the misorientation or the grain boundary character. Texture components of interest can be highlighted by distinct colors in order to reveal texture inhomogeneities. The data set of grain orientations is further used to calculate the Schmid factors for each grain under the assumption of biaxial extension, the orientation distribution function (ODF) and the correlated as well as the uncorrelated misorientation distribution functions (MODF) which characterize crystallographic texture in a statistical sense. 
The following applications of individual grain orientation measurement are discussed: 
* Thermomechanical hillocks in aluminum metallization layers on silicon substrates
* Stress-induced grain growth in aluminum metallization layers on silicon substrates 
* Electromigration voids and hillocks in aluminum interconnects

11:00 AM I7.6 
ANALYSIS OF RESIDUAL STRESS IN POLYCRYSTALLINE SILVER THIN FILMS BY X-RAY DIFFRACTION, Terry L. Alford, Yuxiao Zeng, Y. Lee Zou, Arizona State Univ, Dept of Chem Biochem & Matls Engr, Tempe, AZ; Fei Deng, S. S. Lau, Univ of California-San Diego, Dept of E&CE, La Jolla, CA; Thomas Laursen, Arizona State Univ, Tempe, AZ; James W. Mayer, Arizona State Univ, Dept of Chem Biochem & Matls Engr, Tempe, AZ.

Thin film structures of Ag/Ti/SiO were self-encapsulated at elevated temperatures and in an NH3 ambient and a thin TiN passivation layer formed on the surface. A ' 'sin'' technique based on x-ray diffraction was used to measure the residual stresses in Ag films prior to and after the encapsulation. It is found that the as-deposited films displayed a low tensile stress (60 MPa) The lattice in the film plane was in tension as opposed to a compressive lattice state along the film normal. The tensile stress level was significantly increased by the encapsulation process at 600C. A non-zero tensile stress component normal to the film surface was also generated because of the surface TiN formation.

11:15 AM I7.7 
STRESS IN Ag/Ni MULTILAYERS: A COMPARISON OF SPECIMEN-CURVATURE AND X-RAY DIFFRACTION METHODS, P. Gergaud, S. Labat, Univ Aix-Marseille III, URA CNRS, Marseille, FRANCE; H. Yang, Amarante J. Bottger, Delft Univ of Technology, Lab for Matls Science, Delft, NETHERLANDS; Per Sandstrom, Erik Svedberg, Linkoping Univ, Dept of Physics, Linkoping, SWEDEN; E. J. Mittemeijer, Delft Univ of Technology, Lab of Matls Science, Delft, NETHERLANDS; Jan-Eric Sundgren, Linkoping Univ, Dept of Physics, Linkoping, SWEDEN; Olivier Thomas, Univ Aix-Marseille III, CNRS/URA-1530, Marseille, FRANCE.

Multilayers are of distinct scientific and industrial interest because of their specific properties (magnetic, electronic, tribological,...). Multilayers are often subjected to a state of stress. Such stresses can be of intrinsic (growth) origin as well as extrinsic (e.g., thermal) origin. As a first step to investigate the origin of stress in sputtered (multi)layers, experimental methods which measure the overall state of stress of the (multi)layer will be compared with methods which measure the stress in the sublayers. Therefore, Ag/Ni bilayers and multilayers, as well as pure Ag- and pure Ni-layers were produced by magnetron sputtering. Stresses in these layers were determined through specimen-curvature measurements using both a laser scanning method and an x-ray diffraction rocking-curve technique, and through lattice spacing changes using the so-called sin-method. The results obtained by employing these different techniques will be discussed with respect to accuracy and reproducibility and will be interpreted in terms of the microstructure of the layers, as dependent on the interface density (multilayer period) and deposition parameters.

11:30 AM I7.8 
INSTRUMENTAL DETERMINATION OF THE MICROPOROSITY IN THIN FILM'S COATING, Vladimir Egorov, Inst of Microelectronics Technology, Nuclear Research Lab, Chernogolovka, RUSSIA; O. S. Kondratiev, Moscow State Univ, Dept of Mathematics, Moscow, RUSSIA.

The porosity of the thin films is a significant problem of technology and should be take into account in researches of its physical properties. The simple procedure for degree porosity determination in thin films by RBS method is presented in this work. The procedure is founded on the tenet that the vacancies are the enjoying structure elements and simple substances are represented as a solid substitution solutions. The analysis of the depth element's profile in thin film of such solid solution may be produced by Rutherford Backscattering Spectroscopy method using several RBS spectra corresponding to unequal ion scattering condition. Two nonequalent RBS spectra are enough to carry out the quantitave element determination in the thin film pure materials. The procedure was used for study of the structure porosity in Al films for the Al/SiO/Si targets deposited by the partically ionized beam technology and Au/Si and Cr/Si targets deposited on Si substrate thermally. The measurements were carried out by using of He ion beam (E=1.5 MeV) for two scattering angles =160 and =120 simultaneously. The mathematical treatment of these spectra are realized by using of modified RUMP program. Light element pollutions were inspected by measurements of the nuclear reactions yields. There was fixed that the film porosity increase have been observed at the fall of it thickness. The structure of the film was not changed independent of it thickness.

SESSION I8/J7: MICROSTRUCTURE, TEXTURE AND RELIABILITY 
Chairs: John E. Sanchez and Steven M. Yalisove 
Wednesday Afternoon, April 2, 1997
Golden Gate B2

1:30 PM *I8.1/J7.1 
TEXTURE IN ALUMINUM THIN FILMS FOR SILICON VLSI: WHAT CONTROLS IT AND DOES IT MATTER?, Walter L. Brown, Bell Labs, Lucent Technologies, Murray Hill, NJ.

Polycrystalline aluminum thin films, typically approximately 0.5 m thick, alloyed with 0.5 wt Cu and deposited by magnetron sputtering at rates of about 1 m per minute, provide the material from which submicron interconnect wiring for VLSI chips is formed. These Al films tend to have (111) crystallographic fiber texture, whether they are deposited on SiO, on Ti on SiO, on TiN on SiO, on TiN on Ti on SiO or on other less common (for current VLSI) substrates. The quality of the texture varies strongly with the substrate, from a very tight orientational distribution of grains with a full width at half maximum of the (111) planes with respect to the surface normal of 2 degrees to a ring texture in Which the (111) planes of typical grains are tilted away from the surface normal by 5 degrees or more. The substrate is critical in determining the texture quality. The submicron wide wires that are formed from these films by lithographically defined reactive ion etching often carry current densities in excess of le5 A/cm. As a result, they are subject to ''damage'' due to electromigration of Al atoms in the direction of the electron flow. Published reports indicate a strong correlation between electromigration damage and the texture of the original aluminum films: the tighter the texture, the more reliable the wires. These dependencies as well as their possible explanation will be discussed.

2:00 PM *I8.2/J7.2 
THE EFFECTS OF GRAIN STRUCTURE AND GRAIN STRUCTURE EVOLUTION ON INTERCONNECT RELIABILITY, Carl V. Thompson, B. D. Knowlton, MIT, Dept of MS&E, cambridge, MA; Harold J. Frost, Dartmouth College, Hanover, NH.

The median value and the variation of the electromigration-limited lifetimes of polycrystalline interconnects is known to be strongly dependent on their grain structures when their gain sizes and their line width are comparable. We have developed simulations of nucleation and growth of islands to form polycrystalline films, and of post-formation normal and abnormal grain growth which define the final grain size distribution and texture. We have simulated the development of columnar grain structures with lognormally distributed grain sizes, as is seen experimentally in many thin film systems including the aluminum films from which interconnects are patterned. We have also simulated post patterning grain structure evolution. Using a simulation of the effects of grain structures on electromigration we have made quantitative predictions of failure times, correlating the statistical characteristics of grain structures with predicted failure statistics. Predictions of failure time have been made as a function of line width. line length, current density, temperature, as-deposited grain structure, and post-patterning grain structure evolution. Owing to a mixture of electromigration mechanisms, the variations in failure statistics with these parameters is complex and is not captured in the simple models used in conventional reliability assessments. Improved process sensitive techniques for reliability assessment will be discussed.

2:30 PM I8.3/J7.3 
ELECTROMIGRATION IN RECRYSTALLIZED Al LINES, Marc J.C. van den Homberg, P. F.A. Alkemade, A. H. Verbruggen, Delft Univ of Technology, Faculty of Applied Physics, Delft, NETHERLANDS; A. G. Dirks, Philips Research Laboratories, Eindhoven, NETHERLANDS; J. L. Hurd, IBM Analytical Services, Hopewell Junction, NY; E. Ochs, Max-Planck-Inst, Inst fur Metallforschung, Stuttgart, GERMANY; S. Radelaar, Delft Univ of Technology, DIMES, Delft, NETHERLANDS.

The microstructure of Al metallization is a very important factor in electro- and stress migration. To investigate its role, we fabricated 200 m long, pure Al test-lines by means of recrystallization in a SiO groove pattern with submicrometer dimensions. The microstructure was characterized carefully by XRD, TEM and Backscatter Kikuchi Diffraction (Orientation Image Mapping). The lines fabricated with a temperature gradient during recrystallization were distorted single-crystals, with a constant lattice curvature of 0.04 /m. It is suggested that this curvature is due to the dislocations in the line, that form as a result of the thermal stress during cooling.The lines fabricated without a temperature gradient, were truly bamboo, i.e. without polygranular segments.Lifetime-measurements are performed at 200 C at a current density of 2 and 8 MA/cm. After 2700 hrs of testing, only 1 out of 15 single-crystalline lines failed and 4 out of 9 bamboo lines. Lifetime-tests on conventionally fabricated lines with similar dimensions have been started. BKD and SEM are used to analyze the failed lines. Sharply facetted and slit-like voids were observed in the bamboo lines. In addition, results of 1/f noise measurements from room temperature up to 200 C will be presented. The spectra show low noise intensities.

2:45 PM I8.4/J7.4 
THE LINEWIDTH DEPENDENCE OF MICROSTRUCTURE AND ELECTROMIGRATION IN DAMASCENE-FABRICATED ALUMINUM INTERCONNECTS, Paul B. Besser, Advanced Micro Devices, Sunnyvale, CA; Shekhar Pramanick, Advanced Micro Devices, Technology Development Group, Sunnyvale, CA; John E. Sanchez, Univ of Michigan, Dept of MS&E, Ann Arbor, MI; David P. Field, TexSEM Laboratories, Provo, UT; Kashmir Sahota, Advanced Micro Devices, Sunnyvale, CA.

As the integrated circuit industry progresses toward 0.18 m technologies, the extendibility of the conventional method of interconnect fabrication (metallization deposition, lithographic patterning, interconnect definition by reactive ion etching) is being questioned, and novel damascene methods of fabricating interconnects are being actively evaluated for both Al and Cu. For conventionally fabricated Al interconnects, it has been well documented that the reliability depends on the microstructure of the aluminum in the interconnect line. In the present work, parallel arrays of 1.0 m deep interconnects have been fabricated using damascene processing methods. A novel Al deposition stack/process was used to fill the trenches, which have essentially vertical sidewalls and vary in width from 0.5 m to 16 m. After chemical mechanical polishing, the grain size and crystallographic texture of the Al in these trenches has been characterized using transmission electron microscopy (TEM) and local electron backscattered diffraction (EBSD), respectively. Narrow lines (0.5 and 1.0 m wide) have a bamboo microstructure, intermediate widths (2.0 m wide) are nearly bamboo, and wide lines (4.0 m and wider) are polycrystalline. The <111> texture of the lines degrades with decreasing linewidth. A secondary <100> component will be demonstrated, and its origin proposed. The electromigration reliability of these damascene Al lines has been measured and will be correlated directly with the microstructure.

3:30 PM *I8.5/J7.5 
LOW-ENERGY ION/SURFACE INTERACTIONS DURING METAL AND DIFFUSION-BARRIER FILM GROWTH: EFFECTS ON NUCLEATION, MICROCHEMISTRY, MICROSTRUCTURE EVOLUTION, AND TEXTURE, Joseph E. Greene, D. B. Bergstrom, I. Petrov, Univ of Illinois-Urbana, Dept of MS&E, Urbana, IL.

This talk will focus ont he use of new UHV low-energy high-flux beam sources, magnetically-unbalanced magnetron sputtering, and ionized magnetron sputter deposition to control nucleation kinetics, microstructure evolution, and preferred orientation during the growth of epitaxial and polycrystalline metal (Al, AlCu, Cu, Mo, and W) and transition metal nitride (TiN, TiAlN, and TiWN) films. Recent results using time and temperature dependent x-ray diffraction and resistivity measurements combined with TEM, XTEM, RBS, and STEM to follow both area-averaged and local interfacial reaction paths and kinetics during the annealing of metal/barrier bilayers will also be discussed.

4:00 PM I8.6/J7.6 
EXAMINATION AND MODELING OF VOID MORPHOLOGIES IN CONVENTIONAL AND HIGHLY TEXTURED Al-Cu INTERCONNECT LINES, Robert J. Gleixner, Stanford Univ, Dept of MS&E, Stanford, CA; Hisahi Kaneko, Toshiba Corp, Microelectronics Engr Lab, Saiwai-ku Kawasaki, JAPAN; William D. Nix, Stanford Univ, Dept of MS&E, Stanford, CA; Mie Matsuo, Hiroshi Toyoda, Toshiba Corp, Microelectronics Engr Lab, Saiwai-ku Kawasaki, JAPAN.

The electromigration lifetime of aluminum interconnect lines shows a strong dependence on the degree of texture in the metal. This observation is explained in terms of a decreased diffusivity along the grain boundaries, generally the dominant path for diffusion and void growth. As the texture in the metal is strengthened, the grain boundary disorder decreases and atomic diffusion is suppressed. 
In this paper, we examine passivated Al-0.5Cu interconnect lines having either TaAl underlayers or conventional Ti/TiN underlayers. Rocking curve measurements confirm that Al deposited on TaAl has a very strong (111) texture (FWHM 0.6 ) compared to Al on Ti/TiN (FWHM 6 ). Interconnect lines of both types were electrically stressed at 200 C and 225 C for 20 hours at a current density of 3 MA/cm. The lines were then stripped of passivation, and the void numbers, sizes, and morphologies were determined. 
Analysis of the void data gave a surprising result: the void volume in the highly-textured Al was than in the conventional Al. However, while voids in conventional Al were observed to follow grain boundaries across the width and sever the line, such destructive void growth was not observed in the highly textured Al. Instead, voids were either highly faceted or shallow and along the line edge, never extending across the line width. The increase in the electromigration lifetime results from this less destructive morphology. By modeling void growth in the observed microstructures, we can explain these differences as a result of the reduced grain boundary diffusivity. This resistance to fatal damage makes highly textured aluminum a promising interconnect material.

4:15 PM I8.7/J7.7 
IMPROVEMENT OF Al(111) TEXTURE IN LAYERED AL-ALLOY INTERCONNECT BY CONTROLLING WATER ABSORPTION OF DIELECTRIC UNDERLAYERS, Tomoyuki Yoshida, Shoji Hashimoto, Hideki Hosokawa, Takeshi Ohwaki, Yasuichi Mitsushima, Yasunori Taga, Toyota Central R&D Labs Inc, Electronics Device Div, Aichi, JAPAN.

Improvement of electromigration (EM) resistance in layered Al-alloy interconnects is important for developing highly reliable submicron VLSI devices. One promising approach is to enhance the (111) crystallographic texture of Al-alloy films, and many efforts have been made. For further improvement in Al(111) texture, it is important to investigate the influence of the surface condition of underlying dielectric films on the texture of Al-alloy films. In this study, the influence of the exposure of underlying dielectric (PSG and BPSG) films to a humid air ambient on Al(111) texture in Al-Si-Cu/Ti/TiN/Ti layered structures has been investigated as a function of the boron content and exposure time of the dielectric films. It was found that the Al(111) texture improves drastically with increasing boron content and exposure time of the dielectric films. The full width at half maximum (FWHM) value of the x-ray diffraction rocking curve for an Al(111) peak reached less than 1 degree. As the FWHM value improved, the Al-alloy surface became smoother and the Al-alloy grain sizes increased. The mechanism of the improved Al(111) texture was investigated. It was confirmed that the improved Al(111) texture is attributed to the improved (002) texture of the bottom Ti films, and that the Ti(002) texture is determined by the surface concentration of the absorbed water in the dielectric films. Furthermore, it was demonstrated that interconnects fabricated from the improved layered structure have excellent EM performance.

4:30 PM I8.8/J7.8 
COMPOSITIONAL EFFECTS ON DIFFUSION BARRIER DEGRADATION IN PVD-TISIN, Warren Franklin McArthur, Kenneth M. Ring, Fei Deng, Paul Morgan Pattison, Karen L. Kavanagh, Univ of California-San Diego, Dept of E&CE, La Jolla, CA.

TiSiN is being investigated as an amorphous diffusion barrier in advanced microelectronic copper metallization schemes. Ternary amorphous diffusion barriers typically fail due to crystallization followed by grain boundary diffusion of Cu. We are studying barrier compositions which lie near the TiN-TiSi phase line; a region of the Ti-Si-N phase diagram which has not previously been investigated. We are interested in the crystallization products and the amorphous to crystalline transformation temperature as a function of composition. In this work PVD-TiSiN films are formed by RF-magnetron co-sputtering Ti and Si in Ar/N. Film composition is controlled by the titanium to silicon target ratio and N partial pressure. Transmission electron microscopy (TEM) and electron diffraction shows that these films are amorphous as-deposited and undergo phase separation to yield titanium nitride and silicon nitride after a 1000C anneal. Bulk resistivity as-deposited (microOhm-cm) is acceptable for use as a contact liner/barrier material and improves with annealing (microOhm-cm after 800C anneal). We will report electrical results versus thermal history for films formed under varying sputtering conditions. We will also report on the composition and microstructure of TiSiN as determined by Rutherford back scattering, TEM and electron diffraction.

4:45 PM I8.9/J7.9 
EFFECTS OF NITROGEN ON PREVENTING THE CRYSTALLIZATION OF AMORPHOUS Ta-Si-N DIFFUSION BARRIER, Dong Joon Kim, Hanyang Univ, Dept of Metallurgical Engrg, Seoul, SOUTH KOREA; Soon Pil Jeong, Korea Inst of Science and Technology, Semicond Mater Research Ctr, Seoul, SOUTH KOREA; Yong Tae Kim, Korea Inst of Science and Technology, Semicond. Mater. Research Center, Seoul, SOUTH KOREA; Jong-Wan Park, Hanyang Univ, Dept of Metall Engr, Seoul, SOUTH KOREA.

Amorphous Ta-Si-N diffusion barrier was deposited by dc sputtering of Ta5Si3 target in (Ar + N) atmosphere. The crystal structure and thermal stability of Ta-Si-N to suppress the Cu diffusion were investigated by X-TEM, XRD, RBS, and AES. 
When the concentration of N in Ta-Si-N film was higher than 40 at., the Ta-Si-N film remained the amorphous state after annealing at 1100C for 60 min. In this case, the Cu diffusion was prevented by the amorphous Ta-Si-N barrier at the annealing temperatures up to 900C for 30 min. Whereas the Ta-Si-N barrier with N content less than 40 at. began to crystallize and failed to prevent the Cu diffusion after annealing at 700C for 30 min. In the metallurgical viewpoint, it will be discussed that the role of nitrogen prevents the crystallization of the Ta-Si-N film and the diffusion of Cu atoms in the Ta-Si-N diffusion barrier.

SESSION I9: POSTER SESSION: 
PROCESSING, CHARACTERIZATION, AND APPLICATION 
Chairs: James S. Im and Steven M. Yalisove 
Wednesday Evening, April 2, 1997
8:00 P.M. 
Salon 7

I9.1 
TEXTURED GROWTH OF ALUMINUM NITRIDE BY MOCVD, W. Zhu, Bell Labs, Lucent Technologies, Murray Hill, NJ; M. C. Paek, ETRI, Taejon, SOUTH KOREA; Sungho Jin, Bell Labs, Lucent Technologies, Murray Hill, NJ.

Aluminum nitride is potentially useful in UV optical devices and high frequency acoustic devices because of its wide bandgap and high sound velocity. It can also be applied as electrically insulating and chemically passivating layers in semiconductor devices. We have investigated the growth of aluminum nitride on various substrates such as silicon, diamond and quartz by low pressure metalorganic chemical vapor deposition. We correlated the crystallinity, structural orientation, chemical composition and morphology of the films with important process variables such as pressure, temperature, and gas phase chemistry. We demonstrate that the control of growth texture of aluminum nitride films (c-axis either perpendicular or parallel to the substrate surface) can be achieved on these substrates by manipulating the process parameters, and possible mechanisms of such texturing will be discussed.

I9.2 
THE EFFECT OF TEMPERATURE RAMPING ON MOCVD ALUMINUM FILM PROPERTIES, Daewon Yang, Arizona State Univ, Dept of Chem Bio & Materials Engr, Tempe, AZ; Vadali Mahadev, Arizona State Univ, Dept of Chem Biochem & Matls Engr, Tempe, AZ; Timothy S. Cale, Arizona State Univ, Ctr for Solid State Electronics, Tempe, AZ; G. J. Leusink, J. T. Hillman, R. L. Foster, Materials Research Corp, Glbert, AZ.

The temperature effects on nucleation, and grain growth of CVD Al films were investigated by a series of experiments since a preliminary study showed that substrate temperature was the most significant parameter. Both constant and ramped temperature experiments were conducted during the initial stage of the deposition. In general, the activation energy for nucleation is higher than that for growth, and both the nucleation density and the rate of grain growth increase with increasing temperature [1]. Since high nucleation density and low grain growth rates would result in improved uniformity of the films, temperature ramping experiments were performed to control the rates of nucleation and growth. CVD Al films are deposited on Si(100) and TiN substrates by pyrolysis of triisobutylaluminum (TIBA) and dimethylaluminum hydride (DMAH). A modified SPECTRUM 202, single wafer, cold wall LPCVD reactor was used. The average film thickness was measured using weight gain measurement. The film resistivities were determined using a 4-point probe. The crystal structure of the films were analyzed by x-ray diffraction. The morphology of the films were analyzed by plane view SEM. The chemistry of the films was analyzed using RBS and AES. Ramping the temperature affected the average grain size, film orientation and surface roughness. The rates of nucleation and growth and, as a consequence, the deposition rates, were controlled by ramping the temperature during the initial stage of the deposition. A very rough film with preferred (100) orientation was obtained for ramp down processes. For ramp up processes, the film was mainly (111) crystal orientation. In addition to normal grain growth, secondary nucleation and surface energy driven secondary grain growth also occur, and lead to rougher films. The ability to control the microstructure with temperature ramping is demonstrated.

I9.3 
WET OXIDATION OF POLY-SiGe LAYER GROWN ON SiO, Han Ping, M. Wu, J. R. Ma, X. D. Huang, R. H. Wang, S. M. Zhu, L. Q. Hu, Youdou Zheng, Nanjing Univ, Dept of Physics, Nanjing, CHINA.

Polycrystalline SiGe is a candidate for the gate of future CMOS devices and circuits. The poly-SiGe gates CMOS technology, including the deposition and oxide of poly-SiGe should be investigated. In this report, the experiment results of wet oxidation of poly-SiGe on SiO are reported. The characteristic structure and possible mechanism of the oxide layer have been described and proposed. Poly-SiGe film was deposited on the surface of SiO/Si structure at a temperature range of 550 650C by RTP/VLP-CVD. The oxide process of the SiGe film was performed at atmosphere pressure at a temperature of 800C with wet oxidation method. AES and XPS were used to characterize the oxide sample. The measurement indicates that the oxide rate of strained SiGe layer on Si. As a result, the oxide layer contains SiO, GeO, and Ge, except the phenomenon that there is one Ge-rich layer, which is different in that Ge was completely rejected from the oxide and pile up to the interface between SiO and Si during the oxidation of SiGe. It may be deduced that poly-SiGe isn't dense enough comparing the strained SiGe on Si, and the oxide rate is too higher than the Ge couldn't completely segregate from the oxide layer to the surface of SiO/Si structure.

I9.4 
MICROSTRUCTURAL DESIGN OF SEQUENTIAL LATERAL SOLIDIFICATION PROCESSED CRYSTALLINE Si FILMS, Mark A. Crowder, Robert S. Sposili, Columbia Univ, Dept of Chem Engr, Matls Sci & Mining Engr, New York, NY; James S. Im, Columbia Univ, Dept of CE&MS, New York, NY.

In sequential lateral solidification (SLS) of thin Si films, projection irradiation combined with microtranslation is used in order to create location-controlled crystalline regions. In this method, shaping the projected profile of the incident beam (which determines the shape of the molten area) enables direct manipulation of the resulting microstructure, as the defects tend to line up perpendicular to the solidifying interface. In particular, the use of chevron-shaped beams has been shown to lead to the creation of large single-crystal regions. Here, it is highly desirable to have a uniform intensity profile throughout the beam. However, due to the spatial coherence present in the excimer beam, there is an interference effect that causes a ''hot spike'' at the apex of the chevron. Such nonuniformity is problematic in that it reduces the SLS processing window, as the agglomeration of Si can occur more readily in the high intensity area. In general, shaping of the incident beam allows precise microstructure manipulation of SLS processed crystalline Si regions, and nonuniformities due to interference effects can be encountered whenever such pattered beams are used. Here, we show that interference-caused nonuniformity in intensity profile can be eliminated via proper tailoring of the details of the beam shape. Using a numerical tool that simulates the interference effects, we have found that modification of the tip curvature at the apex of the chevron, for example, can lead to a uniform intensity profile. The experimental results obtained using correspondingly modified patterns definitively confirms the validity of this approach.

I9.5 
MICROSTRUCTURAL IDENTIFICATION OF SiN FILMS BY REAL TIME SPECTROSCOPIC ELLIPSOMETRY, Roberto Machorro, Enrique C. Samano, Gerardo Soto, UNAM, Inst de Fisica, San Ysidro, CA.

Real-time ellipsometry has shown to be a powerful tool to analyze thin films during processing. Its sensitivity lies in the submonolayer range, and it is nonperturbative. Thus it is adequate for monitoring and controlling the thickness, optical properties evolution and composition of thin films which begin with well-polished reflecting substrates. In fact, a slight variation in the film microstructure might result in a significant change of the polarization state of the reflected beam from sample surface. SiN overlayer have been grown on glass, quartz and Si substrates by laser ablating a SiN sintered target in a UHV system. The film growth was controlled by real time ellipsometry at a fixed wavelength, 496 nm, and a fixed incidence angle, 71. Once the deposition process is completed, the dielectric function was obtained by performing in situ spectroellipsometric measurement in the 247 to 823 nm wavelength range. The best curve fitting of the experimental data is used to find the film composition: a mixture of non-crystalline SiN, polycrystalline Si, and amorphous Si. The volume fraction of the film components have been determined with high accuaracy and repeatability. The ellipsometric result are complemented by in situ characterization of the film using surface analysis techniques such as XPS and AES.

I9.6 
MONITORING POLYSILICON FILM THICKNESS: A COMPARISON OF ANALYTICAL MEASUREMENT, CHarles J. Hitzman, Charles Evans & Associates, Redwood City, CA.

The high performance characteristics of today's advanced C-MOS devices are heavily dependent on many of the properties of the materials used in the fabrication process. A specific case is the dependance of the device switching speed on the thickness of the polysilicon gate. Measuring the polysilicon thickness in device development, new tool start-up and routine quality control monitoring, aids in ensuring the high performance of the device. Several analytical techniques are available that will provide a measure of the polysilicon layer thickness either on test blanket wafers or on fully processed devices. These analytical techniques have their own strengths and weaknesses. In addition to the film thickness, some of these techniques may simultaneously offer an additional analytical information about the film such as doping concentration. This paper will compare polysilicon thickness measurements obtained by high resolution SEM, TEM, ellipsometry, RBS, and combined SIMS/AFM, and discuss the strengths and weaknesses of each of these techniques for this analysis. Additional information obtained by some of the techniques simultaneously with the thickness measurement will be presented and discussed.

I9.7 
STUDY ON RELAXOR FERROELECTRIC THIN FILMS OF TUNGSTEN BRONZE PbBaNbO BY RF MAGNETRON SPUTTERING, Xiaoyue Xiao, Ning Xu, Tsinghua Univ, Dept of MS&E, Beijing, CHINA.

Ferroelectric thin films of tungsten bronze Lead Barium Niobate (PBN) were prepared on single crystalline Si and Pt/Ti/Si substrates by Radio Frequency Magnetron Sputtering Microstructures and chemical states of Pb cations of the thin films were investigated invarious annealing temperatures. Interface reactions between the thin films and substrates were also studied. Finally, ferroelectric properties, i.e., the electric hysteresis, were tested as a function of crystallization. Amorphous thin films on the Pt/Ti/Si substrate were thermally treated under different temperatures up to 650-700C, at which crystallized thin films were formed to tetragonal phase for PBN55 and PBN63, and to orthorhombic phase for PBN75. An orientation growth was observed for the PBN55 and PBN63 along (001) and for PBN75 along (002). With the elevation of annealing temperatures, the Pb cations were transferred from the 12 coordination position into the 15 coordination position in order to enhance the ordering degree. High degree of the ordering Pb Cations distributed in the A-sites resulted into decrease of its binding energy. Study on ferroelectric properties indicated high quality of the thin films annealed at 650-700C. Their remnant polarization Pr and the coercive field Ec reached to Pr = 47.91 C/cm and Ec = 1.75 kV/cm, respectively.

I9.9 
TENSILE BEHAVIOR OF FREE-STANDING GOLD FILMS, Gary L. Povirk, Yale Univ, Dept of Mechanical Engr, New Haven, CT; Richard D. Emery, Yale Univ, Dept of Mech Engr.

Free-standing tensile specimens were prepared by evaporating gold onto an oxidized silicon substrate and using standard pattern and etching techniques so that the specimens span a rectangular wells in the substrate. The silicon frame serves to protect the film during handling and to provide a region large enough to mount to the actuation rods of a micromechanical testing machine. The final step before performing the tests is to cleave the silicon frame at its midsection so that the load is carried by the metal film. Thin film tensile samples with thicknesses ranging from 0.25 m to 1.6 m were fabricated. For a film of a given thickness, different microstructures were produced either by varying the deposition rate or by annealing the free-standing films after processing so that thickness and grain size could be varied independently. In comparison to bulk gold, significantly higher flow strengths and lower ductilities were observed in all of the metallizations tested.

I9.10 
A COMPARATIVE STUDY OF THE LIGNOSULPHONATE AND SULPHITE LYE FROM THE POINT OF VIEW OF THE BINDING POWER WHEN THEY ARE USED AS BINDERS IN MOULDING SANDS, Iulia Doina Rusu, Univ Politehnica Bucharest, Dept of Matls Science, Bucharest, ROMANIA; A. P. Syomic, V. V. Artemiov, National Technical Univ, Kiev, UKRAINE; C. Cernat, Univ Politehnica Bucharest, Bucharest, ROMANIA.

In this work, we studied the influence of chemical composition of the row materials used for cellulose production by sulfite method on phisico chemical parameters of the residual materials with the purpose to determine the possibility to reuse them as binders in molding sands. On the sulfite lye, which was obtained from a mixture of one year plants (wheat, flax) by standard methods, were determined viscosity and dry substance content. The same measurements were performed also for lignosulfonate obtained from a mixture of leaf-bearing coniferous woods. The binding power was expressed through the values of resistance () to tensile strain. was measured on dry-sand mold samples. For both residual materials, the influence of viscosity and dry substance content on resistance to tensile strain are presented. The curves versus the two indicated parameters for sulfite lye have a maximum for lower values than in the case of the lignosulfonate. An explanation for the observed behavior in correlation with the chemical composition of the row materials is discussed. Although lignosulfonate and sulfite lye are residual materials obtained in the same cellulose sulfite processing, standard specification applied for using lignosulfonate in molding sand are not suitable for sulfite lye use. It is possible to substitute molding lignosulfonate based with other residual materials as sulfite lye obtained from one year plants.

I9.11 
NUCLEATION-BASED CONTROL OF LOW-TEMPERATURE DIAMOND DEPOSITION ON OPTICAL SUBSTRATES, Donald R. Gilbert, Melanie Carasso, Paul Demkowicz, Rajiv K. Singh, James H. Adair, Univ of Florida, Dept of MS&E, Gainesville, FL.

While the single-crystal bulk material properties of diamond represent an ideal for many applications (optical, thermal and electronic), difficulties in achieving large scale heteroepitaxial growth have forced researchers to work with polycrystalline films. Nucleation is a critical step in the formation of these coatings that affects the overall characteristics of the resultant thin film. We have used a novel seeding process to control the nucleation of diamond films deposited in an electron cyclotron resonance plasma system. A thin polymer film was used to impart a surface charge to the substrate which provided a motive force for sub-micron sized diamond particles to adhere to the surface. Seeding coverage was manipulated by varying the concentration of particles in the source colloid. Using this controlled nucleation process in combination with low temperature (500 - 600 C) deposition, we have formed continuous, adherent diamond films on hard-to-coat optical substrates, such as sapphire. The effects of nucleation density and seed-particle size on resultant film quality, intrinsic stress, adhesion and optical transmittance have been investigated.

I9.12 
HIGHLY CONDUCTIVE AND OPTICALLY TRANSPARENT POLYCRYSTALLINE IRIDIUM OXIDE THIN FILMS GROWN BY REACTIVE PULSED LASER DEPOSITION, M.A. El Khakani, M. Chaker, INRS, Dept of Energie et Materiaux, Varennes, CANADA.

Reactive pulsed laser deposition (PLD) has been used to grow IrO thin films on either silicon (100) or fused quartz substrates, by ablating a metal iridium target in oxygen atmosphere. At a KrF excimer laser intensity of about 1.7 x 10 W/cm, substrate deposition temperatures ranging from room-temperature to 550 °C were investigated under an optimum oxygen ambient pressure of 200 mTorr. The structure, morphology, electrical and optical properties of the deposited films were characterized, as a function of the substrate temperature, by means of X-ray diffraction (XRD), scanning electron microscopy, four-point probe method, Hall measurements, and optical transmission spectroscopy (over the 190-820 nm wavelength range), respectively. IrO films deposited at substrate temperatures in the 400-550 °C range are polycrystalline with a preponderant (101) XRD reflection and show a densely packed granular morphology. These IrO films are highly conductive, their room-temperature resistivities being in the (42± 6) µ‡ cm range, values which compare well with those of oriented bulk single-crystal IrO. PLD IrO films also exhibit a good optical transmission over all the visible domain. The observed variations in the electrical and optical properties of IrO films, as the substrate temperature is raised from 25 to 550 °C, are correlated to the changes in the film microstructure.

I9.13 
EFFECTS OF CdS SUBSTRATE PREPARATION ON CdTe/CdS THIN-FILM PROPERTIES, Geun-Young Yeom, Sung Kyun Kwan Univ, Dept of Materials Engr, Suwon, SOUTH KOREA; Yong-Hyuk Lee, Yong-Sung Kwon, Sung Kyun Kwan Univ, Dept of Physics, Suwon, SOUTH KOREA; Kwang-Ja Park, National Industrial Technology Inst, Dept of Inorganic Chemistry, Kwachon, SOUTH KOREA; Young-A Cho, Sung Kyun Kwan Univ, Dept of Materials Engr, Suwon, SOUTH KOREA; Sung-Ho Shin, National Industrial Technology Inst, Dept of Inorganic Chemistry, Kwachon, SOUTH KOREA; Jong-Ku Yoon, National Industrial Technology Inst, Kwachon, SOUTH KOREA.

Cadmium sulfide is commonly used as the window material for thin film solar cells, and can be prepared by several techniques, such as sputtering, spray pyrolysis, close spaced sublimation (CSS), thermal evaporation, solution growth methods, etc. In this study, CDs films were deposited by thermal evaporation, close spaced sublimation, and solution growth methods, respectively, and the effects of the methods on physical properties of polycrystalline CDs deposited on ITO/glass were investigated. Also, the effects of variously prepared CDs thin films on the physical properties of CdTe deposited on the CDs were investigated. After the deposition, all the CDs samples were annealed at 400C or 500C in H atmosphere. To investigate physical properties of the deposited and annealed CDs thin films, UV-VIS spectro-photometry, x-ray diffractometry (XRD), Auger electron spectroscopy (AES), and cross sectional transmission electron microscopy (XTEM) were used to analyze grain size, crystal structure, preferred orientation, optical properties, etc. Physical properties of CdTe deposited on the CDs thin film, such as grain size at the junction and grain orientation, were affected by the physical properties of CDs thin films. Deposited and annealed CDs from the various deposition techniques showed the same hexagonal structure; however, their preferred orientations were different. TEM micrographs showed that CSS grown or thermally evaporated CDs has a columnar grain structure but solution grown CDs has a random equixed grain structure.

I9.14 
THE GROWTH CHARACTERISTICS OF DIAMOND FILM SYNTHESIZED BY MWPCVD METHOD USING GASEOUS MIXTURES OF METHANE, CARBON MONOXIDE AND HYDROGEN, Changzhi Gu, Zengsun Jin, Qiang Ment, Guangtian Zou, Jilin Univ, State Key Lab of Superhard Matls, Changchun, CHINA; Yukihilo Sakamoto, Matsufumi Takaya, Chiba Inst of Technology, Chiba, JAPAN.

Polycrystalline diamond films have been synthesized on silicon substrate using the mixture of methane, carbon monoxide and hydrogen with the method of microwave plasma chemical vapor deposition (MWPCVD). The growth characteristics of diamond film were studied by SEM technology. The experiment results show that gaseous mixtures of methane, carbon monoxide and hydrogen at appropriate proportion are advantageous to the deposition of diamond film with (100) grain orientation, and MWPCVD method is beneficial to obtain diamond films with the structure of needles. We also study the effect of spinning substrate in even growth. At last, high-quality diamond films with 2-inch areas, even growth, (100) orientation and needle structure were synthesized under suitable technique condition.

I9.15 
HETEROEPITAXIAL GROWTH OF CUBIC BORON NITRIDE SINGLE CRYSTAL ON DIAMOND SEED UNDER HIGH PRESSURE, Takashi Taniguchi, Shinobu Yamaoka, Natl Inst for Research in Inorganic Matls, High Pressure Station, Ibaraki, JAPAN.

Although cubic boron nitride(cBN) is the simplest III-V compound and is promissing materials for the electrical and optical application, development of the fine shape and well controled single crystal growth technique and basic underastanding of its growth system have still been subject of the study for many years. In this study, to underastand the growth mechanism of cBN single crystal under high pressure, single crystal of cBN was heteroepitaxialy grown on seed crystal of diamond under static high pressure and high temperature of 5.5GPa and 1500C, respectively, for 10-100 hours. The temperature gradient method with catalyst of lithium boron nitride was employed for the crystal growth. The surface morphology of cBN crystal grown on the (100) face of diamond seed crystal exhibited antiphase boundaries(APB) feature, while that on the (111) face of diamond seed crystal did not exhibited APB. These may be attributed to crystallographic polarity of cBN and that of (111)B surface was implied to be selectively deposited on diamond (111) surface for the initial growth stage. Considering the relationship between growth rate and growing time, rate-determining step in the growth was seemed to be controlled by the interface process on the seed surface but not by the diffusion process of the B-N elements in the reaction bath. The effect of impurity/dopant on the growth rate and surface morphology of grown crystal will also be discussed.

SESSION I10: POLYCRYSTALLINE Si AND SiGe FILMS - I 
Chairs: Ted Kamins and Krishna C. Saraswat 
Thursday Morning, April 3, 1997
Golden Gate B2

8:30 AM *I10.1 
SELECTIVE NUCLEATION/SOLID PHASE EPITAXY AS A LOW-TEMPERATURE ROUTE TO LARGE-GRAINED Si AND Ge FILMS ON GLASS, Harry A. Atwater, Claudine M. Chen, Jimmy C.M. Yang, California Inst of Technology, Dept of Applied Physics, Pasadena, CA.

Selective nucleation/solid phase epitaxy is an approach for fabrication of thin polycrystalline Ge and Si films on low cost amorphous substrates with potentially very large grain sizes and controlled grain boundary locations for photovoltaic and thin film electronic applications. Selective nucleation is based on a thin film reaction between a patterned array of deposited metal (typically In) nucleation sites and the amorphous semiconductor film. Overall film crystallization then proceeds by lateral solid phase epitaxy during the incubation time for nucleation of random oriented crystals. Thus the achievable grain size is essentially the product of the incubation time and the lateral solid phase epitaxy rate. Electronic dopants are found to enhance the rate of lateral solid phase epitaxy for both Si and Ge-without changing the activation energy for growth-by a factor of 5 for Ge doped with 0.6 P and by a factor of 30 for Si doped with 0.06 P, relative to undoped Ge and Si films, respectively. We have demonstrated grain sizes up to 30 m in 50 nm thick Ge/SiO and 10 m in 100 nm thick Si/SiO via this approach at temperatures which are compatible with the use of glass as a low cost substrate. Current research, aimed at (I) controlling the number of crystal grains per nucleation site, (ii) understanding the mechanisms for and ultimate limits to dopant enhanced lateral solid phase epitaxy and (iii) approaches to incorporation of these films into novel thin film solar cell structures will be discussed.

9:00 AM I10.2 
MICROSTRUCTURE EVOLUTION OF AMORPHOUS SiGe THIN FILMS, Huayu Tong, Univ of California-Irvine, School of Engr, Irvine, CA; Frank G. Shi, Univ of California-Irvine, Dept of Chem Engr & Matls Science, Irvine, CA.

The crystallization behavior and microstructure evolution of thin amorphous SiGe films has been investigated using transmission electron microscopy (TEM) and x-ray diffraction (XRD). The theoretical relationship between the nucleation free energy barrier and the average grain size in the fully crystallized SiGe thin films is examined in the light of the present data. Our results reveal the possibility for microstructure design, i.e., the desirable scale of microstructure of fully crystallized thin film materials can be achieved under the optimally selected thermal treatment conditions.

9:15 AM I10.3 
FREE-ENERGY BARRIER TO CRYSTALLITE NUCLEATION IN SOLID-PHASE CRYSTALLIZE POLY-SILICON THIN FILMS, Hideya Kumomi, Canon Inc, R&D Headquarters, Tokyo, JAPAN; Frank G. Shi, Univ of California-Irvine, Dept of Chem Engr & Matls Science, Irvine, CA.

The formation of polycrystalline films is generally initiated by the spontaneous nucleation of the crystallites. Understanding the nucleation through its free-energy barrier, W, is an important issue of the material science and also a key to control the polycrystalline structure. For example, without coalescence, W/kT could essentially control the average grain size of the final films. We have introduced a non-Arrhenius method to determine W independent of any model for W, and independent of the energy barrier to growth, directly from the size distribution of the crystallites or from the ratio of the growth rate to the nucleation rate. This paper presents the extended method and its application to the solid-phase crystallization of 100 nm-thick a-Si films into which Si ions are implanted prior to the isothermal annealing. The ion implantation suppresses the solid-phase nucleation of the crystallites in the a-Si thin films that are formed over SiO by low-pressure chemical vapor deposition using silane gas. The various explanations have been proposed for the mechanisms of this phenomenon. The amorphization of the crystallites preexisting in the as-deposited a-Si films has been regarded as one of the promising candidates, in which theoretically W does not change with the ion implantation. It is found in the present study, however, that the directly measured W increases beyond 2 eV as the accelerating energy or the dose of the Si ions increases. This fact indicates that the amorphization of the preexisting crystallites could not always account for the observed suppression of the nucleation. The other possibilities will be discussed in the paper.

9:30 AM I10.4 
THE RECRYSTALLIZATION PROPERTIES OF POLY-GeSi on SiO/Si, Ning Jiang, M. Wu, X. D. Huang, S. L. Gu, S. D. Yu, L. Q. Hu, Youdou Zheng, Nanjing Univ, Dept of Physics, Nanjing, CHINA.

Poly-GeSi alloy materials are attractive for thin film transistor (TFT) applications such as switching elements and integrated driver circuit for active-matrix liquid crystal displays (AMLCDs). Due to its higher mobilities and comparability with existing Si processing and integrated circuit technologies, poly-GeSi is a better choice in the device manufacture. In this paper, we have developed a new way to recrystallize the poly-GeSi on SiO. 300 nm poly-GeSi was grown by RTP/VLP-CVD on SiO/Si, and then amorphized by 180 keV Si ion implantation with dose 2 x 10 cm. After subsequent annealing process, the comparison between the XRD scans and Raman spectra of poly-GeSi and poly-Si indicated that the annealed poly-GeSi was more recrystallized than the poly-Si in the same conditions; this is the inducement of Ge in the annealing process. The segregation of Ge atoms in the heavy-damaged region would enhance this inducement. The cross-sectional transmission electron micrograph (XTEM) showed the longitudinal recrystallization pattern of GeSi grains which meant the longitudinal sizes were much greater than the horizontal sizes. After annealing process, the final GeSi grain sizes were greater than the poly-Si grain sizes in the same conditions.

10:15 AM I10.5 
CRYSTALLIZATION OF THIN AMORPHOUS Si FILMS ON GLASS BY PULSED LASER BEAM IRRADIATION, Silke Christiansen, Univ Erlangen-Nurnberg, Inst of Werkstoffwissenschaften, Erlangen, GERMANY; Martin Albrecht, Univ Erlangen-Nurnberg, Dept of Mikrocharakterisierung, Erlangen, GERMANY; Horst P. Strunk, Univ Erlangen-Nurnberg, Inst Materials Science, Erlangen, GERMANY; J. Falk, Inst fur Physikalische Hochtechnologie, Jena, GERMANY; G. Hintz, J. Christiansen, Univ Erlangen-Nurnberg, Erlangen, GERMANY.

For polycrystalline thin film silicon solar cells, the Si layer needs to consist of grains at least a few 10 m in diameter. We report on a laser crystallization method that yields such m grains by crystallization of an a-Si:H (amorphous Si) layer (about 100 nm - 200 nm thick), deposited by a plasma CVD (chemical vapor deposition) process from SiH precursors on borosilicate glass at temperatures of about 700 K. The amorphous films are irradiated by laser pulses, formed by a 3-step MOPA (Master Oscillator Pulse Amplifier) chain, of 308 nm wavelength with a power in the pulse maximum of 80 MWatt (equal to 2.4 J/30 ns). The spot is focused to an area of 4 cm2. The pulse has a rise time of 3 nsec. The process of crystallization essentially depends on the steepness of the energy increase within a certain short time interval Dt. Here, the applied laser process deviates from conventional laser treatments due to the short rise time of the pulse Thus, conditions are provided that lead to a high pressure (about 210 Pa) of the ablated plasma on the substrate surface, which initiates crystallization by solid state compression in a shock wave penetration zone. This pressure can be further enhanced by a factor of about 5 by applying a thin water film to the substrate surface prior to laser irradiation. By this way, grains form that are larger by a factor of 1000 in volume compared to the 'water free' irradiation procedure [1]. Scanning the laser or/and the amorphous Si layer, a continuous polycrystalline Si layer results. The crystalline structure, i.e., the size, distribution, and texture is investigated by means of transmission electron microscopy and electron diffraction.

10:30 AM I10.6 
MICROSTRUCTURAL CONTROL OF AMORPHOUS SILICON FILMS CRYSTALLIZED USING AN EXCIMER LASER, Rajiv K. Singh, John W. Viatella, Univ of Florida, Dept of MS&E, Gainesville, FL.

Thin film transistors fabricated from polycrystalline silicon crystallized from an amorphous state are an important part of many large area electronics-on-glass applications. Laser annealing of amorphous silicon thin films has been actively investigated because the process results in high carrier-mobility values. In this work, a novel technique for microstructural control of laser- annealed silicon thin films on SiO2 substrates has been investigated. By using photolithographically-etched seed wafers in intimate contact with the silicon films, we have shown that it is possible to control nucleation location in the films during laser annealing. The seed wafers had pyramid-shaped surface structures with 1 um sized contact areas. Laser energy density was varied from 250-450 mJ/cm. The resultant microstructure was characterized using transmission electron microscopy and was found to consist of four distinct regions. Areas adjacent to the seed consisted of grains with dimensions 0.5 um. The surrounding region consisted of larger (1 um) rectangular grains with the long axis perpendicular to the contact area. A third distinct region was observed sporadically and consisted of large (1.5 um) rectangular-shaped grains adjacent to the latter region. The fourth region occurred several microns away from the contact and consisted of the normally-observed fine-grained microstructure. A theoretical discussion is presented to explain the observed phenomena.

10:45 AM I10.7 
MICROSTRUCTURAL CHARACTERIZATION OF SINGLE-CRYSTAL SILICON FILMS OBTAINED VIA SEQUENTIAL LATERAL SOLIDIFICATION, Robert S. Sposili, Mark A. Crowder, Columbia Univ, Dept of Chem Engr, Matls Sci & Mining Engr, New York, NY; James S. Im, Columbia Univ, Dept of CE&MS, New York, NY.

The sequential lateral solidification (SLS) process is a new low temperature excimer-laser crystallization process that can create large, location-controlled single-crystal regions in as-deposited amorphous or polycrystalline silicon films on SiO [Mater. Res. Bull. 21 (3) 39 (1996); Appl. Phys. Lett. 69, 2864 (1996)]. The process is well-suited for large-scale implementation in applications that can benefit from single crystal Si films on low-temperature glass substrates, such as integrated AMLCDs. In this paper, we report on the microstructural and morphological details of the resulting single-crystal material, with particular emphasis on characterizing the texture and surface smoothness of the crystallized areas. Electron diffraction studies using TEM show that a plurality of the single-crystal regions have a texture close to (111). Other near-low-index orientations are also observed. Such development of the texture presumably can be attributed to the details of the melting dynamics in the near-complete-melting transition region, located at the periphery of the irradiated zone. The surface of the crystallized areas, exacted using profilometry, is found to be smooth on an atomic scale, with gentle undulations (e.g., approximately 50 amplitude, spatial period of several microns, for 2,000--thick films). This observation indicates that the sharp protrusion that forms at the middle of the completely molten region undergoes remelting and flattening as the process proceeds. 
We will discuss the implications of the above findings on TFT device performance, and elaborate on how the in-plane crystal orientation and surface morphology of the films can be further manipulated.

11:00 AM I10.8 
IMPROVEMENT OF SURFACE ROUGHNESS IN LASER-CRYSTALLIZED POLYCRYSTALLINE SILICON FILMS, Hong-Seok Choi, Jae-Hong Jeon, Cheol-Min Park, Min-Koo Han, Seoul National Univ, Dept of Electrical Engr, Seoul, SOUTH KOREA.

Laser-crystallized polycrystalline silicon (poly-Si) films have been successfully applied to the fabrication of high-performance thin film transistors (TFTs) on the glass substrate. However, the surface roughness of laser-crystallized poly-Si films is rather large due to the explosive growth of grain boundaries which deteriorates the morphology of poly Si/insulator interface in TFTs. In this paper, we have investigated the laser-induced crystallization of nitrogen-radicals-treated amorphous silicon (a-Si) films and improved the surface roughness of poly-Si films by the selective etching of grain boundaries. Initially introduced nitrogen radical into the a-Si films may produce the SiN bonding preferentially at the grain boundaries after laser annealing due to the low solubility in crystal silicon network. Therefore, the rough morphology of grain boundaries can be improved by the selective etching of an insulator etchant. SEM images showed that the grain boundaries after BOE etching were effectively etched and the surface morphologies remarkably smoothened. It suggests that the SiN is well segregated into grain boundaries in a short time of laser-crystallization. The evolution of SiN may deteriorate the electrical conductivity due to the its highly resistive property and nitrogen induced defects. However, the electrical conductivity show 3x10 (-cm) which is rather larger than 1x10 (-cm) of poly-Si films without nitrogen radical treatments. The activation energies with and without nitrogen treatment show the identical value of 0.52 eV which explains that the nitrogen induced defects are not critical to modify the Fermi level. It is considered that the concentration of SiN is not high enough to affect the overall conduction mechanism of poly-Si films while the etching effect of grain boundaries is outstanding. We have successfully improved the surface roughness of poly-Si films, and this method may be promising for the enhancement of TFTs characteristics with improved morphology of poly-Si/insulator interface.

11:15 AM I10.9 
TRANSITION FROM AMORPHOUS TO MICROCRYSTALLINE GROWTH IN THE SOLIDIFICATION OF PULSED LASER MELTED SILCON ON SiO, John P. Leonard, Columbia Univ, Dept of Chem Engr & Matls Sci, New York, NY; James S. Im, Columbia Univ, Dept of CE&MS, New York, NY.

Excimer laser irradiation of thin silicon films on SiO has been useful in studying the rapid solidification behavior of deeply supercooled liquid silicon Irradiation with an energy density sufficient to completely melt the silicon allows deep supercooling of the liquid by eliminating all crystal and amorphous silicon interfaces from which solidification could initiate. Resultant microstructures can include polycrystalline silicon at relatively low quench rates to microcrystalline or amorphous films at high ( 10 K/sec) quench rates. Solidification in these systems can follow transformation paths different from those observed in pulsed laser melting of silicon surfaces because of the deep supercooling present. 
Using transient reflectivity, TEM analysis, and 2-dimensional numerical heat-flow simulations, we explore the details of the transformation mechanisms which result in a mixed phase material whereby both amorphous and microcrystalline microstructures are present. Existing as annular regions of amorphous material surrounded by microcrystalline silicon, the arrangement and relative fractions of the phases are found to depend most strongly on the thermal evolution during growth. 
We conclude that both microcrystalline and amorphous microstructures are the result of nucleation of solids in deeply supercooled liquid silicon and radial interfacial growth, following the same solidification path. This suggests that in addition to epitaxial crystalline growth and interfacial amorphization, there exists a third mode of interfacial growth that produces a highly defective microcrystalline structure.

11:30 AM I10.10 
THE ROLE OF STRESSES IN NUCLEATION AND TEXTURING OF POLYSILICON FILMS., Michael D. Efremov, V. A. Volodin, L. I. Fedina, A. A. Gutakovskij, Inst of Semiconductor Physics, Novosibirsk, RUSSIA; V. V. Bolotov, Inst of Sensor Microelectronics, Omsk, RUSSIA.

The strong (110) orientation of textured polysilicon films was observed with using of rapid thermal annealing (RTA) and excimer laser (ELA) treatment of amorphous silicon films on glass. TEM investigation demonstrated preference orientation of growing crystal blocks in amorphous silicon matrix. Correlated orientation of separate crystal blocks allowed to suppose that orientation originated from initial stages of nucleation. Excimer laser treatments were applied to introduce the crystal nuclei in amorphous matrix. HREM observation displayed the presence of homogeneously introduced nuclei-nanoclusters with dimension of 2-5nm inside the amorphous film. Varying the regimes of ELA treatment allowed to vary the size and concentration of the nuclei independently. Orientation of the nuclei were strongly correlated and equal to (110). The texturing of the films created on non-oriented substrates demands explanations, which were proposed on the basis of a deformation mechanism of orientation of the nuclei in the axial field of stresses. The kinetic of nucleation was considered in the scope of classical nucleation theory taking into account the deformation contribution. It was theoretically predicted, that appearance of stresses around nuclei retard the nucleation. The influence of Ge contents was detected to strongly retard the nucleation in amorphous silicon, what was interpreted in the scope of deformation mechanism proposed.

SESSION I11: POLYCRYSTALLINE Si AND SiGE FILMS - II 
Chairs: Harry A. Atwater and James S. Im 
Thursday Afternoon, April 3, 1997
Golden Gate B2

2:00 PM *I11.1 
DEPOSITION AND PROPERTIES OF POLYCRYSTALLINE SILICON: INTERRELATION AND EVOLUTION, T. I. Kamins, Hewlett Packard Co, Quantum Structures Research Initiative, Palo Alto, CA.

Polycrystalline silicon (polysilicon) is a key component of integrated-circuit technology, microelectromechanical systems and displays. It enables high-performance, high-density, self-aligned CMOS ICs, key to the evolution of microprocessors and computers. Its compatibility with high-temperature processing allows efficient integration into advanced IC processes and devices, including static and dynamic random-access memories (SRAMs and DRAMs), and modern bipolar ICs. Polysilicon is also vital to the emerging field of microsensors and microactuators. For this application, stress and other mechanical properties are critical to successful device fabrication. 
Polysilicon thin-film transistors are now replacing amorphous-silicon thin-film transistors (TFTs) in advanced active-matrix displays. The higher mobility of polycrystalline TFTs allows integration of the peripheral circuitry onto the same substrate as the pixel transistor matrix, greatly reducing the number of interconnections. Although the final form of the silicon must be polycrystalline to obtain a high mobility, the largest grains and, consequently, the highest mobility can be achieved by depositing in an amorphous form and subsequently crystallizing the silicon. 
This talk will focus on the relation between the properties of polycrystalline silicon and its deposition conditions to aid design of the deposition process for optimum device performance. It will illustrate how the deposition technology evolved so that technical and historical aspects of present technology can be separated. Unresolved issues in our understanding of polysilicon will be briefly addressed to stimulate further discussion.

2:30 PM I11.2 
LOW-TEMPERATURE (450degC) POLY-Si THIN FILM DEPOSITION ON SiO AND GLASS USING A MICROCYSTALLINE Si SEED LAYER, David M. Wolfe, Fuchao Wang, Gerald Lucovsky, North Carolina State Univ, Dept of Physics, Raleigh, NC.

A low temperature (450degC) remote plasma-assisted CVD process for deposition of poly-Si thin films on SiO and Corning 7059 glass in which interface formation is separated from bulk film growth has been developed. In general as the deposition temperature is lowered, crystalline nucleation on these oxide surfaces becomes increasingly difficult due to poor surface mobility of ad-atoms and the lack of nucleation sites on the starting oxide substrates. To overcome this an interface engineering approach has been developed. This approach is based on first depositing an ultra-thin (<10 nm) microcrystalline-Si seed layer onto the oxide. This film then provides nucleation sites at which poly-Si film growth can be initiated. Conditions for poly-Si film deposition were optimized by using a low-temperature remote plasma process that had previously yielded epitaxial growth of Si thin films on crystalline Si substrates. It was anticipated that this process would promote a transition to large grain growth poly-Si using a microcrystalline-Si seed layer. Microstructural characterization was performed on poly-Si films grown with different seed layer thicknesses, and additionally with different exposure times of this seed layer to a pre-deposition hydrogen plasma treatment. Poly-Si characterizations including RHEED, XRD, Raman Spectroscopy and TEM, demonstrated that the seed layer thickness and surface morphology played a significant role in promoting crystallinity in the poly-Si overlayer. For example using deposition conditions that yielded epitaxial film growth on Si substrates, films deposited on unseeded substrates were amorphous, whereas those deposited using the seed layer were polycrystalline. This indicated that interfacial nucleation was the rate limiting step in promoting the low-temperature deposition of poly-Si films. Supported by ONR, NSF and SRC

2:45 PM I11.3 
EVOLUTION OF TEXTURE AND MICROSTRUCTURE IN ROUGH POLYCRYSTALLINE SILICON FOR ADVANCED DRAM APPLICATIONS, Aditi Banerjee, Rick L. Wise, Rajesh B. Khamankar, D. L. Crenshaw, Malcolm J. Bevan, Clark D. Johnston, Michael F. Pas, Paul A. Tiner, Katherine E. Violette, Texas Instruments Inc, Semiconductor Process & Device Center, Dallas, TX.

Rough polycrystalline silicon films have been demonstrated to increase the storage electrode surface area in DRAM cells. This study reports the nucleation and growth of rough polysilicon films by low pressure chemical vapor deposition (LPCVD) process. The films were deposited from silane source gas in a vertical furnace reactor in the temperature range of 555 580C. The deposition time was varied from 30 seconds to 35 minutes. The evolution of microstructural texture in terms of nuclei formation, growth, and grain coalescence were investigated using high resolution transmission electron microscopy (TEM) and scanning electron microscopy (SEM). Additionally, the roughness of the films were measured using an optical reflectance technique and atomic force microscopy (AFM). The early states of rough polysilicon nucleation-controlled growth is very rapid. TEM and AFM studies of films deposited under a representative set of process conditions shows small three-dimensional hemispherical nuclei forming with an incubation period of less than 1 minute. Planar view TEM micrographs show that these clusters are observed to grow independently until 2 minutes of deposition time, with an estimated average diameter of 250 A. Grain coalescence is observed for all deposition times greater than 3 minutes under these process conditions. With an increase in the deposition duration, the reflectance goes through a minimum corresponding to maximum surface coverage with textured morphology. SEM and TEM micrographs show that for deposition times longer than 20 minutes, extensive grain coalescence occurs, resulting in a predominantly smooth polysilicon film with diminishing number of surviving hemispherical-like rough polysilicon grains. Reflectance reaches close to 100 for the film deposited for 35 minutes, indicating near compete smoothening of the rough polysilicon texture. Growth mechanisms will be discussed with emphasis on controlling roughness properties of the textured film. Preliminary electrical performance of rough polysilicon films evaluated using MOS capacitor structures showed an area enhancement factor of 2.2 as compared to conventional polysilicon. The rough polysilicon microstructure and morphology for various thicknesses processed through variation in deposition time will be correlated to the electrical performance of the film. Furthermore, effect of silane flow rate in controlling nucleation density and its effect on the electrical performance of rough polysilicon film will be discussed.

3:30 PM *I11.4 
A LOW-TEMPERATURE POLYCRYSTALLINE Si AND SiGe TFT TECHNOLOGY FOR LARGE-AREA AMLCD DRIVERS, Krishna C. Saraswat, S. Jurichich, V. Subramanian, A. Wang, Stanford Univ, Dept of Electrical Engr, Stanford, CA.

In this paper we describe a low thermal budget technology to fabricate high performance CMOS thin-film transistors (TFTs) in polycrystalline silicon and silicon/germanium on low cost glass substrates, for active matrix liquid crystal display (AMLCD) applications. Based on modeling of delay times or the scan and data lines driven by n-channel TFTs, we show that for AMLCDs with integrated drive circuits, mobility in excess of 40 cm/V.sec will be required. Through proper optimization of amorphous film deposition, crystallization (nucleation and grain growth), fabrication process parameters and device structure we have obtained mobility in excess of 50 cm/V.sec in n-channel Si TFTs and p-channel SiGe TFTs, using conventional manufacturing technology compatible with glass substrates. Economic modeling suggests that low-temperature poly-TFT LCDs with integrated drivers will have a competitive manufacturing cost to LCDs of an equivalent size and resolution with -Si pixel TFTs and single crystal drivers.

4:00 PM I11.5 
THE ELECTRICAL PROPERTIES OF IN-SITU DOPED POLYCRYSTALLINE SILICON THIN FILMS GROWN BY ELECTRON CYCLOTRON RESONANCE CHEMICAL VAPOR DEPOSITION AT 250C, Ruo-Yu Wang, National Tsing Hua Univ, Ctr of Material Research, Hsinchu, TAIWAN; Yeu-Long Jiang, National Chung Hsing Univ, Dept of Elect Engr, Taichung, TAIWAN; Huey-Liang Hwang, National Tsing Hua Univ, Dept of Electrical Engr, Hsinchu, TAIWAN; Tri-Rung Yew, National Tsing Hua Univ, Ctr of Matls Research, Hsinchu, TAIWAN.

This paper presents the electrical properties of in-situ doped polycrystalline silicon thin films grown by Electron Cyclotron Resonance Chemical Vapor Deposition (ECR-CVD) at 250C. The hydrogen dilution method was applied and the different dopant levels were incorporated by varying the PH/SiH flow ratios. All the deposition experiments were done at the 90 hydrogen dilution ratios. The basic electrical properties were characterized by resistivity, SIMS and Hall measurements. The resistivity of the poly silicon is 0.3-cm and the corresponding dopant concentration is above 10 cm. The grain boundary trap density predicted by the trapping model is about 4 x 10cm, which is one order larger than that obtained in poly silicon grown by LPCVD at high temperature. The Hall mobility of the poly silicon is 24 cm sec and the carrier concentration is only 0.51 of the dopant concentration. These results reveal that there are many traps or the inactive dopants existing in the n-type poly silicon films grown at low temperature. In addition, the grain shape and surface morphology can affect the carrier transport in the grain and the grain boundary.

4:15 PM I11.6 
IMPROVEMENT OF THE LONG-TERM STABILITY OF POLYSILICON IC-RESISTORS BY FLUORINE DOPING, Matts Rydberg, Uppsala Univ, Electronics Div, Uppsala, SWEDEN; Ulf Smith, Ericsson Components AB, Dept of MERC, Kista-Stockholm, SWEDEN.

In addition to exacting requirements regarding uniformity, precision and matching, polysilicon resistors for analog telecommunication circuits must be extremely stable. A new method for improving the stability of polysilicon resistors is presented. It utilizes the strength of the silicon-fluorine bond to block the access of hydrogen and other impurity atoms to the grain boundaries and is based on individual control of implantation dose and annealing conditions for dopant and fluorine, respectively. Polysilicon films, 500 nm thick, were implanted with 11B at a dose of 5E14 cm and annealed at 1000 C. This was followed by an implantation of fluorine and another anneal. The fluorine dose ranged up to 2E16 cm and the annealing temperature was varied between 600C and 1000C. Resistivity measurements showed that the fluorine annealing temperature has to be higher than 700C to reduce implantation damage. The sensitivity of the films to hydrogen, an important indication of their electrical stability, was investigated by annealing in a hydrogen plasma. For low hydrogen sensitivity, the fluorine annealing temperature has to be less than 750C. The temperature was therefore set to 700C so as to strike a balance between a low hydrogen sensitivity and the need for a reduced implantation damage. SIMS measurements showed the amount of fluorine in the film to decrease by two orders of magnitude if the fluorine annealing temperature was 1000C, and to remain essentially constant if the temperature was 700C. Accelerated stress tests were made in order to see the effect of fluorine on the resistivity during actual operation conditions. The stability of the resistors during the accelerated stress tests was found to improve by at least a factor of two when fluorine doping was used. The improvement seems to saturate above a certain fluorine concentration in the film.

4:30 PM I11.7 
LOW-TEMPERATURE DEPOSITED HIGHLY-CONDUCTIVE N-TYPE POLYCRYSATLLINE SiC THIN FILMS, Kuan-Lun Cheng, National Chiao Tung Univ, Inst of Electronics Engr, Hsinchu, TAIWAN; Huang-Chung Cheng, National Chiao Tung Univ, Dept of Electrical Engr, Hsinchu, TAIWAN; Tri-Rung Yew, United Microelectronics Co, ATD-3, Hsinchu, TAIWAN.

As-deposited P-doped polycrystalline SiC with Hall mobility up to 150 cm/Vs was grown using Electron Cyclotron Resonance plasma Chemical Vapor Deposition (ECR-CVD) at 200C. The SiC films showed columnar structure with average grain size of 150 nm under cross-sectional transmission electron microscopy (XTEM) inspection. Residual gas analyser (RGA) determined m/e=32 (refer to PH) to be the most specie in the PH3 dissociation group, so PH is believed to be the main specie reacting with Si- and C-based species to form P-doped SiC. Various anneal techniques were applied to study the P-doped SiC by Hall effect measurement. This low-temperature deposited highly-condutive SiC films have potential applications in heterojunction bipolar transistors (HBTs), solar cells, or even thin-film transistors (TFTs).