Symposium Organizers
Francesco Bonaccorso, Istituto Italiano di Tecnologia
Xinliang Feng, TU Dresden
Andrea Ferrari, University of Cambridge
Ado Jorio, Univ Federal do Parana Brazil
Maurizio Prato, Univ di Trieste
Symposium Support
AIXTRON
Aldrich Materials Science
T2: Optical Properties and Spectroscopic Characterization
Session Chairs
Elefterios Lidorikis
Tobias Hertel
Monday PM, April 06, 2015
Moscone West, Level 2, Room 2010/2012
2:30 AM - *T2.01
Optical Spectroscopy of Individual Carbon Nanotubes
Feng Wang 1
1University of California, Berkeley Berkeley United States
Show AbstractElectronic and optical properties of single-walled carbon nanotubes depend sensitively on the nanotube chirality. Single tube spectroscopy provides a powerful too to probe the chirality-dependent physics in nanotubes. In the talk, I will discuss our recent progress on optical spectroscopy of individual carbon nanotubes. I will describe a high-throughput optical imaging and spectroscopy technique that enables in-situ characterization of single tubes on substrate and in functional devices. I will also discuss the strong electronic coupling between the inner- and outer-wall tubes in double-walled carbon nanotubes and near-field optical studies of individual carbon nanotubes.
3:00 AM - T2.02
Influence of Exciton Dimensionality on Spectral Diffusion of Single-Walled Carbon Nanotubes
Xuedan Ma 1 Oleksiy Roslyak 1 Feng Wang 1 Juan Duque 1 Andrei Piryatinski 1 Stephen K. Doorn 1 Han Htoon 1
1Los Alamos National Laboratory Los Alamos United States
Show AbstractSpectral diffusion, which is often observed in photoluminescence (PL) spectra of single-walled carbon nanotubes (SWCNTs), has been the major issue hampering the utility of SWCNTs as single photon sources in quantum information processing, since these techniques require single photons with ideal spectral purity. While spectral diffusion has been commonly attributed to strong environmental interactions derived from the single layer structure of SWCNTs, a detailed understanding of the responsible physical mechanism is still lacking.
To gain such understandings, we perform PL studies on individual SWCNTs both at cryogenic and room temperatures.1 By correlating PL spectral position and linewidth, we find that for SWCNTs at cryogenic temperatures, a sub-linear correlation between the two can be observed, which is typical for 0D QDs and attributed to quantum-confined Stark effect (QCSE) caused by fluctuating local electric field.2,3 In contrast, for SWCNTs at room temperature we observe a super-linear correlation between PL spectral position and linewidth. We develop a simple point charge model in the frame work of QCSE and reveal that the difference observed for the cryogenic and room temperature QCSE in SWCNTs can be explained by the different dimensionalities of excitons at the two different temperatures, i.e. 0D and 1D at cryogenic and room temperatures, respectively. We further apply this method to SWCNTs coupled to gold dimer nanoantennas, and demonstrate that their room temperature PL spectral position and linewidth exhibit a sub-linear correlation, indicating that excitonic emission mainly originates from nanometer range regions and excitons appear to be localized to the gaps of the gold nanoantennas. Numerical simulations of the coupled system reveal that such surface plasmon induced exciton localization results from strong confinement of excitation and enhancement of decay rates in the gaps of the nanoantennas.
1. Ma et al., ACS Nano, DOI: 10.1021/nn504138m, ASAP
2. Empedocles et al., Science, 1997, 278, 2114
3. Mueller et al., Phys. Rev. Lett., 2004, 93, 167402
3:15 AM - T2.03
Luminescent Graphene Dots Promise Practical Applications
Zhenhui Kang 1 Flavia Tomarchio 2
1Soochow University Suzhou China2University of Cambridge Cambridge United Kingdom
Show AbstractGraphene nanodots (G-dots) are a new class of carbon nanomaterials with sizes below 10 nm. G-dots have gradually become a rising star in the nanocarbon family, due to their benign, abundant and inexpensive nature. The strong and tunable luminescence of G-dots, due primarily to the quantum size effect, is extremely interesting both fundamentally and technologically. Interestingly, the PL from G-dots can be quenched efficiently by either electron acceptor or electron donor molecules in solution, indicating that photoexcited G-dots are excellent electron donors and electron acceptors. The interesting photoinduced electron transfer properties of G-dots should offer exciting opportunities for light energy conversion, photovoltaic devices and related applications. In this talk, I will introduce some recent progress in our group about the series application of photoactived G-dots in environmental, energy and biological fields as follows:
G-dots/semiconductor composites have enhanced photocatalytic activities, can realize the usage of the full spectrum of sun light, and are stable in visible light. Examples include G-dots/TiO2, G-dots/Fe2O3, G-dots/Cu2O, and G-dots/Ag3PO4.
G-dots/NiFe layered double-hydroxide composite as a highly efficient electrocatalyst for water oxidation.
G-dots/Ag/Ag3PW12O40 nanocomposites can served as photocatalysts for overall water splitting in visible light (light absorption extend to 650 nm) without any electron acceptors or hole scavengers, and the estimated apparent quantum yield (AQY) was 4.9% at 480 nm.
The G-dots/metal (Au, Cu, Ag) composite shows unprecedentedly high photocatalytic activity for the selective oxidation of cyclohexane to cyclohexanone with conversion efficiency of 63.8% and selectivity of over 99.9% using H2O2 as oxidant without any solvents.
As light-driven acid-catalyst, we show G-dots can catalyze a series of organic reactions (Esterification, Beckmann rearrangement and Aldol condensation) achieving high conversion efficiency in water solution under visible light irradiation.
The N doped G-dots and S doped G-dots can be used as #57604;uorescent probes for the sensitive detection of Cu2+ and Hg2+, respectively.
Reference:
1. Li, H. T.; He, X. D.; Kang, Z. H.;* Huang, H.; Liu, Y.;* Liu, J. L.; Lian, S. Y.; Tsang, C. C. A.; Yang, X. B.; Lee, S. T.* Angew. Chem. Int. Ed. 2010, 49, 4430-4434.
2. Li, H. T.; Kang, Z. H.;* Liu, Y.; Lee, S. T. J. Mater. Chem.2012, 22, 24230-24253.
3. Tang, D.; Liu, J.; Wu, X. Y.; Liu, R. H.; Han, X.; Han, Y. Z.; Huang, H.; Liu, Y.;* Kang, Z. H.*, ACS Appl. Mater. Interface 2014, 6, 7918-7925.
4. Han, Y. Z.; Huang, H.; Zhang, H. C.; Liu, Y.;* Han, X.; Liu, R. H.; Li, H. T.; Kang, Z. H.* ACS Catalysis 2014, 4, 781-787.
5. Liu, R. H.; Huang, H.; Li, H. T.; Liu, Y.;* Zhong, J.; Li, Y. Y.; Zhang, S.; Kang, Z. H.* ACS Catalysis 2014, 4, 328-336.
6. Liu, J.; Zhang, H. C.; Tang, D.; Zhang, X.; Yan, L. K.; Han, Y. Z.; Huang, H.; Liu, Y.;* Kang, Z. H.* ChemCatChem 2014, 6, 2634-2641.
3:30 AM - *T2.04
Charge Self Localization in Single-Wall Carbon Nanotubes
Tobias Hertel 1
1Julius-Maximilians-University Wuerzburg Wuerzburg Germany
Show Abstract
Electronic correlation plays an important role for optical, physical and chemical properties of molecular systems. With the possible exception of one-dimensional nanowires nanocrystalline materials are considerably less susceptible to electronic correlation and a single particle picture is often invoked when discussing optical or electronic properties. The particular importance of correlation effects for optical and electronic properties of semiconducting single-wall carbon nanotubes (s-SWNTs) however is still the subject of current debate. Here we present a spectroelectrochemical evidence for strong correlation effects in reversibly doped p- and n-doped s-SWNTs. The results of UV-VIS-NIR absorption and PL experiments are consistent with self-localization of charges in s-SWNTs due to the influence of strong correlation in combination with the one-dimensional band-structure of s-SWNTs. A semi-empirical model of gate-induced doping of semiconductor wires indicates how optical studies of the first subband exciton transition can be used to measure the band-gap of undoped s-SWNTs.
4:30 AM - *T2.05
Spatial Coherence in near-Field Raman Scattering
Luiz Gustavo Cancado 1 Ado Jorio 1 Lukas Novotny 2
1Universidade Federal de Minas Gerais Belo Horizonte Brazil2ETH Zuuml;rich Zuuml;rich Switzerland
Show AbstractThe importance of spatial coherence in optics is well established, but so far it has
been neglected in Raman spectroscopy targeting vibrational modes. Raman scattering has been broadly treated in papers and classical textbooks as spatially incoherent, but we show that inelastic light scattering in the near-field regime is a partially coherent process that can be used to measure nanoscale correlation lengths in various material systems. We theoretically [1] and experimentally [2] investigate the Raman modes of pristine monolayer graphene to determine how the scattered signal depends on the distance between the sample and a laser-irradiated gold tip; the tip acts as a broadband optical antenna to transmit information from the near field to the far field. As the correlation length increases, we find increasingly different behaviors for the strengths of various bands present in the Raman spectrum of graphene. We note that the characteristic correlation lengths are nearly an order of magnitude smaller than optical wavelengths. As a result of coherence, we find that the Raman intensities on the nanoscale depend strongly on phonon symmetry and spatial confinement. Our work presents a breakthrough in our understanding of inelastic scattering and defines a new paradigm for studying correlation properties in emerging material systems.
References:
[1] Luiz Gustavo Canccedil;ado, Ryan Beams, Ado Jorio, and Lukas Novotny. Theory of spatial coherence in near-field Raman scattering, Physical Review X 4, 031054 (2014).
[2] Ryan Beams, Luiz Gustavo Canccedil;ado, Sang-Hyun Oh, Ado Jorio, and Lukas Novotny. Spatial coherence in near-field Raman scattering, Physical Review Latters 113, 186101 (2014).
5:00 AM - T2.06
Nanoscale Electronic Phenomena in Horizontally Aligned Single Walled Carbon Nanotubes Arrays Revealed by Scan Probe Microwave Reflectance
Eric Seabron 1 Scott MacLaren 1 Xu Xie 1 Slava V. Rotkin 2 John A. Rogers 3 William Wilson 1
1University of Illinois - Urbana Champaign Urbana United States2Lehigh Univ Bethlehem United States3University of Illinois Urbana United States
Show AbstractFor the last fifty years the engineering community have nearly perfected integrated circuit technology by shrinking CMOS transistors, lowering the cost and power requirements of the building blocks for all computation. As transistor technologies approach fundamental barriers, Single Walled Carbon Nanotubes (SWCNT) has emerged as one material option for producing the next generation high performance devices. Recently there have been many breakthroughs in the processing of SWCNTs arrays for electronic devices spanning alignment, densification, doping, and purification. However in order to advance processing research, a suite of better characterization tools must be developed that investigate nanotube electronic properties at the nanoscale before and after device processing. We show that Microwave Impedance Microscopy (MIM) has the capability of “non-destructively” mapping individual SWNT extrinsic electrical properties in aligned arrays with nanoscale resolution (<50nm). Moreover we show using a newly developed modulated version of MIM, Microwave Impedance Modulation Microscopy MIM2 , that the details of electronic structure such as the density of states, bandgap, etc, can be explored. We present a theoretical model of the nanoprobe - nanotube interaction which will allows us to qualitatively correlate tube electronic properties. We show MIM and MIM2 are scan probe techniques that enable insight into many poorly understood phenomena encountered during CNT device fabrication including: the effectiveness of purification techniques, growth dynamics, environmental doping, bundling, and metal contact interactions.
5:15 AM - T2.07
Spatial Coherence in near Field Raman Scattering
Ryan Beams 1 2 Luiz Gustavo Cancado 3 Sang-Hyun Oh 5 Ado Jorio 3 Lukas Novotny 4
1National Institute of Standards and Technology Gaithersburg United States2University of Rochester Rochester United States3Universidade Federal de Minas Gerais Belo Horizonte Brazil4ETH Zurich Zurich Switzerland5University of Minnesota Minneapolis United States
Show AbstractInelastic light scattering, broadly known as Raman scattering, is pervasively used to characterize material properties. Generally inelastic scattering is treated as a spatially incoherent process despite the significance of coherence phenomena in optics. This assumption is reasonable for macroscopic samples because spatial correlation length is typically more than an order of magnitude smaller than the wavelength of light. However, this assumption is expected to fail in the near-field regime [1].
We use near-field Raman scattering to study the spatial correlations (LC) of optical phonons in graphene. We find that the signal depends on the symmetry and dimensionality of the Raman mode. Raman scattering from graphene is characterized by three main features. The G and G#697; (also known as 2D) bands are both active everywhere on the flake, but the two bands exhibit different symmetries. The G band is a stretching mode, whereas the G#697; band is an in-plane breathing mode. The third feature is the defect-induced D band, which has the same symmetry as the G#697; band. However, unlike the G#697; band, it is highly localized at defect sites. In pristine graphene only the edges are D band active and behave like a one-dimensional (1-D) feature. The diversity in mode symmetries and dimensionalities makes graphene the ideal sample for understanding the impact of the phonon spatial correlations on the Raman scattering process.
The dependence of the Raman signal on the tip-sample separation dependence was measured for the Raman bands highlighted above. We find that LC asymp; 30nm. Furthermore, we observe interference effects at the tip, which leads to a reduced near-field signal for the G band compared to the G#697; band. These results establish that in the near-field regime Raman scattering is a partially coherent process. While these measurements focus on graphene, our technique is suitable to measure the spatial correlations in a wide variety of crystalline and polycrystalline samples [2,3].
[1] R. Carminati and J. J. Greffet, Phys. Rev. Lett. 82, 1660 (1999).
[2] L. G. Cancado, R. Beams, A. Jorio, L. Novotny, Phys. Rev. X 4, 031054 (2014).
[3] R. Beams, L. G. Cancado, S.-H. Oh, A. Jorio, and L. Novotny, Phys Rev. Lett. (accepted).
5:30 AM - T2.08
Making Graphene Visible in Transparent Dielectric Substrates: Brewster Angle Microscopy
Priscila Romagnoli 1 Daniel Lopez-Cortes 1 Henrique Guimaraes Rosa 1 Eunezio A. T. Souza 1 Jose C. Viana-Gomes 2 Walter Margulis 3 Christiano J. S. De Matos 1
1Mackenzie Presbyterian University Satilde;o Paulo Brazil2National University of Singapore Singapore Singapore3Acreo Swedish ICT AB Stockholm Sweden
Show AbstractTypically, flakes of exfoliated graphene are detected by the optical contrast obtained on Si substrates containing a submicron layer of SiO2 [1-3]. This layer generates interference that is responsible for increasing the contrast, with values up to 12~14% being reported [1-2]. The detection of graphene on transparent dielectric substrates, such as microscope slides, is a greater challenge since the contrast between the regions with and without graphene is significantly lower, on the order of 7% [4]. An interferometrically increased contrast can be obtained by depositing a layer of polymethylmethacrylate (PMMA) onto the substrate before the graphene exfoliation. However, this invariably changes the substrate properties, which affects the characteristics of the exfoliated sample.
This work aims at improving the optical contrast of exfoliated graphene on transparent substrates without changing the substrate or the sample physical/chemical properties. This is obtained through optical imaging at the substrate&’s Brewster angle. As the graphene changes the boundary conditions of the air-dielectric interface, cancellation of the p-polarized light ceases solely where graphene is present. This leads to an immense increase in contrast, since virtually no reflection is obtained where graphene is not present. For the experimental demonstration of the technique, broadband green light was collimated, linearly polarized and launched onto the sample at the substrate&’s Brewster angle (~570); its reflection was collected and imaged on a camera. It was possible to observe a nearly quadratic growth of reflectance with the number of graphene layers. Also, we measured the contrast of a monolayer CVD graphene at normal incidence (using a standard optical microscope) to be 8.4%, while our Brewster angle setup yielded a contrast as high as 2900%. The method was then applied to identify few-layer flakes of different two-dimensional materials and on dielectric substrates.
References
[1] BLAKE, P. et al. Making Graphene Visible. Appl. Phys.Lett. 91, 063124 (2007).
[2] SKULASON, H. S. et al. Optical Reflection and Transmission Properties of Exfoliated Graphite from a Graphene Monolayer to Several Hundred Graphene Layers. Nanotechnology 21, 295709 (2010).
[3] BRUNA, M.; BORINI, S. Optical Constants of Graphene Layers in the Visible Range. Appl. Phys.Lett. 94, 031901 (2009).
[4] GASKELL, P. E. et al. Counting Graphene Layers on Glass via Optical Reflection Microscopy. Appl. Phys.Lett. 94, 143101 (2009).
5:45 AM - T2.09
Raman Investigation of Twisted-Bilayer Graphene
Eunhye Koo 1 Sang-Yong Ju 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractSingle crystallinity of hexagonal graphene grain (HGG) is appropriate to understand the optical and electronic properties of polycrystalline graphene grown by chemical vapor deposition (CVD) process. HGGs obtained by CVD process exhibit various shapes and stacking order with spatial distribution. Here, we focus on optical and Raman properties of twisted-bilayer graphene (tBLG) which is controlled by relative rotation angle theta;R, utilizing two dimensional widefield Raman spectroscopy. Optical edges of tBLG were used to determine its relative rotation angle and the results are approximately matched with the angle calculated from the rotational Raman bands, RTO and RLO. The widths of G and 2D bands decrease according to increasing theta;R and display significant broadening near van Hove singularity. The position of vHs is proportional to excitation energy and is offset by phonon energy differences in the G and 2D bands. The resulting positions and intensities of the G and 2D bands facilitate to discriminate large area of CVD graphene according to number of layer and rotation angle.
T1: Electron and Spin Transport Properties
Session Chairs
Francesco Bonaccorso
Philip Kim
Monday AM, April 06, 2015
Moscone West, Level 2, Room 2010/2012
9:30 AM - *T1.01
Ultimate Limit of Resistivity in Graphene and Carbon Nanotubes
Philip Kim 1
1Harvard University Cambridge United States
Show AbstractConnected by conducting chemical bonding, low dimensional graphitic carbon nanomaterails such as graphene and nanotubes has been considered for many electronic applications. The unique electronic band structure of graphene lattice yields a linear energy dispersion relation where the Fermi velocity replaces the role of the speed of light, providing extremely fast charge carriers. Owing to this unusual electronic property, the resistivity of these graphitic nano-systems is extremely low. In this presentation, we will discuss the ultimate limit of resistivity of graphitic systems, both at low temperature and high temperature limits. In particular, we will discuss the phonon-limited intrinsic resistivity of graphene and nanotubes, which set the technologically relevant lower bound for many applications utilizing these materials for transparent and flexible electrodes applications and low dissipative interconnections.
10:00 AM - T1.02
Contacting Carbon Nanotubes and Graphene by Direct-Write Atomic Layer Deposition
Nick Thissen 2 Adrie Mackus 2 Rene Vervuurt 2 Jan-Willem Weber 2 Hans Mulders 3 Erwin Kessels 2 Ageeth A. Bol 1
1Eindhoven Univ of Technology Eindhoven Netherlands2Eindhoven University of Technology Eindhoven Netherlands3FEI Company Eindhoven Netherlands
Show AbstractThe fabrication of high quality metallic contacts to carbon nanomaterials such as carbon nanotubes and graphene is challenging. There are several compatibility issues between conventional lithography and carbon nanomaterials. For example, the use of resist coatings which are very hard (if not impossible) to remove during development and lift-off, resulting in poor quality contacts due to the presence of resist residue between the contacts and carbon nanomaterials. This motivates the development of a ‘bottom-up&’, direct-write, resist-free contacting method.
We developed the direct-write atomic layer deposition (ALD) technique to enable contacting carbon nanomaterials by high-quality ALD materials without the use of conventional lithography. In direct-write ALD, first a very thin seed-layer (< 0.5 nm) is deposited by Pt electron-beam induced deposition (EBID) in the shape of the desired contact pattern. Next, an area-selective Pt ALD process is used to convert the seed layer into a pure Pt contact. In this way the patterning capability of EBID is combined with the material purity of ALD to form virtually 100% pure Pt patterns (resistivity of 12 mu;Omega; cm) with a resolution down to 10 nm[1].
Single-walled carbon nanotubes field effect transistors (CNTFETs) were fabricated using Pt direct-write ALD to deposit the contacts. The CNTFETs typically show good unipolar p-type behavior with on/off ratios of 105 - 106 and very low conduction in the n-type branch. Transfer characteristics of a set of ~ 30 CNTFETs show that contacts patterned by direct-write ALD are of similar quality as Pd contacts patterned by conventional techniques. This is an improvement over previous reported results on Pt contacts, which typically show low quality contacts (despite the higher work-function of Pt compared to Pd) due to a poor wetting interaction with the carbon nanomaterials.
Similarly, graphene transistors were fabricated by direct-write ALD of Pt on large-area CVD graphene. Device areas were isolated by cutting the graphene directly with a Ga focused ion beam (FIB). First results from sub-optimal devices show a very low contact resistance down to (40 ± 30) Omega; and a contact resistivity of ~240 Omega; mu;m2 which indicates high quality contacts.
In summary, carbon nanotube and graphene devices were fabricated by direct-write ALD of Pt. By avoiding the use of conventional lithography and resist coatings, high quality Pt contacts were fabricated resulting in improved device performance for CNTFET devices and low contact resistance in graphene devices.
[1] A.J.M. Mackus et al., Nanoscale4, 4477 (2012)
10:15 AM - T1.03
When Graphene Meets Semiconductor: An Atomic Scale Study of Schottky Barrier Formation
Shivani Rajput 1 Mingxing Chen 1 Yaoyi Li 1 Michael Ta Weinert 1 Lian Li 1
1University of Wisconsin Milwaukee Milwaukee United States
Show AbstractWhen graphene, a two-dimensional semimetal with linear energy dispersion, is interfaced with a semiconductor, Schottky contact forms with rectifying properties. In addition, with a negative coefficient of thermal expansion, graphene is susceptible to deformation upon interfacing with another material. This work presents an atomic scale study of how this intrinsic spatial inhomogeneity effects Schottky barrier formation at graphene-semiconductor interface, using scanning tunneling microscopy/spectroscopy (STM/STS).
Chemical vapor deposited graphene on Cu foil is transferred onto hexagonal Si-face SiC(0001) and C-face SiC(000-1), Si(111), and GaAs(100) substrates, which is confirmed by Raman spectroscopy. STM imaging reveals graphene ripples, ridges, and SiC steps as the three main types of intrinsic spatial inhomogeneities that can exist at the graphene-SiC junctions. Scanning tunneling spectroscopy shows that Schottky barrier height varies and directly follows the undulation of the graphene ripples. Aided by density functional theory calculations, this is explained by the formation of Schottky dipole at the interface due to the intrinsic electric field from the polar SiC [1], and its strong dependence on the distance between the graphene and the SiC substrate. For junctions on Si and GaAs, additional variations due to interfacial charges are also found. These findings provide insights into the intrinsic properties of graphene - semiconductor Schottky junction, a key component of graphene-based nanoelectronics.
Research was supported by the U.S. Department of Energy, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering under Award DE-FG02-07ER46228.
References
[1] S. Rajput et el., Nature Comm. 04, 2752 (2013).
10:30 AM - T1.04
Graphene: New Venues for Spintronics
Marie-Blandine Martin 1 Bruno Dlubak 1 Robert Stewart Weatherup 4 Maelis Piquemal 1 Heejun Yang 1 Mike Sprinkle 2 Claire Berger 2 3 Walter de Heer 2 Stephan Hofmann 4 John Robertson 4 Cyrile Deranlot 1 Richard Mattana 1 Abdelmadjid Anane 1 Frederic Petroff 1 Albert Fert 1 Pierre Seneor 1
1Uniteacute; Mixte de Physique CNRS/Thales Palaiseau France2Georgia Tech Atlanta United States3Institut Neel Grenoble France4University of Cambridge Cambridge United Kingdom
Show AbstractSpintronics is a paradigm focusing on spin as the information vector in fast and ultra-low-power non volatile devices such as the new STT-MRAM. Beyond its widely distributed application in data storage it aims at providing more complex architectures and a powerful beyond CMOS solution. The recent discovery of graphene has opened novel exciting opportunities in terms of functionalities and performances for spintronics devices. We will present experimental results on the impact and potential of graphene for spintronics. We will show that unprecedented highly e#64259;cient spin information transport can occur in graphene [1] leading to large spin signals and macroscopic spin di#64256;usion lengths (sim;100 microns), a key enabler for the advent of envisioned beyond-CMOS spinbased logic architectures. Furthermore, we will show that a thin graphene passivation layer can prevent the oxidation of a ferromagnet [2], enabling its use in novel humide/ambient low-cost processes for spintronics devices, while keeping its highly surface sensitive spin current polarizer/analyzer behavior and adding new enhanced spin #64257;ltering property [3]. These di#64256;erent experiments unveil promising uses of graphene for spintronics.
[1] B. Dlubak et al. Nature Physics 8, 557 (2012); P. Seneor et al. MRS Bulletin37, 1245 (2012).
[2] B. Dlubak et al. ACS Nano6, 10930 (2012); R. Weatherup et al. ACS Nano6, 9996 (2012).
[3] M.-B. Martin et al. ACS Nano 8, 7890 (2014).
10:45 AM - T1.05
Spin Diffusion Length in La2/3Sr1/3MnO3 - Few Layer Graphene Spinvalves
M. Barbone 1 W. Yan 2 L.C. Phillips 2 S. Haemaelaeinen 3 A. Lombardo 1 M. Ghidini 2 4 X. Moya 2 F Maccherozzi 5 S. van Dijken 3 S.S. Dhesi 5 N.D. Mathur 2 Andrea Ferrari 1
1University of Cambridge Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom3Aalto University Espoo Finland4University of Parma Parma Italy5Diamond Light Source Oxfordshire United Kingdom
Show AbstractSignificant progress has been made in graphene spintronics since the first demonstration of a graphene-based spin valve [1]. Due to low spin-orbit coupling [2] and hyperfine interaction [2], spin diffusion lengths have been measured in the range from 1.5 mm [3] up to 285 mm [4]. Here we present spin valves formed by combining La2/3Sr1/3MnO3 (LSMO) electrodes and few layer graphene channels. LSMO exhibits interfacial spin-polarization close to 100% at low temperature [5], making it a promising material for spin valves with highly spin-polarized electrodes [6]. We report spin transport on a device fabricated combining a 5 layer graphene and LSMO. The electrodes show a 20% X-ray magnetic circular dichroism contrast (XMCD) asymmetry at remanence after magnetic pulses, as confirmed by photoemission electron microscopy with XMCD. The transition from the parallel to the anti-parallel state occurs at a distinct and well defined magnetic fields. This is further confirmed by magneto-optic Kerr effect microscopy. The resistance difference between the antiparallel and parallel configurations is ΔR=1.0 MOmega;, corresponding to a magnetoresistance of 5.5% at 10 K, and a spin diffusion length~70 mu;m. Importantly, our analysis excludes the contribution from tunnelling anisotropic magnetoresistance (TAMR), and allows us to attribute the recorded magnetoresistance entirely to spin transport.
1. E.W. Hill et al., IEEETrans. Magn. 42, 2694 (2006)
2. D. Huertas-Hernando et al., Pys. Rev. B 74, 155426 (2006)
3. N. Tombros et al., Nature. 448, 571 (2007)
4. B. Dlubak et al., Nat. Phys. 8, 557 (2012)
5. M.Bowen et al., Appl. Phys. Lett. 82, 233 (2003)
6. L. Hueso et al., Nature445, 410 (2007)
11:30 AM - *T1.06
Charge Transport and the Quantum Hall Effect in Polycrystalline Graphene
Aron W. Cummings 1 J.E. Barrios-Vargas 1 Stephan Roche 1 2
1ICN2 - Institut Catalagrave; de Nanociegrave;ncia i Nanotecnologia Bellaterra Spain2ICREA - Institucioacute; Catalana de Recerca i Estudis Avanccedil;ats Barcelona Spain
Show AbstractIn recent years, graphene has emerged as a favorable material for a wide range of applications, such as quantum resistance standards using the quantum Hall effect. Currently, the best approach for the mass production of graphene is chemical vapor deposition (CVD), which results in a polycrystalline materia. To accommodate the lattice mismatch between misoriented grains, the grain boundaries in polycrystalline graphene consist of non-hexagonal carbon rings, which act as a source of charge scattering. In addition, the grain boundaries exhibit high chemical reactivity compared to the graphene grains, suggestingthe possibility of using CVD graphene as an efficient chemical sensor. Thus, to understand the transport properties of polycrystalline graphene, it is important to understand charge transport through the grain boundaries, and its dependence on chemical functionalization.From the point of view of quantum resistance standards, it is also important to understand the role of the grain boundaries in quantum Hall measurements.
In this work, we use numerical simulations to examine the role that grain boundaries play in charge transport through polycrystalline graphene, and we find that the sheet resistance can vary stronglywith chemical functionalization, depending on the type of chemical adsorbate and on the spatial distribution of the adsorbates within the material. These results are supported by recent experimental measurements of CVD graphene functionalized by oxygen or hydrogen, and help to enlighten role that the chemical and electrical properties of graphene grain boundaries play in charge transport through polycrystalline graphene.
We also present numerical simulations of polycrystalline graphene under large magnetic fields. We find that the formation of Landau levels is restricted by the polycrystalline morphology, requiring the magnetic length to be smaller than the average grain radius. The nature of localization is also found to be unusual, with localized states at the center of Landau levels and extended states lying between Landau levels. These extended states percolate along the network of grain boundaries, resulting in a finite value for the bulk dissipative conductivity. Altogether, these effects can help to explain recent measurements of the quantum Hall effect in CVD graphene.
12:00 PM - T1.07
Electronic Properties and Atomic Structure of Sidewall Graphene Nanoribbons
Maya Narayanan Nair 1 Irene Palacio 1 Arlensiu Celis 4 3 Alberto Zobelli 3 Muriel Sicot 5 Daniel Malterre 5 Meredith Nevius 2 Claire Berger 2 Walter A. de Heer 2 Edward Conrad 2 Amina Taleb-Ibrahimi 1 Alexandre Gloter 3 Antonio Tejeda 3 4
1CNRS/SYNCHROTRON SOLEIL Gif Sur Yvette France2Georgia Inst of Technology Atlanta United States3Universiteacute; Paris-Sud Orsay Cedex France4Synchrotron SOLEIL Gif sur Yvette France5Universiteacute; de Lorraine Vandoelig;uvre legrave;s Nancy France
Show AbstractGraphene nanoribbons should inherit almost all properties of graphene and carbon nanotubes with an additional advantage of tunable band gap. A new method to produce graphene nanoribbons on the patterned SiC steps has attracted great attention as it allows to produce well defined smooth edges (armchair or zigzag) and to be applied to large scale production [1]. So grown 40nm-wide nanoribbons on 4H-SiC facets have shown exceptional ballistic transport up to 15micrometers at room temperature [2]. In photoemission, we have also observed a band gap opening of 500 meV in armchair sidewall nanoribbons [3]. In order to understand all these electronic properties, we have performed a detailed structural characterization using Scanning Tunneling Microscopy (STM) and High Resolution Scanning Transmission Electron Microscopy (HR-STEM). With HR-STEM we have evidenced mainly two families of facets on the SiC step. While the central part of the step shows an angle of around 30° with respect to the SiC(0001) plane corresponding to [1-107] surface, the top and bottom part of the step is composed of minifacets at angles around 20° corresponding to more [1-10n]n=9,10 planes. Graphene grows continuously over the minifacets and the extended facets. On minifacets, graphene grows as mini-ribbons with a typical width of 1.5 to 2nm and a distribution of the local orientations from 15° to 25°. While on the central extended facet, the graphene ribbon with a width of 20nm and a normal at 30° with respect to the SiC(0001) plane. As the band gap observed in photoemission appears at normals between 15° to 25° with respect to the SiC(0001), we attribute spatial location of the gap are the mini-ribbons observed at the top and bottom edge of the large facet. Ab-initio calculations further confirm that this band gap opening due to the quantum confinement in the mini-ribbons, while the ballistic transport takes place along the extended facets [4].
References
1. M. Sprinkle et al,Nat. Nanotech, 5,(2010) 727
2. J.Baringhaus et al, Nature, 506 (2014), pp. 349-354.
3. J. Hicks et al, Nat.Phys,9 (2013) pp. 49-54.
4. I. Palacio et al (submitted in Nano letters)
12:15 PM - T1.08
Structural and Thermal Transport Properties of Nanocrystalline Graphene Investigated by Molecular Dynamics Simulations
Konstanze Regina Hahn 1 Claudio Melis 1 Luciano Colombo 1
1University of Cagliari Monserrato Italy
Show AbstractGraphene is a technologically highly interesting material owing to its high carrier mobility and optical transparency. Fabrication of single-crystalline graphene sheets, however, is not trivial, in particular for large-scale production. Actual graphene samples are nanostructured where the size of single-crystalline domains depends on the fabrication process. The introduction of grain boundaries and defects in such polycrystalline graphene can drastically change electronic and thermal transport properties of the system. Characterization and control of the structural properties of polycrystalline graphene, such as the grain size, and their effect on the electrical and thermal transport properties are thus of major interest in research of graphene in electronic and thermoelectric devices. Approach-to-equilibrium molecular dynamics (AEMD) simulations [1,2] have been used to study thermal transport in nanocrystalline graphene sheets. Nanostructured graphene has been created using an iterative process for grain growth from initial seeds with given crystallographic orientations. The resulting cells have been characterized by the grain size distribution based on the radius of gyration and the number of atoms in each grain. Two systems with average radius of gyration of 0.97 and 2.47 nm have been investigated in detail, showing a linear relationship between the inverse thermal conductivity and the inverse of the simulations length. The phonon spectrum of these systems has been approximated by an accumulation function giving an estimation of the average mean free path of dominant phonons. The average mean free path in nanocrystalline graphene (ca. 30 nm) is found to be significantly reduced compared to the crystalline system (451 nm). Changes in the grain sizes, however, had only little effect on the average mean free path. Nevertheless, a further reduction of the bulk thermal conductivity from 60.2 to 26.6 W/mK is observed, when the average grain size is reduced from 2.47 to 0.97 nm. In addition, the thermal conductivity has been calculated for nanocrystalline graphene with grain sizes from 0.67 to 9.98 nm following a inverse rational function. The optimized function is expected to give reasonable values with an accuracy of <24%.
[1] E. Lampin et al., Applied Physics Letters 100 (2012) 131906.
[2] C. Melis and L. Colombo, Physical Review Letters 112 (2014) 065901.
12:30 PM - T1.09
Graphene to Graphane Transition Induced by Highly Charged Ion Irradiation
Philipp Ernst 1 Johannes Hopster 1 Roland Kozubek 1 Benedikt Kleine-Bussmann 1 Jan Weber 1 Thorsten Balgar 1 Marika Schleberger 2
1Universitauml;t Duisburg-Essen Duisburg Germany2Universitauml;t Duisburg-Essen Duisburg Germany
Show AbstractSince its isolation in 2004 by Geim and Novoselov, graphene has attraced a lot of attention from researchers of various fields. Although its intrinsic physical properties are extraordinary when it comes to charge carrier mobility, thermal conductivity, mechanical strength, etc., some applications will require modifications of pristine graphene. One possibility consists of introducing defects into the graphene lattice to e.g. create nanopores in graphene for ultrafiltration filters. Furthermore, the presence of defects affects the adsorbtion of adsorbates on graphene and can be used to create graphene derivatives like fluorographene, graphene oxide or hydrogenated graphene (also called graphane).
In this contribution it will be shown, that highly charged ions (HCI, ions with a relatively low kinetic energy and a high potential energy due to their charge state) provide a powerful tool to introduce defects into a graphene sheet [1,2]. These projectiles are interacting with matter primarily via electronic excitation exclusively in the first few nanometers at the surface. Using atomic force microscopy and Raman spectroscopy, defects due to the HCI irradiation can be observed in graphene. Interestingly, the defects are not of topographic nature but can only be observed in the friction image. Additional sum frequency generation measurements indicate that upon ion impact, graphene is locally hydrogenated. Finally in situ transport measurements of an irradiated graphene field effect device are presented which allows us to correlate the effect of the local hydrogenation with the charge carrier mobility and doping of the modified graphene device.
[1] Hopster et al. 2D Materials 1:011011 (2014)
[2] Wilhelm et al. Phys. Rev. Lett. 112:153201 (2014)
12:45 PM - T1.10
Band Gap Engineering in Bilayer and Trilayer Graphene: Prospects of Electronic Devices
Piers Turner 1 Alex Samuels 1 J David Carey 1
1University of Surrey Guildford United Kingdom
Show AbstractAn ability to induce and control an electrical and/or optical band gap is an important consideration for graphene materials science and graphene devices. Molecular dopants adsorbed on a layer are able to break inversion symmetry and can produce an energy gap of up to 150 meV in AB stacked bilayer graphene. [1] This electrical band gap is found to scale linearly with induced carrier density though a slight asymmetry is found between n-type dopants, where the band gap varies as 47 meV/1013 cm-2, and p-type dopants where the band gap varies as 38 meV/1013 cm-2. Through application of asymmetry potentials on the surface of the layers we have investigated the effect on the band gap, and effective mass in both AB stacked bilayer graphene and ABC stacked rhombohedral graphene. Comparison is made with electrical band gaps induced using dual gate device geometries and prospects for graphene based devices are explored.
[1] Alexander J. Samuels and J. David Carey, ACS Nano 7, 2790 (2013).
Symposium Organizers
Francesco Bonaccorso, Istituto Italiano di Tecnologia
Xinliang Feng, TU Dresden
Andrea Ferrari, University of Cambridge
Ado Jorio, Univ Federal do Parana Brazil
Maurizio Prato, Univ di Trieste
Symposium Support
AIXTRON
Aldrich Materials Science
T5: Chemical Modification, Functionalization and Toxicity Effects
Session Chairs
Manish Chhowalla
Jiaxing Huang
Tuesday PM, April 07, 2015
Moscone West, Level 2, Room 2010/2012
2:30 AM - *T5.01
Multifunctional Properties of Graphene Oxide
Manish Chhowalla 1
1Rutgers University Piscataway United States
Show AbstractGraphene oxide (GO) is a chemically versatile atomically thin material that is interesting for a variety of applications ranging from large area electronics to catalysis. The ability to tune the chemical, electronic and atomic structures of GO via controlled reduction to obtain reduced GO (rGO) provides pathways for accessing its novel properties. Interesting chemistry can be performed on as synthesized GO using its numerous functional sites arising from the presence of epoxy, hydroxyl, carboxyl and other oxygen groups. In this presentation, I will highlight some of the key results we have obtained on graphene oxide over the past eight years. In particular, I will describe the electron transport and photoluminescence properties of GO, their catalytic properties for oxygen reduction reaction along with their ability to act as substrates for the nucleation and growth of two dimensional materials such as tungsten disulphide.
3:00 AM - T5.02
Anisotropic Ordering in Polymeric Scaffolds via Magnetically Responsive Graphene Oxide/Iron Oxide Hybrid Nanomaterial
Louis Cheung 1 Xiaowu (Shirley) Tang 1
1University of Waterloo Waterloo Canada
Show AbstractTissue engineering involves the rational design of artificial substitutes for damaged tissues and organs. The ultimate goal is to reproduce the 3D anisotropic and hierarchical structures of native tissues, such as cardiac muscle and bone, in artificial constructs, which remains tantalizingly out of reach. One promising strategy is to create cell-laden hierarchical scaffolds, with cells encapsulated inside natural and synthetic polymer matrices with ordered microstructures, to template/guide anisotropic cell growth and organization. Introducing “order” to otherwise homogeneous scaffolds has become a new focus of tissue engineering. Herein, we present an approach to incorporate magnetically responsive nanoparticles into hydrogels and introduce anisotropic ordering in the hydrogel scaffolds via magnetic fields.
A hybrid nanocomposite material consisting of graphene oxide decorated with iron oxide nanoparticles (GO-Fe3O4) has been synthesized through a high-temperature reduction method. Subsequent characterization revealed graphene oxide (GO) flakes with highly loaded, homogeneously dispersed, uniformly sized magnetite nanoparticles owing to the innately high surface area and density of hydrophilic functional groups of GO. In addition, the material was shown to be superparamagnetic at room temperature with an appreciable saturation magnetization. This nanocomposite can be readily dispersed into aqueous polymer precursor solutions, allowing for anisotropic orientation of the GO-Fe3O4 as directed by magnetic field-induced ordering followed by the in situ crosslinking of polymer chains into a hydrogel network. The alignment of the GO-Fe3O4 was retained upon removal of the magnetic field. It is anticipated that this novel hybrid nanomaterial will be a biocompatible tissue scaffold suitable for the encapsulation of cells and their improved organization in a 3D environment. Also, by virtue of the presence of the highly ordered microstructures within the polymeric matrix, it is expected that the material will exhibit anisotropic mechanical reinforcement, in contrast with past work demonstrating enhanced isotropic mechanical properties as a result of the homogeneous distribution of nanoparticles.
The present work shows the versatility and feasibility of utilizing relatively low magnetic fields to control the microstructure of hydrogel matrices to more accurately emulate the physiological environment. The concepts elucidated in this work show promise in the field of tissue engineering for the creation of complex 3D tissue constructs.
3:15 AM - T5.03
Polcarbonate-Functioanlized Graphene Oxide: Extreme Mechanical Enhancement by Matrix-Nanosheet Compatibilization.
Toby Sainsbury 1
1National Physical Laboratory, UK London United Kingdom
Show AbstractThe development of graphene based polymer nanocomposite systems offer significant potential for creating extensive ranges of derived hybrid structural and functional material subsets based on both the physical nature and chemical composition of the nanofiller material. Such materials hold much promise for society on account of the potential for dematerialization and energy efficiency in both processing, production and also in terms of envisaged life-cycle and recycling benefits.
Optimizing the dispersion and integration of graphene based nanofiller materials within polymer matrices has received enormous research attention in recent years. Particular attention has focused on covalent functionalization approaches in order to tune the chemistry of graphene towards the host polymer matrices. This has taken the form of intrinsic structural modification of the graphene structure in the case of graphene oxide, while advanced approaches utilize the attachment of initiator, molecular and polymeric species to graphene in order to tune the interface to the intended host matrix. These approaches have identified the concept of matching nanosheet functionalization with the polymer matrix, thus optimizing the interaction between the materials and have highlighted property enhancement as a consequence of engineering the nanomaterial interface.
In this work, we adopt the concept of matching functionalization with host matrix and demonstrate functionalization of graphene oxide with chains of a polycarbonate analogue. Polycarbonate-functionalized-GO was integrated within polycarbonate over a range of concentrations to form the nanocomposites. A combination of characterization techniques were used to elucidate both the nature of the bonding of the polymer to the GO and to quantify the polymer chains bound to the GO. Thin films of the polycarbonate-GO nanocomposite were formed and the mechanical properties analyzed. Significant increases in Young&’s modulus, tensile strength as well as a 10 fold enhancement of the ductility of the polycarbonate-GO nanocomposite were noted over that of the polycarbonate control. Dramatic enhancements of the mechanical properties of the nanocomposites are considered in the context of optimization of the nanomaterial-matrix interface. This methodology identifies the potential for advanced nanocomposite systems to be developed which have mechanical properties that may be directly influenced by the nature of the bonding interface as well as functionalization chain length and concentration.
3:30 AM - T5.04
Bottom-Up Design of Graphene Oxide and Reduced Graphene Oxide for Electronic and Optoelectronic Applications
Priyank Vijaya Kumar 1 Neelkanth M Bardhan 1 Tiziana Musso 2 Guan-Yu Chen 1 Adam S Foster 2 Angela M. Belcher 1 Jeffrey C. Grossman 1
1Massachusetts Institute of Technology Cambridge United States2Aalto University Espoo Finland
Show AbstractGraphene oxide (GO) and reduced GO (rGO) are highly appealing, solution-processable candidates for a variety of large-area thin-film electronic and optoelectronic applications. In the last decade, a large number of experiments have utilized GO/rGO and demonstrated such applications. However, our understanding of the structure-property relationships of these nanomaterials at the atomic-level has been lacking owing to their amorphous nature and chemical inhomogeneity, which has in turn limited our ability to design and tailor GO/rGO nanostructures for high-performance applications. In this work, we use a combination of first-principles computations, classical molecular dynamics simulations based on reactive force fields and experiments to model realistic GO/rGO structures and develop a detailed understanding of the relationship between the carbon-oxygen framework and the sheet properties, at the atomic level. We demonstrate how different sheet properties (electronic structure, optical properties, stability, work function etc.) vary with oxygen concentration and the type of oxygen functional group. Utilizing the fundamental understanding so developed, we design GO/rGO and demonstrate enhanced performance in applications including: 1) carbon-based solar cells 2) transparent conducting electrodes 3) 2D material-based FETs, and 4) cell capture. We envision that such a bottom-up design strategy can have broad implications in the area of amorphous and 2D-materials.
3:45 AM - T5.05
Graphene Oxide Membranes on Ceramic Hollow Fibres for Wet Separation Processes
Nor Farah Diana Aba 1 Jeng Yi Chong 1 Bo Wang 1 Kang Li 1 Cecilia Mattevi 1
1Imperial College London London United Kingdom
Show AbstractA new class of membranes obtained by stacking graphene oxide (GO) nanosheets have demonstrated great potential in gas separation and liquid filtration. For practical applications, GO membranes in a hollow fibre shape are of particular interest because of their high-efficiency and easy-assembly at module level. Up until now, GO membranes have been made primarily on planar supports which are not suitable for large-scale production and in addition, planar modules are not as efficient as currently utilized technologies. We have fabricated GO membranes with controllable thickness on ceramic hollow fibre substrates using vacuum filtration method. However, we have found that the membranes are highly unstable, mainly due to shrinkage occurring during post-deposition drying processes. We show that we can overcome this fundamental limitation by utilizing the GO membranes in aqueous environment. The membranes exhibit high rejection rates of different alcohols and dyes, with molecular weight cut off less than 300 Dalton. The rejection rates are comparable with commercially available membranes and stability has been confirmed over several weeks. This open to significant opportunities in wet separation processes such as nanofiltration and pervaporation.
4:30 AM - *T5.06
Myths about Graphene Oxide: To Burn or Not to Burn, and to Dissolve or Not to Dissolve
Jiaxing Huang 1
1Northwestern University Evanston United States
Show AbstractGraphene oxide, which is the chemical exfoliation product of graphite powders, is probably still the only 2D material that can be made reliably into single layer dispersions with large sizes and high yield. It has received renewed interests and its potential in many applications has been demonstrated. There are some conflicting results about the properties of graphene oxide. For example, in old literature, graphene oxide (back then known as graphite oxide) was often described as a flammable material. However, in recent works, graphene oxide was reported to be a flame retardant. In another example, graphene oxide films have been noted to be highly stable in water and this property has made their use in membrane applications in solution possible. However, this is quite counterintuitive because graphene oxide sheets become negatively charged upon hydration and the membrane should disintegrate owing to electrostatic repulsion. Unraveling these myths about graphene oxide will provide the crucial insights that will help to improve its processing, and better understand its properties and applications. In this talk, these conflicting results are reconciled. Factors affecting the flammability and water solubility of graphene oxide films will be revealed, highlighting the importance of proper sample preparation and processing.
5:00 AM - T5.07
Thermochemical Processing: Controlled Functionalisation for Studying Nanocarbons and Their Possible Toxicities
Sheng Hu 2 Shu Chen 1 Robert Menzel 2 Angela D Goode 1 Pakatip Ruenparoengsak 1 3 Elizabeth F Smith 3 Mary P Ryan 1 Andrew J Thorley 3 Teresa D Tetley 3 Alexandra Porter 1 Milo Shaffer 2
1Imperial College London London United Kingdom2Imperial College London London United Kingdom3Imperial College London London United Kingdom
Show AbstractFunctionalised carbon nanomaterials (CNMs) are desired in a wide variety of applications;[1] however, it is important to ensure that any potential adverse effects are assessed and understood alongside the emerging nanotechnology.[2] In order to fundamentally understand carbon nanotoxicities, model materials with tailored properties are urgently needed, allowing toxicological studies of the effects of specific physiochemical properties. Here, we report a thermochemical functionalisation method which is simple, scalable, and versatile to wide range of CNMs and functional groups, while avoiding damage to CNM structural integrity and hence maintaining the excellent properties of untreated materials.[3-5] Based on this approach, we have established a comprehensive library of water-soluble functionalised CNMs, including graphene, carbon nanotubes (CNTs) and carbon blacks (CBs), with tunable properties, including, but not limited to, dimensions, surface charge and hydrophobicity. With these model materials, a series of nanotoxicicological studies have been carried out, employing various biological systems, particularly focusing on the inhalation route of exposure. Cellular entry of MWCNTs has been shown via a variety of distinct mechanisms, depending on different surface charge, into human alveolar epithelial and endothelial cells;[4] the tendency of CNTs to cross the human blood-air barrier in vitro can be modulated by CNT length or surface charge.[6] Some other properties, e. g. geometry effect, are currently being investigated. In addition, our functionalised CNMs have huge potential in other applications, from printing conductive inks to assembling filter and electrodes, particular where water-based processing is preferred.[5]
[1] F. Bonaccorso et al, Nature Photonics, 2010, 4, 611
[2] Ruibin Li et al, ACS Nano, 2013, 7, 2352
[3] R. Menzel et al, Chemical Science, 2010, 1, 603
[4] S. Chen et al, Biomaterials, 2014, 35, 4729
[5] S. Hu et al, Faraday Discussions, 2014, 173, 1
[6] P. Ruenraroengsak et al, in preparation.
5:15 AM - T5.08
Probing Synaptic Activity through Graphene Optoelectronic Platforms
Rui Wang 1 Bryson M Brewer 2 Mingjian Shi 3 Deyu Li 2 Donna J Webb 3 4 Ya-Qiong Xu 1 5
1Vanderbilt University Nashville United States2Vanderbilt University Nashville United States3Vanderbilt University Nashville United States4Vanderbilt University Nashville United States5Vanderbilt University Nashville United States
Show AbstractThe human brain is built on billions of neurons, which communicate through trillions of highly specialized synaptic junctions that are structurally composed of pre- and postsynaptic terminals. Intriguingly, recent data indicate that individual spines and synapses are unique and can exhibit different properties and activities, leading to sophisticated neuronal networks that enable the extraordinary functionality of our brain. However, the functional significance and underlying molecular mechanisms for distinct synapses are not well understood, pointing to an urgent need to investigate the activities of individual synapses with neuronal networks. Here, we integrated graphene transistors with a neuron-glia co-culture microfluidic platform to study electrical activities of individual synapses through scanning photocurrent measurements. Remarkable photocurrent signals were observed at spots where spines and synapses were located. We also compared our photocurrent measurements with traditional fluorescence imaging approaches to underscore the validity of our approach.
5:30 AM - *T5.09
Synthesis of Graphene and Oxo-Functionalized Graphene Derivatives
Siegfried Eigler 1
1Friedrich-Alexander-Universitauml;t Erlangen-Nuuml;rnberg (FAU) Fuuml;rth Germany
Show AbstractThe wet-chemical synthesis of graphene as pure single layers (G1) remains challenging.[1] One method to yield G1 involves the oxidative treatment of graphite what generally leads to single layers of graphene oxide (GO). However, a high density of defects within the carbon framework of GO dominates its properties.Thus, graphene yielded after reduction bears defects on the several % scale and therefore, properties such as the mobility of charge carriers is very low.[2]
We developed an oxidative functionalization method that avoids the formation to yield oxo-functionalized graphene (oxo-G1. The yielded graphene bears a density of defects, as low as 0.01% for the best quality of flakes after reduction and charge carrier mobility values exceed 2000 cm2/Vs.[2a] The average density of defects is 0.3% (250 cm2/Vs). Here we present also G1 with a density of defects of 0.04% in average, for the first time.
We use Raman spectroscopy to statistically characterize the quality of G1 derived from oxo-G1.[3] Recently, we compared the efficiency of reducing agents for oxo-G1 and gave insights into the reduction mechanism.[4] Furthermore, it could be demonstrated that the chemical reduction of oxo-G1 is highly efficient. Furthermore, we give structural proof by HRTEM. Due to the low amount of defects in oxo-G1 it is possible to develop chemical reactions and defects play a minor role for the first time.[5]
With this material in hand the stability of the carbon framework could be evaluated.[5a, 6] Functional groups of oxo-G1 are evaluated also.[7] The carbon framework is also stable enough to allow chemical reactions such as the substitution of organosulfate by hydroxide or azide.[5b]
[1] S. Eigler, A. Hirsch, Angew. Chem. Int. Ed. 2014, 53, 7720.
[2] a) S. Eigler, M. Enzelberger-Heim, S. Grimm, P. Hofmann, W. Kroener, A. Geworski, C. Dotzer, M. Rockert, J. Xiao, C. Papp, O. Lytken, H. P. Steinrück, P. Müller, A. Hirsch, Adv. Mater. 2013, 25, 3583; b) S. Eigler, S. Grimm, M. Enzelberger-Heim, P. Müller, A. Hirsch, Chem. Commun. 2013, 49, 7391.
[3] S. Eigler, F. Hof, M. Enzelberger-Heim, S. Grimm, P. Müller, A. Hirsch, J. Phys. Chem. C 2014, 118, 7698.
[4] S. Eigler, Phys. Chem. Chem. Phys. 2014, 16, 19832.
[5] a) S. Eigler, S. Grimm, A. Hirsch, Chem. Eur. J. 2014, 20, 984; b) S. Eigler, Y. Hu, Y. Ishii, A. Hirsch, Nanoscale 2013, 5, 12136; c) S. Eigler, S. Grimm, F. Hof, A. Hirsch, J. Mater. Chem. A 2013, 1, 11559.
[6] S. Eigler, C. Dotzer, A. Hirsch, M. Enzelberger, P. Müller, Chem. Mater. 2012, 24, 1276.
[7] S. Eigler, C. Dotzer, F. Hof, W. Bauer, A. Hirsch, Chem. Eur. J. 2013, 19, 9490.
T6: Poster Session I
Session Chairs
Francesco Bonaccorso
Andrea Ferrari
Tuesday PM, April 07, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - T6.01
Multi-Physics Simulation of Field Emission from Carbon Nanotube: Work-Function Extraction, Thermal Stability, and Space Charge Effect
Ahmad Ehteshamul Islam 1 2 Steven B. Fairchild 1 Benji Maruyama 1
1Air Force Research Laboratory Wright Patterson AFB United States2National Research Council Washington D.C. United States
Show AbstractCarbon nanotubes (CNTs) and their bundles can act as an excellent field emitter [1] with wide ranging applications in display, X-ray generation, Hall thruster, and microplasma generation. Fowler-Nordheim (FN) equation is widely used to analyze field emission from CNTs and hence to extract critical parameters such as the field enhancement factor and the area of emission [2,3]. Work-function of CNT is typically assumed during this parameter extraction, which makes the extracted parameters physically irrelevant. Simulation is often used to verify extracted parameters [3,4] ignoring the effect from finite conductivity for CNTs and non-linear potential profile near the emission points. These ultimately effects the optimization of thermal stability and space charge effects, routinely studied in field emitters [1,4].
In this work, we use a multi-physics numerical framework for the first time to capture - the electrostatics, the field emission via quantum-mechanical tunneling (with FN equation as the limiting case), the thermal stability, and the space charge effect in CNTs. We numerically solve 3-D Poisson equation, calculate emission current from different points of the CNT by following the streamlines obtained from potential gradient, use Drift-Diffusion equation to model the electrical transport through the CNT, and use heat equation to model the thermal transport. Till now, these equations are only explored in reduced dimensions (mostly in 1-D) and with no self-consistency [3,4].
Our self-consistent numerical simulation compares well with the available experimental results. This comparison suggests consideration of finite CNT conductivity and proper workfunction as key for accurate extraction field enhancement factor. Developed framework also offers a unique opportunity to study temperature rise in the emission areas and suggests options for improving thermal stability and hence mitigating thermal runaway. Our numerical simulation provides the first self-consistent simulation of space charge effects in field emitters and reestablishes the role of impurity in reducing the space charge effect.
[1] Behabtu et al., Science, 2013, 339, p. 182; Fairchild et al., J. Mater. Res., 2014, 29(3), p. 392.
[2] R. G. Forbes, Ultramicroscopy, 2003, 95, p. 1.
[3] A. V. Eletskii, Physics-Uspekhi, 2010, 53 (9), p. 863.
[4] Shiffler et al., IEEE Tran. Plasma Sc., 2012, 40 (7), p. 1871.
9:00 AM - T6.02
Electrostatically Self-Assembled Graphene/Carbon Nanotubes Architectures as Efficient Ultracapacitors: Electrochemistry and Scanning Electrochemical Microscopy
Sanju Gupta 1 Eli Heintzman 1 Carson Price 1
1Western Kentucky University Bowling Green United States
Show AbstractHybrid nanomaterial architect are an interesting class of materials that can find applications in diverse fields owing to their multifunctionality tailored at the interface of the constituents. Graphene and carbon nanotubes (CNTs) within the family of multifunctional nanocarbons are the materials that exhibit excellent electrical conductivity and larger specific surface areas. Theory suggested that covalently bonded graphene/CNT hybrid conjoined material would extend those properties to three-dimensions, and be useful in energy storage and nanoelectronic technologies. We report on the synthesis and properties of electrostatic self-assembled graphene/carbon nanotube hybrid multilayer films as efficient energy storage ultracapacitor devices. Stable aqueous dispersion of polymer-modified graphene sheets were prepared in the presence of cationic poly(ethyleneimine) (PEI). The resultant water-soluble PEI-modified graphene (PEI-Gr) sheets were then used for sequential or layer-by-layer (LBL) electrostatic self-assembly with negatively charged acid-oxidized multiwalled carbon nanotubes (fMWCNT), forming hybrid multilayer 3D films (PEI-Gr/fMWCNT)n architect as “all carbon” ultracapacitor, where n = 1,2,4,6,9,12 and 15. These hybrid films possess an interconnected network of nanocarbon mesoporous structures with well-defined interfaces and interphases to be promising for ultracapacitor electrodes. They exhibit a nearly rectangular cyclic voltammograms even at an exceedingly high scan rate of 1V/s with an average specific capacitance of ~ 220 F/g and specific energy density of 75.5 Wh/kg at room temperature (based on electrode weight), measured at a current density of 0.3 A/g, comparable to that of Ni metal hydride battery, but the supercapacitor can be charged/discharged within seconds or minute peaking at n = 4. We made an attempt to determine the relative contributions of the electric-double layer (EDL) capacitance (CD) at the (PEI-Gr/fMWCNT)/electrolyte interface and the quantum capacitance (CQ) of the PEI-Gr/fMWCNT hybrid. These successes are attributed to the effective utilization of the highest intrinsic surface capacitance and specific surface area by preventing re-aggregation of graphene sheets. The sort of curved morphology developed enables the mesorpores accessible to and wettable by aqueous electrolyte capable of operating beyond 1V. The work is supported by the author's start-up (SG) and NSF-KY EPSCoR (EPS-0814194 and 3048108525-l4-046) grants.
9:00 AM - T6.03
Investigating Electrochemical Processed Hierarchical Multilayer Graphene Nanocomposites: Syntheses and Property Characterization for Energy Storage
Sanju Gupta 1 Carson Price 1
1Western Kentucky University Bowling Green United States
Show AbstractA hybrid multilayer electrode consisting of graphene nanosheets (supercapacitive) and conducting polymers i.e. polypyrrole (PPy) and polyaniline (PANi) [pseudocapacitive] processed electrochemically for intimate electronic contact and covalent interface exhibiting synergistic effect that yield excellent electrochemical performance for enhanced energy storage application. These multilayered supercapacitors are constructed layer-by-layer (LbL) in-situ via electrochemical anodic polymerization of polymers followed by electrochemical reduction of graphene oxide (ErGO) namely, (PPy/ErGO)n and (PANi/ErGO)n, where n = 1-5. These hybrid electrodes not only elucidated electronic conductivity through intimate contact, but also enhanced chemical / mechanical stability during the charge/discharge cycling processes. We investigated the electrochemical performance in terms of various parameters of LbL assembly including the number of bilayers (n) and chemical treatments that may affect the degree of reduction of GO on conducting polymers. The LbL-assembled hybrid multilayer electrodes exhibited excellent cyclic voltammogram behavior with gravimetric capacitance (C) of ~ 170 F g-1 peaking at n = 4 and at a discharge current density of 0.15 A g-1 that outperformed other hybrid supercapacitors based on conducting polymers and GO alone, especially if they were not electrochemically synthesized. The hybrid supercapacitors maintained 90% capacity over 500 cycles at a current density of 1.5 A g-1. We have also conducted ac electrochemical impedance spectroscopy to determine interfacial capacitance (CD) at the hybrid bilayer/aqueous electrolyte interface besides charge transfer resistance (Rct). This study certainly opens up the possibility for large-scale production of graphene-based multilayered hybrid composites, promising for aerospace applications as well. The work is supported by the author's start-up (SG) and NSF-KY EPSCoR (EPS-0814194 and 3048108525-l4-046) grants.
9:00 AM - T6.04
Chemical and Structural Characterisation of Printed Graphene Films
Andrew J Pollard 1 Barry Brennan 1 Natalie Belsey 1 Harry Cronin 2 3 Steve Spencer 1 Tsegie Faris 2 Zlatka Stoeva 2
1National Physical Laboratory Teddington United Kingdom2DZP Technologies Ltd. Cambridge United Kingdom3University of Surrey Guildford United Kingdom
Show AbstractIndium tin oxide (ITO) is widely used in consumer electronics as a transparent conductor, due to its outstanding electrical conductivity and optical transparency. However, because of material cost volatility, and as ITO is brittle and thus unsuitable for developing flexible electronics products, other cheaper and flexible materials are required to replace ITO that can provide similar conductivity and transparency. The use of nanomaterials for printed conductive electrodes in industry is widely anticipated1, and graphene, a two-dimensional allotrope of carbon, is also well-known for its excellent conductivity and flexibility, whilst only absorbing 2-3% of visible light per layer2. In order to provide large-scale electrodes at a competitive price, which would enable graphene to be used in application areas ranging from mobile electronics to photovoltaics, graphene flakes that are mass-produced at a low cost will be required and thus large-scale graphene printing methods will be necessary for graphene to be used in this area by industry. However, the conductivity and transparency of the resulting printed graphene films must be improved before this technology becomes viable.
Although there has been much academic research into improving printed graphene electrodes, a systematic investigation is required into the correlation of conductivity and transparency with both the structural and chemical properties. We have used a range of complementary techniques, such as scanning electron microscopy (SEM), atomic force microscopy (AFM), Raman spectroscopy and tip-enhanced Raman spectroscopy (TERS), X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS) to characterise both the graphene flakes and the resulting printed graphene electrodes, and investigate how the structural and chemical properties affect the electrical and optical properties of the printed graphene films.
[1] A. Kamyshny and S. Magdassi, Small, 10, 3515-3535 (2014)
[2] K. S. Novoselov et al., Nature, 490, 192 (2012)
9:00 AM - T6.06
Graphene Oxide Coupled with Gold Nanoparticles for Localized Surface Plasmon Resonance Based Gas Sensor
Michela Cittadini 2 Marco Bersani 2 Francesco Perozzi 3 Luca Ottaviano 3 Alessandro Martucci 1
1Univ di Padova Padova Italy2University of Padova Padova Italy3University of L'Aquila L'Aquila Italy
Show AbstractGraphene oxide (GO) consists in atomically-thin graphene sheets that are covalently decorated with oxygen-containing functional groups, either on the basal plane or at the edges, so that it contains a mixture of sp2- and sp3-hybridized carbon atoms. In tailoring of the size, shape and relative fraction of the sp2-hybridized domains of GO by chemical or thermal reduction provides opportunities for tailoring its optoelectronic properties. For example, as-synthesized GO is insulating but just by varying the oxidation level, with a controlled de-oxidation, a partially reduced GO can act as a semiconductor. Furthermore, its highly 2-dimensional nature, which determines huge surface-to-volume ratio, and efficient UV absorption make it a very promising material for photocatalysis and suggest potential applications wherever its peculiar optoelectronic properties can be exploited.
In the present work GO is used as sensitive material for an optical gas sensor. The sensor has been prepared by depositing partially reduced GO flakes over a monolayer of gold nanoparticles (NPs), chemically attached to a functionalized fused silica substrate.
The coupling between the GO flakes and the Au NPs has the aim of combining the semiconducting and catalytic activity behavior of the GO with the Localized Surface Plasmon Resonance (LSPR) of the Au NPs.which can be used as an optical probe. The LSPR is known to be extremely sensitive to changes in the dielectric properties of the surrounding medium, a characteristic that has been widely exploited for the preparation of sensing devices. Here we expect an even larger enhancement of this effect induced by the electronic coupling of Au NPs and GO and the interactions of GO with reducing and oxidizing gases. While the use of GO for gas sensing has been covered in multiple reports, with the GO-Au NPs system already employed as a resistive gas sensor, only very recently GO has been used in an optical fiber array for the detection of vapors and to the best of our knowledge this is the first time that GO is used as sensing material in an optical sensor for the detection of reducing and oxidizing gases.
The sensors showed good and reversible responses with fast kinetics towards H2 and NO2, while no detectable response was observed towards CO. It was also demonstrated that the coupling of Au NPs with GO provided a wavelength-dependent sensing response for different gas, allowing the realization of a selective sensor. The mechanisms involved in the sensing of reducing (H2 and CO) and oxidant (NO2) gases using GO is just a combination between the photocatalytic behavior of GO in the visible, if coupled with Au NPs, and an exchange of electrons with the target gases through red-ox reactions mediated by the adsorbed oxygen.
9:00 AM - T6.07
A Raman Spectroscopy Signature for Characterizing Defective Single-Layer Graphene: Defect-Induced I(D)/I(Drsquo;) Intensity Ratio by Theoretical Analysis
Ruth Pachter 1 Jie Jiang 1
1Air Force Research Laboratory Wright-Patterson Air Force Base United States
Show AbstractDefective single-layer graphene (DSLG) can provide selective graphene engineering and improved interaction with adsorbates, and Raman spectroscopy has proven useful in characterization of these materials. The main defect-induced Raman features are the D and D' bands, and the I(D)/I(D&’) intensity ratio can be used to identify the nature of defects. However, dependence of this ratio on defect type has not been fully explained yet. In this work, we developed and applied a combined first principles and tight-binding approach to investigate Raman intensities of D and D' bands in DSLG, as dependent on vacancy defect type and external moiety adsorption, for mono-vacancy, double-vacancy, Stone-Wales, 555-777 and 5555-6-7777 defects. Predicted I(D)/I(D&’) ratios distinguished defect types, e.g. values for 555-777 and 5555-6-7777 double-vacancy topological point defects, known to be observed experimentally, were in a range of 3-6, dependent on the presence of remnant oxygen atoms. If double-vacancies are also included, the predicted value would be higher, qualitatively consistent with an experimentally determined ratio for vacancies of 7 (Eckmann, et al., Nano Lett. 2012, 12, 3925-3930).
9:00 AM - T6.08
Graphene Oxide Liquid Crystal Emulsions and Their Assemblies
Wubo Wan 1 Bingqing Qian 2 Shuhua Peng 3
1Zhejiang University of Technology Hangzhou China2Dalian University of Technology Dalian China3University of Melbourne Melbourne Australia
Show AbstractLiquid crystal ordering provides an opportunity to design highly structured carbon nanomaterials. Nematic graphene oxide (GO) phase from the spontaneous alignment of GO nanosheets has drawn much attention; nevertheless, how to finely tune their structure and properties still remains a big challenge. Here we report for the first time the fabrication of graphene-based liquid crystal emulsions (LCEs) by tuning the localized alignment of GO nanosheets at the oil/water interfaces using poly(oxypropylene)-diamine (D400) both to stabilize and to fix the GO assembly around the oil droplets. The dispersed phase of the LCEs can serve as a soft template for making cross-linked graphene monoliths. This novel approach will pave a new way for fabrication of functional carbon materials with tunable porous structures with LC properties.
9:00 AM - T6.09
An Antibacterial Surface Acting via Photothermal Effects
Liwei Hui 2 Jeffrey T. Auletta 1 Zhiyu Huang 2 Xiang Chen 2 Fei Xia 2 Shangfeng Yang 2 Haitao Liu 1 Yang Lihua 2
1University of Pittsburgh Pittsburgh United States2University of Science and Technology of China Hefei China
Show AbstractBacterial infection especially on surfaces of transplants post surgeon poses a major threat and may lead to a secondary curing surgeon, which causes extra pain and danger for patients. To avoid this, it is desirable to have surfaces intrinsically antibacterial. Recent emergence and spread of drug resistant bacteria further necessitates antibacterial surfaces with activity modes differing from those of antibiotics and thus less prone to evoke resistance. To this end, we herein develop antibacterial surfaces which act via photothermal effects and thus may be potent even against drug resistant bacteria. Priliminary results from UV-vis-NIR spectrometry and bacterial viability assays will be presented.
9:00 AM - T6.10
Allotropic Transformation through Solid-State Re-Engineering of -sp2 Carbon
Hyunyoung Jung 1 Paulo Antonio Trindade Araujo 2 Sung Mi Jung 3 Younglae Kim 1 Sanghyun Hong 1 Xiaoting Jia 4 Chi Won Ahn 5 Jing Kong 3 Mildred S. Dresselhaus 6 Swastik Kar 7 Yung Joon Jung 1 Ji Hao 1
1Northeastern University Boston United States2University of Alabama Tuscaloosa United States3MIT Cambridge United States4MIT Cambridge United States5Nano-Materials Lab Daejeon Korea (the Republic of)6MIT Cambridge United States7Northeastern University Boston United States
Show AbstractCarbon forms one of nature&’s strongest chemical bonds; its allotropes having provided some of the most exciting scientific discoveries in recent times. The possibility of inter-allotropic transformations/hybridization of carbon is hence a topic of immense fundamental and technological interest. Such modifications usually require extreme conditions, and are usually not well-controlled. Here we demonstrate a method for tailoring molecular junctions and structures in CNT networks by applying controlled alternate voltages. This facile but highly controllable method allows us to create covalently bonded carbon-carbon sp2 molecular junctions between assembled singlewalled carbon nanotubes (SWCNTs) allowing transforming small diameter SWCNTs into either (1) larger diameter SWCNTs, (2) multi-walled carbon nanotubes (MWCNTs), or (3) multi-layered graphene nanoribbons (MGNRs). Given that this molecular structure and junction engineering through the electrical fusion of assembled CNT bundles enabling 2-3 dimensional true sp2 structured seamless networks without increasing structural defects, the implications of this finding are immense, to extend unique properties of nanocarbon materials (CNT, graphene etc.) into 2-3 dimensional and multiscale applications.
9:00 AM - T6.11
Optical Probing of Local pH and Temperature in Complex Fluids with Covalently Functionalized, Semiconducting Carbon Nanotubes
Hyejin Kwon 1 Mijin Kim 1 Brendan Meany 1 Yanmei Piao 1 2 Lyndsey Rae Powell 1 YuHuang Wang 1
1Univ of Maryland College Park United States2The National Institute of Standards and Technology Gaithersburg United States
Show AbstractWe show that local pH can be optically probed through defect photoluminescence from semiconducting carbon nanotubes covalently functionalized with aminoaryl groups. Switching between protonated and de-protonated forms of the amino moiety produces an energy shift in the defect state of the functionalized nanotube by as much as 33 meV. This unexpected observation enables a new optical pH sensor that features ultra-bright near-infrared II (1.1-1.4 µm) photoluminescence, a sensitivity for pH changes as small as 0.2 pH units over a wide working window that covers the entire physiologic pH range, and potentially molecular resolution. Independent of pH, this nanoprobe can simultaneously act as a nano-thermometer by monitoring temperature-modulated changes in photoluminescence intensity, which follows the van&’t Hoff equation. This work opens new opportunities for quantitative probing of local pH and temperature changes in complex biological systems.
9:00 AM - T6.12
Gas-Phase Surface Modification of Fluorinated Single-Walled Carbon Nanotubes Using Ammonia Gas
Koji Yokoyama 1 Yoshinori Sato 2 Kazutaka Hirano 2 Tatsuhiro Yabune 2 Kenichi Motomiya 1 Kazuyuki Tohji 1 Yoshinori Sato 1 3
1Tohoku University Sendai Japan2Stella Chemifa Corporation Osaka Japan3Shinshu University Matsumoto Japan
Show AbstractSurface modification plays a significant role on applying single-walled carbon nanotubes (SWCNTs) for electronic devices. For example, amination of SWCNTs is expected to change their surface electric charges from negative to positive. In addition, substitutional nitrogen doping is theoretically predicted to change their carrier transport property from p-type to n-type in the atmosphere. Although liquid-phase surface modification methods have already been reported, these cannot be applied to vertical aligned SWCNTs because they are not able to keep their aligned nanotube structure due to stirring in solvents. Gas-phase modification has been focused on an alternative approach, however, efficient modification has not been reported. Here, we functionalized the surface of SWCNTs with amino groups and substitutional nitrogen atoms by reacting fluorinated SWCNTs (F-SWCNTs) with ammonia gas.
We synthesized F-SWCNTs by fluorination of highly crystalline SWCNTs (hc-SWCNTs) using a mixture of F2 (20%) and N2 (80%). 10 mg of F-SWCNTs placed into a reactor tube reacted with flowing a mixture of NH3 (1%) and N2 (99%) at the temperature range of 298-873 K for various reaction times, 2, 30, and 60 min. The resulting samples were characterized using energy dispersive X-ray spectroscopy equipped with scanning electron microscopy (SEM-EDS), Kaiser test, X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), and Raman scattering spectroscopy.
The atomic concentrations estimated from EDS showed the decrease of fluorine atoms and the increase of nitrogen atoms in the samples after the ammonia gas reactions. Moreover, the results of Kaiser test indicated that the samples reacted at the temperature range of 298-473 K were modified with primary amino groups. In the range of 573-873 K, substitutional nitrogen atoms are considered to be introduced to the nanotube network because the Kaiser test results show no signal derived from primary amino groups. The XPS spectra of the N1s region of the samples also suggested that aminated SWCNTs were synthesized at low temperature up to 473 K and substitutional nitrogen doped SWCNTs were produced at high temperature above 573 K. TEM images showed that aminated SWCNTs retained the nanotube structure. On the other hand, substitutional nitrogen doped SWCNTs had a turbostratic structure, which is considered to be due to the incorporation of nitrogen atoms to the nanotube skeleton. From the existence of the radial breathing mode in the Raman scattering spectra of substitutional nitrogen doped SWCNTs, the nanotube structure of some substitutional nitrogen doped SWCNTs is suggested to be maintained. As a reference, we reacted 10 mg of hc-SWCNTs with ammonia gas in the same experimental manner. As the results, hc-SWCNTs have no functional groups, indicating that fluorine groups introduced to SWCNT surface are able to promote the surface modification of nitrogen containing functional and substitutional species.
9:00 AM - T6.14
Flexible Li-S Battery Cathode: Bottom-Up Carbon Nanotube/Graphene Hybrid Film
Hongjie Peng 1 Jia-Qi Huang 1 Qiang Zhang 1 Xinyan Liu 1 2 Zhe Yuan 1 Lin Zhu 1 3 Fei Wei 1
1Department of Chemical Engineering, Tsinghua University Beijing China2Department of Chemical Engineering, Stanford University Stanford United States3Department of Chemical Engineering, Qufu Normal University Qufu China
Show AbstractThe successful demonstration of flat-panel displays, thin-film solar cells, wearable sensors, and artificial skins starts an era of flexible electronics, leading to their new applications in rollable displays. Driven by the growing demand for electronics permitting lightweight design, portability, and low manufacturing cost, the flexible electronics have been highly concerned by scinetific communities as well as material and electronic industries. However, these flexible electronics cannot be realized unless corresponding power sources are developed. Beyond conventional Li-ion batteries, Li-S batteries with a theoretical energy density of 2600 Wh kg-1 have been strongly considered as a promising candidate for flexible power sources. The first step is to rationally design a flexible S composite cathode with full utilization of active materials, high stability, and good flexibility, which still remains a great challenge.
Superlong carbon nanotube (CNT) is an intriguing component to construct highly efficient scaffold for electron transport and mechanical duration due to its intrinsic extraordinary electrical conductivity and mechanical strength. Graphene, on the other hand, has shown great potential as energy storage materials for its high charge mobility, tunable surface, and abundant porosity. Herein, superlong CNTs and graphene-based units were bottom-up assembled into hybrid films by facile solution-processable routes as advanced flexible Li-S cathode scaffold: (1) Hierarchical CNT-S films supporting ultrahigh areal S loading of 17.3 mg cm-2 and exhibiting unparalleled areal capacity of 15.1 mAh cm-2, which was 2-10 times higher than typical Li-S batteries; (2) CNT/graphene-like carbon nanocage-S films derived from in-situ chemical vapor deposition, possessing electrical conductivity of 62.9 S cm-1 and manifesting favorable high-rate performance of 750 mAh g-1 (1.7 mAh cm-2) at current density of 19.2 mA cm-2; (3) CNT/hollow graphene nanoshell-S films with superior cycling stability of 90 % capacity retention after 500 cycles. Such CNT/graphene hybrid films fully inherited the advantages of superlong CNTs and graphene-based materials and thus exhibited great potential as electrode prototypes for integrated flexible systems driven by various flexible energy storage devices such as Li-S batteries, Li/Na-ion batteries, metal-O2 batteries, and supercapacitors.
9:00 AM - T6.15
Enhanced Interfacial Properties of Graphene-Metal Junctions with Focused Electron Beam Induced Deposition (FEBID) of Graphitic Interlayer
Songkil Kim 2 Michael Russell 2 Dhaval Kulkarni 2 Mathias Henry 2 Marius Chyasnavichyus 2 Steve S Kim 3 Rajesh Naik 3 Andrey Voevodin 3 Seung Soon Jang 1 Vladimir Tsukruk 2 Andrei G. Fedorov 2
1Georgia Inst of Technology Atlanta United States2Georgia Institute of Technology Atlanta United States3AFRL Wright-Patterson United States
Show AbstractAbstract
Engineering of graphene-metal junctions has been under intense exploration in order to reduce electrical contact resistance of the junctions, which is one of significant technological challenges in integration of graphene with CMOS circuits. Focused Electron Beam Induced Deposition (FEBID) is an emerging chemical vapor deposition (CVD) method, which enables a resist-free “direct-write” additive nanomanufacturing using a variety of materials with a high degree of spatial and time-domain control. FEBID offers a unique potential to engineer graphene-metal interfaces with nanoscale resolution.
In this work, we utilized the FEBID technique to improve interfacial properties at the graphene-metal junction by forming graphitic nanojoints. A fabrication protocol for a buried interfacial metal-graphene “coupling” interlayer at the CVD-grown monolayer graphene and metal junctions is described along with results of comprehensive morphological, compositional and electrical characterization. The FEBID graphitic interlayer was controllably formed by varying electron dose, changing structural composition of graphene under metal contacts. It improved thermo-mechanical and electrical properties of the graphene-metal interface, resulting in stable Ohmic contact and ~80% reduction in contact resistivity compared to a standard metal contact, measured using the transmission line method (TLM).
Acknowledgement: The authors would like to thank Dr. Roman Caudillo (Intel) and Dr. An Chen (Global Foundries) for helpful discussions and Ivan Tibavinsky for assistance with fabrication of test substrates. This work was supported by Semiconductor Research Corporation (GRC Contract 2011-OJ-2221), AFOSR BIONIC Center (Award No. FA9550-09-1-0162), and U.S. Department of Energy, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering (Award Number DE-SC0010729).
9:00 AM - T6.16
A Simple and Rapid Synthesis of Defect Free Graphene for Various Applications
Umesh Moger 1
1JNCASR Bangalore India
Show AbstractTo date, chemical vapour deposition (CVD) methods are mainly employed for large area synthesis of graphene. However, these CVD processes can be energy intensive, time consuming and have other difficulties like precise control of gaseous units, usage of hydrogen etc. Here, we report a simple and rapid hydrogen free synthesis of graphene by joule heating a Ni foil in an ordinary vacuum. In the present method, the use of gaseous sources like methane or hydrogen is completely avoided; instead, a simple, safe and affordable aromatic solid hydrocarbon sources are used. The energy requirement is low as only the Ni foil is heated unlike in thermal CVD, where whole set up needs to be heated up making it an environmental benign method. The graphene thus grown efficiently protects the Ni surface against corrosion and thermal oxidation even at elevated temperatures. Also graphene effectively shields the magnetic signal from underlying Ni foil, acting as a magnetic shielding material which was confirmed by MFM and bulk magnetic measurements. This synthetic approach has a great potential for widespread use as it is low cost and adaptable to metal surfaces of complex curvatures.
9:00 AM - T6.17
Controllable Growth and Microstructural Transformation of Carbon Nanofibers under High Magnetic Field
Chengzhi Luo 1 Chunxu Pan 1
1Wuhan University Wuhan China
Show AbstractIt has been well-known that electric and magnetic fields can control the growth direction, morphology and microstructure of one-dimensional carbon nanomaterials (1-DCNMs), which plays a key role for its potential applications in micro-nano-electrics and devices. In the present work, we introduce a facile and effective process for controlling growth of carbon nanofibers (CNFs) with assistance of a strong magnetic field (up to 0.5 T in the center) in a chemical vapor deposition (CVD) system. The results revealed that: 1) CNFs grew along the diection of magnetic field line; 2) The CNFs diameter became narrowed and homogenised as increase of the magnetic field strength; 3) With the increase of the magnetic field strength, the crystallinity of CNFs was graduatly improved, i.e., the strong magnetic field made the disordered “solid-cored” CNFs transformed into the ordered “bamboo-liked” carbon nanotubes (CNTs); 4) We proposed a mechanism that the reason for these variation and transformation was due to the diamagnetic property of carbon atoms, so that it has direction selectivity in the precipitation process. This work is expected to have wide potential applications in micro-nano-electrics and devices.
9:00 AM - T6.18
Carbon Nanotube (CNT) Reinforced Magnesium Composites under Compression Loading
Qizhen Li 1 2 Bing Tian 1 3
1University of Nevada, Reno Reno United States2Washington State University Pullman United States3Harbin Engineering University Harbin China
Show AbstractCarbon nanotube (CNT)-reinforced magnesium (Mg) matrix composites were synthesized and tested compressively along the plane normal and in-plane orientations. Yield strengths of composites were significantly increased by 35-129% compared with that of pure Mg. With the increase of CNT weight percentage, yield strength first increased until reaching a critical CNT weight percentage and then decreased. Twinning operated in the in-plane samples when CNT weight percentage was less than or equal to 0.5%, whereas twinning operation was not observed in all plane normal samples and the in-plane samples with 1% or higher CNT weight percentage. Severe plastic deformation was exhibited in fracture surface images with low magnification, whereas intrinsic brittle fracture feature was observed under high magnification. A theoretical model incorporating the Orowan strengthening and the thermal expansion mismatch strengthening was utilized and made good yield strength predictions.
9:00 AM - T6.19
Three-Dimensional Strutted-Graphene and its Supercapacitors
Xue-Bin Wang 1 Xiangfen Jiang 1
1National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractGraphene, as an outstanding representative of two-dimensional (2D) crystals, offers unique physics and exciting functionalities. Unfortunately, the poor inter-sheet connections between isolated graphene flakes as building blocks break the continual pathway for electron and phonon transports. Unavoidable re-stacking and agglomeration caused by Van der Waals forces-induced adhesion in standard graphene products diminishes the accessible surface area. 3D designed graphene architectures are thus most desired to bring all the extraordinary nanoscale properties of individual graphene flakes to macroscopic graphene assemblies. Some applications based on large-quantity graphenes, e.g. adsorbents, supports, electrodes and additives, prefer a 3D graphene rather than a graphene powder. However, interconnected self-supported reproducible 3D graphenes are still big challenges. Here, I talk about a novel "sugar blowing" approach based on a polymeric predecessor to synthesize a new 3D graphene bubble-network, i.e. strutted graphene. The synthesis route is analogous to an ancient food art of "blown sugar". Strutted graphene consists of mono-/few-layered graphitic membranes tightly "glued", rigidly fixed and spatially scaffolded by micrometer-scale graphitic struts. Such topological configuration provides intimate structural interconnectivities, freeway for electron/phonon transports, huge accessible surface area, as well as robust mechanical properties. Strutted graphene overcomes the drawbacks of presently available 3D graphene products and opens up a wide horizon for diverse practical usages, e.g. highest-power electrochemical capacitors.[1] The "blowing" approach is also extended to product BN nanosheets within the B-C-N system,[2] which are used for highly thermoconductive insulating polymeric composites.
References:
[1] X.B. Wang, Y.J. Zhang, C.Y. Zhi, X. Wang, D.M. Tang, Y.B. Xu, Q.H. Weng, X.F. Jiang, M. Mitome, D. Golberg, Y. Bando, Nat. Commun.4, 2905 (2013).
[2] X.B. Wang, C.Y Zhi, L. Li, H.B. Zeng, C. Li, M. Mitome, D. Golberg, Y. Bando, Adv. Mater., 23, 4072 (2011).
9:00 AM - T6.20
Highly Selective Detections of Toxic Gas Molecules Using Magnetism of Transition-Metal/Graphene Composites
Heechae Choi 1 Minho Lee 1 Seungchul Kim 1 Kwang-Ryeol Lee 1 Yong-Chae Chung 2
1KIST Seoul Korea (the Republic of)2Hanyang University Seoul Korea (the Republic of)
Show AbstractWe suggest for the first time that magnetic properties of transition metal-embedded nanostructures can be used in highly selective gas-sensing applications compared to the conventional electricity-based systems. Using first-principles calculations, we demonstrated that magnetism of surface atoms on pure and nitrogen-doped graphene can be used to identify the kind of existing gas molecules via spin-reorientation and/or demagnetizations which are caused by the reconfigurations of 3d electron energy levels of transition metal atoms. Adsorption of each gas molecule alters the direction and magnitude of spin moments of cobalt and iron atoms on defect-free graphene surface [H. Choi, et al., Dalton Trans. 43, 13070 (2014).]. In addition, we screened all embeddings of 3d-transition metal single atoms on the nitrogen-doped graphene structures (pyridinic, pyrrolic, and graphitic) to investigate the preferences of transition metal atom dispersions at porous sites over metal-metal clustering even in high temperature. From our screening calculations, vanadium atom was found to bind on pyridinic graphene with highest binding energy (Eb), which indicates the easiness of syntheses in various methods. The magnetic moment of vanadium-embedded pyridinic graphene was frustrated only by the adsorption of nitrogen monoxide (NO) (2.7mu;B to 0.0mu;B) out of all other gas species including atmospheric gas molecules (H2S, CO, NO2, N2, O2, H2O). Energetic stability (Eb >> kBT) of vanadium on pyridinic graphene and selective sensing of NO molecule are good advantages over the previously suggested magnetic graphene gas sensor systems.
9:00 AM - T6.21
Lateral Damage in Graphene Carved by High Energy Focused Gallium Ion Beams
Zhongquan Liao 1 2 3 Tao Zhang 4 Martin Gall 1 Arezoo Dianat 3 Ruediger Rosenkranz 1 Rainer Jordan 4 Gianaurelio Cuniberti 3 Ehrenfried Zschech 1 2
1Fraunhofer Institute for Ceramic Technologies and Systems Dresden Germany2Dresden Center for Nanoanalysis, Technische Universitauml;t Dresden Dresden Germany3Institute for Materials Science, Technische Universitauml;t Dresden Dresden Germany4Technische Universitauml;t Dresden Dresden Germany
Show AbstractAs the first isolated two-dimensional (2D) crystal material [1], graphene shows tremendous potential in different applications. One of the exciting possible applications is in the area of novel electronic devices [2], which involves a sophisticated structure fabrication (patterning) in most cases. However, considerable challenges exist in the patterning of graphene due to its single layer characteristics and the sensitivity of its intrinsic properties to the geometry, residue, and the damage from the patterning [3].
The focused ion beam (FIB) technology has been successfully used to fabricate nanostructures in various materials science and biomaterials fields [4]. It is a highly flexible technique which allows direct and very localized surface modification. Therefore, continued efforts have been devoted to develop FIB lithography to fabricate nanostructural arrays of graphene [5], which is essential for the device application of graphene.
Raman spectroscopy is a well-established, fast, and non-destructive technique to investigate the effects of defects, edges, layers and strain on graphene [6]. There are two most distinctive peaks in the Raman spectrum of pristine graphene, which are located at around 1580 (G) and 2680 (2D) cm-1. However, the features around 1345 (D) and 1620 (D') cm-1 are possible to be observed when the symmetry is disturbed in the graphene due to the presence of defects and/or edges. The D' peak usually appears when the pristine graphene is heavily destructed. The ratio between the intensities of the D and G peaks (ID/IG) is an effective method to detect the density of defects and the evolution of disorder [7].
In the current study, a dual column microscope equipped with a focused Ga+ ion beam is used to modify the graphene samples. Different ion beam currents and doses are chosen to irradiate the graphene. The modifications, especially the lateral damage in the graphene out of the irradiated area, are characterized using Raman spectroscopy. The result shows that the severe lateral damage in the graphene out of the irradiated area extends to several µm away even using a very low beam current (1 pA), which should raise the attention on patterning graphene nanostructures using the FIB technique.
[1] A. K. Geim, K. S. Novoselov, Nat. Mater.2007, 6, 183.
[2] K. S. Novoselov, V. I. Falko, L. Colombo, P. R. Gellert, M. G. Schwab, K. Kim, Nature2012, 490, 192.
[3] K. S. Novoselov, Z. Jiang, Y. Zhang, S. V. Morozov, H. L. Stormer, U. Zeitler, G. S. Boebinger, P. Kim, A. K. Geim, Science2007, 315, 1379.
[4] N. Bassim, K. Scott, L. A. Giannuzzi, MRS Bull.2014, 39, 317.
[5] Y. Zhou, Q. Bao, B. Varghese, L. Tang, T. Khim, C. Sow, K. Loh, Adv. Mater.2010, 22, 67.
[6] A. C. Ferrari, D. M. Basko, Nat. Nanotechnol.2013, 8, 235.
[7] M. M. Lucchese, F. Stauale, E. H. M. Ferreira, C. Vilani, M. V. O. Moutinho, R. B. Capaz, C. A. Achete, A. Jorio, Carbon2010, 48, 1592.
9:00 AM - T6.22
Functionalization of Graphene Grown on C-Face SiC with Aluminum
Chao Xia 1 Leif I Johansson 1 Yuran Niu 2 Alexei A. Zakharov 2 Lars Hultman 1 Chariya Virojanadara 1
1Linkouml;ping University Linkouml;ping Sweden2Lund University Lund Sweden
Show AbstractEpitaxial graphene grown by thermal sublimation of SiC is considered as one potential method for large area graphene fabrication directly on insulator [1]. This method is compatible to the existing CMOS fabrication technology as well.
One order of magnitude higher mobility was reported in the graphene grown on the C-face SiC compare to that grown on the Si-face SiC [2], even though smaller domain size and thicker graphene layers were typically obtained on C-face SiC.
Surface functionalization can also be employed to tune the electronic properties of graphene grown on c-face SiC. However, very limited works about surface functionalization of graphene grown on this face have been reported so far compared to those on Si-face. Here we demonstrate the electronic structure evolution after Aluminum (Al) deposition and successive annealing process on graphene grown on c-face SiC by using low energy electron diffraction (LEED), core-level photoelectron spectroscopy (PES) and angle resolved photoemission (ARPES) [3]. Elevated temperature of about 400°C is found to trigger the Al intercalation through graphene layer. Aluminum silicide was observed after further annealing above 600°C. Surprising elimination and re-growth of graphene phenomena are observed during Al intercalation/de-intercalation process which has not been observed earlier.
[1] Hass J; et al. J Phys Condens Matter 2008;20:323202.
[2] Srivastava N; et al. Phys Rev B 2010;82:235406.
[3] Xia C; et al. to be published 2014.
9:00 AM - T6.23
Hexagonal Growth Defects in CVD Graphene Grown on Liquid Copper Substrates Due to Etching from the Molybdenum Crucible
Maryam Saeed 1 3 Ian Kinloch 1 Brian Derby 2
1University of Manchester Manchester United Kingdom2Univ of Manchester Manchester United Kingdom3Kuwait Institute for Scientific Research Kuwait Kuwait
Show AbstractThe chemical vapour deposition (CVD) of graphene on liquid substrates is a potential production route for large area, single domain monolayer graphene due to the uniformity of a liquid surface. We have grown graphene on a liquid copper substrate using a hydrogen/methane feedstock. The copper was held in a crucible made from either tungsten or molybdenum to prevent it balling upon melting. The graphene grown on the tungsten crucible was found to be high quality with relatively few defects, whereas hexagonal holes several 10&’s of microns in diameter were found in the graphene produced in the molybdenum crucible. Control experiments combined with Raman spectroscopy, electron microscopy and elemental analysis suggest that the defects are caused by molybdenum etching. The CVD growth parameters including time and temperature were investigated to study their effect on the etching.
9:00 AM - T6.24
Scalable Nanoparticle Assembly on Carbon Nanotubes using Instant Xe-Flash Induced Solid-State Dewetting
Ameya Kulkarni 1 Xingru Yan 2 Jong Eun Ryu 1 Zhanhu Guo 2 Ilwoo Seok 3
1Indiana University - Purdue University Indianapolis Indianapolis United States2Lamar University Beaumont United States3Arkansas State University Jonesboro United States
Show AbstractCarbon nanotubes (CNTs) have been applied in numerous types of reactive surfaces to improve surface area and electrical conductivity. Specific functionality, such as bio-chemical catalyst activity, can be introduced by incorporating nanoparticles (NPs) on the CNT matrix. The conventional chemical method of NP growth on CNTs requires harsh oxidation pre-treatment and repeated wash/filtration steps, which deteriorate the inherent conductivity of CNTs and the process yield. In this study, we demonstrated Au NP assembly on macro-scale CNT films under the dry and ambient conditions using Xe-flash induced solid-state dewetting. Metastable Au thin-films on CNTs were disrupted by Xe-flash and transformed into thermodynamically stable NPs. 3 nm, 6 nm, 9 nm, 15 nm, and 30 nm Au films were sputter deposited onto CNT. Xe-flash parameters, including energy density and number of pulses, were controlled to achieve the complete dewetting of the Au thin-films. Nano-islands in the as-deposited 3 nm Au thin-film were merged and formed stable NPs by a single pulse of 10 J/cm2 with 2 ms of duration. 6 nm and 9 nm Au thin-films required 20 J/cm2 and 35 J/cm2 of single flashes with 2 ms duration to dewet into stable NPs, respectively. On the other hand, 15 nm and 30 nm of Au were not completely dewetted with the control limit of energy density, 50 J/cm2. It was found that multiple consecutive pulses with short intervals effectively dewet the thicker films into particles. Complete NP formations were observed at three and four pulses with 2 ms interval for the 15 nm and 30 nm Au films on CNTs, respectively. However, the size of NPs in both samples became significantly uneven due to Ostwald ripening, where the smaller particles coalesce into larger particles, after or during dewetting of thin-films. As the control experiments of Au NP dewetting, the Au thin-films on CNTs were also annealed by the conventional furnace method until there was no further NP size growth. The size of NPs and crystal domain were characterized by Scanning Electron Microscopy (SEM) and X-ray diffraction (XRD). The stability of the dewetted NP was evaluated by Analysis of Variance (ANOVA) after each additional Xe-flash exposure. The process reliability was also examined with five samples per each Au thin-film thickness. Thermo gravimetric analysis (TGA) was performed to estimate the evaporation temperature of assembled Au NPs on CNT.
9:00 AM - T6.25
Structural and Chemical Characteristics of Thin Alumina Tunnel Barrier for Graphene Spintronic Devices
Barbara Canto 1 Cristol P. Gouvea 2 Braulio S. Archanjo 2 Joao E. Schmidt 1 Daniel Lorscheitter Baptista 1
1Instituto de Fiacute;sica, UFRGS Porto Alegre Brazil2Divisatilde;o de Metrologia de Materiais, INMETRO Duque de Caxias Brazil
Show AbstractGraphene is a potential material for spintronic applications because of the combination of its expected long spin lifetime and high electron mobility. However, previous studies showed that electrical spin injection from such ferromagnetic electrodes in direct contact with graphene is not effective because of the conductance mismatch [1]. Instead, the use of a thin insulating layer (few nanometers) acting as a tunnel barrier (tunneling contact) between the graphene layer and the metal electrodes has proven to be an e#64256;ective solution [2]. Nevertheless, complete control of tunneling barrier fabrication on graphene sheets is still distant. Barrier structural and chemical non-uniformities seem to play a crucial role in the experimental spin relaxation time values [3]. In this work, we report a detailed investigation of the structural and chemical characteristics of thin evaporated Al2O3 tunnel barriers of variable thickness grown onto single-layer graphene sheets. Advanced electron microscopy (HRTEM, STEM) and spectrum-imaging techniques were used to investigate the Co/Al2O3/graphene/SiO2 interfaces. Direct observation of pinhole contacts was achieved using FIB cross-sectional lamellas. Spatially resolved EDX spectrum profiles confirmed the presence of direct point contacts between the Co layer and the graphene. The chemical nature of the Al2O3 barriers was also analyzed using electron energy loss spectroscopy (EELS). On the whole, the high surface diffusion properties of graphene led to Volmer-Weber-like Al2O3 film growth, limiting the minimal possible thickness for complete barrier coverage onto graphene surfaces using standard Al evaporation methods.
[1] N. Tombros, C. Jozsa, M. Popinciuc, H. T. Jonkman, B. J. van Wees, Nature448, 571-574, 2007.
[2] W. Han, K. Pi, K. M. McCreary, Y. Li, J. J. I. Wong, A. G. Swartz, R. K. Kawakami, Phys. Rev. Lett.105, 167202-1 - 167202-4, 2010.
[3] W. Han, K. M. McCreary, K. Pi, W.-H. Wang, Y. Li, H. Wen, J.-R. Chen, R. K. Kawakami, J. Magn. Magn. Mat. 324, 369-381, 2012.
9:00 AM - T6.26
Reliable Determination of Two Unknowns of Complex Refractive Index (RI) of Graphene Using Two Independent Experimental Constraints
Sosan Cheon 1 Kenneth David Kihm 2 1 Hong goo Kim 3 Gyumin Lim 1 Jae Sung Park 3 Joon Sik Lee 3
1Seoul National University Seoul Korea (the Republic of)2University of Tennessee Knoxville United States3Seoul National University Seoul Korea (the Republic of)
Show AbstractAlthough various efforts have been made in determining the graphene&’s optical characteristics beyond the “universal optical conductivity” or “2.3% absorption”, the refractive index (RI) of graphene using diverse experimental and theoretical approaches have shown substantial scattering. This scattering may be attributed to the graphene sample variations or to the different measurement uncertainties associated with the different measurement techniques. However, the more fundamental origins of the large data scattering are considered to be two-fold: (1) the graphene RI is complex-valued, having two unknowns of both real and imaginary parts at a given wavelength, and (2) the ultra-thin (sub-wavelength) graphene layers do not generate strong optical responses to the far-field light making the sensitive and accurate RI detection difficult. To uniquely determine the complete set of the complex-valued graphene RI, therefore, two independent sets of measurement observables will be necessary, using two discrete measurement techniques for the same graphene sample. Furthermore, adaptation of near-field characterization methods will enhance the measurement sensitivity, since the surface-enhanced electromagnetic field exists enclosing the thin graphene layer.
We present a reliable way to determine the complex RI of graphene using two independent constraints for the two independent unknowns of the real (nG) and imaginary (kG) components, i.e., RI = nG + ikG. Tandem uses of two independent near-field measurement techniques, namely the surface plasmon resonance (SPR) angle detection and the attenuated total internal reflection (ATR) intensity measurement, allow for the unique determination of the complex RI of CVD-synthesized graphene with predictable uncertainty ranges. The complex RI of CVD-grown graphene was measured to be RIG = 2.65 + 1.27i with small variations of ±3% for three different samples with L = 1, 3, and 5. This new finding was also elaborated in comparison with published graphene RI results that were determined from a single measurement technique with various fitting schemes to optimize for the two unknowns of real and imaginary parts of complex RI of graphene.
9:00 AM - T6.27
Enhanced Supercapacitive Performance of Multilayer of Graphene Oxide and Polyaniline
E Mitchell 1 J Candler 1 R Gupta 1 P Dvornic 1 P Kahol 1
1Pittsburg State University Pittsburg United States
Show AbstractGraphene has attracted considerable interest for energy application due to its unique and tunable properties. Here, we report synthesis of multilayer films of polyaniline (PANI) and graphene oxide (GO) for supercapacitor applications. The graphene oxide was synthesized using chemical method. The X-ray diffraction study confirms the formation of graphene oxide. The multilayers of PANI and GO were deposited on indium tin oxide (ITO) electrode using cyclic voltammetry (CV) and dip coating techniques, respectively. The supercapacitive behavior of the PANI and PANI-GO electrodes were studied using cyclic voltammetry (CV) and galvanostatic charge-discharge techniques in 1 M H2SO4 solution. The electrochemical analysis confirms that the multilayer film has improved specific capacitance compared to pure polyaniline film. The specific capacitance of 201 F/g and 429 F/g was achieved for PANI and PANI-GO multilayer electrode. High electrochemical performance of the PANI-GO electrode could be due to increasing active sites for the deposition of polyaniline provided by large surface areas of graphene oxide sheets and the synergistic effect between polyaniline and graphene oxide. These results demonstrate the importance and high potential of multilayer structured electrodes for energy-storage applications.
9:00 AM - T6.28
Advanced Multifunctional Properties of Aligned Carbon Nanotube-Epoxy Composites from Carbon Nanotube Aerogel Method
Thang Quyet Tran 1 Peng Liu 1 Zeng Fan 1 Nigel H.H. Ngern 1 Hai Minh Duong 1
1National University of Singapore Singapore Singapore
Show AbstractUnlike previous methods making carbon nanotube (CNT) thin films, aligned CNT thin films in this work are synthesized directly from CNT aerogels in a CVD process. CH4/H2/He gases and ferrocene/thiophene catalysts are mixed and reacted in the reactor at 1200 0C to form the CNT aerogels. By pulling out the CNT thin films using a rod from the CNT aerogel sock, CNT thin films with 15-nm diameter MWNTs are aligned and produced continuously with a few meters per minute. The number of the aligned CNT thin film layers/ thickness can also be controlled well. The as-synthesized aligned CNT films are further condensed by acetone spray and post-treated by UV light. The aligned CNT film without any above post-treatment have a high electrical conductivity of 400S/cm.
We also develop aligned CNT-epoxy composites by infiltrating epoxy into the above aligned CNT thin films through a VARTM method. Our cost-effective fabrication method of the aligned CNT films is more advanced for developing the composites having CNT orientation control. The mechanical, electrical and optical properties of the aligned CNT epoxy composites are measured. About 2% of the aligned CNTs can enhance significantly the electrical conductivity and hardness of aligned CNT-epoxy composite films. Effects of morphologies, volume fraction, and alignment of the CNTs on the advanced multifunctional properties of the aligned CNT-epoxy compositesare also quantified.
9:00 AM - T6.29
Monolayer Graphene Formation on Transition Metal Catalysts with Finite Carbon Solubility
Andrea Cabrero-Vilatela 2 Robert Stewart Weatherup 1 Philipp Braeuninger 1 Bernhard Bayer 1 Carsten Baehtz 3 Raoul Blume 3 Robert Schloegl 3 Stephan Hofmann 2
1Cambridge Univ Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom3Fritz-Haber-Institut der Max-Planck-Gesellschaft Berlin Germany
Show AbstractA key requirement to exploit graphene&’s unique properties in applications is the development of scalable and economic production techniques that are compatible with device production processes. Chemical vapour deposition (CVD) is the most promising and versatile method to achieve this large area production without compromising material quality. CVD of graphene has been demonstrated on a range of transition metal catalysts;1,2 however further progress requires an improved understanding of the growth mechanisms and detailed role of the catalyst in determining the growth outcome.
We perform in-situ time- and depth- resolved X-ray photoelectron spectroscopy and X-ray diffraction on polycrystalline catalysts films (Co, Cu, Ni) during hydrocarbon exposures at temperatures between 500-1000°C, and subsequent cooling. We thus show that graphene grows at temperature during hydrocarbon exposure on all of the studied metal catalysts, rather than by precipitation on cooling, and that C dissolution into the catalyst subsurface plays an important role.3,4 Based on these results, we develop a generalised kinetic growth model for catalysts in which two graphene growth scenarios are identified: fast filling and slow filling.5 These two scenarios define the amount of layers synthesised where carbon delivery and carbon removal from the catalyst surface play an important role. Finding a balance between these two parameters is the key to further controlling graphene growth. We thereby identify conditions under which monolayer graphene can be formed and experimentally demonstrate that for Co, Cu, and Ni catalyst foils monolayer graphene can be uniformly stabilised.1,5 We emphasise that the finite carbon solubility of the catalyst is a key advantage, as it allows the catalyst bulk to act as a mediating carbon sink while optimized graphene growth occurs by only locally saturating the catalyst surface.1
The understanding developed in this study suggest that monolayer graphene can be grown on a wide range of transition metal catalyst, and even on alloys.3 Our results provide important insights for engineering catalytic growth of graphene to control the material properties and tailor them according to application requirements.
1. Weatherup, R. S., Dlubak, B. & Hofmann, S. Kinetic Control of Catalytic CVD for High Quality Graphene at Low Temperatures. ACS Nano6, 9996-10003 (2012).
2. Kidambi, P. R. et al. The Parameter Space of Graphene Chemical Vapor Deposition on Polycrystalline Cu. J. Phys. Chem. C116, 22492-22501 (2012).
3. Weatherup, R. S. et al. On the mechanisms of Ni-catalysed graphene chemical vapour deposition. Chemphyschem13, 2544-9 (2012).
4. Weatherup, R. S. et al. In situ characterization of alloy catalysts for low-temperature graphene growth. Nano Lett.11, 4154-60 (2011).
5. Cabrero-Vilatela, A., Weatherup, R. S., Braeuninger, P. & Hofmann, S. Monolayer graphene growth on transition metal catalysts with non-negligible carbon solubility. (2014).
9:00 AM - T6.30
Composite of Graphene Oxide Derivative as Novel Sensing Layer in Organic Field-Effect Transistor
Yebyeol Kim 1 Seonuk Park 1 Chan Eon Park 1
1POSTECH; Pohang University of Science and Technology Pohang-si Korea (the Republic of)
Show AbstractGas sensors based on organic field-effect transistors are suitable for practical sensor devices because they operate stably at room temperature, have physical advantages such as light weight and mechanical flexibility, and readily recognize analytes species by achieving diverse electrical parameters during one sweep like charge carrier mobility, threshold voltage, on-current, and subthreshold swing. To improve the sensitivity of OFET sensors, many researchers incorporated a receptor material to semiconductor layer which could make stronger interactions such as dipole-dipole interactions or hydrogen bonding with gas analytes.
In this research, we suggested a novel and simple strategy that used a new active layer: a composite of oleylamine-modified graphene oxide (OA-GO) as the receptor material and poly(9-9'-dioctyl-fluorene-co-bithiophene) (F8T2) as the polymer semiconductor. We synthesized OA-GO, which was well dispersed in the hydrophobic F8T2 domain material and also improved the interaction with gas analytes. We found that the OA-GO/F8T2 composite sensors not only exhibited high sensitivity, but also had gas selectivity because of selective interaction between OA-GO and each gas analytes which produced the different types of traps.
9:00 AM - T6.31
Sensing Mechanism of Graphene Based Ammonia Gas Sensors
Zeineb Ben Aziza 1 2 3 Qing Zhang 1 2 Dominique Baillargeat 3
1CNRS/NTU/THALES Singapore Singapore2Nanyang Technological University Singapore Singapore3CNRS-University of Limoges Limoges France
Show AbstractGraphene is a single atomic layer of carbon atoms, bound in a honeycomb lattice. Thanks to its minimum quantum conductivity and exceptionally high electron mobilities associated with its unique high crystalline two-dimensional structure and high surface to volume ratio, graphene is considered as an excellent sensing element for sensing applications [1, 2].
We have studied the response of graphene based sensors to various concentrations of ammonia gas at different temperatures using two different underlying substrates, i.e., mica and SiO2. Our devices were prepared from chemical vapour deposited (CVD) monolayer graphene. Poly(methylmethacrylate) (PMMA) assisted technique was used to transfer graphene to the two target substrates.
It was found that adsorbed NH3 molecules result in up-shifting of the Fermi level in graphene initially p-doped, leading to an increase in graphene resistance. In comparison with SiO2 supporting substrate, mica which is found to induce more p-doping to graphene leads to a higher graphene sensitivity. We have also found that the sensitivity of the sensors is strongly decreased in the absence of oxygen, similar to the results observed with SWNTs [3].
[1] Novoselov K S et al. Nature 438, 2005, 197-200.
[2] Bolotin, K I et al. Solid State Commun. 146, 2008, 351-5.
[3] Ning P et al. NanoLetters 2009, Vol.9, No.4, 1626-1630.
9:00 AM - T6.32
Xylene Adsorption on Transition Metal-Doped Graphene: A First-Principles Study
Anchalee Junkaew 1 Chompoonut Rungnim 2 Manaschai Kunaseth 2 Jittima Meeprasert 2 Supawadee Namuangruk 2
1NANOTEC, National Science and Technology Development Agency Pathum Thani Thailand2NANOTEC, National Science and Technology Development Agency Pathum Thani Thailand
Show AbstractVolatile organic compounds (VOCs) are toxic and odorous. Among VOCs compounds emitted from solvent based paints, aromatic compounds such as xylenes, toluene and ethylenebenzene are mostly found in air released from automotive industries. Various materials such as activated carbon (AC), zeolite, metal organic frameworks (MOFs) and metal-doped metal oxides have been proposed for removing VOCs. Nowadays, AC is the mostly used adsorbent for VOCs removal due to their inexpensive cost, large surface area and a variety of pore sizes. Activated carbons impregnated with transition metals have been proposed for enhancing the VOC treatment efficiency in AC. In this work, the adsorption of the xylene compound on transition metal-supported graphene has been investigated by using Density Functional Theory (DFT) calculations. Atomic palladium (Pd), gold (Au), silver (Ag) and their small clusters deposited on pristine and defective graphenes are used as the adsorbents. Structural, energetic and electronic charge properties have been investigated for understanding the adsorption process. The xylene adsorption efficiency in these adsorbents has been compared. These insights are valuable for applying to other VOC compounds and are useful for developing carbon-based materials for VOC removal.
9:00 AM - T6.33
Spatially Heterogeneous Reduction Kinetics within Individual Single-Layer Graphene Oxide Sheets
Matthew Paul McDonald 1 Ahmed Eltom 2 Yurii Morozov 1 Masaru Kuno 1
1University of Notre Dame Notre Dame United States2University of Waterloo Waterloo Canada
Show AbstractGraphene oxide (GO) is an important precursor in the production of chemically derived graphene. Unlike graphene, GO possesses a band gap that can be tuned based on the degree of oxidation. Doping and chemical functionalization are also possible, illustrating GO&’s immense potential in creating functional devices through control of local hybridization. Here we show that individual single-layer GO flakes undergo photolysis when exposed to low-intensity CW laser light (405 nm; I~100-300 Wcm-2). The reduction reaction is followed in real time through sizable decreases in GO&’s photoluminescence efficiency along with spectral blueshifts. Continued photolysis of as-produced reduced graphene oxide (rGO) sheets results in dramatic emission enhancements and spectral redshifts. Both GO&’s reduction and subsequent conversion to photobrightened rGO are captured through time-resolved widefield photoluminescence movies that show how photolysis spreads across the sheet “like a fire”. By analyzing photoluminescence trajectories in each pixel (pixel size~250 nm) of these movies, we elucidate the spatial evolution of GO&’s reduction kinetics. Extracted rate constants are compiled into rate maps that illustrate sizable spatial and temporal heterogeneities in sp2 domain growth and reveal how reduction “flows” across GO and rGO sheets. The observed heterogeneous reduction kinetics provides mechanistic insight into GO&’s conversion to chemically derived graphene and highlights opportunities for overcoming its dynamic, chemical disorder.
9:00 AM - T6.34
3D Printing of Periodic, Hierarchical Graphene Structures
Marcus A. Worsley 1 Cheng Zhu 1 Eric B. Duoss 1 Theodore Baumann 1 Juergen Biener 1 Christopher M Spadaccini 1
1Lawrence Livermore National Laboratory Livermore United States
Show AbstractGraphene aerogels are typically micro- and mesoporous (pores <50 nm), ultra-lighweight, conductive materials that can achieve surface areas in excess of 1000 m2/g. As such, they are used in a wide range of applications ranging including catalysts and catalyst supports, energy storage and conversion, and sorbents for water purification. Aerogels are made via the sol-gel process, in which a reaction solution is gelled and the solvent is extracted in such a way as to leave the porous solid matrix intact. Though their pore size can typically be tuned by varying the synthetic parameters of the sol-gel process, limitations do exist and the orientation of the pores are random. For applications that require fast or anisotropic mass transport through the aerogel, alternative methods for incorporating larger, engineered pore networks into the aerogel structure are desired. Herein, we report the development of direct ink writing (DIW) sol-gel materials, which upon drying become DIW aerogels. These DIW aerogels are hierarchical structures that span the nano-, milli-, micro-, and centimeter regimes. The engineered pore network provides novel control of mass transport through the structure while maintaining the unique mechanical, electrical, and textural properties of the aerogel.
9:00 AM - T6.36
Cobalt Sulfide-Graphene (CoSG) Composite Based Electrochemical Double Layer Capacitors
Ramachandran R 1 A. Nirmala Grace 1 Subramaniam Chittur K 2
1VIT University Vellore India2VIT University Vellore India
Show Abstract
Electrochemical Double Layer Capacitors, EDLC, using Cobalt sulfide-Graphene (CoSG) composite electrodes, were fabricated and the storage dynamics was studied. CoSG composite was prepared by a simple chemical route. X-ray diffraction (XRD), Fourier Transform Infrared Spectrometer (FTIR), Thermogravimetric Analysis (TGA) and Field Emission scanning Electron microscope (FESEM) techniques were used to characterized the as prepared composites which indicated formation of Co9S8 phase. Solutions of perfluorosulfonic acid and Polyvinylidene Fluoride (PVDF) were used as binding material for electrode fabrication. The storage capacitance of composite was studied in 1M KCl and 6M KOH electrolytes using standard electrochemical techniques. The electrochemical characteristics of theEDLCs were studied by cyclic voltammetry, CV, electrochemical impedance spectroscopy, EIS, and discharge profiles. The capacitance was estimated for various binder concentrations using both the electrolytes. The concentration of perflurosulfonic binder of 0.8 wt% and PVDF of 0.04 wt% showed optimized specific specific capacitances of 657.8 F/gm and 1418.8 F/g, respectively, for the CoSG composite.
Some of the problems in activated carbon like varying micro or meso pores, poor ion mobility due to varying pore distribution, low electrical conductivity, can be circumvented by using Graphene and composites of Graphene. Graphene in various structural nomenclatures have been used by various groups for charge storage. The capacitance of the EDLC was highly dependant on the sweep rate. Discussions on the mechanism of storage and sweep rates will be presented. This would enable us to develop highly durable EDLCs with higher energy storage capacity.
Optimization of the electrode structure in terms of blend percentage, binder content and interface character in the frequency and time domain would provide excellent insight to the double layer interface structure.
9:00 AM - T6.37
Surfactant-Free, Aqueous Dispersions of Graphene
Benjamin P Dobson 1 Karl S Coleman 1
1Durham University Durham United Kingdom
Show AbstractGraphene has the potential to be a “wonder material” but in many applications its use is hindered by a number of challenges: a lack of large scale synthetic methods and its inherent insolubility in many common solvents. Whilst the production of graphene via the graphene oxide route, forming reduced graphene oxide, has done much to provide a scalable route for the synthesis of graphene; challenges regarding solubility still remain. Solvents which disperse graphene well are known, but are costly and often hazardous, with high boiling points such as N-methyl-2-pyrrolidone and dimethylformamide which hinders their use in industry.
Current methods for improving the dispersibility of graphene and reduced graphene oxide in more desirable solvents such as water and ethanol either use covalent modification or non-covalent dispersing agents. Both of these processes impact on the properties of the dispersed graphene. Covalent modification disrupts graphene&’s sp2 hybridised network, reducing both electronic and physical properties while non-covalent modification leads to the presence of residual dispersing agents on the surface of graphene sheets, which can only be removed by extensive post processing.
We present an alternative method for improving the dispersibility of reduced graphene oxide in commonly used polar solvents, such as water and ethanol, using a new predispersion method. Dispersions in water produced using this process have measured concentrations of 20 ± 2 mu;g ml-1 (compared to 3.1 ± 0.3 mu;g ml-1 for conventionally dispersed reduced graphene oxide) and predispersion has no measurable effect on the quality of the material. This process is surfactant free and does not involve covalent modification meaning that the properties of the dispersed reduced graphene oxide are not altered which is essential in applications including thin films, thermal fluids and lubricants.
9:00 AM - T6.38
Electronic Structure of ABC-Stacked Multilayer Graphene
Celal Yelgel 1
1Recep Tayyip Erdogan University Rize Turkey
Show AbstractWe present an extensive density functional theory (DFT) based investigation of the electronic structures of ABC-stacked N-layer graphene. It is found that for such systems the dispersion relations of the highest valence and the lowest conduction bands near the K point in the Brillouin
zone are characterised by a mixture of cubic, parabolic, and linear behaviours. We note that all states in the vicinity of the Fermi energy are surface states originated from the top and/or bottom surface of all the systems considered.
9:00 AM - T6.39
Nanoscale Electronic Phenomena in Horizontally Aligned Single Walled Carbon Nanotubes Arrays Revealed by Scan Probe Microwave Reflectance
Eric Seabron 1 Scott MacLaren 1 Xu Xie 1 Slava V. Rotkin 2 John A. Rogers 3 William Wilson 1
1University of Illinois - Urbana Champaign Urbana United States2Lehigh Univ Bethlehem United States3University of Illinois Urbana United States
Show AbstractFor the last fifty years the engineering community have nearly perfected integrated circuit technology by shrinking CMOS transistors, lowering the cost and power requirements of the building blocks for all computation. As transistor technologies approach fundamental barriers, Single Walled Carbon Nanotubes (SWCNT) has emerged as one material option for producing the next generation high performance devices. Recently there have been many breakthroughs in the processing of SWCNTs arrays for electronic devices spanning alignment, densification, doping, and purification. However in order to advance processing research, a suite of better characterization tools must be developed that investigate nanotube electronic properties at the nanoscale before and after device processing. We show that Microwave Impedance Microscopy (MIM) has the capability of “non-destructively” mapping individual SWNT extrinsic electrical properties in aligned arrays with nanoscale resolution (<50nm). Moreover we show using a newly developed modulated version of MIM, Microwave Impedance Modulation Microscopy MIM2 , that the details of electronic structure such as the density of states, bandgap, etc, can be explored. We present a theoretical model of the nanoprobe - nanotube interaction which will allows us to qualitatively correlate tube electronic properties. We show MIM and MIM2 are scan probe techniques that enable insight into many poorly understood phenomena encountered during CNT device fabrication including: the effectiveness of purification techniques, growth dynamics, environmental doping, bundling, and metal contact interactions.
9:00 AM - T6.40
Carbon Nanotubes as Nanofluidic Channels
Shirui Guo 1 Steven Buchsbaum 1 2 Ngoc Bui 1 Eric R Meshot 1 Sangil Kim 1 KuangJen Wu 1 Francesco Fornasiero 1
1Lawrence Livermore National Laboratory Livermore United States2University of California, Irvine Irvine United States
Show AbstractThe recently-reported exceptionally-fast fluid transport rates in carbon nanotubes (CNT) spurred great interest for their application as nanofluidic channels in several areas ranging from desalination and carbon capture, to drug delivery and protein separation. However, controversies still exists in the literature on the origin and magnitude of the observed transport rates for the various solution components (water, ions, molecules, etc.) under different driving forces (pressure, electric field, concentration gradients).
Here, we highlight experimental work performed in our laboratory directed toward: a) a precise CNT-membrane flux quantification by a through characterization of the CNT pore structure with synchrotron x-ray techniques; b) a fundamental understanding of the selectivity of these pores for electrolyte solutions under a pressure driving force; c) elucidating electric-field driven ion transport in a single CNT nanopore; d) the exploitation of CNT fast flow for the realization of ultrabreathable and protective CNT fabrics. For our studies, we used ceramic or polymeric membranes with well-aligned, a-few-nm wide CNTs as only through-pores. With a combination of x-ray scattering and attenuation measurements, we demonstrate the quantification of CNT pore diameter, density, and tortuosity needed for a precise CNT flux determination. We provide evidences of a pH-tunable ion selectivity in narrow CNT pores that is dominated by electrostatic interactions between carboxylic groups at the CNT tips and the ions in solution. With single-pore ionic conductance (G) measurements, we reveal giant ionic currents in CNT pores that follow an unusual power law concentration dependence (G~cn, n<1). Finally, we show that the flexible CNT membranes under development for the breathable and protective fabric application provide water vapor transport rates that are comparable to or exceeding state-of-art breathable fabrics at all relative humidities. Moreover, these tiny pores enable simultaneous passive protection from biological threats by size exclusion.
This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.
9:00 AM - T6.41
Palladium Nanoparticles Decorated Electrostatically Functionalized Multiwalled Carbon Nanotubes as a Non Enzymatic Glucose Sensor
Vasuda Bhatia 1 Bhawana Singh 1 Vinod Kumar Jain 1
1Amity University Noida India
Show AbstractElectrostatically functionalized multiwalled carbon nanotubes (MWCNTs) have been dcoarted with palladium (Pd) nanoparticles of diameter in the range of 5 ~ 9 nm. The Pd nanoparticles have been prepared via in-situ ultrasonication process at room temperatur and the electrodes have been fabricated by thermal embedding technique onto flexible substrates. The electrochemical performance of the electrodes for the detection of glucose have been investigated by cyclic voltammetry and amperometry procedures. The electrodes display a linear response to the detection of glucose in the range of 1mM to 22mM with a sensitivity of 1275 µA mM-1cm-2, and a detection limit of 0.2 µM (signal / noise = 3). The response time is about 1s with addition of glucose and the recovery time is 10s. More importantly, the electrode is highly resistant against poisoning by chloride ion and the interference from the oxidation of common interfering agents such as ascorbic acid, uric acid and other carbohydrate compounds is strictly avoided. The electrodes have also been used to analyze glucose concentrations in human serum samples. The electrode exhibits an enhanced electrocatalytic property, high sensitivity, excellent selectivity, good stability, and fast amperometric sensing towards oxidation of glucose, thus is promising for the future development of non enzymatic glucose sensors.
9:00 AM - T6.42
Improving Chemical Sensitivity of Defect-Modulated Carbon Nanotube by In-Situ Loading during Growth
Jungwook Choi 1 2 Jongbaeg Kim 2
1Purdue University West Lafayette United States2Yonsei University Seoul Korea (the Republic of)
Show AbstractWe have developed carbon nanotube (CNT) based chemical sensors with enhanced sensitivity by defects modulation of the CNTs. Defects in the CNTs increased by applying larger vertical load during its growth by chemical vapor deposition (CVD), which resulted in higher responsiveness over 1.77-2.02 times toward NO2 and ethanol vapors. Sensitivity of CNT-based sensors depends strongly on the amount of defects presented in CNTs since the defects provide adsorption sites for chemical vapors. Accordingly, numerous approaches have been suggested to control the defects in the CNTs including Joule heating, oxidation, and ion irradiation. However, those methods require repetitive growth steps and post-growth treatments to obtain the CNTs with various defective states, which increase process complexity and decrease throughput. Recently, we reported self-adjusted growth and length-controlled in-plane integration of aligned CNTs on microstructures. Utilizing the similar growth mechanism, here we demonstrate CNT-based chemical sensors with advanced sensing responses by microspring-assisted defect modulation of CNTs in single CVD step.
Two sets of CNTs were grown on facing sidewalls of both microspring and anchor by CVD, and they made contact with each other forming contact boundary. As the growth continued, the microspring was strained by extrusive force of the growing CNTs, and the deformed spring exerted vertical force on the CNTs against the growth direction. The magnitude of load can be adjusted by changing stiffness of the microspring while other growth parameters are identical, and larger vertical load induces rather buckled and less aligned CNTs. Since the increased buckling of CNTs at high growth temperature generates larger amount of topological defects, the amount of defects in the CNTs would increase as the vertical load applied during the growth increases. Therefore, chemical sensors with defect-modulated CNTs can be fabricated by designing different stiffnesses of the springs onto single substrate and through single CVD.
Amount of defects in the CNTs were analyzed using micro-Raman spectroscopy. Intensity ratio between D and G peaks of the CNTs grown in the absence of load was 0.75, but it increased to 1.02 and 1.21 when the CNTs were grown under vertical load of 27.78 and 71.61 mu;N, respectively. Finally, the sensing responsiveness was measured for NO2 and ethanol vapors. In both cases, the CNTs grown under larger vertical load showed greater change in resistance. These improved responses would be attributed to the increased amount of defects such as pentagon-heptagon pairs and vacancies, since they promote chemical adsorption on the CNTs.
In summary, defects in the CNTs can be modulated by differently applied vertical load through the microsprings during the growth, and increased defects significantly improved sensing responses toward chemical vapors.
9:00 AM - T6.43
Understanding the Discrepancy between the Quality and Yield in the Synthesis of Carbon Nanotubes
Xiao Zhang 1 Pan Li 1 Hongbo Zhang 1 Jie Liu 1
1Duke University Durham United States
Show AbstractHigh-quality carbon nanotubes (CNTs) are promising materials in many applications, such as high-strength composites and supercapacitors. However, current chemical vapor deposition (CVD) methods for mass production of CNTs suffer from the discrepancy between the quality and yield of CNTs: low-quality CNTs can be synthesized with high yield, while high-quality CNTs can only be synthesized with low yield. Here a CVD method was designed to synthesize both high-quality and low-quality CNTs with the same catalyst and precursor combination, providing a direct comparison of their syntheses conditions and growth factors. By varying the growth temperature, low-quality and high-quality CNTs were synthesized at low and high temperature, respectively. We found that the shortened catalyst lifetime with the elevated CNT growth temperature, due to the agglomeration of active metal nanoparticles, was the main reason for the significantly decreased yield of high-quality CNTs. With the addition of high melting-point metal to form alloy with the active metal nanoparticles, the deactivation process of catalyst was moderated and the catalyst lifetime was elongated, leading to great improvement of CNT yield. This understanding is an important step towards the mass production of high-quality CNTs.
9:00 AM - T6.44
One-Step Synthesis High Performance Nitrogen Doped Graphene within Ammonia Flame
Delong Li 1 Yupeng Zhang 1 Yu Chaozhi 1 Miaosheng Wang 1 Chunxu Pan 1
1Wuhan University Wuhan China
Show AbstractGraphene is a two-dimensional single-layer nanostructure of sp2-hybridized conjugated carbon atoms, and has been widely investigated in variety fields. In general, graphene needs to be modified to improve its performance in practical applications. An efficient way is to dope graphene with substituent heteroatoms such as nitrogen (N) atom, for increasing electron mobility and leading to a larger capacitance, due to its atomic size and strong valence bonds. Recently, N-doped graphene has attracted wide attentions. Some methods have been reported including chemical vapor deposition (CVD), nitrogen plasma treatment, thermal conversion of nitrogen precursor, arc discharge, hydrothermal, flame, etc. In this paper, we introduce a novel ammonia flame treatment for preparing N-doped graphene under ambient condition, which is of simple, effective, faster and economical. There are two different synthetic routes were developed, including:
1) The N-doped graphene was prepared by treating graphene oxide (GO) in the ammonia flame. The GO not only could be reduced to graphene, but also be doped with nitrogen atoms simultaneously. Furthermore, due to the special atmosphere in the ammonia flame, the N-doped graphene exhibited differences from the N-doped graphene by using other processes. Experimental results revealed: 1) the N atom concentration was 3.97 at% in the N-doped graphene; 2) the specific capacitance of the N-doped graphene was 246.4 F /g at a current density of 1A/g with high cycle stability, which was about 1.84 times higher than that of regular graphene without N-doping.
2) The N-doped graphene film was prepared from ammonia flame. During the experiment, a Ni film was selected as the catalyst for growing graphene. The microstructures and nitrogen contents of the graphene were characterized and the results reveal that: Compared with other methods, the graphene sheets from flame have more surface defects due to the environmental conditions and introduction of nitrogen atoms; N-doped graphene sheets have a dominant “pyridine-type” structure. It also provides a new mechanism for preparation of graphene by flame.
9:00 AM - T6.45
Improving Structure and Performance of Carbon Nanotube Thermal Interface Materials
Caitlin Rochford 1 Michael Siegal 1 Steven J Limmer 1 Thomas Beechem 1 Stephen W Howell 1 W. Graham Yelton 1 Douglas L. Medlin 2
1Sandia National Labs Albuquerque United States2Sandia National Labs Livermore United States
Show AbstractWe have previously presented planarized, vertically aligned multiwall carbon nanotube (MWNT) arrays grown by chemical vapor deposition from catalysts embedded in the pores of anodized aluminum oxide templates for use as a thermal interface material (TIM). Such MWNT-based TIMs are a promising alternative to conventional metal/epoxy-based TIMs because of the large thermal conductivity of MWNTs and the ability to eliminate the epoxy, a major thermal bottleneck. Without an efficient TIM between devices and their packaging material, the advantages of advanced power electronics are obscured due to the degradation of both performance and lifetime that occur with increasing temperatures. This work presents techniques to improve MWNT properties in order to improve TIM performance. Choices such as carbon feedstock gas, catalyst material, and growth conditions all significantly affect MWNT crystalline quality and array density. The impact of these choices on MWNT crystalline quality is studied with Raman spectroscopy, transmission electron microscopy, and thermal/electrical transport measurements. Efforts to apply theMWNT TIMs to actual power devices and compare the cooling performance to commercial epoxy-based TIMs are currently underway.
This work is supported by the Laboratory Directed Research and Development program at Sandia National Laboratories. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.
9:00 AM - T6.46
Theoretical Study of Wetting Transparency of Graphene on Realistic Surface
donggyu Kim 1 Seunghwa Ryu 1
1KAIST Daejeon Korea (the Republic of)
Show AbstractSince its discovery in 2012, the wetting transparency of graphene has gained significant attentions due to its versatile potential applications. However, there has been debates on the interpretation and validity of the wetting transparency. In this work, we present a more advanced theories considering water compressibility and surface roughness which have been neglected. We find thatwater compressibility affects the wetting angle predictions significantly and the experimental observations cannot be explained without considering surface roughness. Our findings reveal a more complete picture of the wetting transparency of graphene, which can be applicable to various surface engineering problems requiring wettability-tuning.
9:00 AM - T6.47
Thin Reduced Graphene Oxide and Conjugated Block Copolymer Nanocomposite Interlayer for High Performance Flexible Non-Volatile Ferroelectric Polymer Memory
Dhinesh Babu Velusamy 1 Richard Hahnkee Kim 1 Giyoung Song 1 Jinseong Lee 1 Cheolmin Park 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractPolymer ferroelectric-gate field effect transistors (Fe-FETs) employing ferroelectric polymer thin films as gate insulators are highly attractive as a next-generation non-volatile memory due to their low production cost, non-destructive memory operation, scalable feature size of 4F2 and the potential for use in emerging mobile applications.[1] In spite of the great advance in FeFET performance, there are still technological as well as scientific issues to be addressed for the better understanding of device operation and thus realization of high performance non-volatile memory. One of the most critical issues, in particular at low operation voltage is the appropriate design of interfaces of ferroelectric gate insulator with gate electrode.[2] Here, we present a new approach for improving the performance of non-volatile ferroelectric field effect transistor (FeFET) memories with ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) (PVDF-TrFE) thin films by introducing solution-processed interlayer of reduced graphene oxides (rGOs) modified with a conjugated block copolymer, poly(styrene-block-paraphenylene) (PS-b-PPP).Ultrathin polymer modified reduced graphene oxide (PMrGO) layer was interfaced between the bottom electrode and ferroelectric gate insulator layer, which is further used to fabricate capacitor and transistor architectures. When compared with devices without interlayer, FeFET fabricated with a solution processable p-type oligomeric semiconducting channel of dicyanomethylene-substitued quinoidal quaterthiophene (QQT(CN)4) exhibited reliable characteristic source-drain current hysteresis with high ON/OFF ratio ~ 1 × 104 , large memory window of 23V and leakage current level of -9 nA at a sweeping gate voltage of ±25 V. In consequence, the device shows promising read/write endurance over 100 cycles and very stable data retention longer than 6000 seconds achieved in ambient condition. Our methodology not only decreases the operating voltage but also significantly reduces the gate current leakage of the device, which is an important technical advance to adopt the ferroelectric polymers to next-generation non-volatile memory industry. Conductive rGOs enhanced the local electric field at the interface between ferroelectric so that the operating voltage was decreased, while insulating PS-b-PPP matrix offered good insulating properties which helped reducing the gate leakage current. Furthermore, our PMrGOs interlayers were successfully employed to FeFETs fabricated on mechanically flexible substrates with promising non-volatile memory performance under repetitive bending deformation, offering a great potential for use in many system-on-panel and system on-film applications.
References
[1].Y.J. Park, I.S. Bae, S. Ju Kang, J. Chang, C. Park, IEEE Trans. Dielectr. Electr. Insul., 17 (2010), pp. 1135-1163
[2].P. Heremans, G.H. Gelinck, R. Müller, K.-J. Baeg, D.-Y. Kim, Y.-Y. Noh, Chem. Mater., 23 (2010), pp. 341-358
9:00 AM - T6.48
Synthesis of Single-Layer Graphene on a Nickel-Based Droplet CVD Process
Xining Zang 1 2
1University of California Berkeley Berkeley United States2Berkeley Sensor and Actuator Berkeley United States
Show AbstractIn this paper, a novel process of “droplet CVD” for the synthesis of graphene sheets have been demonstrated. Instead of using nickel foil as the catalyst substrate for CVD synthesis of graphene. Ni droplets are naturally transformed from thin films under high temperature and used as the catalysts to grow graphene via the chemical vapor deposition (CVD) process. Metal substrates with different thickness (20nm, 30nm, 40nm, 50nm, 75nm, 105nm, and 130 nm) are used to grow graphene by this “droplet CVD” process. Graphene with low defect grown from metal droplet self-limits as single layer when the metal film is thinner than 105nm which is unique since graphene grow from Ni film under ambient pressure tends to be multilayer. Results also show that when the initial film thickness is within 75~105 nm, graphene is electrically connected while the metal film formed isolated particles. Thus a transfer process could be saved since the graphene can be directly patterned into devices, since metal particles are not electrically connected. At the meantime, nickel particle in situ doped graphene to p-type which is consistent to the result of first principle simulation of graphene-ni contact. Raman results also verifies the p-type doping with a blue shift. An intense enhancement of photocurrent generated in graphene via “droplet CVD” than pristine graphene on silicon oxide is observed in response to visible light, which mechanism is discuss in detail in the paper. Such “droplet CVD” process not only shine light on universal synthesis of graphene controlling the substrate, but also bring inspiration in photonic devices based on doped graphene.
9:00 AM - T6.49
Controllable n-type Doping of Graphene Using Functionalization During Chemical Exfoliation From Solid Carbon Sources
Pawan Kumar Srivastava 1 Subhasis Ghosh 1
1School of Physical Sciences, Jawaharlal Nehru University New Delhi India
Show AbstractGraphene is considered as one of the most promising materials for future electronics as it exhibits high charge carrier mobility with atomic thickness. The prospect of chemical doping and its use in electronic and sensing applications has been extensively studied but efficient mechanism that facilitates controlled and self encapsulated n-type doping without deteriorating the electronic properties of graphene has been lacking so far. In this paper, we report relatively easy method to achieve n-type doping in graphene layers during chemical exfoliation by choosing the suitable solvent. Graphene layers were grown by exfoliating highly ordered pyrolytic graphite (HOPG) in various organic solvents with dielectric constant (k) varying between 2.5<k<64. It has been observed that graphene layers obtained by exfoliation in high k solvents (acetone, DMF, propylene carbonate) undergo n-type doping due to functionalization. In low k solvents (toluene, chlorobenzene), Raman 2D peak was observed at ~2695 cm-1 and subsequently red sifted up to ~2650 cm-1 in case of high k solvents. To corroborate the Raman spectroscopic results, we carried out electrical measurements on graphene based field effect transistors. Field effect transistor data illustrate the monotonic shift in Dirac point to higher negative gate bias with dielectric constant of the solvents used for exfoliation of graphene layers. For graphene exfoliated in toluene, Dirac point was observed at ~ +1.0V which subsequently shifted to -30.0V in case of propylene carbonate. To evaluate the doping level more precisely, we calculated Fermi level of graphene layers using the carrier concentration obtained from electrical and Raman measurements for various monolayer graphene devices. We have observed significant increase in Fermi energy from ~40 meV in case of low k solvents to ~300 meV for graphene exfoliated in high k solvents. Red shift in 2D Raman peaks, large shift in Dirac point to negative gate bias and shift in Fermi energy with doping level as we use high k solvents for exfoliation, provide evidence of n-type doping in graphene. Moreover, this doping can be tuned effectively by using appropriate solvent for exfoliation of graphene. In addition to this, microscopic view of functionalization that gives rise to n-type doping in graphene has also been revealed using infrared absorption spectroscopy. We have compared the IR spectra of exfoliated graphene layers and respective solvents used for exfoliation. In case of low k solvents, only signature of C=C stretching was observed. Whereas, for graphene exfoliated in high k solvents, we observed various functionalities such as C=O, C-O, C-H etc which indicate some kind of functionalization in graphene&’s basal plane.
9:00 AM - T6.50
Charcoal: A Surprisingly Abundant Source of Biocompatible Near-Infrared Photoluminescent Graphene Quantum Dots for In Vitro and In Vivo Biomedical Imaging
Hildegarde Bell 1 Aneshkumar Arun Tilwani 1 Folarin Erogbogbo 1
1San Jose State University San Jose United States
Show AbstractGraphene Quantum Dots (GQDs) are of interest to the biomedical community due to their unique optoelectronic properties that may be advantageous for biology and medicine. This graphene nanomaterial poses many advantages for biomedical imaging including: bright emission,easy surface modification,biocompatibility, and anticipated low toxicity. We hypothesize that GQDs&’ with desirable optoelectronic properties (such as near infrared emission) may be synthesized from cheap carbon sources and then they can subsequently be used for effective biomedical imaging. Here, we show that these fluorescent GQDs are fabricated in a facile wet chemistry route while utilizing charcoal as the starting material.The GQDs were characterized with AFM, TEM, FTIR, PL spectroscopy, UV-Vis spectroscopy and animal imaging techniques. We found that the particles were visible in animals indicating their potential for biomedical imaging. The results showed that the GQDs exhibited excitation that spanned the UV and visible ranges and the emission that spanned the visible and near infrared ranges; GQDs were an average of 2 nm in height implying that a few layers of graphene were present in the nanoconstructs; GQDs were crystalline and the size of the nanoparticles ranged from 1 to 60 nm; GQDs were consistent with the presence of grapheneand the following functional groups: C-O, C-H, C=C, and CO2H. In conclusion, GQDs with favorable photophysical properties can be produced from affordable and widely available raw materials. With the results and data from the various tests and characterization techniques, it can be concluded that the charcoal can be modified to Graphene Quantum Dots for imaging and other biomedical application purposes.
9:00 AM - T6.52
Non-Destructive Measurement of Thermal Conductivity of Suspended Graphene
Jun Ma 1 Krishna Valavala 1 Sanjiv Sinha 1 2
1University of Illinois at Urbana-Champaign Urbana United States2University of Illinois at Urbana-Champaign Urbana United States
Show AbstractWhile it is commonly accepted that suspended graphene possesses exceptionally high thermal conductivity, the 2D transport of phonons in graphene is not fully understood. Thermal measurements on suspended graphene have been carried out using several platforms, namely, a Raman optothermal method,1 a heater-sensor platform2 and a self-heating method.3 Since there is considerable variation in the measured thermal conductivities depending on samples, it is useful to have a measurement method that can measure thermal transport in-situ in a device non-destructively. Here, we exploit a self-heating method to measure the thermal conductivity of suspended graphene, but with one key modification. Instead of using the vacuum breakdown point as a temperature reference,3 we use graphene itself as a thermometer by measurement its temperature dependent electrical resistance. This method is similar to those measuring thin metal rod4 or carbon nanotubes.5 During the measurement, a sinusoidal current (at 1omega; frequency) sets up a 2omega; temperature oscillation, which in turn creates a 3omega; voltage across the suspended graphene. The average temperature fluctuation along the sample can therefore be determined, and together with a one dimensional heat transfer model, we can extract the thermal property of the sample. The thermal conductivity of a 2 mu;m long, 1 mu;m wide graphene is about 900 W/mK at 300 K, which is significantly lower than the one measured using Raman optothermal method. We discuss reasons for the reduction in thermal conductivity and compare against other measurement techniques.
1. A. A. Balandin, S. Ghosh, W. Bao, I. Calizo, D. Teweldebrhan, F. Miao and C. N. Lau, Nano Letters 8 (3), 902-907 (2008).
2. X. Xu, L. F. C. Pereira, Y. Wang, J. Wu, K. Zhang, X. Zhao, S. Bae, C. Tinh Bui, R. Xie, J. T. L. Thong, B. H. Hong, K. P. Loh, D. Donadio, B. Li and B. Özyilmaz, Nat Commun 5 (2014).
3. V. E. Dorgan, A. Behnam, H. J. Conley, K. I. Bolotin and E. Pop, Nano Letters 13 (10), 4581-4586 (2013).
4. L. Lu, W. Yi and D. L. Zhang, Review of Scientific Instruments 72 (7), 2996-3003 (2001).
5. T. Y. Choi, D. Poulikakos, J. Tharian and U. Sennhauser, Applied Physics Letters 87 (1), - (2005).
9:00 AM - T6.53
Large Area Transfer and Optoelectronic Properties of Multilayer Epitaxial Germanane
Walid Amamou 1 Patrick Odenthal 1 Dante O'hara 1 Roland Kawakami 2
1University of California Riverside Riverside United States2Ohio State University Columbus United States
Show AbstractTwo#8208;dimensional crystals are an important class of materials for novel physics, chemistry, and engineering. Germanane (GeH), the germanium#8208;based analog of graphane (CH), is of particular interest due to its direct band gap and surface covalent functionalization. Furthermore, its large spin orbit coupling makes it possible to explore novel physical phenomena such as quantum spin hall effect at room temperature.
Currently, large area GeH films are synthesized on Ge(111) wafers using substrate reaction or molecular beam epitaxy combined with chemical processing.
This results in a high quality GeH film that is left on top of the germanium substrate. In order to perform the electrical characterization of GeH, it is required to transfer the film to an insulating substrate. This will enable fundamental studies of the electronic and optoelectronic properties of epitaxial GeH.
Transfer to insulating substrates can be achieved through mechanical exfoliation by tape or polymer stamp, however the resulting flakes are small and of low quality. Here, we demonstrate a highly efficient, nondestructive electrochemical route for the transfer of molecular beam epitaxy (MBE) GeH film from Ge(111) surfaces. The electrochemically transferred films are continuous over 95% of the surface and this process affords the advantages of high efficiency and large area transfer. This technique enables us to characterize the optoelectronic properties of epitaxial GeH after transfer such as I#8208;V characteristics and photoconductivity wavelength dependence. These results are an important step towards the realization of electronic and optoelectronic devices in this emerging 2D material.
9:00 AM - T6.54
Graphitic Schottky Contacts to Si Formed by Energetic Deposition
Mohammad Saleh N Alnassar 1 Patrick W Leech 1 Geoff K Reeves 1 Anthony S Holland 1 Desmond WM Lau 2 Dougal G McCulloch 2 Jim G Partridge 2
1RMIT University, School of Electronic and Computer Engineering Melbourne Australia2RMIT University, School of Applied Sciences Melbourne Australia
Show AbstractLargely due to the emergence of graphene and its application as an electronic contact material, interest in carbonaceous contacts to Si has increased in recent years [1]. Among other desirable characteristics, graphene-Si Schottky contacts have exhibited ideality factors as low as ~1.1 and tunable barrier heights [2]. Whilst the properties of graphene are outstanding, forming large-area homogeneous contacts at moderate temperatures remains a significant technological challenge. Here, we present electrical characteristics from Schottky diodes formed from a highly oriented carbon material consisting of graphitic sheets aligned perpendicular to the substrate [3]. This material exhibits some of the desirable properties of graphene including high electrical conductivity, thermal/chemical stability and mechanical durability but can be deposited at moderate temperatures over large areas in a method compatible with standard optical lithography.
Oriented graphitic Schottky contacts were deposited onto n-type and p-type Si substrates using a highly ionized carbon plasma in a filtered cathodic vacuum arc (FCVA) system. The average energy of deposition was elevated by applying a bias to the conducting substrates. Depositions were performed at temperatures < 100 0C, enabling lithographic patterning by lift-off. Typical figures of merit from oriented graphite-Si diodes include ideality factors as low as 1.05, barrier heights of ~0.5 V and almost seven orders of magnitude rectification at ±1 V. We present electrical characteristics from diodes with graphitic contacts deposited at different energies and temperatures. Detailed microstructural analysis of the contact and interface are included to support the electrical and optical measurements.
1. C-C Chen, M Aykol, C-C Chang, AFJ Levi and SB Cronin, Nano Lett., 11(5) 1863 (2011).
2. S Tongay, T Schumann, X Miao, BR Appleton and AF Hebard, Carbon 49(6) 2033 (2011).
3. DWM Lau, DG McCulloch, MB Taylor, JG Partridge, DR McKenzie and NA Marks, EHT Teo, BK Tay, Physical Review Letters, 100(17) 176101 (2008).
9:00 AM - T6.55
Highly Crystalline Graphene Formation from Graphene Oxides by Ultrahigh Temperature Process Using Solar Furnace
Yoshihiro Kobayashi 1 Takashi Ishida 1 Yuichiro Miyata 1 Yoshihiko Shinoda 2
1Osaka University Suita Japan2Wakasawan Energy Research Center Tsuruga Japan
Show AbstractGraphene oxides (GOs) are a promising material for large scale applications of graphene because of their mass-production feature due to efficient chemical exfoliation of graphite. However, defects such as adduct of oxygen-containing groups and lattice vacancies in the graphene sheet are formed during their synthesis process and they significantly degrade superior properties of graphene. Therefore, restoration of the defects is a crucial issue for their practical use as the graphene. It has been reported that GO restoration significantly proceeds at high temperature under vacuum, inert gas and ethanol environments. But even if the GO is annealed at 1000 0C, the temperature often used in typical CVD processes, the crystallinity of the obtained GO is not sufficient for device applications and processes at much higher temperatures should be required. Solar furnace is very suitable for this purpose since it is very handy system and can heat specific position of samples at around 2000 0C. In this work, we applied the solar furnace to heat the GO under N2 and diluted ethanol environment and examined the effect of ultrahigh temperature annealing and process environment to the structural restoration of the GO.
The ultrahigh temperature process system used in this study is constructed with Fresnel lens (1 m x 1.4 m) to concentrate sunlight, and a process chamber equipped with gas supply and evacuation facilities. Sapphire, zirconia, and graphite were used as the materials of crucibles and substrates. Commercially available GO flakes and sheets (Graphene Laboratories Inc., NiSiNa materials Co. Ltd.) were dispersed on a substrate (5 mm x 5 mm), and were treated at high temperature by irradiating concentrated sunlight focusing to the sample area (1 cm x 1 cm) under N2 gas/ethanol environment at reduced pressure (100-500 Pa). Sample temperature was regularly monitored by optical pyrometer, and was verified by observing melting points of various metals. Structural restoration of GO was analyzed by Raman spectra observed from the processed GOs. Relative intensities of D- and 2D-bands to G-bands, which are helpful guideline for the GO restoration, were remarkably improved by the processes at ultrahigh temperatures especially under ethanol environment, compared with those of the previous processes at 1000 0C even under ethanol environment. The process condition of the reduced pressure gives rise to significantly better feature in Raman spectra than that of atmospheric one. This tendency suggests that impurities containing in carrier N2 gas (99.999 % up) are not negligible and attack GO surfaces to induce considerable defects in high temperature processes. These results clearly indicate superiority of the ultrahigh temperature process under ethanol environment at reduced pressure for efficient GO restoration and formation of highly crystalline graphene from the GO.
9:00 AM - T6.56
Optimization of Graphene-Based Chemical Sensors via Intentional Defects
Geonyeop Lee 1 Jihyun Kim 1
1Korea University Seoul Korea (the Republic of)
Show AbstractGraphene has a lot of advantages for the solid state chemical sensor since it has very high surface-to-volume ratio and high carrier mobility. Also, its physical adsorption binding energy can be easily controlled by the formation of defects.
In our study, we fabricated the graphene-based NO2 chemical sensor. CVD-grown graphene was transferred onto the SiO2/Si substrate and followed by the fabrication of two electrodes using silver paste. Electrical properties of graphene were monitored with the introduction of NO2 gas. After each experiment, our sensors were refreshed by UV treatment under a vacuum condition. Defects were intentionally introduced to the transferred graphene by oxygen plasma using reactive ion etching (RIE) system. In order to avert the direct ion bombardments, the substrate was placed with the face down in the RIE chamber. The degree of defects was measured by Raman spectroscopy. Optimal condition of the graphene to detect the chemical molecules was selected by increasing RIE exposure time. Sensitivity and reproducibility of our sensors were systematically characterized with various defect conditions. The details of our experiment conditions and results will be presented at the meeting.
9:00 AM - T6.57
Observation of Patterned Defects on Graphene Using Nematic Liquid Crystals
Gwangseok Yang 1 Geonyeop Lee 1 Jihyun Kim 1
1Korea University Seoul Korea (the Republic of)
Show AbstractGraphene has been extensively studied due to its novel properties such as high optical transmittance, superior mobility, excellent electrical and thermal conductivities, and high failure strain. Graphene can be obtained using various methods including mechanical cleavage of highly oriented pyrolitic graphite, reduction of graphene oxide, and chemical vapor deposition (CVD). Since the information of grain sizes in large-area graphene is essential for characterizing the properties of the graphene, the observation of the defects and grains of graphene in large scales have been reported by using nematic liquid crystals combined with a polarized optical microscopy (POM). In this work, defective and pristine graphene with pre-defined patterns were compared using textures of liquid crystals.
CVD-grown graphene was transferred on pre-patterned substrate, which were used to mark the position of defect sites. Defects were generated using oxygen plasma. Conventional photolithography process was performed to open the patterned area, where oxygen plasma was introduced. Defects were generated within the patterned area because photoresist protected graphene from oxygen plasma. After nematic liquid crystal, 4&’-pentyl-4-biphenylcarbonitrile (5CB), was spun-coated, the POM images showed distinct different birefringence color between defective and protected graphene area, which are in good agreement with results of Raman spectroscopy. Phase transition of 5CB, nematic to isotropic, was clearly observed depending on thermal treatments. Birefringence color was recovered after cooling. The details of our experiments and results will be discussed.
9:00 AM - T6.58
Nitrogen-Doped Reduced Graphene by Thermal Annealing Graphene Oxide with Nitrogen-Containing Organic Compounds
Jong Hun Han 1 Hyun Kim 1
1Chonnam National University Gwangju Korea (the Republic of)
Show AbstractGraphene is attractive materials due to unique electrical and chemical properties in many applications. These properties are stemmed from its two-dimensional(2D) structure which consists of sp2-bonded carbon atoms. Modulation of its properties is of great importance for successful application of graphene in various areas. It can be modulated by chemical doping foreign atoms and functional moieties. For instance, after doping with N or B atoms, graphene become n-type or p-type, respectively.
In this study, graphene oxide(GO) was prepared by the oxidative treatment of purified natural graphite using a modified Hummers method. Afterward, we prepared the N-graphene (NG) by the heat-treatment at 800, 900 and 1000#8451; with nitrogen containing compounds under Ar atmosphere, The atomic percentage of nitrogen in the nitrogen-doped graphene sheets is as high as 8.65 at.%. According to XPS analysis, the doped-nitrogen concentration in nitrogen-doped graphene could be controlled by adjusting the annealing temperatures. Also, the effect of nitrogen doping on the conductivity of film has been carried out to check out the enhancemnet of the electrical condictivity of doped graphene film.
9:00 AM - T6.59
Surface Modified Reduced Graphene Oxide-Based Biosensor Platform and its Applications
Jinsik Kim 1 Myung-Sic Chae 1 2 Yong Kyoung Yoo 1 3 Hye Jin Kim 1 2 Gangeun Kim 1 2 Dahye Jeong 1 Jeong Hoon Lee 3 Sangmyung Lee 4 Kyo Seon Hwang 1
1Korea Instititute of Science and Technology Seoul Korea (the Republic of)2Korea University Seoul Korea (the Republic of)3Kwangwoon University Seoul Korea (the Republic of)4Kangwon National University Chuncheon Korea (the Republic of)
Show AbstractThe graphene has been widely used and studied in various fields such as light emitting diode, flexible display and nano-device due to its novel mechanical properties, high electrical conductivity and thermal conductivity. However, the reproducibility of graphene based device was the most important reason that it could not be a practically used device. For that cause, graphene oxide (GO) which has great stability and similar properties with graphene has been introduced. Especially, reduced graphene oxide called rGO has great electrical conductivity which can be compared with graphene to use electrical device. The rGO has possibility of control the reaction sites and band gap. The rGO&’s high electrical property and reaction site controllability makes rGO as sufficient nano-materials in biosensor applications.
Here we introduce the surface modification of rGO and fabrication method with conventional MEMS (micro-electro-mechanical system) fabrication techniques to make the practical biosensor based on GO. The sensing biomarker for human disease was also tried with the designed and fabricated device.
First of all, the surface modification was studied to reduce the resistance of GO to use as an electrical device. Thermal treatment, chemical treatment with hydriodic acid and Dextran replacement at ester group of GO was tried. In case of the using Dextran, the location of replaced ester group can have reaction site with biomolecules as result of enhancement of biomolecules reactions. The nanomaterial rGO was formed as film on the substrate with the squeezing method. The conditions to have tens ~ hundreds kilo ohms of rGO film&’s resistance was optimized. The values are quite sufficient to detect biomolecules with difference of current. More reduction can make less values of resistance. However, fully reduced GO has poor adhesion with the substrate. The adhesion of rGO is very important to fabricate rGO device with conventional MEMS fabrication method. The MEMS fabrication use many hashing steps to rGO film such as etching, PR deposition, developing deionized water washing and acetone washing. The rGO should be stable after all the possible MEMS fabrication steps. Optimized point existed between less resistance and higher adhesion to make practical biosensors.
With considerably designed rGO based biosensors, various biomolecule detection was tried for early diagnosis for an Alzheimer&’s disease. To detect the known one of the biomarkers, beta-amyloid, beta-amyloid antibody immobilized on the rGO. When the beta-amyloid injected in rGO sensor immersed sensing chamber, the current was decreased on 80~90% level of value before interaction. From sufficient modification of surface&’s biding site, higher sensitive sensor was accomplished.
We hope the binding site controllable and easy fabricating-possible rGO biosensors are widely used for detect many disease marker with high sensitivity.
9:00 AM - T6.60
Sulfur-Incorporated, Porous Graphene Architectures for High Performance Flexible Electrochemical Capacitors
Xu Yu 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractHeteroatom doped graphene film with porous structure is considered as one of the potential electrode candidates for flexible electrochemical capacitors (f-ECs), but their capacitive performances have to be improved for practical applications. Herein, we demonstrate the fabrication of flexible sulfur (S)-incorporated reduced graphene oxide (SRGO) electrodes obtained by pyrolyzing free-standing film consisting of benzyl disulfide-functionalized graphene oxides at 900oC. The effect of S incorporation on morphology and chemical structure of SRGO were investigated by various microscopic and spectroscopic methods. Incorporation of S and the crumpled and porous morphology of SRGO electrodes greatly improve capacitive performance of f-ECs; SRGO f-ECs showed a specific capacitance of 140.8 F g-1at 1 A g-1, rate capability of 91.5% retention, and cyclic performance of 93.4% after 1000 charge/discharge cycles at 4 A g-1. Impressively, SRGO f-ECs exhibited excellent electrochemical and mechanical durability after 1000 charge/discharge cycles at a bending angle of 120o with values that greatly exceed those of conventional RGO-based f-ECs. This study provides a fundamental foundation of the correlation between S composition of carbon nanomaterials and their electrochemical (or surface) properties.
T3: Chemical and Mechanical Properties
Session Chairs
Francesco Bonaccorso
Andrea Ferrari
Tuesday AM, April 07, 2015
Moscone West, Level 2, Room 2010/2012
9:30 AM - *T3.01
Novel Carbon and Related Materials
Rodney S. Ruoff 1
1IBS Center for Multidimensional Carbon Materials, UNIST Ulsan Korea (the Republic of)
Show AbstractI will present an overview of research on new carbon and related materials at The Center for Multidimensional Carbon Materials (CMCM), an Institute of Basic Sciences (IBS) Center located at UNIST (Ulsan National Institute of Science and Technologies).
http://cmcm.ibs.re.kr/
10:00 AM - T3.02
Hierarchical Reinforcement of Randomly-Oriented Carbon Nanotube Mats by Ion Irradiation
Francesco Fornasiero 1 Mary LeBlanc 1 S. Charnvanichborikarn 1 Swanee Shin 1 Sergei Kucheyev 1 Robin Miles 1 Lijie Ci 2 Kuangping Gong 3 Jinseong Park 3
1Lawrence Livermore National Laboratory Livermore United States2Shenzhen Innova Research Inst Shenzhen China3Samsung Cheil Industries San Jose United States
Show AbstractBecause of their outstanding mechanical properties, carbon nanotubes (CNTs) are attractive 1-D nanoscale building blocks for lightweight composites that could potentially outperform naturally occurring materials. A still unsolved challenge for fully exploiting CNT superior mechanical properties for reinforcement of macroscopic systems is the control of the interfacial interaction across multiple length scales to favor load transfer. Toward overcoming this challenge, we investigate here the effect of high-energy He+ ion irradiation on the elastic modulus and tensile strength of thin films of randomly oriented double-walled nanotube (DWNT) mats and DWNT-epoxy composites. We correlate irradiation-induced reinforcement with the formation of cross-links at different hierarchical levels of the DWNT network. Our measurements reveal a rapidly increasing reinforcement at low ion doses, attributed to intra-bundle CNT cross-links, followed by a slow mechanical reinforcement at higher doses, associated to inter-bundle cross-links. At the highest ion irradiation dose studied, the strength and elastic modulus of DWNT-epoxy composites approach ~900 MPa and 25 GPa, respectively, without loss of the DWNT graphitic structure. This is among the best mechanical performances reported for randomly-oriented CNT mats and could be further improved by optimizing ion irradiation conditions. We also develop a model accounting for radiation-induced hierarchical reinforcement of DWNT films. The model is in good agreement with the experimental results across the entire range of ion doses studied.
10:15 AM - T3.03
Influence of Confinement Effects on the Morphology and Mechanical Behavior of Aligned Carbon Nanotube Systems
Itai Y. Stein 1 Brian L. Wardle 2
1Massachusetts Institute of Technology Cambridge United States2Massachusetts Institute of Technology Cambridge United States
Show AbstractThe landmark theoretical properties of low dimensional carbon has driven more than a decade of research on carbon nanotubes (CNTs) and related nanostructures. While studies on isolated CNTs report behavior that aligns closely with theoretical predictions, studies on cm-scale aligned CNT arrays (> 1010 CNTs) oftentimes report properties that are far below those predicted by theory. This difference stems from CNT-CNT proximity interactions, which are absent in studies of isolated CNTs, but dominate the behavior of scalable architectures. Here we report a theoretical framework to simulate arrays of up to 105 CNTs with realistic morphologies and at a variety of CNT packing fractions to study CNT-CNT interactions. Using this framework, the underlying physics that dominate the behavior of the CNT networks at lengthscales ranging from 1 nm to 100 mu;m can be studied, enabling the quantification of CNT proximity effects in small (< 10 nm), intermediate (10 nm to 100 nm), and large (> 100 nm) separation regimes. Many previous studies have reported the occurrence of interesting phenomena at single to hundred atom scales, and here we present a method to integrate these findings into a multi-scale simulation to predict CNT morphology as a function of confinement. Measures of CNT morphology such as network connections, waviness, and bundles/clustering can then be used to quantify properties, e.g., mechanical behavior via simulated indentation experiments where we report the scaling relationship of array stiffness as a function of CNT proximity. Aligned nanofiber morphologies that correspond to optimal array properties are discussed, and further work that could enable their realization is proposed.
10:30 AM - *T3.04
Modeling Functional Carbon-Based Materials
Douglas S. Galvao 1
1State University of Campinas Campinas Brazil
Show AbstractThe different carbon atom hybridizations (sp, sp2, and sp3) allow the existence of an almost infinite number of distinct structures with remarkable mechanical and electronic properties. These carbon-based materials can exhibit different topologies, with the existence of zero (fullerenes), one (nanotubes), two (graphene) and three-dimensional (diamond) structures .
These structures can be exploited to create a variety of macro scale functional materials, such as; buckypapers [1], carbon-nanotube based artificial muscles [2], and graphene-based foams [3]. These materials exhibit very complex morphologies, which represent a great challenge to realistically model their structural and mechanical behavior. In this talk we present and discuss new approaches to model these structures through a combination of different methods, from fully atomistic to analytical ones.
[1] L. J. Hall, V. R Coluci, D. S Galvao, M. E Kozlov, M. Zhang, S. O. Dantas, and R. H Baughman, Science v320, 5875 (2008).
[2] M. D. Lima et al., Science v338, 6109 (2012).
[3] S. Vinod et al., Nature Commun. V5, 4541 (2014).
T4: Plasmonic Properties and Applications
Session Chairs
Tuesday AM, April 07, 2015
Moscone West, Level 2, Room 2010/2012
11:30 AM - *T4.01
Enhanced Vibrational Coupling to Infrared Radiation Using Local Graphene Plasmons
Tony F. Heinz 1
1Columbia University New York United States
Show AbstractThe high electrical conductivity of graphene allows this two-dimensional monolayer to support strongly confined plasmon polariton electromagnetic modes in the infrared and far-infrared. These guided modes, which can be readily tuned by carrier density, feature a wavelength in the plane of the material that is far shorter than the wavelength of the corresponding free-space radiation. Similar localized plasmon modes can be produced by patterning of the graphene into structures, such as nanoscale ribbons. In this case, physical dimensions of these structures are much smaller than the free-space wavelength and strong field localization is expected. Here we describe experiments in which we probe localized plasmon modes in graphene nanoribbons, as well as the interaction of these localized plasmons with the surrounding dielectric media and vibrational excitations within these media.
Our measurements were performed by recording the transmission through an array of doped graphene nanoribbons with widths on the order of 100 nm and localized plasmon resonances in the spectral range of 5 mu;m in the infrared. Our studies revealed a strong increase in the infrared absorption for frequencies matching the localized plasmon resonance. The resonance frequency was tunable by the thickness of a polymer (PMMA) overlayer on the graphene nanoribbons. By monitoring the shift in the plasmon resonance with the thickness of the polymer overlayer, we were able to establish experimentally the confinement length of the localized plasmon mode above the graphene layer. This decay distance was ~ 10 nm, despite the 5000-nm free-space wavelength of the graphene.
These investigations also revealed enhanced coupling of electromagnetic radiation to vibrational transitions in the PMMA overlayer as mediated by excitation of the localized graphene plasmon resonance. This was manifest in the increased strength of the vibrational feature seen in transmission measurements through the array of nanoribbons when the polarization of the incident radiation was chosen to excite the graphene plasmon resonance. The coupling of the narrow vibrational resonance and the broad plasmon resonance , which was mediated by electromagnetic interactions, gave rise to a variety of line shapes depending on the relation of the frequencies of the two resonances. In particular, for similar resonance frequencies, the coupling led to induced transparency, rather than to enhanced absorption. We are able to model these effects qualitatively within a coupled oscillator model and more quantitatively using a direct numerical solution of Maxwell&’s equations. This research was carried out in collaboration with Dr. Phaedon Avouris&’s group at IBM Yorktown Heights and Prof. Richard Osgood&’s group at Columbia University.
12:00 PM - T4.02
Contact Metals on Graphene: A Photoemission Study
Roland J Koch 1 Allen Hsu 2 Mitchell Ong 3 Mario Hofmann 2 Evan J. Reed 3 Mildred S. Dresselhaus 2 Jing Kong 2 Tomas Palacios 2 Thomas Seyller 1
1Technische Universitauml;t Chemnitz Chemnitz Germany2Massachusetts Institute of Technology Cambridge United States3Stanford University Stanford United States
Show AbstractThe outstanding properties of graphene (high charge carrier mobility, exceptional mechanical strength, chemical resistance, etc.) suggest various applications in the areas of high frequency electronic devices, MEMS, optoelectronics, plasmonics, sensors, or photovoltaics. In almost every application, electrical contacts are necessary between the graphene and the surrounding circuitry. This is simply done by depositing a suitable metal on top of graphene. However, the electrical properties of the contact depend on the chosen metal, as it determines work function differences as well as chemical reactions that might occur at the interface. On the other hand, the contact properties have great influence on the device performance. Therefore, a detailed understanding of metal/graphene interfaces is mandatory in order to gain precise control over electrical contacts in devices.
Different metals or combinations of metals are employed. These metals include gold (Au) used in conjunction with titanium (Ti) or chromium (Cr), which act as a bonding agent. Palladium (Pd) or nickel (Ni), deposited directly onto graphene, have also been used in contacts. In the present work, we employ photoelectron spectroscopy to study the interface between these metals and epitaxial graphene grown on silicon carbide. Whereas core level photoelectron spectroscopy (XPS) is used to analyze the chemical bonding between the metals and the graphene substrate, angle-resolved valence band photoelectron spectroscopy (ARPES) is employed to study changes in the electronic structure of the graphene. Experimentally, this is done by acquiring spectra continuously during metal deposition using high-brilliance synchrotron radiation. The experiment thus allows us to study the evolution of the interface with increasing metal coverage. Moreover, the comparison of high-resolution spectra taken before and after metal deposition allows us to observe even subtle changes in the bonding configuration of graphene and the deposited metal.
12:15 PM - T4.03
Phonon-Mediated Photoresponse of Graphene for Mid-Infrared Light
Achim Woessner 1 Michela Badioli 1 Klass Jan Tielrooij 1 Sebastien Nanot 1 Gabriele Navickaite 1 Tobias Stauber 2 F. Javier Garcia de Abajo 1 3 Frank H.L. Koppens 1
1ICFO - The Institue of Photonic Sciences Castelldefels Spain2Instituto de Ciencia de Materiales de Madrid Madrid Spain3ICREA - Institucioacute; Catalana de Recerca i Estudis Avanccedil;ats Barcelona Spain
Show AbstractThe mid-infrared frequency range is extremely interesting for both fundamental studies and a variety of applications. It is the fingerprint region of many molecules and the frequency range of choice for thermal imaging for defense or medical purposes. Graphene opens new avenues in the field of infrared photo-detection due to its broadband absorption, tunability of optical properties and its flexibility [1,2]. Furthermore, it is the energy scale that corresponds to the mid-infrared frequencies gives access to graphene&’s (tunable) Fermi energy, as well as graphene optical phonons and substrate phonons. This recently led to the observation of several interesting phenomena such as tunable plasmon excitations [3] and plasmon-phonon hybridization [4,5]. However, the role of substrate phonons on graphene photoresponse is not fully understood.
Here, we measure spatially resolved photoresponse with illumination for a very broad spectral range (1000-1600 cm-1). We clearly observe a difference in the amplitude and spatial extent of the signal generated by light on and off resonance with the SiO2 transverse optical (TO) phonon band: on resonance the generated photocurrent is considerably larger and has a significantly broader spatial extension from the contacts. Furthermore we also observe electrically tunable graphene transmission and photocurrent. By controlling the polarization we can excite the surface optical phonon (SO) of the substrate, associated to a strong concentration of the optical fields, leading to a strong photoresponse.
From these observations we conclude that graphene photocurrent generation in the mid-infrared is due to two processes. The first originates from light absorption in the substrate: substrate phonons absorb light and heat up carriers in the graphene, leading to a temperature gradient over the device that results in a photo-thermoelectric voltage [6]. The other mechanism is due to hot carrier generation via direct absorption in the graphene, and can be strongly enhanced via electric field localization.
Our results open new avenues for using graphene in compact and cheap room-temperature operating mid-infrared sensors.
REFERENCES
[1] F. Bonaccorso et al., Nature Photonics 4,611-622 (2010)
[2] T. Low and P. Avouris, ACS Nano 8, 1086-1101 ( 2014)
[3] J. Chen et al. , Nature 487, 77-81 (2012)
[4] V. W. Brar et al., Nano Letters 13, 2541-2547 (2013)
[5] H. Yan et al., Nature Photonics 7, 394-399 (2013)
[6] P. K. Herring et al., Nano Letters 14, 901-907 (2014)
12:30 PM - *T4.04
Modeling and Design of Plasmonic-Enhanced Graphene-Based VIS-MIR Photodetectors
Elefterios Lidorikis 1
1University of Ioannina Ioannina Greece
Show AbstractGraphene&’s unique property of constant absorption within a wide spectrum from visible to IR opens up great opportunities in light harvesting and photodetection [1]. In the simple metal-graphene-metal photodetector configuration [2], graphene is the active layer for both light absorption and for electron-hole separation and transport, the latter being facilitated by both photovoltaic and photothermoelectric effects [3]. Given however the relatively low in absolute terms absorption efficiency of graphene (2.3%) and the fact that light absorption is only useful when it occurs within the narrow space-charge regions formed close to the metal-graphene interfaces, structural variations such as decoration of graphene with quantum dots [4] or plasmonic nanoparticles [5] have been employed in order to enhance the performance. Here we will theoretically explore combinations of localized and propagating plasmons, plasmonic gratings, lattice resonances and Fabry-Perot resonances, originating from nanostructured plasmonic materials such as noble metals, highly doped semiconductors and transparent conductive oxides, and their utilization for optimized absorption performance and/or tunability from the visible to the mid-IR. We find practical photodetector designs with graphene absorption efficiency reaching close to 50% with a spectral and polarization selective photoresponse. Besides photodetection, these graphene-based optimized structures also offer opportunities for gate-tunable metamaterials and optics-free plasmonic biosensing.
[1] F.H.L. Koppens et al., Nature Nanotechnology 9, 780 (2014)
[2] T. Mueller et al., Nature Photonics 4, 297 (2010)
[3] T.J. Echtermeyer et al., Nano Letters 14, 3733 (2014)
[4] G. Konstantatos et al., Nature Nanotechnology 7, 363 (2012)
[5] T.J. Echtermeyer et al., Nature Communications 2, 458 (2011)
This work was funded by the EU Graphene Flagship (contract no.604391)
Symposium Organizers
Francesco Bonaccorso, Istituto Italiano di Tecnologia
Xinliang Feng, TU Dresden
Andrea Ferrari, University of Cambridge
Ado Jorio, Univ Federal do Parana Brazil
Maurizio Prato, Univ di Trieste
Symposium Support
AIXTRON
Aldrich Materials Science
T9: Chemical Modification and Functionalization
Session Chairs
Wednesday PM, April 08, 2015
Moscone West, Level 2, Room 2010/2012
2:30 AM - *T9.01
Supramolecular Approaches to Graphene Chemistry: Towards Multifunctional Devices
Paolo Samori 1
1University of Strasbourg Strasbourg France
Show AbstractThe technological exploitation of the extraordinary properties of graphene relies on the ability to achieve full control over the production of high-quality material and its processing via up-scalable approaches in order to fabricate large-area films with single or a few atomic layers thicknesses to be integrated in working devices.[1] In order to leverage the exfoliation on graphene in liquid media, via liquid-phase exfoliation (LPE), we have exploited simple molecular modules, which act as dispersion-stabilizing compounds during the LPE process, leading to an increase in the concentration of graphene in dispersions.[2,3] The combination of LPE graphene with a n-type conjugated polymer represents a successful solution to provide an ambipolar electrical character to the latter one.[4] Our strategy opens up new avenues for the technological applications of this graphene ink as low-cost electrodes and conducting nanocomposite for electronics. Among other approaches, the use of molecular module that incorporate a functional moiety in their structure represent a way to realize multifunctional devices.
[1] A. Ciesielski, P. Samorigrave;, "Graphene via sonication assisted liquid-phase exfoliation", Chem. Soc. Rev. 2014, 43, 381-398
[2] A. Ciesielski, S. Haar, M. El Gemayel, H. Yang, J. Clough, G. Melinte, M. Gobbi, E. Orgiu, M.V. Nardi, G. Ligorio, V. Palermo, N. Koch, O. Ersen, C. Casiraghi, P. Samorigrave;*, "Harnessing the Liquid-Phase Exfoliation of Graphene using Aliphatic Compounds: A Supramolecular Approach" Angew. Chem. Int. Ed. 2014, 53, 10355-10361.
[3] S. Haar, A. Ciesielski, J. Clough, H. Yang, R. Mazzaro, F. Richard, S. Conti, N. Merstorf, M. Cecchini, V. Morandi, C. Casiraghi, P. Samorigrave;,* " A supramolecular approach to the production of graphene: the use of carboxylic acids to enhance the exfoliation of graphite in the liquid phase" 2014 submitted
[3] M. El Gemayel, S. Haar, F. Liscio, A. Schlierf, G. Melinte, S. Milita, O. Ersen, A. Ciesielski, V. Palermo, P. Samorigrave;, "Leveraging the ambipolar transport in polymeric field-effect transistors via blending with liquid-phase exfoliated graphene", Adv. Mater. 2014, 26, 4814-4819
3:00 AM - T9.02
The Effect of Hydrogen Plasma Chemistry on the Hydrogenation of Graphene
Alexandre Felten 1 Daryl McManus 2 Caitlin Rice 2 Laurent Nittler 1 Jean-Jacques Pireaux 1 Cinzia Casiraghi 2
1University of Namur Namur Belgium2University of Manchester Manchester United Kingdom
Show AbstractPlasma hydrogenation of graphene has been proposed as a tool to modify the properties of graphene and lead to new range of application for this 2D material. However, hydrogen plasma is a complex system and controlled hydrogenation of graphene suffers from a lack of understanding of the plasma chemistry. The presence of different species, such as electrons, ions and radicals, combined with the fact that various types of plasma systems and experimental conditions are used, makes plasma treatments quite complex to understand and difficult to compare. For instance, the results of graphene modification vary from one study to the other.[1,2,3] In most of the studies on hydrogenation of graphene, the densities and energies of the different species present in the plasma chamber are not known or just guessed based on previous works. It is thus very difficult to interpret the modification induced on graphene and to use plasma hydrogenation as a tool to precisely functionalize graphene.
In this work,[4] the graphene sheets were hydrogenated in a home-made plasma chamber using inductively coupled RF plasma of 13.56 MHz. An energy-filtered mass spectrometer was connected to the chamber in order to detect the densities and energies of ions present in the plasma. We studied the hydrogenation of monolayer graphene by Raman spectroscopy by monitoring of the D/G intensities depending on the plasma conditions, before and after annealing, etc We then correlated the modification induced on the flakes with the hydrogen ions energy distributions (IEDs) obtained by mass spectrometry. We show that the IEDs of H+, H2+ and H3+ can change considerably depending on the experimental conditions (sample position, pressure, plasma power), and can reach values as high as 45 eV.
Our study provides a better understanding of the impact of H ions energies and fluxes on graphene surface modification. Knowing the exact plasma chemistry, one could use hydrogen plasma treatment to either: clean graphene from residual polymers, without destroying it; functionalize graphene or etch it away layer-by-layer. Furthermore, based on our measurements we speculate that, under specific plasma parameters, protons should possess enough energy to penetrate the graphene sheet.
[1] Z. Luo, T. Yu, K. J. Kim, Z. Ni, Y. You, S. Lim, Z. Shen, S. Wang, and J. Lin, ACS nano 3 (7), 1781 (2009)
[2] M. Jaiswal, C. H. Lim, Q. Bao, C. T. Toh, K. P. Loh, and B. Ozyilmaz, ACS nano 5 (2), 888 (2011).
[3] A. Felten, A. Eckmann, J. J. Pireaux, R. Krupke, and C. Casiraghi, Nanotechnology 24 (35), 355705 (2013).
[4] A. Felten, C. Rice, L. Nittler, J.-J. Pireaux, C. Casiraghi, accepted in Appl. Phys. Lett. (2014).
3:15 AM - T9.03
Electrochemically Functionalized Graphene Devices for Real-Time Label-Free Biosensing
Kannan Balasubramanian 1 Laura Zuccaro 1 Rodrigo N Iost 1 2 Hak Ki Yu 3 Frank N Crespilho 2 Alec M Wodtke 3 Birgitta Knudsen 4 Alessandro Desideri 5 Klaus Kern 1
1Max Planck Institute for Solid State Research Stuttgart Germany2Universidade de Sa#771;o Paulo Sao Paolo Brazil3Max Planck Institute for Biophysical Chemistry Gouml;ttingen Germany4Aarhus University Aarhus Denmark5University of Rome Tor Vergata Rome Italy
Show AbstractGraphene is highly promising as an active material for chemical and biological sensors in the form of field-effect devices directly operating in liquid. [1] This is based on the high surface-to-volume ratio as well as the versatile carbon chemistry that opens new avenues for a precise tuning of the interfacial properties. One of the major challenges in this context is the need to have control over both the sensitivity and selectivity of the realized sensing devices. While monolayer graphene devices exhibit intrinsically high sensitivity, the tuning of selectivity requires a significant degree of control over the physico-chemical properties of the graphene-liquid interface. For this purpose, we have designed an electrochemical functionalization strategy that allows the attachment of a range of functionalities / receptors in a versatile and controlled manner on the graphene surface. Moreover, by varying the density of the attached functional groups we demonstrate that the surface charge distribution can be controlled in a concerted fashion. The chemical nature of the attached groups are verified by Raman spectroscopy, while the charge distribution is characterized by elaborate field-effect measurements in liquid. In this manner, we demonstrate that the graphene-liquid interface can be prepared both physically and (bio)chemically optimized for the study of specific binding interactions of selected analytes. Within this framework, we also show that trace metal impurities present on CVD-graphene after the transfer process have a detrimental effect on the charge transfer properties at the interface. To overcome this we present a real-time electrochemical etching strategy to remove these trace metal impurities showing a clear improvement in the electronic properties of monolayer graphene. [2]
In the second part, we present real-time measurements of chemical and biomolecular binding interactions on the basis of such functionalized graphene sensor chips. Towards this goal, we measure the impedance of a contacted graphene ribbon (CVD-grown) as a function of the gate voltage (applied through a Ag/AgCl reference) continuously in liquid as and when the interactions take place. The binding of analytes on to the receptors or biochemical reactions induce changes in the charge distribution and / or charge transfer on to graphene which can be sensitively detected using our setup. On this basis we present the observation of enzyme activity using a model topoisomerase enzyme at sub nanomolar concentrations. While the steady state measurements provide information about the concentration of the analyte, real-time data help decipher details about the binding kinetics and enzyme activity.
[1] K. Balasubramanian, K. Kern, Adv. Mater. 26, 1154 (2014).
[2] R. Iost, et al., ChemElectroChem (2014) DOI: 10.1002/celc.201402325
3:30 AM - T9.04
Functionalization of Aromatic Molecules on Graphene
Sinead Winters 1 Nina Berner 1 Claudia Backes 1 Rohit Mishra 1 Martin Hegner 1 Andreas Hirsch 2 Georg S. Duesberg 1
1Trinity College Dublin Dublin Ireland2FAU Erlangen Germany
Show AbstractThe application of graphene as a sensing component is currently of great interest due to the high sensitivity of graphene to external influences. However, in order to produce selective sensors the graphene surface must be modified to respond to a specific analyte1. Non-covalent functionalization of graphene via π-π stacking of aromatic molecules is an attractive strategy as it allows surface modification without disturbing the graphene lattice2. Implementing this technique faces certain challenges, such as characterising the molecular layers, particularly in the presence of contamination on the graphene surface.
Here, we present the non-covalent functionalization of chemical vapour deposited (CVD)3 graphene with aromatic molecules via wet chemical adsorption and demonstrate the ability to modify the functional groups of the molecules on the graphene surface. Raman and X-ray photoelectron spectroscopy (XPS) is used to characterise the surface modification and to determine its effects on the molecular packing density4. These measurements provide insight into the behaviour of molecules on pristine graphene, an important step towards implementation in a variety of applications.
(1) Allen, M. J.; Tung, V. C.; Kaner, R. B. Chem. Rev.2010, 110, 132-145.
(2) Mann, J.; Dichtel, W. J. Phys. Chem. Lett.2013, 4, 2649-2657.
(3) Wirtz, C.; Lee, K.; Hallam, T.; Duesberg, G. S. Chem. Phys. Lett.2014, 595-596, 192-196.
(4) Winters, S.; Berner, N.; Backes, C.; Mishra, R.; Hegner, M.; Hirsch, A.; Duesberg, G. S. (in preparation)
3:45 AM - T9.05
Functionalized Graphene Macro Assemblies
Patrick G Campbell 1 Tom Braun 3 Marcus A. Worsley 2 Theodore Baumann 1 Juergen Biener 2
1Lawrence Livermore National Lab Livermore United States2Lawrence Livermore National Laboratory Livermore United States3TU Darmstadt Darmstadt Germany
Show AbstractThree-dimensional graphene macro assemblies (GMAs) are mesoporous monolithic structures comprised of graphene sheets (average 1-2 layers thick) connected by sp2shy;-hybridized carbon linkers. GMAs with tunable morphologies are prepared using sol-gel techniques, either by self-assembly of individual graphene building blocks or by converting polymer foams into networks of sp2-hybridized carbon atoms. The properties of GMAs can be further tuned by substitutional incorporation (doping) of heteroatoms (e.g., N, B) into the carbon network, or through surface modification with metal oxide nanoparticles or redox active organic molecules. This contribution will highlight recent advances in GMA development at Lawrence Livermore National Laboratory, with specific focus on doping and surface functionalization.
Work at LLNL was performed under the auspices of the US DOE by LLNL under Contract DE-AC52-07NA27344. Project PLS-15ERD019 was funded by the LDRD Program at LLNL.
T10: CVD Growth
Session Chairs
Wednesday PM, April 08, 2015
Moscone West, Level 2, Room 2010/2012
4:30 AM - *T10.01
Graphene and Beyond: A Road to Controlled Growth
Zhongfan Liu 1
1Peking University Beijing China
Show AbstractThe gold rush of graphene research has passed over ten years history since its first isolation in 2004, which ignited one&’s enthusiasm on 2D materials such as h-BN, transition metal dichacogenides, graphynes, silicene, germanene, phosphorene, etc. We have been focusing our research interests on the growth issue of these kinds of 2D atomic crystals together with 2D chemistry. Our research activities up to now involve CVD growth of graphene and its hybrid structures, MoS2, h-BN, Bi2Se3, Bi2Te3, GaSe, etc. By rationally designing the growth catalysts and the elementary steps in the growth process, we have been able to make a precise control of graphene layer number, stacking structures, doping, wrinkle structures and even bandgaps by hybridization with different 2D materials. This talk will present our recent progresses along this direction. A particular focus will be laid on growing graphene on wide-gap semiconducting substrates such as h-BN and high k strontium titanate, and on groups IVB-VIB early transition metal foils together with the designed growth of mosaic graphene, an in-plane graphene superlattice with other 2D atomic crystals. The talk will also include our recent efforts beyond graphene, focusing on the synthesis of novel 2D atomic crystals using van der Waals epitaxial technique.
References
1. JY Sun, et al., Direct Growth of High-Quality Graphene on High#8209;κ Dielectric SrTiO3 Substrates#65292;J. Am. Chem. Soc. 136(2014), 6574.
2. KK Bai et al., Creating One-dimensional Nanoscale Periodic Ripples in a Continuous Mosaic Graphene Monolayer, Phys. Rev. Lett.113(2014), 086102.
3. W Yan et al., Strain and curvature induced evolution of electronic band structures in twisted graphene bilayer, Nature Commun.4(2013), 2159.
4. D Wu, et al., Plasmon-Enhanced Photothermoelectric Conversion in Chemical Vapor Deposited Graphene pminus;n Junctions, J. Am. Chem. Soc. 135(2013), 10926.
5. Y Kai et al., Modulation-doped growth of mosaic graphene with single-crystalline p-n junctions for efficient photocurrent generation, Nature Commun. 3(2012), 1280.
5:00 AM - T10.02
The Dynamics of Graphene-Catalyst Interactions during Chemical Vapor Deposition
Robert Weatherup 1 Hakim Amara 2 Raoul Blume 3 Bruno Dlubak 4 Bernhard Bayer 1 Mamadou Diarra 6 Mounib Bahri 2 Andrea Cabrero-Vilatela 1 Sabina Caneva 1 Piran Kidambi 1 Marie-Blandine Martin 4 Cyrile Deranlot 4 Pierre Seneor 4 Robert Schloegl 5 Francois Ducastelle 2 Christophe Bichara 7 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2Onera-CNRS Cha#770;tillon France3Helmholtz-Zentrum Berlin fu#776;r Materialien und Energie Berlin Germany4Unite#769; Mixte de Physique CNRS/Thales Palaiseau France5Fritz Haber Institut Berlin Germany6University of Luxembourg Luxembourg Luxembourg7Aix-Marseille Universite#769; CNRS Marseille France
Show AbstractCatalytic techniques for producing graphene and carbon nanotubes, particularly those based on chemical vapor deposition (CVD), are widely seen as most promising for achieving the requisite level of control over material structure and quality demanded by applications. Key to growth control is a detailed understanding of the role of the catalyst, however this remains incomplete due the wide parameter space. Of crucial importance is the graphene-catalyst interaction at elevated temperatures during precursor exposure, where the catalyst surface is highly mobile with its physical and chemical state affected by process conditions and prior exposure history.
Here we investigate the dynamics of graphene-catalyst interactions during CVD using complementary in situ, time- and depth-resolved X-ray photoelectron spectroscopy[1-4], in situ scanning tunneling microscopy,[5] and grand canonical Monte Carlo simulations coupled to a tight-binding model[1]. We focus on Ni(111) as a model catalyst surface and probe in-operando a wide range of hydrocarbon exposure pressures (10-6-10-1 mbar) as typically used in industrial CVD reactors.[4] The key atomistic mechanisms of graphene formation on Ni are thereby revealed and our data highlights an interdependency between the distribution of carbon close to the catalyst surface and the strength of the graphene-catalyst interaction.
The strong interaction of epitaxial graphene with Ni(111) causes a depletion of dissolved carbon close to the catalyst surface, which prevents additional layer formation leading to a self-limiting graphene growth behavior for low exposure pressures (10-6-10-3 mbar). Increasing the hydrocarbon pressure further (to ~10-1 mbar) leads to weakening of the graphene-Ni(111) interaction accompanied by additional graphene layer formation, mediated by an increased concentration of near-surface dissolved carbon. We also reveal that the growth of more weakly adhered, rotated graphene on Ni(111) is linked to an initially higher level of near-surface carbon compared to the case of epitaxial graphene growth. We relate these results to the simple kinetic growth model that we have previously established,[6] and use them to consistently explain previous graphene CVD results in the literature. The key implications for graphene growth control and their relevance to carbon nanotube growth are highlighted in the context of existing literature.
(1) Weatherup et al. J. Am. Chem. Soc. 2014, 136, 13698-13708
(2) Weatherup et al. Nano Lett. 2013, 13, 4624-4631
(3) Weatherup et al. ChemPhysChem 2012, 13, 2544-2549
(4) Weatherup et al. Nano Lett. 2011, 11, 4154-4160
(5) Patera et al. ACS Nano 2013, 7, 7901-7912
(6) Weatherup et al. ACS Nano 2012, 6, 9996-10003
5:15 AM - T10.03
Bottom-Up Approach for the Low-Cost Synthesis of Graphene-Alumina Nanosheet Interfaces Using Bimetallic Alloys
Luca Omiciuolo 1 Eduardo R. Hernandez 5 Elisa Miniussi 1 Fabrizio Orlando 1 Paolo Lacovig 2 Silvano Lizzit 2 Tevfik Onur Mentes 2 Andrea Locatelli 2 Rosanna Larciprete 3 Marco Bianchi 4 Soren Ulstrup 4 Philip Hofmann 4 Dario Alfe 6 Alessandro Baraldi 1
1University of Trieste Trieste Italy2Elettra-Sincrotrone Trieste S.C.p.A. Trieste Italy3CNR, Institute for Complex Systems Rome Italy4Aarhus University Aarhus Denmark5Instituto de Ciencia de Materiales de Madrid Madrid Spain6University College London London United Kingdom
Show AbstractThe coupling of graphene (Gr) with 2D nanosheet dielectrics is an important topic in contemporary materials science, due to its potential impact on a number of high-performance electronic applications. In this respect, Al2O3 films play a key role because of their employment as high-κ oxides in transistors and low-power chips. The production of Gr-alumina interfaces is normally achieved by Gr growth via chemical vapor deposition (CVD) on a metallic surface, followed by transfer of the carbon layer onto the oxide, by atomic layer and physical vapour deposition of the oxide on graphene or by carbon deposition on top of oxide surfaces. These methods, however, come with a series of issues: they are complex, costly and can easily result in damage to the carbon network by introducing defects and contaminants, with detrimental effects on the carrier mobility.
In the present work, recently publicated on Nature Communications [L. Omiciuolo et al., Nature Communications5, 5062 (2014)], we developed a novel approach for the low-cost, direct synthesis of Gr-alumina interfaces, by growing Gr on the surface of a Ni3Al alloy and subsequently oxidizing the metallic surface below Gr, in such a way to induce the formation of an alumina nanosheet.
A single layer of Gr was obtained on a Ni3Al(111) surface by ethylene CVD in UHV conditions. The overall quality of the Gr layer, as well as the absence of possible contaminants, were judged by a combination of both diffraction and spectroscopic techniques. In particular we investigated the complex by means of Low Energy Electron Diffraction (LEED), synchrotron based X-ray Photoemission Spectroscopy (XPS) and Angle Resolved Photoemission Spectroscopy (ARPES). Density Functional Theory calculations were also performed. The subsequent exposure of the sample to a flux of oxygen at 500 K resulted in the intercalation of oxygen underneath GR and in the selective oxidation of the interface Al atoms, as judged by XPS, thus leading to the formation of a ~1.5 nm thick alumina layer below the carbon network. After the substrate oxidation, the C1s core level spectrum shows a single narrow component at ~284.1 eV, in good agreement with the quasi-free-standing case. Moreover, ARPES measurements showed the full restoration of the Dirac cones at the K-points, again suggesting the low interacting nature of the system. Low Energy Electron Microscopy and mu;-LEED measurements confirmed that the overall quality of the graphene layer is well preserved during the process.
The method we have developed offers the advantage of being simple and low cost, moreover it could be extended to other Al alloys, thus opening a new route to the mass production of Gr-alumina interfaces, to be exploited in Gr-based electronic devices.
5:30 AM - T10.04
In-situ Growth of Gaphene Using Reflection High Energy Electron Diffraction Technique
Jeonghun Kwak 1 Hyeonjun Lee 1 Sungsu Lee 1 G. Anoop 1 Ji Young Jo 1
1Gwangju Institute of Science and Technology Gwangju Korea (the Republic of)
Show AbstractGraphene has attracted considerable attention due to the its intrinsic properties such as high carrier mobility . One of the most common method to grow the grapheme is chemical vapor deposition synthesis on metal catalyst films; however, it requires post-etching and additional transfer process onto desired substrates. It is inevitable to generate structural defects such as wrinkles and cracks or surface contaminations on graphene layer, resulting in degradation of electrical properties. In this presentation, we show that pulsed laser deposition (PLD) technique with reflection high energy electron diffraction (RHEED) can be used to grow graphene layers directly on substrate and understand the growth process of high quality grapheme film.
Few-layer nanocrystalline graphene was grown on the Si/AlN substrate without metal catalyst films using laser ablation of highly ordered pyrolytic graphite target at substrate temperature of 700 ~ 850#8451;. Base and working pressure of process chamber were maintained around 10-7 torr. A KrF excimer pulse laser with an energy around 105 ~ 200 mJ was used. Structural characteristics were investigated by micro-Raman spectroscopy, RHEED, and X-ray photoemission spectroscopy. The electrical properties were measured using Van der Pauw method. The diffracted images related to the carbon layers showed a ring pattern, indicating that nanocrystal structure of graphene layers. A width of ring decreased with increase of substrate temperature, arising from improvement of crystallinity of graphene layers.
5:45 AM - T10.05
Direct CVD Synthesis of Large Area Uniform R-GO-Like Carbon Films on Dielectric Substrates with Excellent Thermal, Electrical Conductivity, and Optical Transparency
Richard Gulotty 1 2 Kenneth Perez Quintero 3 2 Swathi Iyer 4 Diana Berman 2 Alexander A. Balandin 1 Anirudha V. Sumant 2
1Bourns College of Engineering, University of California, Riverside Riverside United States2Argonne National Laboratory Argonne United States3University of Puerto Rico, Rio Piedras San Juan United States4Canadian Light Source Inc Saskatoon Canada
Show AbstractReduced graphene oxide (R-GO) thin films based on exfoliation process of graphite have been shown to be promising for applications such as transparent conducting electrodes as well as flexible lateral heat spreaders. However, high thermal and electrical conductivity have not been achieved due to the disordered nature of the flakes in conventional R-GO films. We report direct synthesis and deposition of large area (100 mm wafer) uniform and continuous reduced graphene oxide like carbon (R-GOC) films on dielectric substrates (such as quartz and glass) via thermal CVD process using methane as the precursor gas, without the use of a metal catalyst film. The material characterization data shows that the structure is composed of clusters of carbon with 48 to 69% sp3 bonding and the oxygen content is 30-50% lower than conventional R-GO films. These structural characteristics improve the optical, electrical, and thermal properties of the films. The thermal conductivity of the R-GOC material was found to be 91.4 ± 21.7 W/m-K, which is 300-500% higher than conventional R-GO. The sheet resistance of the films approach to that of pristine graphene. Finite element simulations with accuracy of <30% validated the experimental results of opto-thermal measurements. These R-GOC films have great potential to be used as electrode materials in the next generation lithium ion batteries and solar cells, or lateral heat spreading materials for enhanced heat dissipation in high power electronic devices.
T11: Poster Session II
Session Chairs
Wednesday PM, April 08, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - T11.01
Growth of Large Area High Quality Graphene Layers Using Chemical Exfoliation
Pawan Kumar Srivastava 1 Premlata Yadav 1 Subhasis Ghosh 1
1School of Physical Sciences, Jawaharlal Nehru University New Delhi India
Show AbstractGraphene, a mono atomic layer of carbon atoms has attracted significant attention due to its exceptionally high charge carrier mobility and unique band structure that results in several fascinating properties generally not observed in any other organic or inorganic semiconductors. Mechanical exfoliation from highly ordered pyrolytic graphite (HOPG) is the most popular method for obtaining highest quality graphene. However, the yield for this method is extremely low. Moreover, small size and extremely poor control over obtaining only monolayer or bilayer or multilayer and finally incompatibility with device fabrication are serious drawbacks of this method. Currently, chemical vapour deposition (CVD) on metallic substrates and annealing 6H-SiC substrates at very high temperature (>1200oC) are two epitaxial methods employed for large area graphene. However, graphene layers grown by these methods also have some serious drawbacks; in particular these methods lead to large no. of defects in graphene layers. Large domain with undisturbed π-conjugation remains a challenge in SiC based methods. However, all the spectacular properties are due to confinement of relativistic charge carriers (Dirac fermions) in a plane of atomic thickness. This makes graphene based devices extremely sensitive to how it is grown on different substrates and the nature of the interface between graphene and substrates. So, it is essential to develop a method to grow graphene layers reproducibly on desired substrates such that 2D character of graphene can be retained. Here we report an chemical exfoliation process by which large area (>100 µm) high quality graphene can be grown reproducibly on desired substrates and can be used for further applications. In a typical process, piece of highly ordered pyrolytic graphite (HOPG) was sonicated in various organic solvents (toluene, chlorobenzene, DMF, PC etc.) and then this dispersion was centrifuged to precipitate out thick graphitic layers. The quality of graphene layers depends strongly on choice of the organic solvent for exfoliation process. Confocal Raman spectroscopy, optical transmittance measurement and atomic force microscopy were used to characterize the thickness and layered structure of graphene layers. We have observed that when graphene layers were exfoliated from HOPG in solvents with low polarity i.e. toluene, chlorobenzene, D band due to defect is almost absent and a carrier mobility of 20,000 cm2/Vs can be obtained routinely in graphene monolayer based field effect transistors. On the other hand, when graphene layers are exfoliated from HOPG in high polarity solvents i.e. DMF, PC, D band is relatively strong and carrier mobility is almost reduced by three times than that in previous cases. Hence, by varying different parameters during exfoliation and nature of organic solvents, extremely high quality large area monolayer, or bilayer or multilayer graphene can be grown reproducibly on different substrates.
9:00 AM - T11.02
Chemically Enhanced Carbon Nanotubes Based Thermal Interface Materials
Joffrey Daon 1 Shuangxi Sun 2 Di Jiang 2 Elodie Leveugle 1 Laurent Divay 1 Christophe Galindo 1 Afshin Ziaei 1 Lilei Yi 3 Yifeng Fu 3 Johan Liu 2 Jinbo Bai 4
1Thales Research amp; Technology Palaiseau France2Chalmers University of Technology Gouml;teborg Sweden3SHT Smart High Tech AB Gouml;teborg Sweden4Ecole Centrale Paris Chacirc;tenay-Malabry France
Show AbstractWith progress in microelectronics the component density on a device follows Moore&’s law. As a consequence the power density reaches levels that challenge device reliability. New heat dissipation strategies are needed to efficiently drain heat.
Thermal interface materials (TIMs) are used to transfer heat across interfaces, for example between the device and its packaging. TIMs also need to answer to other specifications. They have to be compliant to accommodate surface roughness, to be soft enough to absorb strain induced by mismatch in thermal expansion coefficients, and they still need to be highly thermally conductive.
Vertically Aligned Carbon Nanotubes were recently proposed to play this role. Indeed, carbon nanotubes are among the best thermal conductors (~3.000 W/mK) and in the form of VACNT mats, show mechanical compliance. However the interface thermal resistance of TIMs made with these materials still are well below expectations. Indeed, the materials in contact have different intrinsic properties such as thermal transfer mode which can be by phonon or electrons for VACNTs and metals respectively. The efficiency of the heat exchange between these two different modes could be a reason of this high contact resistance.
We are developing strategies to decrease the contact resistance using tailored organic materials to bridge the CNT tips with the metallic substrate surface [1], [2]. To enhance the phonon transmission from CNT tips to metallic surface, covalent or semi-covalent bonding is known to be efficient [3]. This paper focuses on a new combination of organic materials consisting in reactive self-assembled monolayer (SAM) and functional polymer, generating covalent and semi-covalent bonds between CNTs and substrate The interactions between VACNTs / Polymer / SAM / Metallic surface, are analyzed, and their mechanical and thermal properties are assessed. We show that the use of a polymer and SAM can dramatically decrease resistances and can be reached with an industry compliant process.
[1] H. Le Khanh, L. Divay, Y. Ni, P. Le Barny, E. Leveugle, E. Chastaing, F. Wyczisk, A. Ziaei, S. Voltz, J. Bai, “Enhancement of the thermal properties of a verticaly aligned carbon nanotube thermal interface material using a tailored polymer” Therminic 2012, ISBN:978-2-35500-022-5.
[2] Y. Ni, H. Le Khanh, Y. Chalopin, J. Bai and P. Le barny, “Highly efficient thermal glue for carbon nanotubes based on azide polymers”, Applied Physics Letters, 2012, vol. 100, 193118,
[3] S. Kaur, N. Raravikar, B.A. Helms, R. Prasher, D. F. Ogletree, “Enhanced thermal transport at covalently functionalized carbon nanotube array interfaces”, Nature Comm., 2014, 5, 3082.
9:00 AM - T11.03
Printed Active Origami Using Carbon Nanotube Based Multifunctional Energy Transducers
Chengwei Wang 1 Michael J O'Connell 1
1Arizona State University Mesa United States
Show AbstractAs a type of artificial muscle, bimorph actuators have wide applications in robots. Compared with ionic electroactive polymer devices based on electrochemical double-layer capacitance that require requiring an electrolyte, and piezoelectric actuators that usually require high electric fields and voltages, thermal bimorph actuators are attractive due to their simple structure. Origami-inspired devices that utilize folding techniques in the assembly of the device are attractive because a single sheet can be folded into the desired shape, rather than trying to individually fabricate and attach together different components.
Here we describe the facile fabrication of solid-state, printed active origami using plastic films and coatings of single-walled carbon nanotubes. A walking robot was made from a common plastic sheet coated with conductive inks. The conductive inks were printed onto plastic films, then cut with scissors, folded into a desired shape and activated with on-board circuitry. The maximum observed force produced by an actuator was 60x its own weight. Actuators were also demonstrated to bend more than 90 degrees. The actuators were repeatedly activated for nearly 50,000 cycles without significant loss of performance for a sub-hertz actuator and 1,000,000 cycles in the case of a 30 Hz actuator. The origami could be powered by heat, light, or electricity, demonstrating the ability for these devices to serve as multifunctional energy transducers.
9:00 AM - T11.04
Rational Design and Synthesis of Organic Molecule as Efficient N-Type Dopant for Graphene-Based IR Photodetectors
Nan Liu 1 He Tian 2 Zhenan Bao 1
1Stanford University Stanford United States2Tsinghua University Beijing China
Show AbstractGraphene, a single-atomic layer of sp2 carbon network, is highly interesting as an electronic material due to its extremely high charge carrier mobility and unique band structure. However, there is significant challenge in the precise control of graphene&’s electronic properties such as Fermi level, majority charge carrier type and bandgap, which is crucial to fulfill graphene&’s potential as the building block for the next-generation electronic devices. Organic molecules and polymers, which can easily interface with graphene, provide a viable route for the rational control of graphene&’s electronic properties. Here we present one example of our efforts towards this goal: rational design and synthesis of organic molecule as efficient n-type dopant for graphene-based IR photodetectors.
On this topic, I will report the design, synthesis and application of 2-(2-methoxyphenyl)-1,3-dimethyl-2,3-dihydro-1H-benzoimidazole (o-MeO-DMBI) as a novel n-type dopant for chemical-vappor-deposition (CVD) grown graphene. Electrical measurement results show that the transport behavior of CVD-graphene can be modulated from p-type to ambipolar and finally to n-type by the surface adsorption of o-MeO-DMBI. By selectively doping CVD graphene, we can fabricate large-area photodetectors with remarkably high photoresponsivity (~12 A/W) under infrared (IR) irradiation. Our chemically-derived graphene P-N junctions can be fabricated on both transparent and flexible substrates without the need for complex fabrication processes, and open up great opportunities for high-performance broadband photodetection.
Reference:
dagger;equally contributing authors
1. Tuning the Dirac Point in CVD-grown Graphene through Solution Processed n-type Doping with 2-(2-methoxyphenyl)-1,3-dimethyl-2,3-dihydro-1H-benzoimidazole. Peng Wei;dagger; Nan Liu;dagger; Zhenan Bao* Nano Lett, 2013, 13, 1890.
2. Large-Area, Transparent, and Flexible Infrared Photodetector Fabricated Using P-N Junctions Formed by N-Doping Chemical Vapor Deposition Grown Graphene. Nan Liu; He Tian; Gregor Schwartz; Jeffrey B.-H. Tok; Tian-Ling Ren;* Zhenan Bao* Nano Lett, 2014, 14, 3702.
9:00 AM - T11.05
Chemical Sensing with Switchable Transport Channels in Graphene Grain Boundaries
Artem Baskin 1 Poya Yasaei 2 Bijandra Kumar 2 Nikita Repnin 3 Petr Kral 3 4 Amin Salehi-Khojin 2
1Molecular Foundary, Lawrence Berkeley National Laboratory Chicago United States2University of Illinois at Chicago Chicago United States3University of Illinois at Chicago Chicago United States4University of Illinois at Chicago Chicago United States
Show AbstractGrain boundaries markedly affect the electronic, thermal, mechanical and optical properties of a polycrystalline graphene. In many applications the presence of grain boundaries in graphene is undesired. However, we show that they have an ideal structure for the detection of chemical analytes [1]. We observe that an isolated graphene grain boundary has ~300 times higher sensitivity to the adsorbed gas molecules than a single-crystalline graphene grain. Our electronic structure and transport modelling reveal that the ultrasensitivity in grain boundaries is caused by a synergetic combination of gas molecules accumulation at the grain boundary, together with the existence of a sharp onset energy in the transmission spectrum of its conduction channels. The discovered sensing platform opens up new pathways for the design of nanometre-scale highly sensitive chemical detectors.
[1] Yasaei P., Kumar B., Hantehzadeh R., Kayyalha M., Baskin A., Repnin N., Wang C., Klie R.F., Chen Y.P., Král P., Salehi-Khojin A. Chemical Sensing with Switchable Transport Channels in Graphene Grain Boundaries. Nat. Comm., 5, 4911 (2014).
9:00 AM - T11.06
Nanopatterning of Reduced Graphene Oxide by Pt Nanostructures Synthesized from Diblock Copolymers
Sung-Soo Kim 1 Byeong-Hyeok Sohn 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractGraphene and reduced graphene oxide (rGO) have been patterned to specific nanostructures including nanoribbons and nanomeshes mostly by top-down lithographic techniques for various applications. Recently it was reported that moving catalytic nanoparticles generated trenches in graphene, resulting in nano-sized features with atomically smooth edges. However, etching of graphene with moving nanoparticles cannot be practical for effective nanopatterning of graphene in large area because of the lack of controllability over nanoparticle formation and etching direction. In addition, since trenches in graphene are generated by moving nanoparticles, patterning of graphene other than the shape of ribbons would be hardly feasible. In this study, we utilized diblock copolymers for nanopatterning of rGO by Pt nanostructures. Diblock copolymers have been widely used to generate nanostructures and nanopatterns of various materials in large area. Since metal precursors can be selectively loaded into one of the blocks of copolymers, nanopatterns of diblock copolymers and their micelles were employed as nanotemplates to generate various Pt nanostructures which eventually tailored rGO. With the diblock copolymer approach, we were able to generate various nanopatterns of rGO such as perforated nanostructures, nanoribbons, and perforated nanoribbons. Furthermore, the periodicity and feature size of nanopatterns were effectively controlled by those of Pt nanostructures which were tuned with the molecular weights of copolymers.
9:00 AM - T11.07
Floating Catalyst CVD Synthesized SWCNTs for High Performance Thin Film Transistors
Antti Kaskela 1 Kimmo Mustonen 1 Patrik Laiho 1 Hua Jiang 1 Yutaka Ohno 2 Esko Ilmari Kauppinen 1
1Department of Applied Physics, Aalto University Espoo Finland2Nagoya University Nagoya Japan
Show AbstractWe report fabrication of low density SWCNT networks with tuneable surface density by using a spark-generator based floating catalyst CVD reactor for synthesis of individual, ultra-pure and micrometer long SWCNTs. The uniform and tuneable density SWCNT networks were realized by on-line SWCNT concentration monitoring and feedback at the reactor outlet by using a condensation particle counter. The controlled deposition process enables compensation of undesired synthesis process variations, leading to excellent SWCNT network uniformity and reproducibility. The SWCNT networks consist mainly of individual SWCNTs with mean diameter close to 1 nm and a narrow helicity distribution near armchair edge, forming extended Y-contacts between individual SWCNTs. SWCNT thin film transistor characteristics were evaluated for devices fabricated by using the filter dissolution technique [1] for different surface densities ranging between 0.4 #/µm2 - 1.8 #/µm2, channel lengths between 5 µm - 100 µm and channel widths between 100 µm - 500 µm. The back-gated SWCNT thin film transistors exhibited excellent performance with mobilities up to 100 cm2V-1s-1, ON/OFF ratios up to 107-108, high spatial uniformity and yields up to 99 % for test device arrays consisting of hundreds of individual transistors, suggesting substantial application potential of these devices for integrated electronics.
[1] D.-M. Sun, M. Y. Timmermans, A. Kaskela, A. G. Nasibulin, S. Kishimoto, T. Mizutani, E. I. Kauppinen, and Y. Ohno, “Mouldable all-carbon integrated circuits,” Nature Communications, vol. 4, pp. 1-8, Aug. 2013.
9:00 AM - T11.08
Synthesis of BN-Doped Mono Layer Graphene and its Properties
Jiao Xu 1 Jingyuan Jia 1 Su Min Jeon 1 Sungjoo Lee 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractThe use of chemical doping, especially substitutional doping, is a promising approach to open the band gap of graphene, which makes it possible to synthesize stable doped graphene on a large scale with CVD. Boron and nitrogen atoms have a similar size to carbon atoms and hexagonal boron nitride (h-BN) has a graphene-like honeycomb structure with a large band gap (~ 5 eV), so h-BN is regarded as an ideal dopant for graphene to produce BN-graphene hybridized atomic layer structures. The outstanding feature of such BN-graphene hybridized structures is that their electrical properties are tunable by varying the dopant concentration. In this work, we report a new method for the co-doping of boron and nitrogen in a monolayer graphene film. After the CVD synthesis of monolayer graphene, BN-doped graphene is prepared by performing power-controlled plasma treatment and thermal annealing with borazine. BN-doped graphene films with various doping levels, which were controlled by altering the plasma treatment power, were found with Raman and electrical measurements to exhibit p-doping behavior. Transmission electron microscopy, electron energy loss spectroscopy, and X-ray photoelectron spectroscopy were used to demonstrate that the synthesized BN-doped graphene films have a sp2 hybridized hexagonal structure. In previous studies, BN dopants have been introduced during graphene synthesis, but it has been found that segregation of the BN domains arises at high BN concentrations. In this study, we synthesized BN-doped graphene layers by incorporating BN into graphene after the CVD process through plasma treatment and thermal annealing in borazine; the aim was to incorporate the BN dopants at the defect sites that are generated in the CVD graphene by the plasma treatment. Our results show that boron and nitrogen are distributed uniformly in the synthesized BN-doped graphene films and that a sp2 hybridized hexagonal structure is formed. By controlling the active sites in CVD graphene for BN bonding, which are generated by the plasma treatment, the doping level of BN, which is introduced by the thermal annealing process, can be controlled. The highest bandgap obtained in our graphene samples is 14.4 meV, which is calculated based on the Resistance - Temperature measurement. Compared to the pristine graphene, the mobilities of BN-doped graphene samples are decreased, which are in the range of 33~64 cm2/Vs. Our approach to tuning the distribution and doping levels of boron and nitrogen in monolayer sp2 hybridized BN-doped graphene is expected to be very useful for applications requiring large-area graphene with an opened band gap.
9:00 AM - T11.09
A Kelvin Probe Force Microscopy Study on a Transparent Conducting Film Consisting of Carbon Nanotubes and Silver Nanowires
Haeseong Lee 1 Jongjun Ahn 1 Chul Ho Choi 2
1Jeonju University Jeonju Korea (the Republic of)2KETI Jeonju Korea (the Republic of)
Show AbstractThe electronic industry in the 21st Century has been faced to new materials due to the addition of flexibility into its products. In order to achieve flexible electronics it is necessary to use novel materials such as carbon nanotube, nanowire and graphene, etc. As the novel materials are more widely used in the electronic industry, so the manufacturing processes are expected to be shifted from semiconducting processes to printing ones and charaterizing methods should be futher developed.
In this study we developed a new method to analyze electronic properties of the novel materials used in printed electronics using atomic force microscopy (AFM) and Kelvin probe force microscopy (KPFM). The utilization of the methodologies provides the many advantages with us as follows : (i) These two methodologies can be achieved by one instrument.(ii) Geometric factors and electronic factors of a sample can be achieved simultaneously. Carbon nanotubes (CNTs) and silver nanowires (AgNWs) were selected as testing samples since they are typical materials generally used in fabrication of transparent conducting films (TCFs).
For the first step in developing the new analysis methods KPFM was applied to Nb and Ti in order to confirm its capability which can differentiate their surface potentials. We obtained 287±10mV from Ti and 167±20mV from Nb which can be useful as finger print values for the two metals.
For KPFM applications to the materials for printed electronics, the surface potentials of CNTs and AgNW were measured. We obtained their characteristic surface potential as CNT for -0.5 ± 0.01V and AgNW for -1.1±0.02 V. Furthermore KPFM was applied to the surface of 1 : 1 mixture of CNT and AgNW. The value was -2.0±0.02 V which was more than sum of the two values from CNTs and AgNWs. Since KPFM can reveal surface potential of the local area, this method can be useful for determining mixed level in the mixture.
9:00 AM - T11.10
Highly Conformal Graphene Devices on Uneven Substrate and Applications for Wearable Electronics
Yong Ju Park 1 Seoung-Ki Lee 1 Jong-Hyun Ahn 1
1Electrical and Electronic Engineering, Yonsei University Seoul Korea (the Republic of)
Show AbstractRecently, conformal device on uneven surface have received great attention because of its multifaceted applications such as healthcare monitoring system, and wearable electronics which are difficult to achieve with planar layout. Graphene can be an ideal choice as a constituent material for conformal device because it combines an atomically thin thickness, resulting extraordinary mechanical flexibility and optical transmittance. In this work, we demonstrate graphene based conformal devices that can be conformally integrated onto uneven surface of animal hide. The graphene field effect transistors have ultrathin, device thickness less than 70 nm, by direct applying a polymer medium film used for transfer process of graphene sheets to a gate insulator, which results in much lower bending stiffness (EI ~1.24 GPamiddot;mu;m4) than any reported values and excellent conformal coverage over the uneven surface without any adhesive layer. As a result, the characteristics of the device perfectly wrapped on the uneven were analyzed by using SEM and adhesion test equipment. Also, graphene transistors conformally transferred on the animal hide showed stable and excellent electrical characteristics regardless of the position of the device with variation of Dirac point within ±2V and of carrier mobility within 20%. The electrical property of ultrathin graphene transistors on animal hide shows hole and electron mobilities of 690 and 408 cm2/Vs, respectively. In addition, another notable advantage of the conformal UT-GFETs was the good mechanical stretchability across three-dimensionally curved surfaces. Curved substrates coated with the UT-GFETs could consume the external strain rather than transferring in to the device by transforming and flattening the surface shape. UT-GFETs have stable mechanical durability until 130 and 80 times of repeatable folding and twisting, respectively (bending radii: 0.6 cm and twist angle: 25o). Further, we demonstrated the feasibility of using this ultrathin, conformable graphene-based device as 4 x 4 array tactile sensor. The fabricated graphene based tactile sensor is capable of detecting pressure distribution on the sensing area with 9 kPa corresponding to the pressure of human&’s gentle touch.
9:00 AM - T11.11
First-Principles Studies on Graphite Intercalation Compounds for Graphene Exfoliation
Gabin Yoon 1 2 Dong-Hwa Seo 3 Kyojin Ku 1 Jungmo Kim 4 Jihyun Hong 1 Kyu-Young Park 1 Seokwoo Jeon 4 Kisuk Kang 1 2
1Seoul National University Seoul Korea (the Republic of)2Seoul National University Seoul Korea (the Republic of)3Massachussets Institute of Technology Boston United States4KAIST Daejeon Korea (the Republic of)
Show AbstractHere, we investigate the mechanism of the intercalation-aided exfoliation of graphite, one of the most promising ways to produce high quality graphene in large-scale with low-cost, using density functional theory (DFT) calculations. While it was generally perceived that the expanded interlayer distance with the intercalants weakens the binding between graphene layers as the van der Waals force decreases, the calculation reveals that the intercalation of electronegative or electropositive intercalants (i.e. Li, K, F, Cl and Br) results in 1.5~5 times higher exfoliation energy than pristine graphite due to a charge transfer between intercalants and graphene layers. On the other hand, neutral intercalants such benzene and KCl promote the exfoliation by substantially reducing the exfoliation energy compared to the pristine graphite. Furthermore, the co-intercalation of intercalants with water molecules can remarkably lessen the exfoliation energy minimizing the charge transfer between intercalants and the graphene layers. This theoretical study broadens our understanding on the underlying graphene exfoliation mechanism and provides the insight to develop more effective exfoliation strategy of graphite.
9:00 AM - T11.12
Graphite Oxide and Graphene as Enhancers of Energetic Mixtures
Nicholas Vilardi 1 Sarath Menon 1 Claudia C. Luhrs 1
1Naval Postgraduate School Monterey United States
Show Abstract
The effects of using Graphite Oxide (GO) and Graphene (G) as additives to enhance the burn or oxidation rates in diverse energetic mixtures were studied in inert and oxygen containing atmospheres. The aluminum - iron oxide thermite reaction was used as exemplary study case. Aluminum particles were mixed with GO or G and the combinations heated to promote the aluminum oxidation in the presence or absence of iron oxide, in argon and simulated air environments. The changes in mass during the processes were recorded using thermogravimetric analysis and the heat flows involved determined by differential scanning calorimetry. The evolved gases were analyzed by a Mass spectrometer to identify the volatile byproducts to compare to those observed when no additives were used. The solid crystalline precursors and byproducts were identified using X-ray diffraction techniques and their microstructural characteristics and identity studied using scanning electron microscopy and energy dispersive spectroscopy.
Evidenced by the byproducts generated, the calorimetric study of the processes and the microstructures observed, the addition of GO or G to thermite clearly enhances the aluminum oxidation reaction. A mechanism that presents the different oxidation steps when additives are used is proposed to explain the results. The ease with which graphite oxide loses its oxygen groups at moderate temperatures and the overlap of the burn off temperatures of graphene with those of aluminum oxidation seem to be responsible for the improvements observed.
9:00 AM - T11.13
Synthesis of High-Quality and Large-Area Bilayer Graphene by Hot Filament Chemical Vapor Deposition
Tej B. Limbu 1 2 Frank Mendoza 1 Kenneth J. Perez Quintero 1 2 Oscar Resto 2 Jean C. Hernandez 2 Brad R. Weiner 1 3 Gerardo Morell 1 2
1Institute for Functional Nanomaterials San Juan United States2University of Puerto Rico San Juan United States3University of Puerto Rico San Juan United States
Show AbstractWe have synthesized high-quality and large-area bilayer graphene by hot filament chemical vapor deposition (HFCVD). The graphene films obtained are uniform and with low defect density. Raman spectroscopy mapping and high resolution transmission electron microscopy (HRTEM) images confirm the high quality of the graphene films. However, broad and symmetric 2D band in the Raman spectrum of the film shows that the bilayer graphene is turbostratic in nature. Fast Fourier transform (FFT) of the HRTEM images further confirm that the two graphene layers are rotated with respect to each other by some angle. We measured the thickness of the graphene film by using an atomic force microscopy (AFM) which also shows that the film has two layers. Additionally, we studied the film by X-ray photoelectron spectroscopy (XPS). We made measurement of the band gap, optical transmittance, sheet resistance and thermal conductivity of the bilayer graphene. Furthermore, temperature dependent Raman spectroscopy of our sample was performed to analyze the phonon-phonon interactions in this bilayered material.
9:00 AM - T11.14
Few Layer Graphite Nanosheet-Based 3-D Framework Architecture for Facilitated Thermal Energy Tranfer
Sang-Soo Lee 1 Sung Gook Jin 1
1Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractConstruction of carbon-based three-dimensional (3-D) networks is an effective strategy for practical applications including thermal management materials. However, practically meaningful construction of 3-D framework architecture has not been realized yet. In this paper, we prepared 3-D framework architecture of few layer graphite nanosheets (GNS) to construct an efficient pathway for the thermal energy transfer in preparing a thermal management composite material. In achieving 3-D GNS framework of excellent thermal energy transfer under practically usable condition, GNS filling into the interstitial sites among colloidal polymer particle aggregates and following removal of polymer components were conducted. The voids surrounded by 3-D framework of GNS were re-filled out using cyclic butylene terephthalate oligomers which was polymerized to form a PBT/GNS nanocomposite. The PBT/GNS nanocomposite containing 3-D framework architecture of GNS exhibits an excellent thermal conductivity of more than 4 W/m-K at about 9 wt% of GNS, while the simple mixture of GNS and PBT shows about 2 W/m-K at comparable amount of GNS.
Keywords: three dimensional interconnected framework, few layer graphite nanosheet, nanocomposite, thermal energy transfer
9:00 AM - T11.15
Broadband Photoresponse from Glucose-Derived Graphene Oxide Nanosheets
Sin Ki Lai 1
1Hong Kong Polytechnic University Sai Wan Ho Hong Kong
Show AbstractGraphene oxide (GO) was synthesized by a hydrothermal method using glucose solution as sole reagent. Changes in the physical properties of the bottom-up GO with annealing temperatures are investigated in detail using Raman, UV-Vis, FTIR spectroscopy and Hall measurements. Optical band gap of the GO decreases from 4.45 eV to 2.41 eV, from as-synthesized GO to 850oC annealed GO. This shows that the bottom-up GOs have a widely tuneable band gap. The GOs exhibit a much stronger absorption in visible light and NIR as annealing temperature increases, which implies that GO photodetectors may be spectrally tuneable. The wavelength-dependent photoresponse of the GO was investigated by fabricating metal-GO-metal photodetectors. The devices demonstrated broadband photoresponse from 290 to 1610 nm covering deep ultraviolet (UV) to near-infrared (NIR), which is the broadest spectral range yet demonstrated on GO. The responsivity of the photodetector can be as high as 23.6 mA/W in the visible range. The response times of the photodetectors in the UV and visible range are about 100 ms, which are at least one order of magnitude faster than photodetectors based solely on GO reported previously. The response time is about 80s in 1610 nm, which is significantly slower than that in UV and NIR. The wavelength-dependent photoresponse is closely related to the absorption characteristic of the GO. The number of photogenerated charge carriers is smaller in NIR, due to weaker absorption, but the number of trapping sites remains constant in the GO, thus a larger proportion of photogenerated charge carriers are trapped by the oxygen functional groups in GO under NIR excitation. Potential for self-powered GO based photodetector is first demonstrated, the device shows prominent photoresponse at zero bias. The GO based photodetectors pave the way for developing low-cost, broadband, self-powered as well as spectrally tuneable photodetectors.
9:00 AM - T11.16
Analysis of Surface Potential Distribution of Graphene by SKPM with Metal Nanoball Modified Tip Apex
Chien-Ting Wu 1 Shu-Hung Tung 2 Ching-Hao Chen 2 James Su 3 Chun-Ting Lin 3 Ming-Hua Shiao 3 Mao-Nan Chang 2 4
1National Nano Device Laboratories Hsinchu Taiwan2National Chung Hsing University Taichung Taiwan3Instrument Technology Research Center Hsinchu Taiwan4National Chung Hsing University Taichung Taiwan
Show AbstractIn this study, we had demonstrated localized fluoride-assisted Galvanic replacement reaction (LFAGRR) to fabricate a metal nanoparticle modified silicon tip apex, which reduced the stray field and provided higher contrast and spatial resolution. Using this method, we could analyze the surface potential of graphene to locate the defect structure. We further introduced atmospheric microwave annealing (a-MWA) to transform metal to metal-Si nanoball for SKPM applications. Furthermore, we use electron energy-loss spectroscopy and energy dispersive X-ray spectroscopy in the scanning transmission electron microscopy mode to analyze the electronic excitations and identify the phase of metal silicide. Our experimental results indicated that a-MWA is capable of modifying the surface properties of a metal nanoparticle modified probe for field-sensitive applications.
9:00 AM - T11.17
Two- and Three-Dimensional Approaches to the Elastic and Fracture Properties of Perfect and Defective Graphene
Peter Hess 1
1University of Heidelberg Heidelberg Germany
Show AbstractCharacterization of the two-dimensional (2D) mechanical properties of covalent graphene monolayers is not only of fundamental scientific interest, owing to the exceptional strength and surface energy of pristine graphene, but also of enormous practical importance due to promising technological applications of larger samples, which, however, may be more or less defective. A 2D Morse-type microscopic fracture model is derived that allows the estimation of the bond breaking force and line or edge energy of graphene from experimentally determined 2D Young&’s moduli and 2D intrinsic strengths, measured by nanoindentation on small perfect samples. The nanoscopic length scale needed for the evaluation of one of the following three properties 2D Young&’s modulus, 2D intrinsic strength, and breaking force can be calculated from the interaction potential of the corresponding covalent bonds forming the monolayer. The estimated properties are in good agreement with values obtained by density functional calculations and molecular dynamics simulations and complete the set of 2D mechanical properties. By using the thickness of the graphene layer the one-dimensional (1D) line energy can be transformed into a formal surface energy that can be compared with the well known properties of three-dimensional (3D) solids. The line or edge energy represents the upper limit for uniform bond breaking in perfect 2D crystals. If defects are present, as expected for large-area engineering sheets of graphene, the fracture toughness and the critical strain energy release rate are employed to characterize the defective material by MD simulations or by applying the Griffith relation to experimental data. Results reported recently for the effective fracture stresses and corresponding pre-crack lengths, observed for artificial central cracks introduced with a focused ion beam, clearly demonstrate the applicability of the Griffith relation to 2D crystals. The line and surface energies extracted from measurements of the stress intensity factor of graphene are in good agreement with those obtained for a perfect monolayer. It is important to realize that the 2D microscopic model applied to the ideal fracture behavior and the 3D Griffith analysis of the defective monolayer provide consistent mechanical properties, despite the different dimensionalities. The estimation of mechanical properties such as the surface energy using the monolayer thickness clearly points to a graphene surface energy comparable or probably higher than the surface energy of diamond, which has the highest value of any 3D solid. Thus, graphene has not only an unsurpassed intrinsic fracture strength but also an exceptional and possibly unrivalled line or surface energy. This superiority stems from its plane 2D geometry, where all chemical bonds are concentrated in one single covalently-bonded plane.
9:00 AM - T11.18
En Route to the Ultimate Performance of Flexible and Transparent Single-Walled Carbon Nanotube Thin Films
Kimmo Aleksi Mustonen 1 Antti Kaskela 1 Patrik Laiho 1 Hua Jiang 1 Esko Ilmari Kauppinen 1
1Aalto University, School of Science and Technology Espoo Finland
Show AbstractRandomly oriented single#8209;walled carbon nanotube (SWCNT) networks are a promising technology with variety of unique applications in e.g. bendable displays and touch sensors. However, performance optimization requires thorough understanding of the role of the SWCNT network morphology. Here, a novel spark discharge based aerosol synthesis process was used to experimentally demonstrate the detrimental effect of SWCNT bundling on the performance of transparent conducting film (TCF) and thin film transistors (TFT). In our system, the synthesis of SWCNTs relies on generation of iron catalyst particles with precisely tunable concentration into nitrogen carrier gas with a spark generator [1]. The catalyst particles are introduced into a high temperature laminar flow reactor held at 700#8209;900 °C and mixed with carbon monoxide and hydrogen to synthesize the SWCNTs. Tunable catalyst concentration allows us to grow individual and high-quality SWCNTs with well-defined diameter and length distributions (1.1±0.3 nm and 4±2 µm respectively) for fabrication of nanotube networks. We are also able to control the nanotube bundling by tuning the nanotube residence time in aerosol phase, which combined with direct deposition of the SWNCTs to various substrates, enables us to fabricate TCFs and TFTs with a well-defined network morphology, and deprived of surface impurities [2]. We demonstrate the TCFs fabricated of individual SWCNTs have intrinsically higher conductivity to transparency ratio compared to those fabricated of larger bundles, with extremely low sheet resistance down to 65 Ohms/sq. @ 90% transparency. Similarly, network TFTs of individual SWCNTs exhibit remarkably higher uniformity in terms of both mobility and ON/OFF ratio compared to larger bundles, with ON/OFF ratio up to 108 and mobility above 100 cm2V-1s-1, underlining the importance of individualization of SWCNTs for very-large-scale integration of electronics.
1. Schwyn, S., E. Garwin, and A. Schmidt-Ott, Aerosol generation by spark discharge. Journal of Aerosol Science, 1988. 19(5): p. 639-642.
2. Kaskela, A., et al., Aerosol-Synthesized SWCNT Networks with Tunable Conductivity and Transparency by a Dry Transfer Technique. Nano Letters, 2010. 10(11): p. 4349-4355.
9:00 AM - T11.19
Hybrid of Graphitic/Platinum Ultrathin Film for High Transparency Conductive Electrode at 385nm Wavelength
Shih-Hao Chan 1 Wen-Hao Cho 2 Fong-Zhi Chen 2 Sheng-Hui Chen 1 Chia-Liang Hsu 3 Wei-Chih Peng 3 Ai-Sen Liu 3 Chien-Cheng Kuo 1
1National Central University Jhongli Taiwan2National Applied Research Laboratories Hsinchu Taiwan3epistar Hsinchu Taiwan
Show AbstractIn this work, a hybrid of graphitic/platinum ultrathin film was proposed for transparent conductive electrode at ultraviolet of 385 nm wavelength. We developed a plasma-enhanced atomic layer deposition (PEALD) system to deposit platinum (Pt) and graphitic thin film for forming a graphitic/Pt hybrid electrode. 5-nm-thick of Pt was deposited on a quartz substrate by using atomic layer deposition (ALD) method at room temperature. Trimethyl(methylcyclopentadienyl)platinum(IV) [PtL] and DI water were used as the precursors to deposit the platinum film. There are four steps per ALD cycle: (1) the PtL precursors was fed into the ALD chamber, (2) N2 gas is introduced into the ALD chamber as a purging gas to remove excessive reactant and by-product during the surface reaction, (3) DI water is fed into the ALD chamber, and (4) N2 gas is again used as a purging gas. The pulse times for the PtL and DI water were 0.4 and 0.2 s, respectively. N2 gas was sandwiched between the two pulse steps for 5 s. The growth rate of the platinum was 0.1 nm per cycle. After Pt deposition, the temperature was ramped-up to 250 oC and the plasma power was 200W for growing graphitic film on Pt. The sample was annealed for 30 min, methane gas (CH4), flowing at 1 sccm, was the carbon source, it was mixed with 20 sccm of H2 and 10 sccm of Ar and fed into the ALD chamber. The plasma generator decomposes the H2 and CH4 gas into CHx radicals and C2 dimers, the acetylene-like C=C bond produces a carbine structure and yielding graphitic film. The sheet resistance of graphitic/Pt/quartz was 6.6×104 and the transmittance was 84.87%. We also measured the Raman fingerprint of graphitic film, two typical peaks of D peak and G peak were around 1350 cm-1 and 1580 cm-1, which indicated the graphitic film consist of sp2 structure and a lot of amorphous carbon. This is a prototype system which can deposit the catalyst metal and graphitic film to form a hybrid electrode with a one step process. We believe that this method can be a candidate for some transparent conductive electrode applications.
9:00 AM - T11.20
Fully Transparent Quantum Dot Light-Emitting Diode Integrated with Graphene Anode and Cathode
Seo Jung-Tak 1 Junebeom Han 2 Taekyung Lim 2 Ki-Heon Lee 3 Jungseek Hwang 1 Heesun Yang 3 Sanghyun Ju 2
1Sungkyunkwan University Suwon Korea (the Republic of)2Kyonggi University Suwon Korea (the Republic of)3Hongik University Seoul Korea (the Republic of)
Show AbstractA fully transparent quantum dot light-emitting diode (QD-LED) was fabricated by incorporating two types (anode and cathode) of graphene-based electrodes which were controlled in their work functions and sheet resistances. Either gold nanoparticles or silver nanowires were inserted between layers of graphene to control the work function, whereas the sheet resistance was determined by the number of graphene layers. The inserted gold nanoparticles and silver nanowires in graphene films caused a charge transfer and changed the work function to 4.9 eV and 4.3 eV, respectively, from the original work function (4.5 eV) of pristine graphene. Moreover the sheet resistance values for the anode and cathode electrodes were improved from ~63,000 ohm/sq to ~110 ohm/sq and from ~100,000 ohm/sq to ~741 ohm/sq as the number of graphene layers increased from 1 to 12 and from 1 to 8, respectively. The main-peak wavelength, luminance, current efficiency, and optical transmittance of the fully transparent QD-LED integrated with graphene anode and cathode were 535 nm, ~358 cd/m2, ~0.45 cd/A, and 70-80%, respectively. The findings of the study may open a new avenue for the production of high-efficiency, fully transparent, and/or flexible displays using graphene-based electrodes.
9:00 AM - T11.21
Low-Temperature Metal Particle-Templated Chemical Vapor Deposition Growth of Curved Graphene for Supercapacitor Applications
Shuang Zhou 1 Ni Zhao 1 Ching Ping Wong 1
1The Chinese University of Hong Kong Shatin, N.T. China
Show AbstractGraphene has been considered as a promising candidate for supercapacitor applications, due to its light-weight, excellent electronic properties and large surface area. However, the actual performance of the graphene-based supercapacitors is much lower than the theoretically predicted capacitance of 550 F g-1, due mainly to the restacking of the flat graphene layers. Thus, effective prevention of the restacking of graphene layers is crucial for achieving high accessible surface area and specific capacitance. In this study, we developed a metal particle-templated atmospheric pressure chemical vapor deposition (APCVD) method to produce 3D curved graphene with large scale. Using methane as the carbon precursor and commercially available micro-sized Ni particles as the template, we are able to obtain high-quality graphene at the growth temperature of as low as ~ 650 oC. Compared with conventional flat Ni substrates, Ni particles provide a 3D scaffold with more accessible surface to interact with methane. More importantly, from flat substrates to micro-particles, a great amount of surface steps and edges are generated, which facilitates methane decomposition, graphene nucleation and defect healing during graphene growth. We have further extended the APCVD method to grow curved graphene with other transition metal particles and studied their temperature dependent-growth behavior. The correlation between our experimental observations and previous theoretical studies is obtained. With the high accessible surface area of the curved graphene, the electrode shows outstanding electrochemical properties, such as a specific capacitance as high as 306 F g-1, an energy density of 17.7 Wh kg-1 and a power density of 22.0 kW kg-1, respectively. Furthermore, the curved graphene-based electrode shows an excellent power ability and long term stability, making it promising for supercapacitor devices.
9:00 AM - T11.22
Controlled Distribution of Oxygen Adatoms on a Single Layer Graphene
Vijayarangamuthu Kalimuthu 1 Seungbae Ahn 1 Ki-Joon Jeon 1
1Inha University Incheon Korea (the Republic of)
Show AbstractTailoring the properties of graphene is important for next generation electronic, optical, and mechanical applications. Various chemical and physical methods have been studied for such modification. The physical methods include confinement of size and varying number of graphene layers. The physical methods need highly sophisticated instruments and their reproducibility is poor. Among chemical method, adding atoms to graphene is one of the promising methods for modifying graphene properties. The concentration or coverage of adatom defines the properties and such coverage can be controlled by various methods. Atomic force microscope (AFM) and scanning tunneling microscope (STM) are utilized for the control of adatoms preciously on the graphene surface. However, in these methods the modifications are restricted to the tip size, which is at nanoscale level. The theoretical studies also shown changes in various properties, like wetting property, magnetic property, and electrical property due to adatom concentration. Further theoretical studies shown the possibility of migration of adatoms for controlling graphene properties. In this study, we report the synthesis of clean and good quality single layer graphene oxide (SLGO) by physical method. We further controlled the coverage of oxygen adatoms by physical method and demonstrated its implication on the properties of single layer graphene.
9:00 AM - T11.23
Fabrication and Properties of CNT/Graphene/Elastomer Hybrid Nanocomposites for Flexible and Stretchable Conductor Application
Jae Young Oh 1 Gwang Hoon Jun 1 Ho Jin Ryu 1 Soon Hyung Hong 1
1KAIST Daejeon Korea (the Republic of)
Show AbstractCNT and graphene are known as good conductive fillers in polymer matrix due to their superior electrical properties, high aspect ratio structure which gives better electrical network and affinity in polymer matrix compared with metal fillers.
These superior properties facilitate CNT, Graphene/elastomer nanocomposites to be used as stretchable conductors. Various researches which used CNTs, graphenes as conductive fillers of a stretchable conductor nanocomposites have been proceeded. Critical issue of these researches is to maintain high electrical conductivity without losing high stretchability. To acquire these ideal properties, it is required to enhance electrical network by well dispersing low weight fractions of CNTs, graphenes in the matrix.
There are many research to enhance dispersibility and electrical network of CNTs, graphenes in the matrix by introducing functional materials as dispersing agent. However, most functionalization process classified into covalent functionalization and non-covalent functionalization and those processes induce electrical properties degradation in stretchable conductor nanocomposites. Covalent functionalization degrades electrical properties of pristine CNTs, graphenes by inducing surface defect of them. Non-covalent functionalization process reduces damage at surface of CNTs, graphenes but induce increase of contact resistance by insulative functional materials.
In our study, CNT-graphene hybrid materials are used as conductive fillers in stretchable conductor nanocomposites without adopting of insulative functional materials. CNT-graphene hybrid materials were fabricatied by π-π interaction among them through solvent dispersion and sonication. CNT-graphene hybrid system enhances dispersibility of conductive fillers by steric hindrance induced by π-π interaction between CNT and graphene and prevents agglomeration and restacking without degradation of electrical conductivity. Additionally, interconnection of 1D(CNT)-2D(graphene) reduce contact resistance compared with single 1D(CNT) connection by increasing electrical contact area.
Due to these synergy effects, specific ratio&’s hybrid CNT/Graphene/PDMS nanocomposites fabricated by solution mixing showed increase of electrical conductivity almost 4 times compared with single CNT/PDMS, Graphene/PDMS nanocomposites at the same weight fraction and showed superior flexibility, stretchability with conductivity as stretchable conductors.
9:00 AM - T11.24
Reducing the Polymer Residue on Graphene by Ethanol Annealing
Rene Vervuurt 1 Vincent Vandalon 1 Jan-Willem Weber 1 Erwin Kessels 1 Ageeth A. Bol 1
1Eindhoven University of Technology Eindhoven Netherlands
Show AbstractGraphene is a promising material for a wide range of applications. Obtaining large-area, contamination-free graphene is essential for large-scale production. Chemical vapour deposition of graphene on metals makes it possible to create large-area graphene. However, during the transfer of graphene to the desired substrate and in subsequent device fabrication, polymers are deposited on and subsequently removed from the graphene. It is impossible to remove the polymers that are used completely, leaving behind a layer of residue on the graphene surface. This residue deteriorates graphene&’s electronic properties, interferes with graphene functionalization and results in a large variation in device performance .
In literature various treatments have been reported to remove the polymer residue from the graphene surface, with varying degrees of success [1]. Specifically, annealing the graphene in Ar and H2 gas environments has shown that a reduction of the graphene residue is possible, though still some residue remains. Annealing in oxidative gas environments results in cleaner surfaces, but can also damage the graphene[2].
In this contribution, the removal of poly(methyl-methacrylate) (PMMA) by annealing in ethanol is explored. The PMMA residue and the residue reduction upon annealing are studied by a combination of surface-sensitive optical tools such as, spectroscopic ellipsometry, Raman spectroscopy and broadband sum-frequency generation. It is shown that annealing the graphene in ethanol is able to remove most of the PMMA residue. Furthermore, the formation of an amorphous carbon film on the graphene, that is often observed when annealing in Ar of H2, is prevented. The better performance of ethanol, compared to Ar or H2 is ascribed to the decomposition of ethanol at higher temperatures into both reducing and oxidizing fragments (C2H4, H2,CO , H2O, etc.). This makes it possible to remove most of the PMMA residue and even heal defects present in the graphene. The results show that ethanol annealing is a viable treatment to remove the polymer residue form graphene.
[1] Y.C. Lin et al. Nano Lett., 12, (2012) 414minus;419
[2] C. Gong et al. J. Phys. Chem. C, 117, (2013) 23000minus;23008
9:00 AM - T11.25
Metal-Graphene Contacts Fabricated by Atomic Layer Deposition of Platinum
Rene Vervuurt 1 Akhiel Sharma 1 Nick Thissen 1 Erwin Kessels 1 Ageeth A. Bol 1
1Eindhoven University of Technology Eindhoven Netherlands
Show AbstractGraphene is a two dimensional material that has attracted considerable scientific interest over the past few years, due to its exceptional mechanical, electrical and optical properties. The high intrinsic charge carrier mobility of graphene makes it a promising candidate to be used in future electronic devices. To further improve graphene device performance, the ability to deposit high quality metal contacts on graphene is required. Conventionally, metal contacts are deposited using physical vapor deposition (PVD) techniques. However, the layers created this way have generally a poor adhesion to the graphene, resulting in contact delamination and a high contact resistance. Edge contacting the graphene can circumvent these problems, but the choice of metal is limited when PVD techniques are used, due to the weak interaction between the evaporated metal and the graphene[1].
Atomic Layer Deposition (ALD) could be a viable alternative for the deposition of metal-graphene contacts. Due to the unique surface chemistry of the ALD process a more intimate contact can be created between the metal and the graphene, leading to a reduction in the contact resistance. However, the lack of dangling bonds and the hydrophobicity of the graphene makes it very difficult to initiate ALD growth on graphene [2].
In this work, the possibility to deposit metal contacts on graphene using ALD of Pt is investigated. It is shown that Pt is preferentially deposited on the grain boundaries and defects of the graphene. In addition we found that the underlying substrate plays an important role in the initial stages of ALD growth. No uniform Pt deposition can however, be achieved on pristine graphene [3]. To circumvent this problem the graphene is treated with a mild hydrogen plasma, before Pt ALD. The hydrogen plasma pre-treatment makes it possible to uniformly grow Pt on the graphene. Furthermore, the difference in Pt growth delay between graphene and hydrogenated graphene can be utilized to selectively grow Pt on the hydrogenated areas on the graphene. Using the developed ALD process circular transfer length method structures were created, using electron-beam lithography, to determine the contact resistance. The results of these electrical measurements will be presented.
[1] W.S. Leong, C.T. Nai, J.T.L Thong, Nano Lett. 14 (2014) 3840-3847
[2] X. R. Wang, S. M. Tabakman, and H. J. Dai, J. Am. Chem. Soc. 130 (2008) 8152
[3] K. Kim et al., Nat. Commun. 5:4781 (2014) 5781
9:00 AM - T11.27
Growth Mechanism of Palladium Nanoparticles on Single Layer Graphene via Electrodeposition
Seungbae Ahn 1 Vijayarangamuthu Kalimuthu 1 Ki-Joon Jeon 1
1Inha University Incheon Korea (the Republic of)
Show AbstractConstructing hybrid structure of carbon materials and other materials is one of the promising methods for various applications (i.e. energy storage, chemical sensors and electronics), due to the synergy effect of hybrid structure which provides the good path of electron transport and high surface specific area. Hybrid structure synthesis of various materials and 1D, 2D carbon materials has continuously been studied for enhancing their performances. However, there are several problems such as repeatable synthesis and the binding degree between them. To circumvent these problems, we synthesized hybrid structure of palladium nanoparticles on single layer graphene via electrodeposition method and demonstrate the growth mechanism of palladium nanoparticles on single layer graphene y with experimental results (Raman , XPS, UV vis. etc) and density functional theory calculation . The results from structure analysis and DFT indicated that the hybrid structure is consisted of chemically binding with palladium and graphene as a strong cohesion unlike other mechanically synthesizing methods. Consequently, its sensing property about bending experiment has more stability than mechanically deposited palladium on graphene using sputtering.
9:00 AM - T11.28
Graphene Coated AFM Probe for Frictional Characteristics between Graphene Layers
Shuwei Liu 1 Huaping Wang 2 Tianbao Ma 1 Gui Yu 2 Jianbin Luo 1
1State Key Laboratory of Tribology, Tsinghua University Beijing China2Beijing National Laboratory for Molecular Sciences, Chinese Academy of Sciences Beijing China
Show AbstractGraphene now has become one of the most promising two-dimensional materials. In tribology applications, graphene acts as potential ultra-thin protective coating to reduce adhesion, friction and wear, especially for SiO2/SiO2 tribo-pair, which is commonly used as construction material for the moving components in microelectromechanical systems (MEMS). The nanotribological properties of graphene have aroused great interest recently by AFM studies[1]. Nowadays, most of the investigations focus on the tip-graphene interactions, little is known about the tribological behaviors between the graphene layers, which is very important for the understanding and application of interlayer sliding and lubrication properties of graphene.
The tribological studies are performed by AFM contacting mode with a 10 mu;m SiO2 microball probe attaching to the cantilever in ambient atmosphere. Different tribo-pairs are used for the tests, including SiO2/SiO2, SiO2/graphene, graphene/graphene, etc. The graphene films are grown directly on the 10 mu;m SiO2 microball by metal-catalyst-free synthesis of high quality polycrystalline graphene on SiO2 using chemical vapor deposition (CVD) process[2]. The graphene films on SiO2/Si substrate are provided by Shenyang National Laboratory for Materials Science [3]. The characteristics of the graphene film on both the AFM probes and substrate are conducted using SEM, Raman spectra and TEM. The SiO2/SiO2 tribo-pair shows an extremely high friction coefficient of 0.9, however, with the preparation of the graphene film on the SiO2/Si substrate, the friction coefficient is reduced to 0.2. Furthermore, the graphene coated probe/graphene substrate tribo-pair can substantially lower the friction coefficient to 0.04. The graphene coated AFM SiO2 probe is further used to test the tribological properties of HOPG, and a friction coefficient of 0.003 is obtained, reaching a superluricity regime, even under extremely severe contact pressure (1.266 GPa), and with a long durability. The coating of graphene film on AFM probe not only provides an effective way to measure the interlayer friction between graphene layers, but also provides a promising way to reduce adhesion, friction and wear of AFM tips.
Reference
[1] Lee.C, et al, Science, 2010. 328(5974): p. 76-80.
[2]Chen.J, et al, Journal of the American Chemical Society, 2011. 133(44): p. 17548-17551.
[3] Gao.L, et al, Nature Communications, 2012. 3: p. 699.
9:00 AM - T11.29
A PMMA/Graphene Nanoplatelet Composite Sensor towards a Chemical Sensing Array for VOC Detection
Stavros Chatzandroulis 1 Myrto Kyriaki Filippidou 1 Evangelia Tegou 1 Margarita Chatzichristidi 2
1NCSR "Demokritos" Athens Greece2National and Kapodistrian University of Athens Athens Greece
Show AbstractIn recent years great interest has arisen towards applying graphene and graphene oxide in sensing devices. Single layer graphene is, however, an expensive, difficult to produce material. On the other hand, graphene nanoplatelets (GNPs) are high aspect ratio carbon nanoparticles consisting of multiple graphene sheets, whilst their pure graphitic composition makes them excellent electrical and thermal conductors. In this work, a chemical sensor based on PMMA/GNPs composite is presented. To fabricate the sensor, a rapid and low cost method based on a two-step lift-off process with the aid of PVA for the deposition and direct patterning of the composite layer is used. Due to its water solubility [1], PVA is used as a sacrificial layer that allows patterning at the wafer scale of several non-water soluble polymers. The technique is thus suitable for batch production and offers precise control of the deposition of the chemically sensitive layer compared to others commonly used methods.
The formation of a homogeneous conductive nanocomposite suspension based on GNP and PMMA requires a good dispersant for the GNP (in our case DEGDME) and a good solvent for PMMA (PGMEA). In order to prepare the nanocomposite suspension, an appropriate amount of the as-received GNP (ACS Material) is first added to a DEGDME/PGMEA (30:70) mixture. The suspension is afterwards placed in an ultrasonic bath for 1 hr at room temperature to avoid the formation of agglomerates. Finally, PMMA (20 wt%) is added to the suspension and stirred until the polymer powder is dissolved (10 wt% GNP final concentration).
The sensor was then fabricated by first making the sensor substrate using conventional semiconductor processes as follows: First, a 2000Å thick SiO2 layer was thermally grown on the silicon substrate in order to act as dielectric layer. Then, a 5000Å thick Al layer was deposited, patterned through lithography and wet etched to create interdigitated electrodes. A lithography step was followed to selectively cover the electrodes area with a commercial resist (AZ 5214). PVA is spin-coated at 1500rpm for 2min and baked at 90oC for 10min followed by lift-off of the resist in acetone leaving the entire sensor covered with PVA except of the interdigitated electrodes area. Finally, PMMA/GNPs composite was drop casted and baked for 10min, followed by the second lift-off step in deionized (DI) water. The final fabricated sensor is then glued on a dual in line (DIL) package and mounted on a printed circuit board (PCB) for testing. The initial evaluation of the response of the sensors was then conducted using a special measurement setup where the sensors are placed in a small volume chamber (7 mm3) and in which controlled concentrations of analytes may be introduced. The devices were, then, tested by exposing them to various concentrations of water vapours with the device exhibiting ΔR/Ro of 1% when exposed to 10,000 ppm of water.
[1] M. Kozlov et.al Macromolecules 36 (2003) 6054-6059
9:00 AM - T11.30
Tensile Strength of Individual Carbon Nanotubes Constituting CNT Fibers
Yoshinori Sato 1 2 Hideaki Suzuki 1 Mei Zhang 3 Go Yamamoto 4 Kenichi Motomiya 1 Toshiyuki Hashida 4 Kazuyuki Tohji 1
1Tohoku University Sendai Japan2Shinshu University Matsumoto Japan3Florida State Univ Tallahassee United States4Tohoku University Sendai Japan
Show AbstractCarbon nanotubes (CNTs) are attractive one-dimensional nanomaterials with extremely high tensile strength and Young&’s modulus. Binder-free multi-walled carbon nanotube (MWCNT) fibers have been produced twisting MWCNTs from vertically aligned MWCNT arrays (MWCNT forest). However, the tensile strength of MWCNT fibers is not high enough. We need to investigate whether the low tensile strength is due to assembled MWCNT fibers themselves or to individual MWCNTs constituting MWCNT fibers. In this study, we measured the tensile strength of individual MWCNT constituting MWCNT fibers and evaluated the strength ratio of MWCNT fibers to individual MWCNTs.
Individual MWCNTs were picked up from a MWCNT forest. Tensile tests of individual MWCNTs were performed with a nano-manipulator inside a scanning electron microscope (SEM)1. Individual MWCNTs were picked up by pushing up half of a copper grid for transmission electron microscope observation to the MWCNT forest. The picked MWCNT was mounted on the nano-manipulator. The both sides of the MWCNT were fixed to the TEM grid and a cantilever, and then the cantilever was moved backward during tensile test.
The average tensile strength and specific tensile strength of the individual MWCNTs were 22.0 GPa and 10.1 GPa/g/cm3, respectively, and the specific strength ratio of MWCNT fibers to individual MWCNTs was 7.3%. Hence, the low strength of MWCNT fibers is suggested to be due not to the strength of individual MWCNTs but to the slippage between individual MWCNTs in MWCNT fibers.
References
G. Yamamoto, J. W. Suk, J. An, R. D. Piner, T. Hashida, T. Takagi, R. S. Ruoff, Diam. Relat. Mat. 2010, 19, 748-751.
9:00 AM - T11.31
Selective n-Type Doping in Graphene via the Aluminium Nanoparticle Decoration Approach
Guofa Dong 1 Ming Fang 2 Hao Lin 1 SenPo Yip 1 Johnny Chung Yin Ho 1
1City Univ of Hong Kong Hong Kong Hong Kong2City Univ of Hong Kong Kowloon Hong Kong
Show AbstractSelective and reliable n-type doping as well as tuning the Dirac point of graphene are important for the realization of high-performance complementary circuits. In this work, we present a simple but effective technique to left shift the Dirac point of graphene transistors to induce n-type doping via the thermal decoration of Al nanoparticles. The decorated discrete nanoparticles are uniformly distributed on top of the graphene channel surface with the consistent size and shape. Detailed electrical measurements reveal that the decoration can efficiently shift the Dirac point of graphene towards negative gate voltages along with the improved on/off current ratio and excellent air-stability. All these further indicate the technological potency of this doping technique for the fabrication of future CMOS graphene electronics.
9:00 AM - T11.32
Increasing MWNT Solubility through Covalent Functionalisation
Robert Mora 1 Karl S Coleman 2
1University of Durham Durham United Kingdom2University of Durham Durham United Kingdom
Show AbstractCarbon Nanotubes (CNTs) have the potential to enhance many products. However, the inherent difficulty in processing CNTs has prevented large scale adoption by industry. Poor solubility in most solvents is the foremost barrier to applications. Methods currently exist to increase the solubility of CNTs in water and other highly polar solvents. However to date there is still no reliable method of increasing the solubility of CNTs in non-polar organic solvents.
Covalent functionalisation allows a direct method of altering the surface chemistry of CNTs through the addition of various functional groups. The benefits of covalent functionalisation are twofold: firstly they create imperfections along the sidewall of the CNTs thus significantly lowering the level of aggregation, and secondly the functional groups attached impart specific functionality to the CNT which can be fine-tuned to the solvent being used.
We discuss the benefits of 6 functional groups covalently bound to CNTs, and impact of each on the solubility of the CNTs in non-polar organic solvents. The functionalisation is performed via a reduction mechanism using alkyl lithium. The negative charge built up on the CNTs is self-limiting so di or tri functionalised CNTs can be produced, thus allowing further fine tuning of functionality to the application required as required. Since the reaction is self-limiting it also allows a degree of control over damage to the sp2 network, which is one of the major drawbacks of covalent functionalisation to CNTs.
9:00 AM - T11.33
Reducing Graphene Device Variability with Yttrium Sacrificial Layers
Ning C Wang 1 Eric Pop 1
1Stanford University Stanford United States
Show AbstractGraphene has shown key demonstrations as transparent electrodes and touch screen panels. However, before graphene can be incorporated in other future applications such as flexible electronics, the quality and variability of graphene devices must improve. While most studies have focused on graphene growth and transfer, few works have discussed the variability induced by device fabrication. Residual photoresist (PR) is particularly problematic: high temperatures anneals alleviate this issue [1], but do not completely remove residue and are incompatible with limited thermal budgets. Sacrificial metal layers, such as Al [2] and Ti [3], can isolate graphene from PR, but also introduce additional complications as subsequent removal involves etchants such as HF acid that harm the substrate or affect device processing.
In this work, we use ~5 nm of electron beam evaporated yttrium (Y) specifically to protect the graphene. Yttrium is etched by dilute HCl, which is benign to both the SiO2 substrate and graphene. In contrast to studies with few devices, we fabricated and measured hundreds of field-effect transistors (FETs) to fully understand the effects of the Y sacrificial layer on large-scale fabrication, using graphene from both commercial and research sources to ensure reproducibility. We quantify the mobility, threshold (Dirac) voltage, contact resistance, surface roughness and Raman characteristics of the graphene FETs.
Use of the Y sacrificial layer leads to higher device yield and performance, as well as reduced device variation. Raman spectra of the unprotected graphene show an asymmetric D-peak larger than that present on the Y protected graphene, indicative of amorphous carbon deposited by PR [1]. Atomic force microscopy (AFM) measurements show a 25% reduction in graphene FET surface roughness when Y sacrificial layers are used. We also perform room-temperature electrical measurements and find that although minimum contact resistance is comparable, the use of Y sacrificial layers decreases average contact resistance by a factor of 2 and its variability by a factor of 3. Other device metric averages are also improved, such as residual impurity concentration (2x lower), Dirac voltage (2x closer to zero), and mobility (from 2200 to 6200 cm2/V/s). By introducing the use of Y sacrificial layers, this work presents a simple and reliable method to improve large-scale graphene device performance, yield, and variability without greatly complicating processing.
[1] J. Hong, et al., Sci. Rep., 3, 2700, (2013).
[2] H. Wang, Allen. H, J. Wu, J. Kong, and T. Palacios, IEEE EDL, 31, 9, pp. 906-908, (2010).
[3] C. A. Joiner, T. Roy, Z. R. Hesabi, B. Chakrabarti, and E. M. Vogel, App. Phys. Lett., 104, 223109 (2014).
9:00 AM - T11.35
Direct Deposition of Aerosol Synthesized Single Walled Carbon Nanotubes for Field Effect Transistors
Patrik Antero Laiho 1 Antti Kaskela 1 Kimmo Aleksi Mustonen 1 Keigo Otsuka 2 Shigeo Maruyama 2 Esko Ilmari Kauppinen 1
1Aalto University Espoo Finland2Univ of Tokyo Tokyo Japan
Show AbstractHere, we report our recent progress in developing aerosol-sampling methods for direct, dry, and single-step deposition of aerosol-synthesized SWCNTs. We have designed and built new thermophoretic precipitators that can be used to efficiently deposit thin films of SWCNTs with controllable densities and morphologies on a variety of substrates, including sensitive and non-conducting materials, while minimizing substrate and SWCNT exposure to potentially harmful chemicals.
Direct deposition preserves the high quality of aerosol-synthesized SWCNTs, does not cause additional SWCNT agglomeration, or bundling, and can be used to fabricate network channel TFTs with high charge carrier mobilities and ION/IOFF ratios comparable to previously published devices fabricated using aerosol filtration and filter dissolution[1,2]. In addition to network channel devices, we have successfully fabricated short channel TFTs using direct deposited SWCNTs by performing electrical breakdown of metallic SWCNTs after deposition. Owing to the high purity of deposited SWCNTs and compatibility with a wide variety of substrates, direct deposition of aerosol-synthesized SWNCTs can be also utilized to fabricate high-quality samples for spectroscopic purposes.
[1] D-M. Sun, M.Y. Timmermans, Y. Tian, A.G. Nasibulin, E.I. Kauppinen, S. Kishimoto, T. Mizutani, and Y. Ohno, Flexible high-performance carbon nanotube integrated circuits, Nature Nanotechnology 6, 156 (2011)
[2] D-M. Sun, M. Y. Timmermans, A. Kaskela, A.G. Nasibulin, S. Kishimoto, T. Mizutani, E.I. Kauppinen, and Y. Ohno, Mouldable all-carbon integrated circuits. Nature Communications 4 (2013
9:00 AM - T11.36
Photon Emission Statistics of Individual Oxygen-Doped Carbon Nanotube
Xuedan Ma 1 Nicolai F. Hartmann 1 Jon K. S. Baldwin 1 Stephen K. Doorn 1 Han Htoon 1
1Los Alamos National Laboratory Los Alamos United States
Show AbstractSingle photon sources in the telecom regime (1.3 - 1.5 µm) are highly desirable for quantum information processing. Recent studies1-4 have revealed that controlled introduction of covalent dopants (oxygen and diazonium salts) to single-walled carbon nanotubes (SWCNTs) can lead to the emergence of red-shifted photoluminescence (PL) peaks with emission efficiencies approaching 30%, making certain chiralities of tubes (e.g. (6,5)) possible candidates as single photon sources in the telecom regime.
While complete photon antibunching has been observed in undoped tubes at cryogenic temperatures where excitons are localized to quantum-dot-like states,5 realization of such antibunching at room temperature would be unfeasible due to the 1D diffusion of excitons. On the other hand, photon antibunching could become possible in doped SWCNTs as their red-shifted emission originates from highly localized excitons.3 To test this hypothesis, we conduct the first time-resolved PL and photon correlation spectroscopy studies on solitary oxygen-doped individual SWCNTs using superconducting nanowire single photon detectors. Our study shows how PL emission stability, carrier dynamics and photon statistics of solitary dopant evolve as a function of sample temperature. We will also discuss impacts of our findings for realization of SWCNT-based room temperature single photon source.
1. Ghosh, S. et al. Science 330, 1656 (2010)
2. Miyanchi, Y. et al. Nature Photon. 7, 715 (2013)
3. Ma, X. et al. ACS Nano ASAP (2014)
4. Piao, Y. M. et al. Nat. Chem.5, 840 (2013)
5. Hoegele, A. et al. Phys. Rev. Lett.100, 217401 (2008)
9:00 AM - T11.37
Evolution of Ferroelectric Polarization Domain Landscapes Resulting from Graphene Induced Switching
Steven Rogers 1 Ruijuan Xu 2 Lane W Martin 2 Moonsub Shim 1
1University of Illinois at Urbana-Champaign Champaign United States2University of California, Berkeley Berkeley United States
Show AbstractFunctional graphene-ferroelectric hybrid systems offer a promising platform for controlling electrical characteristics of graphene via the switchable, spontaneous ferroelectric polarization. Successful coupling of the ferroelectric polarization with the graphene and a comprehensive understanding of how the switching of polarization affects graphene Fermi level position would enable novel devices. Recently, reports have shown that the graphene carrier concentration and type can be tied to the polarization direction but the magnitude of change in the carrier density is far smaller than expected for fully compensating the ferroelectric polarization. This discrepancy has been suggested to arise from screening of the spontaneous polarization by charge traps at the graphene-ferroelectric interface and/or rearrangements of interfacial polar molecules. This viewpoint has driven research efforts aimed at minimizing contamination from processing residues and environmental contaminants at the graphene-ferroelectric interface. While these efforts have shown that polarization reversal can be coupled with Fermi level shifts in the graphene, the large discrepancy between polarization change and graphene Fermi level shift still remains and a better understanding of polarization switching/compensation processes is necessary. Utilizing a combination of piezoresponse force microscopy and electrical measurements, we offer evidence that a polydomain polarization state of PbZr0.2Ti0.8O3 develops under the graphene instead of a uniform polarization state. This suggests that the potential of these materials systems has not yet been fully realized and could offer a wider range of accessible graphene conduction states than what has previously been shown.
9:00 AM - T11.38
Combining a Phenomenological Model with X-Ray Scattering to Quantify the Hierarchical Structure of Carbon Nanotube Arrays
Darwin Zwissler 1 Eric R Meshot 1 Alexander Hexemer 2 Francesco Fornasiero 1
1Lawrence Livermore National Laboratory Livermore United States2Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractVertically aligned carbon nanotube (CNT) forests are well known to have extraordinary mechanical, thermal, electrical, and other properties, all of which depend on the structural characteristics of the CNTs and of the overall forest. Electron microscopy (SEM, TEM) and X-ray scattering are conventional approaches for characterizing the CNT forest structure, and previous studies have drawn analogies between X-ray scattering patterns and patterns generated by calculating the fast Fourier transform (FFT) of SEM images.1 However, the direct, quantitative correlation between the two characterization techniques is lacking, which limits the amount of information one can extract from electron imaging.
Therefore, we developed a phenomenological model of an aligned CNT forest, where the CNTs have polydisperse diameters and sinusoidal tortuosity (incorporating polydispersity in both amplitude and wavelength), thus essentially simulating an SEM image. The model also accounts for the natural lateral drift that results from CNTs tilting during growth. Our computational framework generates a 2D image of a 1-x-N array of semi-randomly placed 1D structures, from which we calculate the square of the FFT to simulate scattering. Through parametric control of the simulated CNT morphology and hierarchical ordering, we quantitatively model X-ray scattering for a wide range of structural characteristics (e.g., CNT diameter, spacing, tortuosity). Notably, our tool generates a 2D scattering pattern, which allows us to uniquely quantify orientational order, unlike conventional analytical modeling that may be limited to 1D.
With this powerful tool, we accurately predict the appearance of vertical reflections in the scattering pattern, which result from distinct, coordinated corrugations in the CNT forest morphology on the micrometer scale. The model enables us to systemically investigate quantitative relationships between this feature and other structural parameters. Additionally, we corroborate these results with experimental SEM and soft X-ray scattering to confirm the presence and size of the corrugations. Furthermore, with our combined simulation/experimental approach, we mapped structural order across several orders of magnitude in feature size (10^0-10^4 A).
With this understanding, we have improved our capabilities in interpreting structural information measured by X-ray scattering of CNTs and correlate it with what we glean from electron microscopy. With this unified picture of CNT morphology as feedback for our synthesis procedures, we seek to tune CNT forest characteristics for targeted applications. Ongoing work involves the integration of this phenomenological model into Monte Carlo simulations to reconstruct real-space morphologies from our experimental X-ray scattering images of CNTs across various length scales (atomic to micrometer).
1. Xu, M.; Futaba, D. N.; Yumura, M.; Hata, K. ACS Nano 2012,6 (7), 5837-5844.
9:00 AM - T11.39
Anomalous Concentration Dependence in sub-5nm Carbon Nanotube Nanochannels
Shirui Guo 1 Steven Buchsbaum 1 2 Eric R Meshot 1 Zuzanna Siwy 2 Francesco Fornasiero 1
1Lawrence Livermore National Laboratory Livermore United States2University of California, Irvine Irvine United States
Show AbstractSimulations and experimental studies have reported an unusually high ionic conductance in carbon nanotubes (CNTs) nanochannels. The origin of this phenomenon is, however, poorly-understood: literature reports often disagree in the magnitude of the different transport mode contributions to the measured ionic current and even in what ions are actually carrying the current; moreover, results obtained with single pore measurements differ frequently from those with membranes containing billions of open CNT channels, i.e. the average CNT behavior. Toward shedding light on these phenomena, we fabricated a novel platform having vertically-aligned sub-5nm carbon nanotubes as nanofluidic channels, the number of which can be controlled from one to billions. By employing this platform with only one or a few open CNTs, we observed giant ionic currents in CNT channels and a power-law increase of conductance with KCl concentration (G ~ cn, n=0.1-0.4), a dependence that seems to be unique of CNT nanochannels. To understand and quantify electro-osmotic flow in CNT nanochannels (which has been proposed as the transport mode responsible for the giant ionic currents in CNTs), we investigated translocation of neutral molecules in a single CNT nanochannel with the resistive pulse technique. Furthermore, we studied the effect of solution pH, matrix type, and CNT diameter on the magnitude and the on the electrolyte concentration based power law dependence of the conductance. Implications of our findings on the physics of electric-field-driven ionic and molecular transport in CNTs will be presented here.
This work performed under the auspices of the US Department of Energy by Lawrence
Livermore National Laboratory under Contract DE-AC52-07NA27344.
9:00 AM - T11.40
Manufacture of Lightweight, Flexible and Low Power Carbon Nanotube Heaters
Seyram Gbordzoe 1 Rachit Malik 1 Vesselin Shanov 1 Noe Alvarez 1
1University of Cincinnati Cincinnati United States
Show AbstractWe report on the manufacture of heaters made up of carbon nanotube (CNT) sheets. CNT heaters were manufactured by pulling sheets from CNT arrays grown by the CVD process. Different layers of sheets were produced, namely 1, 2, 5, 10, 40, 70, 100 and 200 layers. It was observed that an increase in the number of layers lead to a decrease in the sheet resistance of the sheet. CNT heaters manufactured therefore had different ranges of. It was also noticed that CNT heaters with a higher resistance used less power than a heater with a lower resistance to produce the same amount of heat. CNT heaters were used at very low voltages (3 V and 6 V) and peroformed very well. This makes such heaters conveniet for low voltage or low power applications.
9:00 AM - T11.41
High-Throughput Fabrication of Silver Nanowire Networks that are Highly Stable under Current Flow by in situ Interconnection with Single-Walled Carbon Nanotubes
Joong Tark Han 1 2 Jong Seok Woo 1 Sunshin Jung 1 Hee Jing Jeong 1 Seung Yol Jeong 1 Kang-Jun Baeg 1 Geon-Woong Lee 1
1Korea Electrotechnology Research Institute Changwon Korea (the Republic of)2Korea University of Science and Technology Changwon Korea (the Republic of)
Show AbstractOne-dimensional (1D) nanomaterials, such as silver nanowires (AgNWs) or carbon nanotubes (CNTs), have been investigated as alternative electrode materials for fabricating transparent conducting films on plastic substrates instead of the brittle and expensive indium thin oxide. The electrical conduction of these networks films composed of 1D materials is typically dominated by the tube-tube or NW-NW junction resistance. Moreover, under high current flow, the relatively high junction resistance between the metal NWs exerts a detrimental effect on the network stability, resulting in the breaking up of the NWs at the junction. In this study, we suggest that a small amount of less defective single-walled CNTs (SWCNTs) with small bundle structures, because of their high thermal conductivity and stability, can be used as a good networking materials to enhance the stability of AgNW networks under current flow. Successfuly, we modulated the AgNW network with SWCNTs, which was realized by direct deposition of the films from a stable AgNW/SWNT dispersion in aqueous medium. This was achieved by directly mixing SWCNTs functionalized with 2-ureido-4[1H]pyrimidinone moieties with an aqueous AgNW suspension. The stabilization mechanism involves the modulation of the electrical transportation path by the SWCNTs through the SWCNT-AgNW junctions, which results in a relatively lower junction resistance than the NW-NW junction in the network film. The SWCNT-induced stabilization of the AgNW networks was also demonstrated by irradiating the film with microwaves. We also propose that good contact and Fermi level matching between AgNW and modified SWCNTs lead to the modulation of the current pathway. The development of the high-throughput fabrication technology provides a robust and scalable strategy for realizing high-performance flexible transparent conductor films.
9:00 AM - T11.42
Controlled Growth of Silver Nanoparticles on Functionalized Graphene Nanoribbons
Jessica Eliza Silva Fonsaca 1 Ana Laura Elias 2 Sergio Humberto Domingues 3 Elisa Souza Orth 1 Marcela Mohallem Oliveira 4 Mauricio Terrones 2 Aldo Jose Gorgatti Zarbin 1
1Federal University of Parana Curitiba Brazil2Pennsylvania State Univ University Park United States3Mackenzie Sao Paulo Brazil4Federal Technological University of Paranaacute; Curitiba Brazil
Show AbstractThe functionalization of carbon-based nanomaterials is a promising tool when modulating size/shape of nanoparticles (NPs), which is essential to control their properties and specific applications. In this sense, we highlight graphene nanoribbons (GNR), a material that stands out due to its high reactivity and incomplete bonds at the edges, favorable sites to carry out chemical modification. Therefore, pursuing NPs stabilization, we performed the oxidation of GNR sheets (leading to GONR) and carried out a targeted thiolation through highly stable amide bonds (obtaining GONRSH). In the following, we simultaneously reduced the GNR-derived precursors in the presence of Ag+ cations, leading to rGNRAg, rGONRAg and rGONRSHAg nanocomposites. The nanocomposites were characterized by X-ray photoelectron (XPS) and Raman spectroscopy, thermogravimetric analysis (TGA), X-ray diffraction (XRD) and scanning (SEM) and transmission electron microscopy (TEM). XPS results evidenced the functionalization of GNR, exhibiting the increasing in O/C atom ratio for GONR and the presence of amidic bonds and free thiol groups on GONRSH surface. Accordingly, TGA analysis exhibited mass losses characteristic of the functional groups present in the precursors. Besides, XRD diffractograms revealed a new structural organization for GONRSH and confirmed Ag-NPs growth in the nanocomposites. TEM and SEM supported the latest results, exhibiting smaller distributed spherical NPs on rGONRAg than on rGNRAg, presumably due to the potentially stabilizing oxygenated groups, stating a size control feature. Surprisingly, multiple overlaid GONRSH layers presented a cubic/retangular organization with thiol groups preferentially concentrated on the borders, where a favorable passivation of NPs may happen. Indeed, thiolated nanocomposites exhibited shaping control features where NPs self-assembly into a cubic structure by the rational aggregation of spherical, smaller NPs. Thus, a systematic study on the effect of the reaction time was carried out, enlightening for the first time how Ag-NPs are assembled on GONRSH. In fact, we have followed various stages of the cube growth by SEM analysis and a well-grounded mechanism was proposed. Moreover, TEM in dark-field mode evidenced that the growing of Ag-NPs happens through an oriented mechanism induced by the thiol groups on the edges, in which the adjacent NPs share a common crystallographic orientation. Overall, this work shows the size and shape-controlled syntheses of Ag-NPs presenting its growth mechanism along with a concise model. This elucidation broadens the development of novel and optimized nanocomposites being a powerful tool for projecting their applications.
9:00 AM - T11.43
Fracture- and Wear-Resistance Effects of Unoxidized Graphene on Alumina Matrix
Eunsil Lee 1 Jong-Young Kim 1 Sung-Min Lee 1 Dae Ho Yoon 3 Changgu Lee 3 Rodney S. Ruoff 2
1Korea Institute of Ceramic Engineering and Technology Icheon-si Korea (the Republic of)2UNIST Ulsan Korea (the Republic of)3Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractIt is of critical importance to improve toughness, strength, and wear-resistance together for the development of advanced structural materials. Herein, we report on the synthesis of unoxidized graphene/alumina composite materials having enhanced toughness, strength, and wear-resistance by a low-cost and environmentally benign pressure-less-sintering process. The wear resistance of the composites was increased by one order of magnitude even under high normal load condition (25 N) as a result of a tribological effect of graphene along with enhanced fracture toughness (KIC) and flexural strength (σf) of the composites by ~75% (5.60 MPamiddot;m1/2) and ~25% (430 MPa), respectively, compared with those of pure Al2O3. Furthermore, we found that only a small fraction of ultra-thin graphene (0.25-0.5 vol%, platelet thickness of 2-5 nm) was enough to reinforce the composite. In contrast to unoxidized graphene, graphene oxide (G-O) and reduced graphene oxide (rG-O) showed little or less enhancement of fracture toughness due to the degraded mechanical strength of rG-O and the structural defects of the G-O composites.
9:00 AM - T11.44
Controllable Doping of Graphene by Self-Assembled Monolayers
Wi Hyoung Lee 1
1Konkuk University Seoul Korea (the Republic of)
Show AbstractWe have controlled carrier doping to graphene using self-assembled monolayers (SAMs) patterned spatially between graphene and silicon oxide substrate. The doping type and position were controlled by patterning SAMs with different functional groups. NH2-functionalized SAMs induce n-doping in graphene, while CH3-functionalized SAMs reduce the SiO2 induced p-doping in graphene. This tunable graphene doping method was successfully utilized to optimize electrical properties of graphene field-effect transistors and organic electronic devices using graphene electrodes. This alternative doping method to control the doping of graphene is widely applicable to graphene-based electronic devices due to its versatility and robustness.
Acknowledgement: This research was supported by Leading Foreign Research Institute Recruitment Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning (MSIP) (2010-00525).
9:00 AM - T11.45
Influence of Substrate Surface Energy on the Network Formation of Films Made from Dilute MWNT Dispersions
Hayley Zhang 1 Rosario Gerhardt 1
1Georgia Institute of Technology Atlanta United States
Show AbstractResearch on the electrical properties of graphitic materials such as carbon nanotubes and graphene are mostly focused on coming up with a recipe for making a particular device or structure. The problem with that approach is that a method that was developed for a given application does not necessarily reveal what physical principle controls the formation of the network. Instead, many articles highlight the differences among totally unrelated studies where there is no common thread and this further confuses the state of knowlegde. Previous studies in our group have demonstrated that it is possible to obtain highly reproducible dropcasted thin films deposited on paper substrates. Variables previously reported include the substrate paper pore size, the dispersion concentration, the number of layers, the drying method and even the graphitic fillers themselves[1-4]. In all of those cases, the properties of the films were shown to vary systematically by many orders of magnitude depending on what variables were varied. Characterization included thermal analysis, FTIR, Raman as well as detailed impedance spectroscopy analysis. In addition, it was found necessary to acquire scanning electron microscopy images at many different magnifications in order to fully track the formation of the network as looking at the scale where the nanotubes are clearly visible only, tells an incomplete story.
In this presentation, the focus will be on the substrate surface energy. The substrates were chosen to have approximately the same pore size but made from different materials so that the same MWNT dispersions could be evaluated as they are dropcasted onto varying substrates (paper, cellulose acetate, Teflon membrane, and glass fiber), One layer MWNT thin films were deposited using a 1mg/mL dispersion and dried using vacuum filtration. Results show that the DC resistance of the thin films is dependent on the surface energy of substrates. Thin films deposited onto the paper substrate showed the highest resistances (approximately 106 Omega;). Thin films deposited onto the lower surface energy substrates tend to show inductive behavior, characteristic of highly conducting materials and a resistance < 102 Omega;, while those with higher surface energy substrates are generally more resistant. The differences will be highlighted using contact angle measurements and detailed impedance spectroscopy and microstructural SEM analysis.
1. R. L. Muhlbauer and R. A. Gerhardt, MRS Proc Spring 2013 (DOI: 10.1557/opl.2013.1032)
2. R.L. Muhlbauer, S.M. Joshi and R.A. Gerhardt, J. Mat.Res 28[12],1617-1624 (2013). (DOI: 10.1557/jmr.2013.143).
3. R. L. Muhlbauer, T.L. Pruyn, W.T. Puckett, and R. A. Gerhardt, J. Mat. Res 29[3], (2014). (DOI: 10.1557/jmr.2013.343).
4. R.L. Muhlbauer and R.A. Gerhardt, Ceramic TransactionsBook Chapter, accepted (2014).
9:00 AM - T11.46
Effect of Nanotube Length on the Properties of Segregated Network Composites
Anna Janoff 1 Rosario Gerhardt 1
1Georgia Institute of Technology Atlanta United States
Show AbstractPolymer nanocomposites containing carbon nanotubes have been at the forefront of nanotechnology research for a few years. Yet, much can still be learned from detailed and systematic studies of composites with unique microstructures designed to highlight the properties of the nanotubes themselves. In this presentation, we report on a systematic study of segregated network nanocomposites created using two types of carbon nanotubes (same diameter, different length) and a thermoplastic polymer matrix with the same starting size in both cases. After fabrication under the same exact conditions, the electrical properties of the nanocomposites were measured using impedance spectroscopy. and analyzed. Previous research in our group has shown that ac measurements contain much more information than dc measurements alone and this information can be used to derive better understanding of the underlying microstructure of the material being measured. Data for composites containing concentrations between 0.01 to 4.76 wt% were then used to calculate the resistivity of the samples and the percolation threshold was then determined. Results indicate that the percolation threshold in these materials is not the same, which is not surprising. However, contrary to expectations, the longer nanotubes resulted in a delayed formation of the nanotube network. It was found that the short nanotube composites had a percolation threshold at significantly lower concentrations, and therefore reached significantly lower resistivities much earlier than that of the long nanotubes. There are several reasons that could have caused this unusual and unexpected result: (1) longer nanotubes may agglomerate more easily thus disrupting the network, (2) the temperature profile in the two different nanocomposites may be different, (3) there may be other reasons. We are currently conducting microstructural analysis via optical, SEM and AFM to clearly identify the reasons why there is such a difference among the two nanotube types as well as thermal analysis to try to determine whether unforeseen thermal gradients occurred which may have affected the final microstructure of the composites. This project illustrates the importance of only varying one variable at a time when working with multicomponent systems so that it is easier to pin down the reasons why some samples show a lot more variability than others.
9:00 AM - T11.47
Highly Luminescent Polymer Particles Driven by Thermally Reduced Graphene Quantum Dot Surfactants
Hyunseung Yang 1 Dong Jin Kang 1 Kang Hee Ku 1 Han-Hee Cho 1 Chan Ho Park 1 Junhyuk Lee 1 Doh C Lee 1 Pulickel M Ajayan 2 Bumjoon Kim 1
1KAIST Daejeon Korea (the Republic of)2Rice University Houston United States
Show AbstractWe report the use of highly luminescent graphene quantum dots (GQDs) as efficient surfactants to produce Pickering emulsions and novel polymer particles. To generate the GQD surfactants, the surface properties of 10 nm sized, non-reduced GQDs (nGQDs), which have strong hydrophilicity, were synthesized and modified in a systematic manner by the thermal reduction of oxygen-containing groups at different treatment times. In stark contrast to the behavior of the nGQDs, thermally reduced GQDs (rGQDs) can produce highly stable Pickering emulsions of oil-in-water systems. To demonstrate the versatility of the rGQD surfactants, they were applied in a mini-emulsion polymerization system that requires nanosized surfactants to synthesize submicron-sized polystyrene particles. In addition, the use of rGQD surfactants can be extended to generating block copolymer particles with controlled nanostructures. Particularly, the polymer particles were highly luminescent, a characteristic produced by the highly fluorescent GQD surfactants, which has great potential for various applications, including bioimaging, drug delivery, and optoelectronic devices. To the best of our knowledge, this is the first report in which nanosized GQDs were used as surfactants.
9:00 AM - T11.48
Controllable Magnetic Properties of Graphene
Jeongmin Hong 1
1University of California, Berkeley Berkeley United States
Show AbstractRoom temperature ferromagnetism in graphene and other nanocarbon structures has attracted considerable interests. However, the controllability to induce ferromagnetism and the weak magnetic moment compared to typical ferromagnetic materials such as Fe, Co, and Ni have been a main stumbling block. In this presentation, we report how we could control magnetic properties of graphene by three factors: 1) chemical functionalization, 2) substrate-effects, and 3) external electric field. The results shed the light on the applications of graphene spintronics.
9:00 AM - T11.49
Spatially Controlled Graphitization of Reduced Graphene Oxide Films via a Green Mechanical Approach
Choon Woo Lim 2 Jin-Yong Hong 3 Sunghyun Kim 1
1Seoul National University Seoul Korea (the Republic of)2Hannam University Dajeon Korea (the Republic of)3Massachusetts Institute of Technology Cambridge United States
Show AbstractRecently, much attention has been drawn to the complete restoration of C=C sp2 π-bond network in graphene oxide (GO) that is typically non-stoichiometric oxidized graphene. Up to date, many efforts have been directed toward converting GO into reduced graphene oxide (rGO), and diverse reduction routes have been developed. However, there are insurmountable limitations in terms of facile & reliable method, due mainly to drawbacks such as comparatively low reduction efficiency, complicated procedures, impurities, structural defects, and toxic experimental conditions. Consequently, a lack of an efficient approach to reducing the GO has been a major obstacle to exploiting their practical applications to electronic devices.
The objective of this manuscript is to present a novel graphitization method for GO sheets that allows better removal for the oxygen species and enhanced degree of graphitization via a green mechanical approach. In addition, a micropatterned rGO sheets could be prepared at relatively low temperatures and was found to be applicable to flexible plastic substrates. This spatially controlled graphitization approach may be used for the fabrication and processing of micropatterned graphene in the development of flexible display devices with enhanced electrical properties.
The pressure played a pivotal role in the formation of the graphitized rGO sheets. The high pressure could considerably reduce the graphitization temperature and substantially accelerate the kinetics of phase transformation of non-crystalline graphene domain, leading to enhance the degree of graphitization. Furthermore, spatially controlled graphitized rGO sheets represented superior electrical properties with tunability, and were found to be applicable to flexible graphene-based electronics. Importantly, this one-step approach may provide a convenient way for preparing micropatterned graphene sheets with controlled electrical properties.
9:00 AM - T11.50
Graphene for Thermal Interface Material Applications
Wonjun Park 1 2 Yufen Guo 3 Jiuning Hu 1 2 Liwei Liu 3 Xiulin Ruan 4 2 Yong P Chen 5 1 2
1Purdue University West Lafayette United States2Purdue University West Lafayette United States3Chinese Academy of Sciences Suzhou China4Purdue University West Lafayette United States5Purdue University West Lafayette United States
Show AbstractRecently, graphene fillers have received a lot of attention for thermal interface material (TIM) applications due to their superior thermal properties and cost efficiency. We investigated thermal properties of few-layer graphene (FLG)/ epoxy composites and explored their feasibility as TIM applications. Especially, FLG with a large lateral size (tens of microns) was prepared by the interlayer catalytic exfoliation (ICE) technique. We experimentally demonstrate that thermal conductivity of FLG/epoxy composites at room temperature is enhanced by a factor of ~ 14 with increasing the FLG concentration from 0 to 5 vol.%. The measured thermal interface resistance between 5 vol.% FLG/epoxy composites and copper blocks is ~ 6 mm2K/W in the measurement temperature range (300 - 370 K), which is comparable to commercial TIMs. In addition, we studied thermal stability of FLG/epoxy composites. We observe that the glass transition temperature is improved by ~ 70% with increasing the FLG concentration from 0 to 10 vol%, which implies improvement in thermal stability due to graphene fillers. The comprehensive evaluation results show that FLG prepared by ICE technique is promising as a filler material for TIM applications. Furthermore, we will discuss our recent study on complaint TIMs based on graphene networks prepared by chemical vapor deposition technique by utilizing their three dimensional continuous graphene structure and mechanical flexibility. This work is supported by the Cooling Technologies Research Center (CTRC).
9:00 AM - T11.51
Diketopyrrolopyrrole (DPP)-Based Donor-Acceptor Polymers for Scalable and Selective Dispersion of 1.5 nm-Diameter Semiconducting Carbon Nanotubes
Ting Lei 4 Ying-Chih Lai 3 Guosong Hong 4 Huiliang Wang 4 Pascal Hayoz 2 Thomas Weitz 1 Changxin Chen 4 Hongjie Dai 4 Zhenan Bao 4
1BASF Ludwigshafen Germany2BASF Schweiz AG Basel Switzerland3National Taiwan Univ Taipei Taiwan4Stanford University Stanford United States
Show AbstractSelective dispersion of single-walled carbon nanotubes (SWNTs) using conjugated polymers is a powerful and scalable strategy for enriching semiconducting SWNTs (s-SWNTs). To date, mostly “large bandgap” polymers, such as poly(phenylene vinylene), polythiophene and polyfluorene polymers and their derivatives, have been used to selectively disperse s-SWNTs. However, these polymers have relatively weak π-π interactions and some have poor charge transport properties. Additionally, they have only been shown to disperse s-SWNTs less than 1.5 nm in diameters. Tubes with diameters above 1.5 nm are more desirable for electronic devices. Here we report the use of low bandgap diketopyrrolopyrrole (DPP)-based polymers for selective dispersion of s-SWNTs. Through rational molecular design to tune the polymerminus;SWNT interactions, we achieved highly selective dispersion of s-SWNTs with diameters over 1.5 nm. In this work, we investigate the influence of the polymer alkyl side-chain substitution (i.e. branched vs. linear side chains) on the dispersing yield and selectivity of s-SWNTs. Our work revealed that introducing linear alkyl side chains allow increased polymerminus;SWNT interactions through close π-π stacking and improved Cminus;Hminus;π interactions. This work demonstrates that polymer side-chain engineering is an effective method to modulate the polymerminus;SWNT interactions and thereby affecting both critical parameters in dispersing yield and selectivity. Using our sorted s-SWNTs, high-performance SWNT network thin-film transistors are fabricated. Our solution deposited s-SWNT transistors yield simultaneously high mobilities over 40 cm2 V-1 s-1 and high on/off ratios of greater than 104. In summary, low bandgap DPP D-A polymers are a promising class of polymers for selective dispersion of large-diameter s-SWNTs.
9:00 AM - T11.52
Facile Fabrication of Flexible and Surfactant-Free Conductive Paper Using Carbon Nanotube - Graphene Nanoribbon Composites
Yan-Sheng Li 2 Wei-Hung Chiang 1
1National Taiwan University of Science and Technology Taipei Taiwan2National Taiwan University of Science and Techology Taipei Taiwan
Show AbstractFlexible electronics is a promising technology for convenient transportation and diverse deployment of large volume electronics [1]. In the past few years, many reports have been demonstrated that carbon materials such as graphene and carbon nanotube (CNTs) can be used as the conductive materials for the paper-based flexible electronics [2]. However, it is still difficult to further improve the device performance due to their limited film-based electrical conductance as a result of poor dispersion of carbon materials during the film fabrication. While the conventional preparation of carbon-based conductive paper is to add large amount of surfactants or organic solvent to obtain well dispersion stability, the non-conductive surfactants normally stay on substrates and reduce the overall electrical conductance. In addition, adding non-environmental-friendly surfactants or organic solvents will increase the cost. Here we report a facile fabrication of flexible and surfactant-free conductive paper using carbon nanotube and graphene nanoribbon (GNRs) composites. Recently, our group has developed a facile synthesis to produce GNRs with controllable surface functionality by oxidative unzipping CNTs with low amount of strong acids. Detailed scanning electron microscope (SEM) characterization indicates the uniform network-like microstructures of CNT-GNR composites. Electrical four-point probe measurement shows that the sheet resistance of as-fabricated paper with GNR-CNT composite can be achieved lower than 100 (Omega;/square). On the basis of materials characterization and electrical conductance measurement, it is suggested that the functionalized hydrophilic one-dimensional GNRs is as a conductive bridge to connect hydrophobic CNTs to from a well-dispersed stability staggered highly conductive network. [1]Sekitani. T et al., Nat Mater., 9., 1015 (2010). [2] C. Wang et al., Chemistry of Materials., 21., 2604 (2009)
9:00 AM - T11.53
Controllable Molecular Intercalation of Carbon Nanotubes: Towards High-Yield and Low-Acid-Use Production of Graphene Nanoribbons
Yan-Sheng Li 1 Wei-Hung Chiang 1
1National Taiwan University of Science and Technology Taipei Taiwan
Show AbstractGraphene nanoribbons (GNRs) represent a unique form of carbon materials, and their exceptional properties have spurred intensive interest in many applications [1,2]. The oxidative unzipping using the mixture of H2SO4 and KMnO4 has been demonstrated the most effective method to produced GNRs in a large quantity [3]. However large amount of concentrated H2SO4 (normally 1 mg/ml of CNT concentration in H2SO4) were required to unzip the CNTs completely. The difficulty is due to the van der Waals forces between the coaxial graphene cylinders of CNTs. Therefore there is still a need to develop an environmentally friendly and scalable method to produce GNRs. Here we report a simple wet-chemistry-based oxidative process for producing a nearly 100% yield of GNRs by lengthwise unzipping the multi-walled CNTs (MWCNTs). While oxidative unzipping of MWCNTs has previously been achieved, we used very low amount of H2SO4 (10 mg/ml of CNT concentration in H2SO4) to unzip the MWCNTs effectively. The key is to introduce a wet-chemistry-based pretreatment of raw CNTs with different intercalation agents with H2SO4, allowing the intercaation agent molecules to reduce van der Waals forces between the coaxial graphene cylinders of CNTs by inserting themselves into the graphene cylinders of CNTs. Therefore 90% amount of H2SO4 can be reduce in the unzipping reaction while the GNR production yield is remianed nearly 100%. Detailed scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that our method can produce a nearly 100% yield of GNRs. The X-ray diffraction (XRD) analysis suggests that the van der Waals force in between the coaxial graphene cylinders of CNTs was reduced by the intercalation of sulfate and intercalation agents. The X-ray photoelectron spectroscopy (XPS) characterization shows that the types and concentrations of functional groups attached on the surface of as-produced GNRs were controllable. [1] X.L. Li et al.,Science., 319., 1229 (2008). [2] L. Ma et al., Chem.Phys.Chem., 14, 47 (2013). [3] D. V. Kosynkin et al., Nature., 458, 872 (2009)
9:00 AM - T11.54
Highly NO2 Sensitive Caesium Doped Graphene Oxide Conductometric Sensors
Carlo Piloto 3 Marco Notarianni 2 Mahnaz Shafiei 3 Dilini Galpaya 2 Elena Taran 4 Cheng Yan 3 Nunzio Motta 1
1QUT Brisbane Australia2Queensland Univ of Technology Brisbane Australia3Queensland University of Technology Brisbane Australia4The University of Queensland Brisbane Australia
Show AbstractIncreasing air pollution and global warming raised the demand for highly sensitive and portable gas sensors. To this purpose, carbon-based materials, such as graphene and chemically derived graphene, offer high sensitivity to cost ratio even when operating at room temperature [1] . Theoretical and experimental studies [2-6] revealed that functionalization of graphene can effectively improve its gas sensing performance [7]. The adsorption energy can be increased by the presence of dopants or defects in the graphene lattice, i.e. the gas molecules can absorb more strongly on the doped or defective graphene resulting in an enhancement of the sensitivity or selectivity. Recently, the attention of the researchers has been attracted by graphene oxide (GO), a graphene layer decorated with oxygen functional groups, as the synthesis of GO is the first step to easily obtain functionalized graphene. To further enhance its gas sensing properties, reduced GO can be doped with alkali-metals [5], similarly to what has been done in other carbon materials, to tune up the electronic properties for sensing application.
Here we report for the first time the fabrication, characterization and gas sensing performance of a Cs-doped GO (GO-Cs) based conductometric sensor. Five films with different doping concentration have been produced. The samples, dispersed in DI water by sonication, were drop-cast on standard interdigitated Pt electrodes. X-ray Photoelectron Spectroscopy, Raman and Kelvin Probe Force Measurements results confirmed the successful incorporation of Cs into the GO resulting in the reduction of oxygen groups. The response of both pristine and Cs doped GO to NO2 at room temperature was studied by varying the gas concentration. The developed GO-Cs sensors show a higher response to NO2 due to the oxygen functional groups, exhibiting a very low detection limit (down to ~90ppb) at room temperature. This can be attributed to the p-character of the GO film, caused by the intercalation of Cs atoms leading to the reduction of oxygen groups. However, the sensor shows very long recovery times, making GO-Cs a good candidate for applications requiring high sensitivities, but not fast response.
[1] E. Llobet, Sensors and Actuators, B: Chemical. 179, pp. 32-45, 2013.
[2] W. Li, et al., ACS Nano. 5, pp. 6955-61, 2011.
[3] X. L. Wei, et al., Physics Letters, Section A: General, Atomic and Solid State Physics. 376, pp. 559-62, 2012.
[4] F. Niu, et al., Journal of Materials Chemistry A. 1, pp. 6130-3, 2013.
[5] P. A. Pandey, et al., Sensors and Actuators, B: Chemical. 183, pp. 478-87, 2013. [6] Y. Dan, et al., Nano Letters. 9, pp. 1472-5, 2009.
[7] K. S. Novoselov, Reviews of Modern Physics. 83, pp. 837-49, 2011.
9:00 AM - T11.55
Physical Activation of Three-Dimensionally Structured Graphene Architectures for Enhanced Chemical and Energy Storage Performances
Sol Yun 1 Ho Seok Park 1
1Sungkyunkwan University Suwon-si Korea (the Republic of)
Show AbstractRecently, the porous carbon solids have received significant attentions for the gas capture and energy storage due to their structural tuability, thermal stability, low density, and diverse chemistries. Herein, we demonstrate large surface area (> 1600 m2/g) and ultrahigh porosity (> 98%) of three-dimensional (3D) ultralight, macro- and micro-porous reduced graphene oxide (m2-rGO) monoliths by simple and clean physical activation chemistry driven by steam and CO2. The resultant actived m2-rGO adsorbents are applied into CO2 and SO2 captures and supercapacitor electrodes to demonstrate the superiority of hierarchical textures and structures. The physically activated 3D m2-rGO monoliths are attractive as ultracapacitive chemical and energy storage materials because of facile accessibility to adsorption site, fast mass transport and good electrical conductivity. Furthermore, the macroscopically monolithic adsorbents assembled by nanoscopic graphene multilayers can expand their applicative fields because handling them is easier than doing powdery sorbents and monoliths are chemically and structurally uniform and thus, more fitted to process applications.
9:00 AM - T11.57
In situ Synthesis of BN/Graphene/BN Film by Chemical Vapor Deposition
Qinke Wu 1 Sungjoo Lee 2 SungKyu Jang 2 Young Jae Song 1
1SKKU Advanced Institute of Nano Technology (SAINT) Suwon Korea (the Republic of)2SKKU (Sungkyunkwan University) Suwon Korea (the Republic of)
Show AbstractWe demonstrate the in situ growth of a BN/ graphene/BN (BGB) film on copper by low pressure chemical vapor deposition (LP-CVD), where a monolayer of graphene is sandwiched between top and bottom BN layers. In this heterostructure, a monolayer of CVD graphene can be chemically protected from the PMMA residue during transferring on a silicon oxide substrate. Careful measurements of TEM and SEM, show that the top BN on graphene grows in a different way from h-BN growth on Cu. Initially, the top BN layer grows as a layered structure and then forms line structures of ~100 nm width and ~ 10 nm heights. These line-structures of the top BN layer will cover all the area after 60 minutes. Then the BN growth stops. This is unlike the case of BN directly grown on graphene/copper substrate, which has layered structures of the top h-BN and can reach even thicker (over 20 nm). The FET devices fabricated from this BGB film can keep its quality (mobility and Dirac point) without any significant change for a long time up to over half an year, even with exposed in air. Unlike this BGB heterostructure, the FET devices of pure graphene show its quality decreased a lot in the same conditions as expected. This in situ growth of BGB films can give a breakthrough for the graphene-based device applications.
9:00 AM - T11.58
Electrodeposition of Metal Oxide Nanoparticles on Three-Dimensionally Macroporous Graphene Frameworks for Energy Storage Applications
Sul Ki Park 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractRecently, graphene-based nanohybrids have attracted much attention as an emerging class of functional materials owing to the appealing electrical and electrochemical properties, large surface area, and chemical and mechanical stabilities for energy storage and catalytic applications. Various metal oxides (MOs) such as TiO2, CoO3, SnO2, ZnO, NiO2, RuO2, MnO2, Si, and Fe2O3 were post-synthesized through hydrothermal, solvothermal, electrodeposition, LBL, mechanical grinding, CVD, and self-assembly and then, deposited on graphene by controlling the hybrid composition. Moreover, hierarchical architecturing of graphene/MO hybrids in a three-dimensional (3D) manner can be also an innovative chemical approach to utilize full potential of functionality. Such a hierarchical structure assembled by graphene nanosheets and MO nanoparticles offers the benefits of 3D hierarchical hetero-structure in that it can provide large accessible area, fast mass and ion transport, percolated charge transfer, and structural integrity. In this study, we report the electrodeposition of 3D macroporous graphene/MO nanocomposites for energy storage applications. The morphology, chemistry and electrochemical performances of as-obtained nanocomposites were comprehensively characterized by spectroscopic and electrochemical methods. The 3D macroporous graphene/MO nanocomposites showed the dramatic improvement of specific capacity, rate capability and cyclic stability due to the hierarchical architectures and favorable interactions.
9:00 AM - T11.59
Extraction of Relations between Fe Catalyst Particles and Single-walled Carbon Nanotubes from Floating Catalyst CVD
Hua Jiang 3 Antti Kaskela 5 Kimmo Aleksi Mustonen 1 Ying Tian 4 Albert Nasibulin 5 Esko Ilmari Kauppinen 2
1Aalto Univerisity Espoo Finland2Aalto University Espoo Finland3Aalto University, School of Science Espoo Finland4Aalto University Espoo Finland5Department of Applied Physics Espoo Finland
Show AbstractIn this contribution, we provide an in-depth discussion about a TEM analysis of Fe nanoparticles as catalysts for single-walled carbon nanotube (SWCNT) production in floating-catalyst CVD processes. Iron catalyst nanoparticles were produced in-situ via thermal decomposition of ferrocene in the CO carrier, which was also used as the carbon source. We found that over 50% of Fe nanoparticles are catalytically active for growing carbon nanotube. Active and inactive nanoparticles show no essential difference in morphology and size distribution. The average diameter of active nanoparticles is about three times larger than that of SWCNTs. However, our results have shown that the ratio of a certain SWCNT diameter to that of an active catalyst nanoparticle varies dramatically, extending from 1:2.0 to 1:5.0. Two species of Fe nanoparticles were observed, i.e. oxidized Fe nanoparticles and non-oxidized body-centered cubic (bcc) Fe nanoparticles. It is observed that those nanoparticles that catalyze growth of SWCNTs are all oxygen free and of bcc structure, although some bcc Fe nanoparticles are also found inactive. Electron diffraction analysis indicates a biased chiral distribution of SWCNTs towards high chiral angles, with the majority of SWCNTs clustering closely around the (11, 9) nanotube of 1.36 nm in diameter. In addition, catalyst particles were produced ex-situ via spark discharge evaporation of Fe targets with subsequent feeding to the continuous flow CVD reactor for SWNT growth. The results from these two floating catalyst CVD methods will be compared.
9:00 AM - T11.60
Fabrication and Design of Graphene/CNT Based Scale Monitoring Smart Sensor Systems
Madina Jelbuldina 1 Hammad A. Younes 1 Irfan Saadat 1 Samuel O. Sofela 1 Amal Al Ghaferi 1
1Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates
Show AbstractScale deposition on pipes, well bores and production tubing is a major issue for oil companies, which results in loss of production and reliability issues. Scale deposition is often mitigated by the use of scale inhibitors and the current practice relies on offline determination of scale inhibitor concentration in the scaling brine and then using models to come up with the target inhibitor amount, this impacts performance and productivity.
In this study we are focusing on using carbon nanomaterials (CNM), graphene and CNT&’s, as scale monitoring sensor system for complete online monitoring of scale deposition (including strontium sulphate and calcium carbonate) with great accuracy and reliability. The system exploits the unique sensing and mechanical properties of these nano materials, and stability in corrosive and hostile environments common in the oil industry [1, 2].
The objective of our research is optimization of graphene/CNT based sensory system synthesis and design. For CNM synthesis we are using three different methods along with modifying the surface properties through functionalization; then the optimized CNM films are integrated into three different sensor architectures. The synthesis techniques include CVD of graphene on metal foils (Cu/Ni) and subsequent exfoliation onto Si substrate [3]; inkjet printing using the Fujifilm Dimatrix DMP 2831; and smear casting after sonification. This is followed by determining the sensitivity and repeatability of these sensors through change in the electrical and electronic properties of the films. For example, for CNT casting sensor, electrical Kelvin measurements showed 20% change in resistivity from baseline value for 0.5% wt. and 1% wt. CNT&’s in the presence of brine solution. The ability to reset the value was also demonstrated.
Our ongoing work is creating back gated and kelvin structures based on functionalized CNM films prepared with both inkjet printing and casting techniques. The functionalization of CNM with modifiers is key to tune the properties of the said films for target ions thus enabling interaction with specific molecules and improve selectivity. This is proved by our previous work that has demonstrated the successful conversion of the graphene film from being hydrophobic to hydrophilic due to the presence of the (-OH) groups. This is confirmed by the FTIR. In the conference we will present our sensor design strategy and performance of various graphene/CNT films for the targeted application.
References
1. Qiyuan He, et al., Graphene-based electronic sensors, - Chem. Sci., 2012, 3, 1764-1772
2. S. Bae, H. Kim, Y. Lee, X. Xu, J.-S. Park, Y. Zheng, J. Balakrishnan, T. Lei, H. R. Kim and Y. I. Song, Nature nanotechnology 5 (8), 574-578 (2010).
3. Md. Mahfuzur Rahman, Faisal A. AlMarzooqi and Amal Al Ghaferi, - Effect of graphene concentration on electron mobility of graphene/P3HT nanocomposites, MRS Meeting Materials, (Fall 2013)
9:00 AM - T11.61
Humidity Sensing Properties of Graphene and Graphene Oxid
Miroslav Bartos 1 2 Zuzana Liskova 1 2 Pavel Prochazka 1 2 Miroslav Bartosik 1 2 Milos Hrabovsky 1 2 Tomas Samoril 1 2 Jindrich Mach 1 2 Jiri Spousta 1 2 Tomas Sikola 1 2
1IPE, Brno University of Technology Brno Czech Republic2CEITEC, Brno University of Technology Brno Czech Republic
Show AbstractThe ability to monitor and control humidity level plays an important role in industry and environmental fields. In the past years, a lot of efforts have been dedicated to develop better humidity sensors (i.e. larger sensitivity, faster response and recovery, smaller humidity hysteresis). Lots of different materials were used for preparation of humidity sensors, especially nanomaterials due to their high surface-to-volume ratio. [1]. But the development of new humidity sensors with high sensitivity in the full humidity range is still a challenge.
Graphene is a two-dimensional material, composed of layers of carbon atoms forming six-membered rings. Graphene exhibits not only exceptional mechanical, thermal and electrical properties but also very high transparency for light. Its conductivity can be continuously changed from n-type to p-type due to an ambipolar electric field effect [2]. Graphene and its derivatives, mainly graphene oxide, have been recognized as a promising material for gas sensors due to its very high sensitivity, fast response in electrical properties, and large surface-to-volume ratio [3, 4].
In our work the humidity sensing properties of pure graphene and its derivatives have been studied. Graphene was prepared by three complementary methods - exfoliation, chemical vapor deposition and by modified Hummer&’s method. All the types of graphene were transferred onto a dielectric substrate (280 nm Si/SiO2) and humidity was measured via change of their electrical properties. The resistivity was measured by the 2-point method and its changes were observed at specific gate voltages as a function of relative humidity within the range 20 to 70 %. The results will be discussed and the sensing properties of different types of graphene compared.
[1] LEE, C., LEE, G., Sens. Lett. 3 (2005), p. 1
[2] GEIM, A. K., NOVOSELOV, K. S., Nature Materials, 6 (2007), p. 183
[3] MASSERA, E., at al.: Chemistry Today, 29 (2011), p. 39
[4] YAO, Y., at al., Nanoscale Research Letters, 7 (2012), p. 363
9:00 AM - T11.62
Transfer-Free Graphene Growth on Dielectric Substrates by the Chemical Vapor Deposition Process
Zhang-Cheng Luo 1 Yu Chia-Hao 1 Cheng-Yen Wen 1
1Department of Materials Science and Engineering, National Taiwan University Taipei Taiwan
Show AbstractChemical vapor deposition (CVD) grapehen growth on transition metal substrates is so far the most routine and economic approach to produce graphene with sufficient quality, such as carrier mobility, and uniformity, such as the number of layers, at large scales. The as-grown grahene layers are subsequently transferred to other substrates for applications. However, during the transfer procedures, e.g. by the roll-to-roll method or the electrostatic lifting method, defects, such as polymer residue, cracks, or creases, are easily produced in the grapehen films, drastically degrading the transport properties of graphene. In contrast, direct growth of graphene on the application substrates can avoid the above problems. Various kinds of substrates has been used for direct growth of graphene, including α-Al2O3, and quartz, but in these results, the nucleation and growth temperatures are still over a thousand Celsius degrees. In order to reduce the thermal budget, easier chemical reaction routes in the gas phase and lower nucleation barrier for the graphene growth on the substrate are necessary. It is found that the γ phase of Al2O3 can effectively catalyze graphene growth from the gas phase mixture of hydrogen and acetylene at the temperature as low as 800°C. By depositing the γ-Al2O3 layers directly on silicon wafers, graphene growth can possibly be incorporated into current silicon semiconductor fabrication processes without an extra transferring step. In this study, we deposit aluminum oxide using atomic layer deposition on Si substrates, followed by 800°C thermal annealing to form the γ phase. After graphene growth, Raman spectroscopy and electrical measurements are used to characterize the quality of graphene. The surface morphology and interfacial structures of graphene grown on the dielectric layers are analyzed using scanning electron microscopy (SEM) and transmission electron microscopy (TEM), respectively. From the observations, it is suggested that the growth mechanism of graphene on γ-Al2O3 is similar to that on nickel - the dissociated carbon atoms are dissolved into the vacant sites in the spinel γ-Al2O3 layer first and precipitate on the γ-Al2O3 surface to form graphene during the cooling step.
T7: Chemical Synthesis and Top-Down Approach in Nanoribbons Fabrication
Session Chairs
Wednesday AM, April 08, 2015
Moscone West, Level 2, Room 2010/2012
9:30 AM - *T7.01
A Polymer Chemistry of Graphene
Klaus Muellen 1
1Max-Planck-Institute for Polymer Research Mainz Germany
Show AbstractKlaus Müllen
Max-Planck-Institute for Polymer Research, Mainz, 55128, Germany
Graphene is praised as multifunctional wonder material and rich playground for physics. Above all, it is a two-dimensional polymer and thus a true challenge for materials synthesis. Herein we present, both, “bottom-up” precision synthesis and “top-down” fabrication protocols toward graphene. The resulting materials properties cover an enormous breadth ranging from batteries, supercapacitors, oxygen reduction catalysts, photodetectors and spin-walves to semiconductors. Another question is whether graphene holds promise for robust technologies. An attempt will be made at providing answers.
Nature 2010, 466, 470; Nature Chem. 2011, 3, 61; Nature Nanotechnol. 2011, 6, 226; Nature Chem. 2012, 4, 699; Angew. Chem. Int. Ed. 2012, 51, 7640; Nature Commun. 2013, Doi: 10.1038/ncomms 3646; Prog. Polym. Sci. 2013, 38, 1832; Nature Commun. 2013, DOI: 10.1038/ncomms3487; Angew. Chem. Int. Ed. 2014, 53, 1570; Angew. Chem. Int. Ed. 2014, 53, 1538; Nature Chem. 2014, 6, 126; Nature Nanotechnol., 2014DOI:10.1038/nnano.2014.184 (advance online)
10:00 AM - T7.02
Direct Growth of Aligned, Sub-10 nm Semiconducting Graphene Nanoribbons with Smooth Armchair Edges on Ge(001)
Robert M. Jacobberger 1 Brian Kiraly 2 3 Matthieu Fortin-Deschenes 4 Pierre Levesque 5 Kyle McElhinny 1 Richard Rojas Delgado 1 Susmit Singha Roy 1 Andrew Mannix 2 3 Max G. Lagally 1 Paul G. Evans 1 Richard Martel 5 Mark C. Hersam 3 Nathan P. Guisinger 2 Michael S. Arnold 1
1University of Wisconsin-Madison Madison United States2Argonne National Laboratory Argonne United States3Northwestern University Evanston United States4Polytechnique Montreal Montreal Canada5University of Montreal Montreal Canada
Show AbstractThe fabrication of graphene nanoribbons with sub-10 nm width, controlled crystallographic orientation, and well-defined edge structure on insulating or semiconducting substrates has been a major challenge. While organic synthesis results in narrow, atomically-precise ribbons, the ribbons are prohibitively short for applications and the conductive metal surfaces used to template synthesis preclude the use of the ribbons in semiconducting devices. Alternatively, unzipping graphite and nanotubes in solution to produce ribbons is complicated by challenges in assembly of the ribbons onto substrates.
Here, we demonstrate the scalable synthesis of graphene nanoribbons from the bottom-up via chemical vapor deposition on Ge(001). Low energy electron diffraction (LEED) and scanning tunneling microscopy (STM) show that the ribbons are self-orienting ±2.9° from the Ge[110] directions and are self-defining with predominately smooth armchair edges. Electron interference patterns observed at the ribbon edges are indicative of the high quality and low roughness of the edges. By tuning the precursor flux, growth time, and growth temperature, the ribbon anisotropy and growth kinetics can be tailored to yield ribbons with controlled width < 10 nm and aspect ratio > 60. In comparison to previous reports of graphene growth on Ge(001), we find that in order to realize high aspect ratio nanoribbons, it is critical to operate in a regime in which the growth rate is especially slow, on the order of 5 nm/h for growth in the width direction. Scanning tunneling spectroscopy (STS) shows that the ribbons are semiconducting and have bandgaps as large as 650 meV that vary inversely with width.
This work is important because unlike continuous two-dimensional graphene, which is semimetallic, one-dimensional graphene nanoribbons can be semiconducting, allowing for the substantial modulation of their conductance and enabling their application in semiconductor logic, optoelectronics, photonics, and sensors. Moreover, this direct synthesis of smooth, ultranarrow graphene nanoribbons on Ge provides a scalable, high throughput pathway for integrating semiconducting graphene directly on conventional large-area semiconductor wafer platforms that are compatible with planar processing.
10:15 AM - T7.03
Bottom-Up Solution Synthesis of Narrow Pristine and Nitrogen-Doped Graphene Nanoribbons
Alexander Sinitskii 1
1University of Nebraska - Lincoln Lincoln United States
Show AbstractGraphene nanoribbons (GNRs) that are only a few nm wide and have atomically precise armchair edges are promising semiconductors for electronic and optoelectronic applications. Several recent studies have focused on the development of bottom-up synthetic approaches for narrow GNRs. Electronic properties of GNRs could be further tuned via their doping with heteroatoms, such as boron or nitrogen. This possibility has been extensively studied theoretically, but only a few experimental attempts to synthesize nitrogen-doped GNRs (N-GNRs) by bottom-up approaches have been reported. This talk will be focused on the recently developed solution bottom-up method for gram quantities of narrow GNRs [1] and N-GNRs [2] that are less than 2 nm wide and have atomically precise armchair edges. The method is based on Yamamoto coupling of pre-synthesized molecular precursors followed by cyclodehydrogenation using Scholl reaction [1,2]. GNRs and N-GNRs were characterized by a number of microscopic (STM, AFM, SEM, TEM) and spectroscopic (XPS, UPS/IPES, UV-vis-NIR, IR and Raman spectroscopy) techniques. Preliminary studies also indicate that these nanoribbons are electrically conductive [3]. GNRs and N-GNRs have large electronic band gaps, which makes them promising for applications in field-effect transistors with high on-off ratios, as well as bulk applications, including coatings, composites and photovoltaic devices.
[1] T. H. Vo, et al., Large-scale solution synthesis of narrow graphene nanoribbons. Nat. Commun. 2014, 5, 3189.
[2] T. H. Vo, et al., Bottom-up solution synthesis of narrow nitrogen-doped graphene nanoribbons. Chem. Commun. 2014, 50, 4172.
[3] T. H. Vo, et al., Bulk properties of solution-synthesized chevron-like graphene nanoribbons. Faraday Discuss. 2014, DOI: 10.1039/C4FD00131A.
10:30 AM - T7.04
A Green, Facile and High-Yield Synthesis of Dimension-Controllable Graphene Oxide Nanoribbons
Yan-Sheng Li 1 Wei-Hung Chiang 1
1National Taiwan University of Science and Technology Taipei Taiwan
Show AbstractRecent theoretical and experimental works have been showed that graphene nanoribbons (GNRs) are semiconducting with tunable bandgap controlled by their dimensions, making them interested in various applications [1]. However, pristine GNRs without functionalization are insoluble in polar solvents. Such poor processability has precluded the pristine GNR for applications. By introducing the oxygen-rich groups on GNR, the resultant graphene oxide nanoribbon (GONR) show a synergistic effect to have the bandgap of GNR and solution processability of graphene oxide (GO) [2]. Chemical oxidative unzipping of carbon nanotube (CNTs) has been showed an effective way to produce GONRs in a high yield. However, this method was treated large amount of concentrated sulfuric acid (normally 1 mg/ml of CNT concentration in H2SO4) as a de-bundled agent to reduce the strong van der Waals force of bundled CNTs structure and unzipping CNTs completely [3]. Moreover, it is still lacking a facile synthesis to produce GONRs in a high yield with controllable dimensions. Hence, the development of green and mass production of dimension-controllable GONRs will lead to important advance on fundamental research and innovation applications. Here we show a facile solution-based chemical oxidative process for producing a nearly 100% yield of GONRs with controllable dimensions by lengthwise unzipping the starting CNT with different diameters. Recently our group has developed a green and facile synthesis to produce GONRs by oxidative unzipping multi-walled carbon nanotube with 20 nm average outside diameter (O.D.) using a low amount of strong acids (10 mg/ml of CNT concentration in H2SO4). The key is to introduce nitrate salts as the de-bundled and intercalation agents in H2SO4, allowing the nitrate and sulfate ions to unzip CNTs completely in a low amount of H2SO4 [4]. Significantly, we found that it is possible to produce GONRs with smaller width by unzipping the single walled carbon nanotube with 1.5 nm average O.D using the same approach. Detailed scanning electron microscopy and high resolution transmission electron microscopy characterizations indicate that it is possible to produce GONRs with different widths by simply changing the starting CNTs with different diameters in our method. Systematic high resolution X-ray photoelectron spectroscopy characterization suggests that the types and concentrations of functional groups attached on the surface of as-produced GNRs were controllable, showing the controllable solution processability of the GONRs by our method. The powder X-ray diffraction shows a nearly 100 % yield of GONRs produced in our method and suggest an intimate relationship between the GONR yields and the initial concentrations of de-bundled and intercalation agents. [1] X. Li et al Science., 319., 1229(2008) [2] D. Dreyer et al., Chemical Society Reviews.,39,.228(2010) [3] D. Kosynkin., Nature, 458, 872 (2009) [4] N. Kovtyukhova,. Nat Chem 2014, DOI: 0.1038/nchem.2054.
10:45 AM - T7.05
Graphene Nanoribbons Formed by a Sonochemical Graphene Unzipping Using Flavin Mononucleotide Self-Assembly
Sang-Yong Ju 1 Woojin Yoon 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractWhen the width of a graphene nanoribbon (GNR) is only a few nanometers, it possesses semiconducting properties that enable various high-end electronic applications. In this study, we report that the dense and stable dispersion of a natural graphite formed using flavin mononucleotide (FMN) as a surfactant produces GNRs as small as 10 nm in width. High-resolution transmission electron microscopy reveals GNRs with various widths, along with a graphene flake containing straight-edged GNRs, depending on substrate treatments. Such nanoribbon formation originates from sonochemical graphene unzipping with a one-dimensional FMN supramolecular ribbon as a template. Raman spectroscopy demonstrates the universal intensity ratio of D over D' bands, supporting formation of continuous edge defect. Thermal annealing enhances the optical contrast and van der Waals interactions of the graphene film, resulting in increased conductivity compared to the as-prepared graphene film, which is also better than that of reduced graphene oxide.
T8: Sorting Strategies and Applications
Session Chairs
Francesco Bonaccorso
Iwan Moreels
Wednesday AM, April 08, 2015
Moscone West, Level 2, Room 2010/2012
11:30 AM - *T8.01
Graphene and Carbon Nanotubes Ultrafast Lasers
Daniel Popa 1 V. J. Wittwer 1 Z. Jiang 1 D. Purdie 1 Felice Torrisi 1 Andrea Ferrari 1
1University of Cambridge Cambridge United Kingdom
Show Abstract12:00 PM - T8.02
Tunable Thermoelectric Power Factor in Semiconducting Single-Walled Carbon Nanotube Networks
Azure Avery 2 Ben Zhou 2 Sarah Guillot 2 3 Kevin Mistry 2 Barry Zink 1 Yong-Hyun Kim 4 Jeffrey Blackburn 2 Andrew J. Ferguson 2
1University of Denver Denver United States2National Renewable Energy Laboratory Golden United States3University of Wisconsin-Madison Madison United States4Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)
Show AbstractSingle-walled carbon nanotubes (SWCNTs) are a versatile electronic material being explored as cost-effective, high-performance active materials in a variety of renewable energy applications such as transparent conducting or light-harvesting layers in photovoltaics and inclusions in thermoelectric composites.
We present a series of experiments focused on understanding the thermoelectric performance of enriched semiconducting SWCNT networks dispersed in a semiconducting polymer matrix. Rational choice of the semiconducting polymer allows us to sensitively tune the s-SWCNT diameter and band gap distributions within the composites. We use a stable charge-transfer dopant to control the density of carriers in the s-SWCNT network, as determined by the bleach of the absorption corresponding to the S11 excitonic transition. The performance of these transparent conducting s-SWCNT composite networks is comparable to neat p-type and n-type s-SWCNT networks doped by either nitric acid or hydrazine treatments. By varying the carrier density we are able to probe the relationship between the electrical conductivity and Seebeck coefficient (thermopower) in the s-SWCNT networks as a function of the carrier density and position of the Fermi energy. Although the electrical conductivity of the s-SWCNT networks is poor at very low carrier densities we have measured a colossal thermopower as high as ~2,500 µV/K, which is more than an order of magnitude larger than has been previously reported for SWCNT-based material systems and is consistent with theoretical calculations that consider the density of electronic states in individual s-SWCNTs. As we tune the carrier density, we are able to maintain a thermopower above 200 µV/K over almost the entire range of hole densities, corresponding to conductivities up to 1885 S/m, resulting in a thermoelectric power factor of ~100 µW/mmiddot;K2. These studies suggest that the low dimensionality of the SWCNTs has a stronger impact on the electrical conductivity than the thermopower, implying that they are less strongly coupled in these systems than is observed for compound inorganic semiconductors. These observations demonstrate the ability to exert exquisite control of the thermoelectric performance by tuning the carrier density and/or Fermi energy, and touts SWCNTs as an avenue for realizing thermally stable room temperature thermoelectric devices fashioned from inexpensive and abundant organic constituents.
12:15 PM - T8.03
Separation of Metallic and Semiconducting Carbon Nanotubes with Organic Polymers that can be Removed after the Sorting Process
Igor Pochorovski 1 Zhenan Bao 1
1Stanford University Palo Alto United States
Show AbstractFor almost 50 years technological progress in electronics has been driven by shrinking silicon transistors. In recent years, however, silicon transistors have begun to approach fundamental limits regarding their miniaturization. One of the most promising materials that could replace silicon are single-walled carbon nanotubes (SWNTs). While individual semiconducting SWNTs have already been shown to outperform state-of-the-art silicon transistors, the main obstacle in progressing carbon nanotube electronics, however, is the difficulty to obtain semiconducting SWNTs in their pure form. While various methods exist to produce SWNTs, all of them result in mixtures of SWNTs with metallic and semiconducting properties. One method to separate metallic and semiconducting SWNTs was shown to be selective dispersion of semiconducting SWNTs with various polymers. While very high selectivities towards semiconducting SWNTs were achieved, it proved to be very difficult to remove the wrapping polymer after the sorting process. The remaining polymer acts as an insulator and prohibits reaching the potential performance of pure semiconducting SWNTs. Therefore, we have developed organic polymers that can be removed after the sorting process.
12:30 PM - T8.04
(6,5) Single-Walled Carbon Nanotube Based Solar Cells
Ghada Koleilat 1 Huiliang Wang 1 Zhenan Bao 1
1Stanford University Mountain View United States
Show AbstractSingle-walled carbon nanotubes (SWNTs) are typically synthesized as a mixture of chiralities, with one-third of the mixture being metallic and the remaining two-third being semiconducting. We have utilized a high-yield sorting method to selectively disperse semiconducting CO disproportionation on Co-Mo Catalysts (CoMoCAT) single-walled carbon nanotubes (SWNTs) with regioregular poly (3-alkylthiophenes) polymers. Solar cells fabricated with our sorted CoMoCAT (6,5) SWNTs demonstrated higher open-circuit voltage and infrared External Quantum Efficiency (EQE).
CoMoCAT SWNTs sorted with rr-P3DDT having smaller-diameter semiconducting SWNTs and thus larger bandgaps are, in fact, beneficial as part of the active layer in solar cells. In principle, our sorted CoMoCAT SWNTs, in conjunction with C60, forms a better heterojunction than our previously reported rr-P3DDT sorted HiPco SWNTs.
We will show that the CoMoCAT SWNTs used as the active layer in solar cells demonstrate an improved open-circuit voltage. In addition, the EQE reached 6% at a wavelength of 1050 nm for the CoMoCAT (6,5) tubes. In contrast, the lower EQE values of HiPco SWNT solar cells indicate the inferior carrier separation and extraction efficiency to fullerene C60 in the infrared.
We will also show that for HiPco based solar cells, the current generated is dominated by the visible contribution of the P3DDT wrapping polymer, rather than the infrared contribution of the SWNTs but on the other hand, for the CoMoCAT based films, the carbon nanotube contribution is higher.
12:45 PM - T8.05
Vanadium Oxide, Graphene, and Carbon Nanotube Bolometers
Trevor J Simmons 1 Gustavo Vera-Reveles 3 Francisco J. Gonzalez 2
1Rensselaer Polytechnic Institute Troy United States2Universidad Autonoma de San Luis Potosi San Luis Potosi Mexico3Instituto Tecnoloacute;gico de San Luis Potosiacute; Soledad de Graciano Sanchez Mexico
Show AbstractVanadium oxide, graphene, and carbon nanotubes have all shown interesting bolometric properties making them good candidates for the detection of infrared and terahertz radiation. Bolometric characteristics of SWNT as a function of their chirality or the possible influence of composite morphology on these properties will be discussed. Self-assembled composite films of SWNTs, either metallic, semiconducting, or a mixture of both were thermally and electrically characterized. Results show that the composite morphology has a significant impact on bolometer performance. Chirality enriched (95% semiconductive, 5% metallic) SWNTs exhibited superior bolometric properties, with experimental thermal coefficient of resistance values reaching -6.5 %/K and a responsivity of 128 V/W at 1.79 kHz, with a fast response time of 0.44 ms at 63% response. These results will be contrasted to the latest results from research in the area of vanadium oxide and graphene. Hybrid structures of vanadium oxide and graphene as a potential next generation bolometeric architecture will be explored.
Symposium Organizers
Francesco Bonaccorso, Istituto Italiano di Tecnologia
Xinliang Feng, TU Dresden
Andrea Ferrari, University of Cambridge
Ado Jorio, Univ Federal do Parana Brazil
Maurizio Prato, Univ di Trieste
Symposium Support
AIXTRON
Aldrich Materials Science
T13: Printed/Flexible Opto-Electronic Devices
Session Chairs
Thursday PM, April 09, 2015
Moscone West, Level 2, Room 2010/2012
2:30 AM - *T13.01
Graphene for Flexible, Stretchable and Conformal Devices
Jong-Hyun Ahn 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractA lot of effort has put into developing unusual format electronics such as flexible, stretchable and conformal devices for improving convenience for the users. Thus, many experts believe that an important future in electronics is with systems that avoid the rigid, brittle and planar nature of existing classes of electronics, to enable new applications. However, it is very difficult to accomplish such electronics with conventional electronic materials. Graphene has an extremely good mechanical property, offering a great opportunity to flexible and stretchable electronics that should maintain a stable operation under a high strain. In addition, it has superb electronic properties that make it a promising host for device applications. We developed high performance electronics that incorporate any combination of graphene and conventional materials on flexible and stretchable substrates. The results represent routes to unusual format devices, such as flexible, stretchable and conformal electronic devices, that require extreme mechanical deformations during device integration and use.
3:00 AM - T13.02
Flexible Near-Infrared Light Emitting Devices Based on Chirality-sorted Semiconducting Carbon Nanotube Films
Dangmin Yu 1 Sheng Wang 1 Lian-Mao Peng 2 Huaping Liu 3
1Peking University Peking China2Peking Univ Beijing China3Institute of Physics, Chinese Academy of Sciences Beijing China
Show AbstractFlexible electronic and optoelectronic devices get much attention recently, such as skin-like circuits, displays and so on. Single-walled carbon nanotubes (CNTs) have excellent optical and mechanical properties. In this abstract, flexible near-infrared light emitting devices based on (8,3) (8,4) carbon nanotubes were fabricated on polyethylene terephthalate (PET) substrate. Using Palladium (Pd) as the contact electrodes, we tested the I-V curve and the electroluminescence (EL) spectra of several devices under origin and after-bending states, the performance of most devices can maintain as well as the origin state.
Semiconductor CNTs are direct band gap and quasi one-dimensional (1D) materials. The band gap of CNTs is corresponding to the near-infrared band, and the EL spectrum properties are related to the diameter of the CNTs, the dielectric environment and so on. [1]
To obtain the high density and relative pure chirality CNTs, we used (8,3), (8,4) CNT solution separated by temperature-controlled gel chromatography method by Huaping Liu et al.[2] PET substrate was covered by SU-8 to make it smooth. And then the surface was functionalized by APTES to enhance the adhesion to the CNTs. CNT solution was dropped on the substrate directly, and dried slowly in 24 hours. After rinsed by deionized water several times, devices were fabricated using Pd as the contact electrodes. The channel length was 1mu;m and 6 pairs of electrodes were paralleled to enhance the device performance.
The EL spectra show that the peak positions are located at 0.92 eV and 1.04 eV, which are responding to the (8,4) and (8,3) CNT respectively. The 20-30 meV red shift compared to the photoluminescence spectrum may be caused by the doping effect of the carriers and the bundles of the CNTs. After 500 times folding, the performance of most devices does not decline apparently, some even be better.
Our results suggest that chirality-sorted nanotube films have great potential to work as building blocks for future flexible optoelectronics.
[1] P. Avouris, M. Freitag, V. Perebeinos, Nat. Photonics 2008, 2,341
[2] H. Liu, T. Tanaka, Y. Urabe, H. Kataura, Nano Lett., 2013, 13, 1996
3:15 AM - T13.03
Large-Area Graphene/MoS2 Flexible Photodetector
Domenico De Fazio 1 I. Goykhman 1 M. Bruna 1 A. Eiden 1 Ugo Sassi 1 M. Barbone 1 D. Dumcenco 2 K. Marinov 2 Andras Kis 2 Andrea Ferrari 1
1Cambridge Graphene Centre Cambridge United Kingdom2EPFL Lausanne Switzerland
Show AbstractWe present a large area, flexible photodetector for visible wavelengths fabricated by stacking centimetre-scale chemical vapour deposited (CVD) graphene and CVD MoS2, both wet transferred onto a flexible polyethylene terephthalate (PET) substrate. The device operates in photoconductive mode, where the MoS2 layer acts as an absorbing material, while graphene is primarily used as a conductive channel for photocurrent flow. When electron-hole pairs are generated in MoS2 upon illumination of the stack, MoS2 donates electrons to the p-doped graphene channel [1], resulting in a decrease of the total source-drain current. In this configuration, the device responsivity can be enhanced by a) promoting the injection process from MoS2 to graphene using a polymer electrolyte gating - a technique that is suitable for a flexible platform [2,3] and b) increasing a photoconductive gain in the graphene channel by applying larger source-drain voltage. The photodetector has an internal responsivity up to ~30A/W at 642nm. This is two orders of magnitude higher than previously reported for bulk-semiconductor flexible membranes [4,5] and for other flexible photodetectors based on a combination of graphene and MoS2 [6,7]. The responsivity is stable at different bending angles, with variations less than 15% for radiuses of curvature down to 6cm.
[1] W. J. Zhang, et al. Sci. Rep. 4 (2014).
[2] H. Sirringhaus et al. Science 290, 2123 (2000).
[3] A. Das et al. Nature Nanotech. 3, 210 (2008).
[4] W. Yang et al. Appl.Phys. Lett. 96, 121107 (2010)
[5] H. C. Yuan et al. Appl. Phys. Lett. 94, 013 102 (2009).
[6] F. Withers et al. Nano Lett. 14, 3987 (2014).
[7] D. J. Finn et al. J. Mater. Chem. C 2, 925 (2014).
3:30 AM - T13.04
Fabrications of Wearable, Transparent Sensors Using Stretchable Structures Based on Graphene and Nanowires
Joohee Kim 1 Mi-Sun Lee 2 Minji Kim 2 Jang-Ung Park 1
1UNIST (Ulsan National Institute of Science and Technology) Ulsan Korea (the Republic of)2UNIST Ulsan Korea (the Republic of)
Show AbstractStretchable and transparent conducting materials have attracted considerable attention to cover the disadvantages of conventional indium tin oxide (ITO) such as poor mechanical robustness on flexible. A variety of materials including carbon nanotubes (CNTs), graphene, conducting polymers, metal nanowires have been recently developed to improve the limitations of ITO. Especially, graphene-silver nanowires (AgNWs) hybrid structures have intensively studied because of their high transparency and conductivity. Also, hybridization of graphene and AgNWs could resolve the critical drawbacks such as oxidation of AgNWs and relatively high sheet resistance of graphene. In this talk, we presented the fabrication a stretchable and transparent electronic device, especially nanosensor, based on the graphene-AgNW hybrid structures. For these devices, all elements of electronics should have excellent properties under mechanical loading as well as high performance. Therefore, we fabricated field effect transistor (FET) arrays using hybrid electrode and measured the various properties of device. These transistors show the high mobility (~3000 cm2V-1s-1) due to low graphene-AgNW contact resistance (~0.3 kOmega;middot;mu;m). Also, devices that directly transferred on the flexible substrates become stable under the tensile strain. Furthermore, we exhibited the real-time, wireless, and transparent nanosensor for monitoring the selective material operating at radio frequency (~-30 dB at the center of frequency 4.4 GHz) without power consumption. This device could be used ultrasensitive glucose sensors and real time mannan-binding lectin (MBL, Concanavalin A) sensors. For the demonstrating the stretchable, transparent properties, we integrated the sensor with a wearable soft contact lens and biomaterials such as a skin of hands. Specifically, after the deforming tests and in vivo tests the sensor functionalization achieves sensing properties without degrading. The advance of these electronics using hybrid structures provides a route towards future electronics.
3:45 AM - T13.05
Transparent Neural Electrodes Made of Graphene for Studying Dynamics of Brain Circuits
Duygu Kuzum 1 Hajime Takano 2 Euijae Shim 1 Guanqing Hao 1 Jason C Reed 1 Douglas A. Coulter 2 Ertugrul Cubukcu 1 Brian Litt 1
1University of Pennsylvania Philadelphia United States2Children's Hospital of Philadelphia Philadelphia United States
Show AbstractGraphene has recently emerged as an attractive material for neural sensing and stimulation, owing to its flexibility, transparency, excellent electrical conductivity and low noise characteristics. Transparency of graphene is particularly important for developing completely transparent neural electrode arrays for simultaneous neuroimaging and electrophysiology from the same population of neurons. Simultaneous functional optical imaging and electrophysiology can enable studying dynamic neural circuits with high spatio-temporal resolution by combining high spatial resolution of calcium imaging with high temporal resolution of electrical recordings. We have developed micro-fabrication techniques to build transparent graphene microelectrodes on flexible substrates. Electrochemical characterizations and in vivo neural recording experiments showed that graphene electrodes can achieve a significant improvement in signal-to-noise ratio and substantial reduction in electrical interference noise compared to gold electrodes. We demonstrated that brain slices from rats can be imaged through transparent graphene electrodes by confocal microscopy, while the neural activity was simultaneously recorded by the graphene electrode. Both excitation and emission light penetrated through the graphene electrode without causing any light induced artifacts in the electrical recordings. Recordings by the graphene electrode and calcium transients measured by the confocal microscopy were found to be consistent, showing short population bursts during induced epileptiform activity. The temporal resolution of the recordings with the graphene electrode enabled detection of high frequency population discharges, which could not be resolved by the calcium fluorescence responses. In contrast, calcium imaging responses were able to capture complex network contributions of individual neurons which were not evident in the electrical recordings. Experiments with the slices have shown that the graphene electrode was able to measure very fast population spikes with durations less than 5 ms, as well as slow field potentials, which were not detectable by calcium imaging. The capability to record brain activity from a large number of neurons and interacting neural circuits, while simultaneously resolving individual cells and their connections through optical imaging, may greatly illuminate our understanding of how brain circuits process information.
4:30 AM - *T13.06
Carbon Nanotubes- and Graphene-Based Advanced Multifunctional Materials Obtained as Thin and Transparent Film at Liquid Interfaces
Aldo J.G. Zarbin 1 Victor H.R. Souza 1 Rodrigo V. Salvatierra 1 Edson Nossol 1 Sergio Humberto Domingues 1 Jessica Eliza Silva Fonsaca 1 Elisa Souza Orth 1 Marcela Mohallem Oliveira 2
1Federal University of Parana Curitiba Brazil2Technological Federal University of Parana Curitiba Brazil
Show AbstractOur research group has shown in the last years that chemical reactions at water-organic interfaces represent a very versatile and efficient method to obtain homogeneous and transparent thin films of graphene- and carbon nanotubes-based nanocomposite materials. The most recent results obtained in this field will be presented in this talk. We will show that liquid-liquid interfaces are very suitable environments to stabilize thin films of different kind of nanomaterials, including carbon nanotubes, graphene and their nanocomposites. According the experimental conditions, advanced materials can be directly obtained at the immiscible liquid/liquid interface as a free standing, transparent and self-assembled films, which can be easily transferred and deposited over any kind of ordinary substrate, representing a good advance in order to build efficient and reproducible devices. This talk will discuss the strategies and synthetic approach to prepare thin, transparent, homogeneous and conducting films of i) carbon nanotubes (single or multi-wall) ii) graphene; iii) grahene/silver nanoparticles; iv) carbon nanotube/polyaniline; v) graphene/polianiline; vi) carbon nanotubes/polythiophene and vii) graphene/polythiophene, all of them deposited over ordinary and flexible substrates. Also, a novel chemical route to graphene, starting from benzene, will be presented. Application of these films as i) ITO substitutes for transparent electrodes; ii) both transparent electrodes and active layer in photovoltaic devices; iii) electrochemical and gas sensors; iv) electrochromic materials and v) as SERS substrates will be presented and discussed in light of the structure and morphology of the nanostructured films.
5:00 AM - T13.07
Directly Dry Deposited SWCNTs for Record High Performance Transparent Conductive Films
Antti Kaskela 1 Norihiro Fukaya 2 Patrik Laiho 1 Kimmo Aleksi Mustonen 1 Hua Jiang 1 Yutaka Ohno 2 Esko Ilmari Kauppinen 1
1Aalto University, School of Science Espoo Finland2Nagoya University Nagoya Japan
Show AbstractWe report synthesis of high quality SWCNTs with a ferrocene-based floating catalyst CVD reactor and demonstrate that SWCNT networks consisting of highly individualized SWCNTs exhibit substantially improved transparent conductive film (TCF) performance, when compared to previous work with bundled SWCNT TCFs [1]. Reduction in SWCNT bundling is achieved by implementing real-time concentration and particle size monitoring by a differential mobility analyzer and condensation particle counter system, which are used as process feedback for improved stability and repeatability with < 10% concentration variation over a continuous SWCNT production run of 48 h. For these experiments, SWCNT concentration was controllably reduced, leading to reduced bundling probability and formation networks consisting of dominantly individual SWCNTs with mean diameter of 1.3 nm and a narrow helicity distribution near armchair edge, as observed with HR-TEM and electron diffraction techniques. The individual SWCNT networks exhibit excellent performance as transparent conductors with micro-grid patterns [2] with sheet resistances as low as 67 Ohm/sq. at 97 % transmittance after rapid nitric acid doping, high spatial uniformity and superior flexibility when compared to ITO based TCFs.
[1] A. Kaskela, A. G. Nasibulin, M. Y. Timmermans, B. Aitchison, A. Papadimitratos, Y. Tian, Z. Zhu, H. Jiang, D. P. Brown, A. Zakhidov, and E. I. Kauppinen, “Aerosol-Synthesized SWCNT Networks with Tunable Conductivity and Transparency by a Dry Transfer Technique,” Nano Lett., vol. 10, no. 11, pp. 4349-4355, Nov. 2010.
[2] N. Fukaya, D. Y. Kim, S. Kishimoto, S. Noda, and Y. Ohno, "One-Step Sub-10 um Patterning of Carbon-Nanotube Thin Films for Transparent Conductor Applications", ACS Nano vol. 8, pp. 3285-3293, April 2014.
5:15 AM - T13.08
Versatile and High-Performance Graphene Inks for Printed and Flexible Electronics
Ethan B. Secor 1 Woo Jin Hyun 2 Sooman Lim 2 Pradyumna L. Prabhumirashi 1 Kanan Puntambekar 1 Michael L. Geier 1 Heng Zhang 2 C. Daniel Frisbie 2 Lorraine F. Francis 2 Mark C. Hersam 1
1Northwestern University Evanston United States2University of Minnesota Minneapolis United States
Show AbstractThe large-area patterning of functional materials constitutes a key technological challenge for emerging electronic devices. By integrating high-throughput, additive and solution-phase patterning techniques with electronically functional materials, printed electronics enables a range of applications including portable energy conversion and storage, distributed sensing, flexible displays, and smart packaging, among others. The development of a broad palette of electronic inks supports the improved performance and novel functionality of printed devices. Graphene presents a promising material for printed devices due to its high electrical conductivity, robust mechanical flexibility, and excellent chemical and thermal stability. However, challenges in solution-phase processing and patterning of pristine graphene have impeded its practical integration in printed electronics to date.
Here, we present a general and versatile method to produce graphene inks suitable for various solution-phase printing technologies. We employ the polymer ethyl cellulose (EC) as an exfoliation and dispersion aid to produce pristine graphene in common and benign organic solvents. A flocculation method is introduced to decouple the exfoliation and printing solvents, enabling versatile tuning of the ink composition. This facilitates the development of a stable graphene ink for inkjet printing with excellent jetting, wetting and drying characteristics. In addition, the EC functions as an effective stabilizer and rheology modifier to produce inks tailored to high-throughput printing methods such as gravure and screen printing. Using these techniques, we demonstrate high-resolution, rapid, and large-area patterning of graphene on flexible substrates. Supporting a pristine graphene concentration as high as 80 mg/mL and a viscosity tunable over four orders of magnitude, this platform offers a practical and versatile approach for graphene ink design. Moreover, the combination of pristine graphene and the EC stabilizer yields high conductivity and flexible patterns following mild annealing, with an electrical conductivity as high as 25000 S/m and robust tolerance to bending stresses. These high-performance graphene inks, coupled with a diverse suite of printing methods, present a promising approach for the integration of graphene in printed and flexible electronic devices.
5:30 AM - T13.09
Short Channel Ambipolar Transistors with Inkjet Printed Semiconducting Single Walled Carbon Nanotubes
Seonpil Jang 1 Bongjun Kim 1 Michael Geier 2 Mark C. Hersam 2 Ananth Dodabalapur 1
1The University of Texas at Austin Austin United States2Northwestern University Evanston United States
Show AbstractSemiconducting single walled carbon nanotubes (SWCNTs) have been attracting great interest for use in printed electronics. Many research groups have reported results on SWCNT FETs formed by inkjet printing. SWCNT FETs are intrinsically ambipolar; however, trapping of electrons sometimes results in only p-channel FET behavior when exposed to air. We have reported on the fabrication and characteristics of inkjet printed short channel (150-250nm) SWCNT FETs, in which the SWCNT channel was inkjet printed and comprised of several individual SWCNTs extending between source and drain electrodes. These devices exhibited p-type FET operation, and the effective mobility as well as the transconductance values were clearly superior to those of conventional networked SWCNT FETs reported previously.
In this presentation, we report on results of inkjet printed submicron-scale (~150nm) SWCNT FETs with air-stable ambipolar transport characteristics. Several individual SWCNTs were observed to span the entire channel length between source and drain (S/D) in each transistor without a network of interconnected CNTs. It was possible to achieve quite balanced electron and hole transport characteristics employing the buried S/D electrodes design. Highly enriched semiconducting SWCNTs (>98% purity, achieved by density gradient ultracentrifugation) were dispersed in an organic solvent and inkjet printed onto prepatterned buried S/D electrodes, followed by the deposition of top dielectric, Al2O3. SWCNTs printed on flat S/D area could effectively passivated by the Al2O3 deposited by atomic layer deposition (ALD), resulting in ambipolar FET behavior in ambient condition. In addition, an electrode design for droplet confinement to achieve low levels of carbon nanotube ink consumption (a single droplet of 10 pL) will be reported.
We will also present a comparison of the device characteristics between two different configuration of the S/D electrodes; regular and buried. We also describe temperature-dependent transistor characteristics and discuss the nature of the charge carrier transport in ambipolar devices.
5:45 AM - T13.10
Solvent Effects on Polymer Sorting of Carbon Nanotubes with Applications in Printed Electronics
Huiliang Wang 1 Bing Hsieh 2 Gonzalo Jimenez-Oses 3 Peng Liu 3 Christopher Tassone 4 Ying Diao 1 Kendall Houk 3 Zhenan Bao 1
1Stanford University Stanford United States2Palo Alto Research Center(PARC) Incorporated Palo Alto United States3University of California, Los Angeles Los Angeles United States4SLAC National Accelerator Laboratory Menlo Park United States
Show AbstractRegioregular poly(3-alkylthiophene) (P3AT) polymers have been previously reported for the selective, high-yield dispersion of semiconducting single-walled carbon nanotubes (SWNTs) in toluene. Here, five alternative solvents are investigated, namely, tetrahydrofuran, decalin, tetralin, m-xylene, and o-xylene, for the dispersion of SWNTs by poly(3-dodecylthiophene) P3DDT. The dispersion yield could be increased to over 40% using decalin or o-xylene as the solvents while maintaining high selectivity towards semiconducting SWNTs. Molecular dynamics (MD) simulations in explicit solvents are used to explain the improved sorting yield. In addition, a general mechanism is proposed to explain the selective dispersion of semiconducting SWNTs by conjugated polymers. The possibility to perform selective sorting of semiconducting SWNTs using various solvents provides a greater diversity of semiconducting SWNT ink properties, such as boiling point, viscosity, and surface tension as well as toxicity. The efficacy of these new semiconducting SWNT inks is demonstrated by using the high boiling point and high viscosity solvent tetralin for inkjet-printed transistors, where solvent properties are more compatible with the inkjet printing head and improved droplet formation.
T14: Poster Session III
Session Chairs
Cecilia Mattevi
Amaia Zurutuza
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - T14.01
Covalent Chemistry on Carbon Nanotubes: From Electronic Fundamentals to Single-Molecule Nanosensors
Delphine Bouilly 1 Scott Trocchia 1 Jaeeun Yu 1 Nathan Daly 1 Sefi Vernick 1 Jason Hon 1 Ying Wu 1 Ruben Gonzalez 1 Kenneth Shepard 1 Colin Nuckolls 1
1Columbia University New York United States
Show AbstractCarbon nanotube devices are particularly well-suited to build chemical or biological electronic nanosensors due to their inherent nanoscale channel, exceptional electrical conductance and high sensitivity to charge transfer. This charge sensitivity is highly unselective though, which means that functionality must be added to the nanotube sidewall in order to tailor its affinity to specific chemical species. Single-point functionalization is particularly desirable to allow detecting molecules at the individual level. Among the various functionalization types available for carbon nanotubes, covalent chemistry provides the most robustness and reproducibility. However, its invasive nature is known to alter the electronic performance of the nanotubes, and achieving single-point covalent binding on pristine nanotubes is challenging.
Here we present systematic experimental work providing fundamental insight on the impact of covalent reactions on carbon nanotube electronic properties, as well as recent advances on the use of covalently chemistry for assembling molecular nanosensors. First, electrical transport experiments are used to probe the electronic states of carbon nanotubes that are fully functionalized with covalent adducts. Results on numerous individual nanotube devices show that monovalent groups such as aryl derivatives severely disrupt the nanotube electronic bands and also generate graft-induced localized states in the nanotube band gap. Oppositely, divalent grafting using carbene-based addition reactions is found to leave the nanotube electronic properties unaltered. We discuss the mechanisms behind these results based on symmetry and conjugation considerations[1]. Second, high-resolution lithography patterning and aryldiazonium chemistry are used to add covalent adducts on controlled segments of carbon nanotubes devices, with lengths varying from several microns down to 20 nm. The intensity of the conductance extinction is found to scale exponentially with the length of the exposed segment, with large variations in decay constants between devices. Nevertheless, all devices present a robust 20% current drop for the shortest exposed segments, which points to a consistent small number of binding sites. Finally, we demonstrate the ability of this approach to bind individual biomolecules onto carbon nanotubes, with controlled position and high yield over arrays of hundreds of devices, which opens a very promising route for assembling a variety of carbon-nanotube-based single-molecule electronic nanosensors.
[1] D. Bouilly, J. Cabana, R. Martel. Appl. Phys. Lett. 101, 053116 (2012)
9:00 AM - T14.02
In-Plane Thermal Conductivity of Carbon Based UV-Curable Pressure Sensitive Adhesives
Gyu-Dae Park 1 Ju-Won Lee 2 Sung Ryong Kim 1
1Korea National University of Transportation Chungju Korea (the Republic of)2Mirae Nanotech Corp Cheongwon Korea (the Republic of)
Show AbstractThe pressure sensitive adhesives (PSAs) provide the secure mechanical bonding and become one of the most potential options for thermal dissipation in electronic system. Thermal conductivity of UV cured acrylic PSAs were investigated. The matrix of PSAs was made from acrylic oligomer and trimethylolpropane triacrylate. All the ingredients of acrylic adhesives were mixed and UV cured. Graphene (G) and graphite intercalated compound (GIC) were used as a thermall conductive fillers. The filler content and heat treatment temperature were varied to see the effects on the thermal conductivity. Heat treatment temperature and its expansion ratio were crictical to get a higher thermal conductivity for the GIC based PSAs. The effective thermal conductive path of graphene and GIC was a key parameter to the thermal conductivity of adhesives.
An obvious anisotropy with respect to the thermal conductivities was observed for for graphene and GIC. The anisotropy was more dominant at the higher loading of filler content and the high aspect ratio of graphene and GIC resulted in aligned filler orientation and led to a higher in-plane thermal conductivity. The increase in the in-plane conductivity was almost linear with the increase volume of graphene and GIC up to 20%. The in-plane thermal conductivities at 10 wt% of graphene and GIC contained PSAs increased up to 0.32 W/mK, 0.45 respectively. In addition, the peel strength and morphology of 2D carbon based PSAs were studied.
9:00 AM - T14.03
Precipitation of High-Quality Multilayer-Graphene Using Al2O3 Barrier and Au Cap Layers
Jumpei Yamada 1 Manabu Suzuki 1 Yuki Ueda 1 Takahiro Maruyama 1 Shigeya Naritsuka 1
1Meijo University Nagoya Japan
Show Abstract#8203;
Because of its superior characteristics, graphene is highly expected to apply in various fields. Electrical wire is one of the important applications, whose performance is thought to drastically improve by the use of excellent properties of the graphene. To obtain superior graphene wires, it is necessary to produce a high-quality graphene with large domains. However, growth of large domain graphene is still a challenging subject even in the state-of-the-art growth techniques. In addition, multilayer graphene is essential to flow a large current. On the other hand, the use of Al2O3 barrier and/or Au capping layers is reported to improve the quality of the graphene in the precipitation method [1]. Therefore, in the paper, precipitation of multilayer graphene is tried to improve using Al2O3 barrier and Au cap layers. In order to obtain multilayer graphene, a thicker amorphous carbon (a-C) and a longer annealing time than the reported ones are adopted.
Al2O3, Ni, a-C and Au layers were deposited on a sapphire substrate using electron-beam deposition. Four types of samples were prepared to study the effects of the Al2O3 barrier and Au cap layers; Ni(300nm) / a-C(40nm) / sapphire substrate (sample A), Ni(300nm) / Al2O3(2nm) / a-C(40nm) / sapphire substrate (sample B), Au(20nm) / Ni(300nm) / a-C(40nm) / sapphire substrate (sample C), and Au(20nm) / Ni(300nm) / Al2O3 (2nm) / a-C(40nm) / sapphire substrate (sample D). The samples were annealed at 900oC, for 30 or 60 minutes, in a vacuum ambient to precipitate graphene. By using Raman spectroscopy and optical microscopy, the number of the graphene layers was estimated [2].
The samples B and D show fine Raman signals with narrow G and G&’ peaks while the samples A and C only gave Raman signals with wide FWHM of amorphous carbon. The use of Al2O3 barrier layer was found to greatly improve the precipitated graphene. The number of graphene layers was thicker on the sample D than on the sample B. When the sample D was annealed for 30min, 2-layers and 5-layers graphene spread over 80% and 20% of the surface, respectively. The area of thicker graphenes increased with increasing the annealing time. On the sample D annealed for 60 min, the area of 5-layers graphene increased up to 50% and, moreover, the area of 10-layers graphene appeared over 10% of the surface. These samples also show excellent D / G ratios of less than 0.1. Multi-layer graphenes with 5 or more layers were successfully obtained by the precipitation method using both Al2O3 barrier and Au cap layers.
Reference
[1] R. S. Weatherup et al., Nano Lett., 13 (2013) 4624.
[2]A. C. Ferrari, Solid State Commun., 143 (2007) 47.
Acknowledgements: This work was supported in part by Specially Promoted Research (No.25000011) from the Ministry of Education, Culture, Sports, Science and Technology of Japan, and JSPS Grand-in-Aid for Scientific Research on Innovative Areas (No.26105002).
9:00 AM - T14.04
Graphene Modified Electrodes for Enzymatic Biosensing
Alexander Zoepfl 1 Masoumeh Sisakthi 2 Christoph Strunk 2 Frank-Michael Matysik 1 Thomas Hirsch 1
1University of Regensburg Regensburg Germany2University of Regensburg Regensburg Germany
Show AbstractCarbon nanomaterials are promising candidates for the development amperometric chemical and biosensors due to their excellent conductivity and electrocatalytic properties. The 2D allotrope graphene, with high surface-to-volume ratio, can be obtained by different strategies. The resulting nanomaterial differs in many parameters, e.g. in size and uniformity, but also in physical and chemical properties regarding the preparation method. Here, we present graphene obtained by Scotch-Tape method, chemical vapor deposition (CVD), and reduction of graphene oxide. These materials were compared as sensor material for enzymatic biosensors. Glucose Oxidase was covalently linked to the differently prepared graphenes. As a result, significant changes in the current at a low working potential for low concentrations of glucose were obtained. Graphene produced by CVD showed the best sensitivity in bioanalytical applications. Especially in terms of reproducible electrode production, the well defined structure combined with a scalable synthesis of CVD graphene is superior to the other graphene materials. Further, this concept can be adapted to other target analytes by using other enzymes leading to a sensor array for simultaneous detection of multiple analytes.
9:00 AM - T14.05
Graphene Nanocomposites for Selective Gas Detection at Ambient Temperatures
Alexander Zoepfl 1 Guenther Ruhl 2 Gerhard Poeppel 2 Frank-Michael Matysik 1 Thomas Hirsch 1
1University of Regensburg, Institute of Analytical Chemistry Regensburg Germany2Infineon Technologies AG Regensburg Germany
Show AbstractThe large surface-to-volume ratio of graphene is one of the outstanding properties of graphene as sensor material, due to the absence of any bulk phase. Fast response time, high sensitivity and reversibility are accompanied with this property. Detection of gases is an important task to improve safety and quality of life. Highly sensitive metal oxide based chemiresistors are well established and widely implemented. One drawback of these sensors is the high operation temperature (>250 °C), which is not attractive for portable applications. Graphene based gas sensors may be a cheap alternative. The material undergoes a very fast change in electrical conductance on gas adsorption with high sensivity and fast response time, already at moderate temperature (25 - 85 °C) and ambient conditions. In this study, chemically prepared graphene, which was obtained by oxidation of graphite and subsequent reduction, was transferred on prestructured microeletrodes. These sensors not only responded to various analyte gases and concentrations, but the signal was also influenced by parameters like air humidity and temperature and there is also a lack on selectivity. To overcome this drawback, reduced graphene oxide (rGO) could be easily functionalized by wet chemical methods. Functional groups, metal oxide and metal nanoparticles were introduced in one pot synthesis, in order to form nanocomposite materials. Additional decoration of rGO already deposited on the sensor with metal nanoparticles was achieved by electrochemical deposition. These nanocomposite carbon materials allow the quantification of an individual gas in a complex gas mixture by multivariate analysis based on principal component analysis. Different modified sensors were tested towards ambient gases like NO2, N2, O2, CH4, CO and H2. Especially upon adsorption of NO2 the high signal changes allowed a limit of detection in the sub-ppm range. But also the clear signal pattern for each gas allowed an individual recognition.With proper arrangement of such sensors onto an array, an artificial nose for gas detection could be realized.
9:00 AM - T14.06
First Principles Study of Atomic Scale Friction in Two-Dimensional (2D) Materials
Tianbao Ma 1 Linfeng Wang 2 Hui Wang 1
1Tsinghua University Beijing China2Nanjing University of Aeronautics and Astronautics Beijing China
Show AbstractAtomic-scale friction in graphene, graphene oxide (GO), fluorographene and van der Waals heterostructures is investigated using density functional theory calculation including a long-range dispersion correction (DFT-D). We characterize the interlayer friction in 2D materials by calculating the potential energy surface when the two monolayers are quasi-statically displaced relatively to each other. The friction and shear strength are derived by the energy corrugation or energy barrier on the sliding path.
The electrostatic and hydrogen bond interaction in GO, which can be tuned by different oxidation levels, is found to contribute to larger energy corrugation and higher friction. Fluorographene (stoichiometric C1F1 derivative of graphene) exhibit much lower friction because of the low interlayer interaction induced by the repulsive electrostatic forces between F atoms at the interfaces. Recently, we find that the interlayer friction in 2D fluorographene/MoS2 can be reduced to merely 1/40 of that in fluorographene bilayer and 1/160 of that in MoS2 bilayer structures. Hence, a stable superlubricity state with vanishing friction regardless of the interfacial orientation is achieved by introducing a large intrinsic lattice mismatch between the 2D materials. This again is attributed to the perpetual interfacial incommensurability that leads to an ultrasmooth potential energy surface and cancellation of the lateral forces. Furthermore, an explicit relationship between the periodicity of the Moiré pattern and the lattice mismatch for a vast variety of heterostructures is derived, which explains the size dependency of superlubricity.
The study theoretically reveals the general mechanism of atomic-scale friction in various graphene-based layered materials where the interlayer interaction is dominated by van der Waals and electrostatic interactions, which shed light on friction reduction and the design of new lubricant materials.
References:
[1] Wang LF, Ma TB, Hu YZ, Wang H, Atomic-scale friction in graphene oxide: An interfacial interaction perspective from first-principles calculations. Physical Review B 86, 125436 (2012).
[2] Wang LF, Ma TB, Hu YZ, Wang H, Shao TM, Ab Initio Study of the Friction Mechanism of Fluorographene and Graphane. The Journal of Physical Chemistry C 117, 12520-12525 (2013).
[3] Wang LF, Ma TB, Hu YZ, Zheng QS, Wang H, Luo JB, Superlubricity of two-dimensional fluorographene/MoS2 heterostructure: a first-principles study. Nanotechnology 25, 385701 (2014).
9:00 AM - T14.07
Rapid Synthesis of Graphene Using CVD Method with LPG for Industrial Applications
Imbok Lee 1 2 Sangjun Park 1 2 Dongjae Bae 1 2 Jungtae Nam 1 2 Kuensoo Kim 1 2
1Sejong University Seoul Korea (the Republic of)2Graphene Research Institute Seoul Korea (the Republic of)
Show AbstractWe have investigated the rapid growth condition of graphene synthesis using chemical vapor deposition(CVD) with liquid petroleum gas (LPG) - major components are butane (C4H10) and propane (C3H8) - which is familiar and low cost carbon source. For the synthesis of high-quality graphene, the growth condition was optimized by controlling the CVD parameters, such as growth time, temperature, gas amount and flow rate. As a result, we have successfully grown the graphene very fast from LPG. It was 10 times faster than general growth condition from methane(CH4), previously. Such a result was due to difference of supplying numbers of carbon in unit volume.
In x-ray photoelectron spectra, our rapid grown graphene samples from LPG was p-type doped by sulfur slightly which was from 20ppm mercaptan in conventional LPG. In addition to p-doped graphene, we also grew undoped graphene by supplying hydrogen gas that substituted sulfur to hydrogen during graphene growth. Both samples were characterized by peak-position and full-width half maximum of G and 2D-peak in Raman spectra and Dirac point in electrical measurement.
Based on such rapid synthesis recipe, we developed the roll-to-roll system included portable thermal-CVD equipment. It was possible to produce the 10m graphene reel within 1 hours using this equipment. This technique will make one step closer to industrial applications.
9:00 AM - T14.08
Electrochemical Synthesis of Multifunctional Reduced Graphene Oxide and Tungsten Oxide Nanocomposites
Sergio Humberto Domingues 1 Caroline Brambilla de Aquino 1 Joana Claudio Pieretti 1
1Mackenzie Presbyterian University Sao Paulo Brazil
Show Abstract
Reduced graphene oxide (rGO) and tungsten oxide (WO3) films have been attracting much interest for their potential use in a diverse range of applications, such as sensors, supercapacitors, and electrochromic or photocatalytical thin films [1-2]. These neat materials can be easily deposited on a variety of substrates, and can be produced in large quantities for possible commercial applications, but thinking about electrochromic or sensor properties, the stability, limit detection and sensibility of these materials can be a problem. Based on these problems, nanocomposites between these two materials could be a good way to improve better results by the synergism of these materials.
This work show an electrochemical method to produce thin films of rGO and WO3 nanocomposites, using two different metal sources (tungsten powder and Na2WO4). These nanomaterials have been characterizing by electrochemical methods, Raman and UV-Vis spectroscopy, XRD and SEM. The results shows the presence of both materials in the nanocomposites. The next step now, is to test rGO/WO3 thin films for electrochromic materials and some analyte sensors.
[1] D. S. Dalavi, R. S. Devan, R. A. Patil, R. S. Patil, Y. Ma, S. B. Sadale, I. Kim, J. Kim and P. S. Patil, J. Mater. Chem. C, 2013, 1, 3722.
[2] F. Hongbin, C. Rui, Z. Xin, D. Xiangfeng, L. Jinghong, Nature Comm, 2013, 8, 1.
9:00 AM - T14.09
The Control of Nucleation Density for Improving the Carrier Mobility of Graphene in the Chemical Vapor Deposition Growth on Copper Substrates
Ren-Jie Chang 1 Chia-Hao Lee 1 Zhang-Cheng Luo 1 Cheng-Yen Wen 1
1National Taiwan University Taipei Taiwan
Show AbstractIn order to apply graphene in electronic devices, a reliable and economic method to fabricate high-quality graphene layers is very essential. The chemical vapor deposition (CVD) growth of graphene on copper substrates is yet the most routine, controllable, and scalable process to fabricate graphene among several approaches that have been developed. Nonetheless, the quality of the CVD-grown graphene is not comparable with that of the graphene layers exfoliated from natural graphite flakes; the electrical conductivity or the mechanical strength is far lower than the intrinsic properties of graphene. One of the major reasons is the existence of a high density of domain boundaries created in the graphene layers during growth. It was recently reported that prior to the CVD growth of graphene on Cu substrates, flowing oxygen can effectively reduce the nucleation density of graphene, and hence alleviates the problem. In this study, we investigate the mechanism of graphene growth under this particular condition. The oxygen flow creates an oxide layer on the surfaces of the Cu substrates. The copper oxide (Cu2O or CuO) has relatively high vapor pressure, so that the as-formed surface oxide layer is readily vaporized when the oxygen flow stops. Fresh Cu surfaces are then formed for graphene growth. As a consequence, the nucleation sites on the Cu substrates are passivated with copper oxide - the initial nuclei of graphene are therefore reduced. Both the evaporation of Cu oxide and the deposition of graphene are both through mass transport in the gas phase. In the environment with slower gas flows, the evaporation of Cu oxide is slower; similarly, the graphene growth rate is slower. But, due to the slower evaporation of Cu oxide, the nucleation density of graphene is effectively decreased. We adjust the gas flow of reactants to control the nucleation density of graphene, ranged from104 to 10 nuclei/mm2, and the domain size of graphene increases from 10 mu;m to 300 mu;m. The reduced density of domain boundaries in the samples is reflected from the improvement of the electrical properties - the hole mobility increases from 1500 to 3300 cm2/Vmiddot;s; the electron mobility increases from 360 to 2200 cm2/Vmiddot;s.
9:00 AM - T14.10
Direct Patterning of Graphene by a Focused Ion Beam
Nick Thissen 3 Rene Vervuurt 3 Hans Mulders 4 Jan-Willem Weber 3 Adrie Mackus 3 Erwin Kessels 1 Ageeth A. Bol 2
1Eindhoven Univ of Technology Eindhoven Netherlands2Eindhoven Univ of Technology Eindhoven Netherlands3Eindhoven University of Technology Eindhoven Netherlands4FEI Company Eindhoven Netherlands
Show AbstractGraphene device fabrication on large-area graphene typically involves several patterning steps using electron beam or optical lithography, followed by graphene etching and metallization for application of metallic contacts. However, the resist films and lift-off chemicals used in lithography introduce compatibility issues, such as the difficulty of removing the resist from the graphene. This resist residue has a negative influence on the thermal and electrical properties of the graphene and interferes with functionalization of the graphene. Furthermore, lithography on suspended graphene is typically very challenging, as the liquids used during processing tend to delaminate the graphene by capillary forces. This motivates the development of a ‘bottom-up&’, direct-write, lithography-free patterning method.
In this work, a focused Ga ion beam (FIB) is used to directly pattern large-area CVD graphene supported by a SiO2 substrate. The patterning of the graphene is performed in a DualBeam (SEM / FIB) system, in which a 30 kV FIB is used to locally remove graphene from the substrate. By optimizing the pattern design, the ion beam current and the background pressure in the DualBeam system, unintentional damage of the graphene by scattered ions is almost completely prevented. An in situ Raman microscope allows for direct observation of the graphene quality before and after FIB processing. From Raman and electrical measurements it was determined that the graphene becomes virtually non-conducting at a very low Ga-ion dose of ~3 C/m2 (2 × 1015 ions/cm2), and is completely removed at about 10 C/m2. This dose is much lower compared to the dose required for more typically used He ions or electrons, which allows for practically applicable device designs and opens the way to large scale integration.
After FIB patterning, as a second step a direct-write atomic layer deposition (ALD) technique which we have developed earlier [1] is applied in the same system to locally deposit contacts to the isolated graphene without the aid of lithography.
By combining patterning and direct contact deposition in the same system, graphene devices were fabricated from large-area graphene without the use of lithography. First results from sub-optimal devices demonstrate field-effect mobilities approaching 500 cm2/Vs and contact resistances as low as (40 ± 30) Omega;.
[1] A.J.M. Mackus et al., Nanoscale4, 4477 (2012)
9:00 AM - T14.11
Exfoliation of Graphite into Graphene Nanosheets by Intercalation of Na, K Ions with Cathodic Contact Glow Discharge Method
Po-Jen Yen 1 Chien-Chung Pan 1 Kung-Hwa Wei 1
1Taiwan National Chiao Tung University Hsinchu Taiwan
Show AbstractWe report a green and low-cost method to exfoliate graphite into few-layer graphene nanosheets in basic solution (NaOH and KOH) only within few minutes. Exfoliation takes place at the graphite cathode which involves the intercalation of Na and K ions into the space between layers of graphite. Instant atomization of them leads to thermal expansion providing a mechanical stress that overcomes van der Waal force which holds graphene layers together. Reversibility of Na and K ions is introduced during this whole process termed “Contact Glow Discharge method”. Interestingly, investigation of different concentration of both electrolytes revealed that 2M had the lowest oxidation degree confirmed by X-ray photoelectron spectroscopy. Furthermore, atomic force microscope and Transmission electron microscopy showed that different lateral sizes of graphene nanosheets were produced by using Na and K ions respectively. This new method has a promising industrial potential to produce graphene nanosheets or nanoscale materials for further applications.
9:00 AM - T14.12
Theoretical Understanding and Experimental Optimization of Vertical Graphene/ Transition Metal Dichalcogenide (TMD) Heterojunction Device
Jaewoo Shim 1 Euyheon Hwang 1 Sungjoo Lee 1 Jin-Hong Park 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractDespite of tremendous interests in graphene due to its superior carrier mobility, remarkably strong mechanical property, and excellent thermal conductivity, its semi-metallic property (zero bandgap) causing extremely low on/off-current ratio has still prevented integrating successfully graphene-based electronic applications, such as analog and digital logic circuits. Although several approaches using graphene nanoribbon, graphene nanoconstriction, graphene quantum dot, dual-gated bilayer graphene were reported to overcome this weak point, sufficiently high on/off-current ratio for logic applications was not demonstrated yet. Along the way, Yang et al. proposed new concept graphene-based device named as a graphene barristor, which adjusts barrier height between graphene and another semiconductor through the modulation of graphene&’s work function. Recently, several follow-up researches to implement the graphene heterojunction devices using transition metal dichalcogenide (TMD) materials such as molybdenum disulfide (MoS2), tungsten disulfide (WS2), and tin disulfide (SnS2) were reported. Because the TMD materials are highly scalable and flexible, the vertical graphene/TMD heterojunction (VGH) devices are expected to be used for future low power wearable devices. However, these researches about the VGH devices reported up to present only stay on the qualitative analysis that the on/off-currents are accomplished by a barrier height modulation through gate biasing in graphene/semiconductor junction. In this light, the physical and electrical mechanism to explain clearly the carrier transport from graphene to semiconductor is required now for optimizing the VGH devices in terms of the subthreshold swing (SS) as well as the on/off-current ratio. In addition, since only n-channel VGH devices were reported on the above TMD materials with relatively low electron barriers height when graphene is contacted, the development of p-channel VGH device and the integration with n-channel devices are also necessary to implement low power and wearable complementary metal-oxide-semiconductor (CMOS) logic circuits.
Here, we propose a theoretical model for the carrier transport in the VGH devices to precisely understand the physical mechanisms related to the VGH device operation. We then optimize the VGH devices fabricated on WSe2 (p-channel) and MoS2 (n-channel) through the theoretical carrier transport model, in terms of on/off-current ratio and SS. The optimized WSe2-based VGH device shows very high on/off-current ratio of 104 at RT and 5×107 at 180 K. Finally, a low operating power and fast switching VGH CMOS inverter is demonstrated by integrating the optimized p- and n-channel VGH devices.
9:00 AM - T14.13
Quantitative Determination of the Chirality Distribution of Single-Walled Carbon Nanotubes Using UV-Vis-NIR Absorption Spectroscopy
Ying Tian 3 Hua Jiang 2 Esko Ilmari Kauppinen 1
1Aalto University Espoo Finland2Aalto University, School of Science Espoo Finland3Aalto University Espoo Finland
Show AbstractThe chirality, specified by a pair of integers (n,m), fully defines the geometric structure and electronic property of a SWNT. A demand currently exists for an accurate and rapid method of evaluating the chirality distribution of bulk SWNTs. This is critical to obtain reliable feedbacks for the chirality controlled growth of SWNTs and for subsequent implementation in nanotube-base application. In this work, we have developed a simple and effective means for quantifying the chirality distribution of SWNTs by using optical absorption spectroscopy. Without making an assumption for SWNT chirality distribution, the optical absorption from the transition energies of each nanotube was modeled by summing contributions over the entire absorption spectrum. The single-chirality SWNT samples and ''standard'' CoMoCAT SWNTs containing a few chiralities, allowed us to accurately determine the peak shape, broadening factor as well as the underneath background. Verification of this assignment protocol is based upon statistical analysis of hundreds of high resolution transmission electron microscopy (HRTEM) images combining electron diffraction (ED) techniques. A very good agreement among different techniques indicates that this approach enables accurate and rapid assessment of chirality distribution and can be extended to bulk SWNT samples.
9:00 AM - T14.14
Contact Resistance Reduction on Graphene through N-Type Doping and One-Dimensional Edge Contact
Hyung-Youl Park 1 Jeaho Jeon 1 Jaeho Lee 3 Seongjun Park 3 Sungjoo Lee 2 Jin-Hong Park 1
1Sungkyunkwan University Suwon Korea (the Republic of)2SKKU (Sungkyunkwan University) Suwon Korea (the Republic of)3Samsung Electronics Yongin Korea (the Republic of)
Show AbstractGraphene, a single atomic layer of graphite, has drawn scientific and technological interests for various next generation nano-electronics beyond silicon (Si) technology because of its superior mechanical, thermal, optical, and electrical properties. Although pristine graphene is theoretically known to have the exceptional carrier transport property (~200,000 cm2/V-s at a carrier density of 1012 cm-2) which is desirable in implementing radio frequency (RF) circuits with cut-off frequencies of the hundreds-of-gigahertz, the high metal-graphene (M-G) contact resistance (RC) prevents the successful integration of such high speed graphene devices and circuits at the present technology stage. Many works to increase density-of-state (DOS) in graphene were previously reported to eventually decrease contact resistance. In particular, Xia et al. thoroughly investigated a palladium (Pd)-graphene 2D contact with a theoretical contact resistance model based on Landauer&’s approach and also reported very low contact resistance value (~250 Omega;-mu;m at RT). Recently, new contact concept where 3D metal electrodes are faced to 2D graphene along 1D graphene edge or cut-side with larger DOS has been applied to minimize the M-G contact resistance. In these 2D and 1D graphene contact researches, there is not yet the attempt to reduce the M-G contact resistance by doping graphene (thereby, moving up EF and increasing DOS) under the contact region.
Here, we first investigate the effects of graphene doping on the M-G contact resistance in terms of surface (2D) and edge (1D) geometries through Raman spectroscopy and electrical measurements (ID-VG and ID-VD), where the contact resistance is determined using the transfer length method (TLM). The graphene doping is achieved through the dipole formation by PVP/PMF insulator with triazine molecules and the level of doping is controlled by adjusting the ratio of PMF to PVP from 50 % to 400 %. Because doping graphene can cause a significant increase in the graphene DOS by moving up EF, a reduction in M-G contact resistance is expected. Then for understanding the impact of edge contacts on the M-G contact resistance, the currents flowing through the 2D graphene surface and 1D patterned graphene edge are theoretically and experimentally investigated by using various patterns with different ratio of perimeter to area under contact metal. Since the devices for TLM measurement are laterally designed, the effective perimeter and area values (thereby, the ratio of perimeter to area) are dependent on a transfer length. Finally, we examine the effects of graphene doping phenomena by PVP/PMF and metal-kind (Pd, Ti, and Cu) on the contact resistance through the edge-contacted devices, eventually minimizing RC down to ~23 Omega;-mu;m at RT (~19 Omega;-mu;m at 100 K) which is a new record contact resistance.
9:00 AM - T14.15
Free-Standing Graphene Oxide Membranes for Water Vapor Separation from Gas Mixtures
Yongsoon Shin 1 Ilke Arslan 1 Wendy D Bennet 1 Ram Devanathan 1 Leonard S Fifield 1 Bojana Ginovska-Pangovska 1 Dongsheng Li 1 Wei Liu 1 Birgit Schwenzer 1 David W Gotthold 1
1Pacific Northwest National Laboratory Richland United States
Show AbstractHierarchically-stacked 2D graphene oxide (GO) membranes are a fascinating and promising new class of materials with the potential for radically improved water vapor/gas separation with excellent selectivity and high permeability.1 The two dimensional channel between the stacked GO nanosheets may allow water to pass through while rejecting unwanted gases. Oxygenated GO sheets bearing carboxyl, hydroxyl, and epoxide functional groups offer extraordinary potential to combine high selectivity with high flux. Scalable production processes for carbon oxidation, GO sheet isolation and membrane production will be required to achieve commercially viable manufacturing cost for GO membranes. Achieving low-cost, high performance water vapor separation membranes will also require increased understanding of the relationships between structure, chemistry and performance.
We produced membranes of varying thickness, ranging from 5µm to 100µm, using commercially available and in house produced GO aqueous dispersions to study the effect of GO flake size. GO sources with nominal diameters ranging from 100nm to hundreds of mu;m were explored. Both filtration and casting methods were used to fabricate freestanding GO membranes. GO dispersions and corresponding membrane products were characterized by multiple measurement techniques including XRD, FT-IR, XPS, SEM, TEM, and Fluorescent Quenching Microscopy (FQM).
Membrane separation performance was evaluated through mixed gas permeability testing (with O2, N2, CO2 and H2O). Very high selectivity for H2O versus N2, O2 and CO2 was observed, correlating to selectivity above 104. The Hshy;2O vapor permeation rates varied among samples by two orders of magnitude, with peaks for certain GO membranes around 10-5 mol/m2/s/Pa. Significant variation between the different graphene sources and preparation methods was observed. In this report we present a systematic study of the effects of GO flake size, membrane thickness, level of oxidation, and test temperature on water vapor selectivity in gas mixtures for various GO membranes.
Ref: Nair, R. R., et al. Science (2012) 335: 442-444.
9:00 AM - T14.16
Ni3Se4/Graphene Nanocomposite Film for the Counter Electrodes of Dye-Sensitized Solar Cells
Wan-Yu Cheng 1 Jia-De Peng 1 Kuo-Chuan Ho 1
1National Taiwan University Taipei Taiwan
Show AbstractNi3Se4/graphene nanocomposite particles were synthesized by a novel route. By varying the precursor concentraton during preparation of Ni3Se4, three types of the particles were prepared. The ethanol-slurries of these particles were drop-coated on fluorine-doped tin oxide glass substrates to obtain counter electrodes (CEs) for dye-sensitized solar cells (DSSCs). The graphene sheets were intended for enhancing electron transfer in the composite film. X-ray diffraction (XRD) patterns, scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), and cyclic voltammetry (CV) were used to characterize the films. A DSSC with the composite CE of Ni3Se4/graphene thin film has exhibited a power conversion efficiency of 8.49%, which is higher than those of the DSSCs with bare NixSey (6.29%) and pristine graphene (2.61%), and even higher than that of the DSSC with a Pt CE (8.24%). The photovoltaic parameters of the cells were substantiated by electrochemical impedance spectroscopy (EIS), Tafel-polarization plots, and rotating disc electrode (RDE) measurements. The higher power conversion efficiency of the DSSC with the composite CE of Ni3Se4/graphene, compared to that of the DSSC with the Pt CE, suggests the potential application of Ni3Se4/graphene thin film in replacing the expensive Pt in a DSSC.
9:00 AM - T14.17
Scanning Tunneling Microscopy Studies on Water-Intercalated Graphene
Jin Heui Hwang 1 2 Hyunsoo Lee 1 2 Woondong Kim 3 Jeong Young Park 1 2
1Institute for Basic Science (IBS) Daejeon Korea (the Republic of)2Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)3Korea Research Institute of Standard and Science Daejeon Korea (the Republic of)
Show AbstractWe investigated the atomic structure of water-intercalated graphene using scanning tunneling microscopy. Since structural defects of graphene vary depending on the growth mechanism, intercalation and diffusion of water underneath a graphene layer are influenced by the atomic-scale defects present on the graphene. We utilized two types of graphene for water intercalation: chemical vapor deposition (CVD) graphene grown on a copper foil and epitaxial graphene on a silicon carbide substrate. In both cases, water molecules penetrated into the graphene layer and were encapsulated by the graphene sheets at high humidity conditions (90 % relative humidity at room temperature). We show the morphological changes of the graphene layer that are affected by water intercalation. The role of intercalated water on the atomic and electronic structure of graphene is also discussed.
9:00 AM - T14.19
Interlayer Screening in Bilayer Graphene: Twist and Layer Interaction Dependences
David John Perello 1 Young Hee Lee 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractWe report unexpected gate dependences of the conductance minimum and the appearance of a twist angle-dependent dual conductance minimum in fully-gated bilayer graphene (BLG). This oddity is explained by comparing electrical and supplemental Raman characterization with calculations based on a self-consistent Hartree method. As a result of the experiment and calculation, we establish critical factors influencing interlayer screening in AB compared with twisted BLG. Under applied displacement field, large flavor-polarized layer charge in semiconducting turbostratic AB and low-angle twisted BLG results in greater interlayer screening compared with semimetallic 20-30° samples. Disorder is shown to strongly influence twist angles with linear low energy band dispersion, increasing interlayer screening. This is evidenced by the experimental observation and related gate-dependences of a dual conductance minimum in electrical measurements. Finally, the derived linear screening parameters and are introduced, and provide a very simple method of characterizing interlayer physics in low-dimension Van der Waals systems. Depending on material, these results could be further modified to include disorder in a more quantitative manner, or by including other correction terms to increase precision over previous continuum approaches.
9:00 AM - T14.20
Novel Friction Properties of Water-Intercalated Graphene on Hydrophilic Substrates
Hyunsoo Lee 1 2 Jin Sik Choi 3 Miquel B. Salmeron 4 Jeong Young Park 1 2
1Center for Nanomaterials and Chemical Reactions, Institute for Basic Science (IBS) Daejeon Korea (the Republic of)2Graduate School of EEWS, Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)3Creative Research Center for Graphene Electronics, Electronics and Telecommunications Research Institute (ETRI) Daejeon Korea (the Republic of)4Materials Science Division, Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractThe nanotribological properties of graphene on hydrophilic substrates, including mica and SiO2, after exposure to moist air were investigated using friction force microscopy. The single- and multi-layer graphenes were formed by mechanical exfoliation of freshly cleaved muscovite mica and SiO2. The water intercalated between the graphene and the hydrophilic substrates appears to exhibit layer-by-layer growth, followed by formation of three-dimensional islands, which is consistent with the Stranski-Krastanov growth model. We found that the frictional behavior of hydrophobic graphene on hydrophilic mica and SiO2 is affected by water intercalation. The adsorption of the ice-like biwater adlayer led to friction enhancement, as compared with a pristine graphene/mica sample, which is presumably due to additional frictional energy dissipation at the solid-liquid interface. Moreover, friction on the graphene increased as the number of stacking water adlayers increased. Three-dimensional nanodroplets, formed by water intercalation between graphene and SiO2, were observed after several days of exposure to high relative humidity conditions (above 90 %) that also show a higher friction than that of other graphene areas. Additionally, we carried out the intercalation of deuterium oxide (D2O) between the graphene and the hydrophilic substrates. We will discuss the isotope effects on the friction properties of liquid-intercalated graphene. This study suggests that water-intercalated graphene can be an excellent model system for studying frictional energy dissipation at the solid-liquid interface.
9:00 AM - T14.21
Crack-and Fold-Free Transfer of CVD-Grown Graphene onto Arbitrary Substrates
Seong Kyu Lee 1 Hyun Ho Kim 1 Seung Goo Lee 1 Dong Hun Sin 1 Hyomin Ko 1 Kilwon Cho 1
1POSTECH Pohang Korea (the Republic of)
Show AbstractThe polymer-supported wet transfer of chemical vapor deposition (CVD)-grown graphene provides high quality and large-area graphene on target substrates; however, transfer-induced defects such as folds and cracks have been regarded as an inevitable problem. Here, we thoroughly observed transfer process stage by stage, and investigated that lamination wrinkles of polymer supporting layer/graphene film generated the graphene defects after removing the polymer supporting layer. Theoretical and experimental analysis demonstrated that the low surface tension of the organic liquid had an advantage to minimize lamination wrinkles during transfer process. In connection with these results, we developed a simple and broadly applicable transfer method using an organic liquid with a low surface tension for high and uniform quality of graphene on arbitrary substrates. The graphene obtained by our proposed transfer method displayed improved electrical and mechanical properties as compared with the graphene transferred by the conventional method using water.
9:00 AM - T14.22
First Principles Study of Phenol Adsorption on Graphene and Boron Nitride Sheets
Yuliana Avila Alvarado 1 Carlos Eduardo Rodriguez Garcia 1 Gregorio Hernandez Cocoletzi 2 Maria Teresa Romero 1
1Universidad Autonoma de Coahuila Saltillo Mexico2Benemeacute;rita Universidad Autoacute;noma de Puebla Puebla Mexico
Show AbstractFirst principles total energy calculations have been performed to study the structural and electronic properties of phenol adsorption on graphene and boron nitride sheets. Calculations have been made within the periodic density functional theory as implemented in the PWscf code of the QUANTUM ESPRESSO package. The exchange-correlation energies were treated with the generalized gradient approximation (GGA). Electron-ion interactions were modeled with pseudopotentials. The electron states were expanded in plane waves with an energy cutoff of 30 Ry. A supercell with 4x4 periodicity was used. In addition, the non-local correlation energies were accounted using the van der Waals density functional (vdW-DF) method. Phenol molecule was adsorbed on different sites in both materials layers. Two orientations such as parallel or perpendicular were employed. The most stable configuration for graphene was the parallel orientation of the adsorbed phenol molecule onto stacked site (hexagon&’s center of molecule over carbon atom). The most stable configuration for BN-phenol systems was the same orientation onto stacked site; in this case the molecule was placed upon N atom. Also the electronic band structure was calculated for the most stable configurations. The band structure indicates that the Dirac&’s point is not affected under the phenol molecule adsorption onto graphene. The BN system keeps the insulating property with an indirect band gap approximately 4.6 eV. These results are in good agreement with previous reported results.
9:00 AM - T14.23
Modeling Carbon Nanotube CVD Reactor for Reduced Distribution of Catalyst Diameter through Analysis of Growth Resonance Time
Howard Scott Horton 1 Christopher Dyke 1
1Nanoridge Materials Houston United States
Show AbstractIn chemical vapor deposition(CVD) synthesis of carbon nanotubes(CNTs), a feedstock composed of a catalyst and carbon precursors are injected into the reaction furnace. To synthesis and spin a carbon nanotube fiber a tight distribution of tube diameter is needed to produce a product with sufficient mechanical and electrical properties. To control the diameter and type of carbon nanotube, it is critical to understand the timing of the different chemical transformations of the feedstock solution. Heat transfer, mass transfer, gas flow and their influence on the feedstock are strongly dependent on reactor geometry. The resonance growth time of the iron catalyst within the furnace is simulated with a CFD model and compared to fibers produced under the same conditions. The multiphysics model is developed to characterize the influence of reactor inlet geometry, gas flow, and pre-heater temperatures on the catalyst resonance time. The products diameter and purity are determined as a function of the resonance time between the decomposition of ferrocene and evaporation of sulfur. The samples are analyzed by thermogravimetic analysis, Raman spectroscopy and electron microscopy to determine iron content, purity, and distribution of nanotube type and diameter. Tight control over the resonance time enables continuous growth of small diameter double walled carbon nanotubes.
9:00 AM - T14.24
Residue-Free Transfer of Wafer-Scale Graphene Using Aromatic Hydrocarbons
Hyun Ho Kim 1 Boseok Kang 1 Hyo Chan Lee 1 Kilwon Cho 1
1POSTECH Pohang Korea (the Republic of)
Show AbstractFor high-performance graphene-based electronics, obtaining large-area crack-free clean graphene remains the challenge issue. To solve this, not only transfer-induced cracks as well as growth-related morphological defects have to be minimized but also residues of supporting layer such as PMMA on graphene surface should be eliminated. Herein, we developed a novel method for transferring graphene onto a target substrate. Pentacene (C22H14), a polycyclic aromatic hydrocarbon, was used as supporting layer for residue-free graphene transfer. After successful transfer of graphene to a target substrate, the pentacene layer was exfoliated and removed from the graphene surface in common organic solvent. The use of pentacene enabled graphene transfer without forming a residue from the supporting layer. As a result, this clean graphene exhibited extremely homogeneous surface potential profiles over large-area. A field-effect transistor fabricated using this graphene displayed a high carrier mobility with a nearly zero Dirac voltage.
9:00 AM - T14.25
Enhancement of the Gas Sensing Performance of Carbon Nanotube Networked Films Based on Their Electrophoretic Functionalization with Gold Nanoparticles
Elena Dilonardo 1 Michele Penza 2 Marco Alvisi 2 Cinzia Di Franco 3 Domenico Suriano 2 Riccardo Rossi 2 Francesco Palmisano 4 Luisa Torsi 1 Nicola Cioffi 4
1Universitagrave; degli studi di Bari Aldo Moro Bari Italy2ENEA, Italian National Agency for New Technologies, Energy and Sustainable Economic Development Brindisi Italy3CNR IFN Bari Italy4Universitagrave; degli studi di Bari Aldo Moro Bari Italy
Show AbstractThe development of carbon nanotube-(CNT) based gas sensors has attracted a great interest in the last years because of their potential for the selective and rapid detection of various gaseous species by novel nanostructures integrated in small and low-power consuming electronics. The electrical properties of CNTs are extremely sensitive to charge transfer and chemical doping effects by various molecules, so that this behavior forms the basis for applications of CNTs as electrical chemical gas sensors.[1] However, gas sensors based on pristine CNTs have certain limitations, such as low sensitivity, lack of selectivity, or irreversibility or long recovery time. To overcome these limitations, several methods are currently proposed to functionalize CNTs with different materials to alter their chemical nature and enhance their sensing performance.[2]
In this contribution, a controlled amount of Au-surfactant core-shell colloidal nanoparticles (NPs), electrochemically synthesized (with controlled diameter of 12 nm) were directly deposited electrophoretically on carbon nanotube networked based sensor device. The Au NPs/CNTs hybrid material was morphologically and chemically characterized using transmission and scanning electron microscopies (TEM, SEM) and X-ray photoelectron spectroscopy (XPS) analyses, which revealed the successful functionalization of CNTs with elemental gold nanophases. Au NP/CNT networked films were tested as active layers in a two-pole resistive gas sensor for detection of pollutant gases, exhibiting a p-type response with a decrease in the electrical resistance upon exposure to oxidizing gas and an increase in resistance upon exposure to reducing gas.
Au-modified CNT-chemiresistor demonstrated high sensitivity by providing sub-ppm level detection of NO2, at the sensor temperature of 150 °C, showing an higher sensitivity compared to unmodified CNT sensors. Moreover, Au-modified CNTs showed a very good short-term repeatability and faster recovery. Cross-sensitivity of Au NPs/CNT sensors towards other common pollutant gases was also investigated. Specifically, the sensitivity to NO2 sensitivity of the Au/CNTs was found to decrease with increasing Au-loading on their surface; while, on the contrary, Au loadings increased the device sensitivity to the interfering gas H2S. The impact of the Au loading on gas sensing performance was investigated as a function of the working temperature, gas concentration and interfering gases. Finally, the sensing properties of Au-decorated CNT sensor are promising in environmental and automotive gas sensing applications, based on low power consumption and moderate sensor temperature.
[1]Carbon Nanotube Gas Sensors, in: Gas Sensing Fundamentals, edited by: Kohl, C.-D. and Wagner, T., 15, 109-114, 2014.
[2]Syntheses and Applications of Carbon Nanotubes and Their Composites" edited by S. Suzuki , ISBN 978-953-51-1125-2, 2013.
9:00 AM - T14.26
Enhanced Hydrogen Absorption by Size Selected Palladium-Graphene Core Shell Nanoparticles
Vinod Singh 1 B. R. Mehta 1
1Indian Institute of Technology Delhi, New Delhi, India New Delhi India
Show AbstractIn this study, hydrogen absorption behaviour of palladium and palladium graphene core shell nanoparticles has been studied.An integrated gas phase synthesis method comprising of spark generator, neutralizer, differential mobility analyzer (DMA), sintering furnace and electrostatic precipitator (ESP) is used for synthesis of the nanoparticles. This method has been widely used for growing metal and metal alloy nanoparticles. Size selected palladium graphene core-shell nanoparticles have been synthesized using a novel approach in which methane as a precursor of carbon is used and introduced after generation of palladium primary nanoparticles in spark generator. The nature and thickness of the carbon shell can be controlled by varying the deposition conditions. Palladium graphene core shell nanoparticles of two different graphene shell thicknesses have been synthesized by using 0.5 % and 1 % of methane concentration in nitrogen carrier gas .The as-synthesized samples are exposed to hydrogen gas at room temperature and analyzed by Elastic Recoil Detection Analysis (ERDA) technique using 120 MeV Ag+9 ions irradiation. It has been observed that more hydrogen is absorbed in palladium graphene core shell nanoparticles than palladium core shell nanoparticles indicating that presence of graphene shell enhances the hydogen absorption.
9:00 AM - T14.27
Confined Patterning of Carbon Nanotubes on DNA Crystals
Wei Sun 1 Zhao Zhao 1 Jie Shen 1 Peng Yin 1
1Harvard University Boston United States
Show AbstractOne-dimensional nanomaterials, such as carbon nanotubes, conductive polymers, and nanowires, are promising candidates in next-generation electronics because of their quantum-confined transportations and nanoscaled dimensions. In particular, parallel-aligned carbon nanotubes with prescribed architectures are important for field-effect transistors, field-emission displays, and non-volatile memory.
Assembling such parallel architectures requires precise control over nanotube spacing and orientation. Compared with the widely used physical depositions, including Langmuir-Blodgett film and spin-coating, directed self-assembly via specific chemical recognitions, such as DNA hybridization and biotin-streptavidin interactions, exhibit nanoscaled precision in tuning CNT spacings. Controlling the surface distribution of such chemical recognition groups further enables rational engineering of CNT orientations. Following this strategy, 2D DNA origami nanostructures have recently been used to template carbon nanotubes into prescribed parallel and cross conformations, by taking advantage of their unique single-strand addressability down to 3 nm and digital shape programmability. However, the unconfined rotation of CNT on the flat surface produces wide angle-distribution and hence limits the multi-tube alignment yield at hundred-nanometer scale.
To address this challenge, we here report using confinement to assist chemical recognition for scalable CNT alignment. We introduce nano-grooves, together with specific chemical recognition, to confine CNT patterning on the DNA crystal template. This method ensures CNTs, captured by the binding sites, can only adopt the prescribed parallel orientations. Using this method, we achieve alignment of multiple CNTs over an area of 500 nm x 200 nm, through using 3D DNA crystals as structural templates. We also investigated several key parameters to affect the alignment effectivness. Current confinement-based design might be further applied to diverse one-dimensional nanomaterials towards the rational bottom-up construction for complex architectures.
9:00 AM - T14.29
Controlled Synthesis of Few Layer Graphene Film for Gas Sensor Applications
S. Chaudhari 1 A.R. Graves 1 Charter Stinespring 1
1West Virginia University Morgantown United States
Show AbstractA novel approach for synthesis of few layer graphene films in SiC has been developed which uses halogen based plasma etching and rapid thermal annealing (RTA) in argon. These films have been characterized using x-ray photoelectron spectroscopy (XPS), Raman microscopy, and atomic force microscopy (AFM). Surface characterization by XPS reveals the presence of epoxide, hydroxyl, and carbonyl groups similar to, but at a much lower levels that that observed for graphene oxide (GO). As in the case for GO, the defect density could be further reduced by chemical methods, which improved carbon to oxygen ratio. Raman spectroscopy showed the presence of G and 2D peaks at 1583 cm-1 and 2691 cm-1, respectively, consistent with similar graphene films formed by thermal annealing of SiC. Electrical characterization of these graphene films using two and four point probe methods showed semiconducting behavior. Electrical resistivity was found to have an inverse relationship with number of graphene layers for one, two, and three layer films. The carrier density and Schottky barrier height were found to be comparable to those of graphene prepared by other synthesis techniques.
Gas sensor structures were fabricated using lithography free methods. To achieve selectivity with respect to different gases, nanoparticles were attached using solution based methods. The coverage and size of nanoparticles were controlled by varying solution concentration. The resulting surfaces were analyzed by XPS and AFM. Preliminary gas measurements were performed using single gases like H2 and CO. These revealed the sensor response was due to a combination of thermal and chemical effects. Overall, the graphene and graphene-nanoparticle composites were found to have rapid response and selective behavior, two of the major requirements for gas sensors.
9:00 AM - T14.30
Large Volume Production of Graphene by Green, Mild and Recycling Technique and its Polymeric Composites
Burcu Saner Okan 2 Jamal Seyyed Monfared Zanjani 2 Yusuf Ziya Menceloglu 1
1Sabanc Univ Istanbul Turkey2Sabanci University Istanbul Turkey
Show AbstractIn last decades, there are numerous works about graphene synthesis and its applications in laboratory scale but mass production of graphene has still doubts and obstacles. In the present work, graphene nanosheets are produced in pilot scale by improved, safer and mild chemical route. This proposed technique provides to reduce the average number of graphene layers steadily from raw graphite to graphene nanosheets by stepwise chemical procedure. Additionally, graphene obtained from recycled carbon source such as waste tire, organic and inorganic waste is a scalable, cost effective and environmentally friendly. After the production of high quality and high quantity graphene, graphene based composites are produced by using different thermoset and thermoplastic polymers by melt-compounding process. With this fast and cost effective process, graphene sheets with controlled C/O ratio and structural properties are distributed homogeneously in polymer matrix.The mechanical and thermal properties of the materials were improved and significant weight reduction are provided by the addition of graphene in matrix material in low loadings changing from 0,05 to 5%. Several graphene samples are synthesized from graphite flake and recycled carbon are used as reinforcing agent in epoxy matrix. Flexural, tensile and fatigue tests are performed to evaluate the effective properties of composites by universal test machine, fatigue testing machine and dynamic mechanical analysis. 30% improvement in flexural modulus is achieved by the addition of graphene obtained from recycled carbon by 0,5 % reinforcement. As a result, more reliable and long lasting composite production is possible by using graphene in polymer composites.
9:00 AM - T14.31
Scalable Assembly of Densely Aligned Single-Walled Carbon Nanotubes Using Solution Shearing and Their Application to Field-Effect Transistors
Steve Jeung Hoon Park 1 Gregory Pitner 2 Gaurav Giri 3 Ja Hoon Koo 3 Joonsuk Park 1 Kwanpyo Kim 3 Huiliang Wang 1 Robert Sinclair 1 H. -S. Philip Wong 2 Zhenan Bao 3
1Stanford University Stanford United States2Stanford University Stanford United States3Stanford University Stanford United States
Show AbstractSingle-walled carbon nanotubes (SWCNTs) have exceptional electronic properties such as high mobility and semiconducting ultra-thin bodies, rendering them a promising active channel material for various future electronic applications. To fully reap the benefits of using SWCNTs, dense alignment of purely semiconducting SWCNTs over a large area is required so that optimal drive current and sufficient on/off current ratio with uniform device-to-device performance can be achieved, a task that remains a significant challenge. Here we present a novel technique known as solution shearing, where SWCNT solution is sandwiched between a substrate and a shearing blade that moves across the substrate, depositing SWCNTs on the substrate in a well-controlled manner. This technique yields densely aligned purely semiconducting SWCNTs in a facile and rapid manner (0.1 mm/s) with uniform device properties over a 4 inch wafer. In comparison with transistors fabricated on random-network SWCNTs, this work has improved the on-current density and mobility by a factor of 45 and 20, respectively. We have achieved SWCNT density on the order of 150-200 SWCNT/micron (which is amongst the highest density achieved) with average current density of 10.08 mu;A/mu;m and on/off current ratio of 1.57 x 10(3) at a drain voltage (VDS) of -1 V. This technique can hence be utilized to drastically improve the performance of SWCNT transistors, paving the way for practical applications of SWCNT-based electronics in the near future.
9:00 AM - T14.32
Exfoliated Graphene Oxide and its Nanocomposite for High Performance Supercapacitor Electrodes
Sangram Pradhan 1 Aswini Pradhan 1
1Norfolk State University Norfolk United States
Show AbstractGraphene and graphene oxide have attracted much attention from researchers due to its interesting optical, electronic, mechanical, and electrochemical properties. It has many potential applications such as polymer filler, FET, supercapacitor, life science investigations, sensor, energy conversion, and energy storage devices. Exfoliated graphene oxide (EGO) for supercapacitor electrodes have been synthesized by simple chemical technique from the exfoliated graphite as precursor. Structural, and morphological characterization showed very good quality of GO with large distinct surface area. Electrochemical performance of these electrodes has been investigated using cycle voltammetry and galvanostatic charge discharge technique. The fabricated supercapacitor based on different electrode gave a very good specific supercapacitance as well as energy density as compared to other electrode. The single and multilayered graphene oxide electrode produced by this method have a lower degree of agglomeration and can be used for commercial potential for high performance, environmentally friendly and low cost electrical energy storage device.
9:00 AM - T14.33
Mechanical and Thermal Properties Reinforcement of Crosslinked Polyethylene by Nanodiamonds and Multi-Walled Carbon Nanotubes
Eleftheria Roumeli 1 Apostolos Themistoklis Avgeropoulos 2 Eleni Pavlidou 1 Konstantinos Chrissafis 1 Dimitrios Bikiaris 1
1Aristotle University of Thessaloniki Thessaloniki Greece2Univ of Ioannina Ioannina Greece
Show AbstractNano-reinforcement of conventional materials is one of the most promising and therefore, currently explored concepts of academic and industrial research. The controlled improvement of various properties of a hosting matrix, like electrical or thermal conductivity, mechanical properties and functionality can be achieved by carefully selecting the appropriate nanofiller and designing a suitable processing route. Applications of nano-reinforced materials can now be found in the global market of automobile, aerospace and biomedical industries. However, the theoretically expected properties reinforcement has yet to be experimentally achieved. This is mainly related to technical mixing and dispersion problems as well as lack of fundamental understanding of the actual ways that nanofillers influence the properties of the hosting matrix.
Noteworthy structure-property relationships investigations have shown that many different factors ought to be considered when studying the nano-reinforcement of polymers. In this work we attempt a comprehensive investigation of the ways multi-walled carbon nanotubes and nanodiamonds affect both physical and chemical properties of a crosslinked polyethylene matrix, in order to understand and be able to predict the nanocomposites behavior. A unified premise, based on the existence of two competitive filler-related mechanisms along with structural-related factors, is proposed to be governing the polymer reinforcement.
For the purpose of this investigation, purified multi-walled carbon nanotubes (MWCNTs), nanodiamond powder (NDs) and high density silane-crosslinked polyethylene were employed. Polyethylene nanocomposites with various amounts of MWCNTs, NDs and mixtures of these nanofillers, were prepared in a melt rheo-mixer. The nanocomposites were studied with a variety of techniques including X-ray diffraction, differential scanning calorimetry, laser-flash analysis, scanning electron microscopy, thermogravimetry and tensile testing.
Based on our experimental results, the nanofillers were found to naturally tend to enhance the physical properties of the matrix when their dispersion, adhesion and interactions with the matrix are sufficient. When filler concentration exceeded a certain threshold, the dispersion became poorer and the formed aggregates had lower adhesion and weaker interactions with the matrix, resulting in an overall reduction of the observed reinforcement. Therefore, the balance between these factors can serve as an indicator of the final reinforcement. Simultaneously, structural parameters of the matrix, such as the degree of crystallinity and crystal size, were also found to have a significant role in the final properties of the nanocomposites. It was found that the crystal size and crystallinity variations can be directly correlated with the nanocomposites&’ stiffness. Thus, in a unified approach, all the mentioned factors have to be taken into account.
9:00 AM - T14.34
Solution Deposition of Metal Phthalocynines as a Seeding Layer for High-k Oxide on Graphene
Iljo Kwak 2 3 Jun Hong Park 2 3 Hao Lu 1 Susan Fullerton 1 Andrew C. Kummel 3
1Univ of Notre Dame Notre Dame United States2University of California San Diego San Diego United States3University of California San Diego San Diego United States
Show AbstractFor graphene based devices such as Bilayer PseudoSpin Field-Effect Transistors(BiSFETs), insulators with a sub 1 nm thickness, and a low k dielectric constant are preferable. In addition, the insulators should not perturb the electronic structure of the 2D semiconductor and must be uniformly deposited on the 2D semiconductor. It is shown that metal phthalocyanines (MPc) can be deposited by MBE on Highly Ordered Pyrolytic Graphite (HOPG) to form perfectly ordered monolayers with about 1.7 nm spacing. These materials can be used as sub 1nm insulators directly in a BISFET or a MPc monolayer can be used to nucleate ALD of oxides having wider band gaps without perturbing the substrate electronic structure due to absence of covalent bonding by MPc to the substrate. Although MBE deposition of MPcs allows very precise control of layer thickness for a crystalline multilayer dielectric, for ALD, just a simple monolayer is sufficient. For the nucleation of ALD on 2 D semiconductor, a solution phase deposition method was developed for monolayer deposition of MPCs. In this study, two MPcs were investigated: titanyl phthalocyanine (TiOPc) and cobalt crown ether phthalocyanine (CoCrPc).
For making a TiOPc monolayer, 5uM of TiOPc in toluene was prepared. A droplet of the solution was deposited onto a freshly cleaved HOPG substrate. After the solvent was completely evaporated, the sample was annealed at 250C for 6 min in vacuum in order to thin the multilayer. Surface of the sample was analyzed with ambient Atomic Force Microscope(AFM) and UHV Scanning Tunneling Microscope (STM). The deposited TiOPc layer has two different crystal structure; 4 fold symmetry, the other show 6 fold symmetry(hexagonal structure). The 4-fold symmetry is identical to the symmetry for MBE deposited TiOPc/HOPG showing that solution deposition a vaccum anneal can mimic UHV MBE deposition for functionalizing inert 2D semiconductors.
It was previously shown by Yoshimoto et al. that monolayer of CoCrPc could be deposited on Au (111) from solution, but the bonding strength between CoCrPc and graphene is weaker which should hinder deposition of a flat monolayer. In this study, solution deposition of CoCrPc on graphene was investigated with only air annealing in contrast to the TiOPc process. A 0.3 uM solution CoCRPc in a benzene-ethanol (9:1 v/v) was employed. Two Drops of CoCrPc solution were casted on freshly cleaved HOPG at 70C to provide more mobility on the surface. A long anneal was implemented to disperse the agglomerates. . To determine the thickness (i.e. monolayer, bilayer, or multilayer) , scanning tunneling spectroscopy(STS) was employed to measure the band gap. While the monolayer has a band gap of 1.7 V, the bilayer has a band gap of 2.0 V, and the multilayer has a band gap of 2.5 V. This resulted in deposition of a nearly uniform monolayer This method can be used as a convenient method to determine the layer thickness.
9:00 AM - T14.35
Nitrogen-Doped Carbon Nanotubes as Electrocatalyst Support
Zaira Itzel Bedolla-Valdez 1 David Dominguez-Vargas 1 Hugo Tiznado-Vazquez 1 Oscar Contreras-Lopez 1 Gabriel Alonso-Nunez 1 Jose Manuel Romo -Herrera 1
1Universidad Nacional Autoacute;noma de Meacute;xico Baja California Mexico
Show AbstractCarbon nanotubes (CNT) have been extensively studied as catalytic support in fuel cells applications due to their electronic conductivity and high stability [1]. The interaction between the catalyst and support is one of the most critical parameters for fuel cell performance. To achieve a good interaction between the active nanoparticles and support, the inert surface of carbon nanotubes requires a surface functionalization. Doping CNT with heteroatom such as nitrogen results in an enhancement of conductivity due to the contribution of the additional electron density donated by the nitrogen atoms [1#8209;3].
In this research, nitrogen#8209;doped CNT (N-CNT) were synthesized by CVD spray pyrolysis technique. The incorporation of nitrogen atoms was performed in situ during the synthesis procedure. A nitrogen containing organic precursor was introduced into a tubular high temperature reactor. In order to optimize the synthesis conditions, the synthesis temperature was changed between 875#8209;925 °C.
The obtained samples were characterized by SEM, TEM, TGA, XRD and Raman spectroscopy. The N#8209;CNT with the best properties were obtained at 900 °C. TEM images revealed a bamboo#8209;like structure, characteristic of nitrogen-doped CNT. By means of XPS characterization the nitrogen content was determined about 1.8%. Pt nanoparticles were supported on N#8209;CNT and on conventional CNT. The electrochemical study was performed by cyclic voltammetry in a three#8209;electrode half#8209;cell at room temperature. The Pt/N-CNT exhibited better electrochemical properties than Pt/CNT.
The authors acknowledge to CONACyT 174689 and PAPIIT IN109612 projects for financial support. For techical assistance to Israel Gradilla, Francisco Ruíz.
[1] C. H. Hsu, H.M. Wu, P.L. Kuo, Chem. Commun., 46(40); 2010: 7628-7630.
[2] C. H. Hsu, P. L. Kuo, J. Power Sources, 198; 2012: 83#8209;89.
[3] B. G. Sumpter, V. Meunier, J.M. Romo-Herrera, E. Cruz-Silva, D.A. Cullen, H. Terrones, D.J. Smith and M. Terrones. ACS Nano. 1; 2007: 369.
9:00 AM - T14.36
Effect of Chemical and Structural Defects on Field Emission from Carbon Nanotube
Timothy Paul Fleming 1 Renee M Van Ginhoven 1
1Air Force Research Lab Kirtland AFB United States
Show AbstractField emission current from single walled carbon nanotubes (SWCNTs) with selected wall defects, including structural disorder and chemical impurities is simulated via the OCTOPUS code implementation of time-dependent density functional theory (TD-DFT), a quantum many electron algorithm capable of solving problems with hundreds to thousands of electrons in the presence of time varying external potentials on massively parallel computer architecture. Simulations were performed in a finite computational domain such that imaginary absorbing potentials were necessary to allow charge migration out of volume boundaries and allow long time evolution. A real space grid of resolution 0.2 Å was found to sufficiently converge all systems considered in this work. One and two vacancy defects were introduced on the lattice of a 24 Å SWCNT with (4,5) chirality. Simulations with open ended as well as sliced endcaps were performed. Later simulations replaced vacancies with Ag, Al, Cu, and H. Additionally adsorbates, H, Ag were then physisorbed at the defect sites. Results indicate that defect replacement species resulted in a moderate field emission increase with a significant current increase present with adsorbates at the defect sites. Additionally, endcap structure also yielded non negligible current differences. Such results demonstrate the utility of CNT based cathodes for improving output power and efficiency in future high power microwave devices.
9:00 AM - T14.37
Peeling of Chemical Vapour Deposition Graphene Grown on Platinum and Copper Foils Using Alkaline Solutions for Reuseable Substrates
Shumaila Karamat 1 2 Selda Sonusen 3 Umit Celik 4 Yigit Uysalli 1 Ahmet Oral 1
1Middle East Technical University Ankara Turkey Ankara Turkey2COMSATS Institute of Information Technology Islamabad Pakistan3Sabanci of Engineering and Natural Sciences, Sabanc#305; University Istanbul Turkey4NanoMagnetics Instruments Ltd. Ankara Turkey
Show AbstractGraphene, the wonder material, has gained worldwide scientific attention since its experimental existence in 2004. Its sp-2 bonded carbon atoms are arranged in a two dimensional honeycomb lattice which exhibits high intrinsic carrier mobility, transparency, and tensile strength. Chemical vapour deposition (CVD) is the most opted technique because of its potential for large area graphene growth. During CVD growth at high temperatures, the surface of the metal catalyst becomes rough due to surface reconstruction and graphene follow the underlying surface. It is advisable to utilize a delicate method for graphene transferring from the metallic catalyst to the appropriate substrate. The etchants like Iron Chloride and Iron Nitrate solutions were mostly used for etching metal catalyst which also leave metal residues and affect the graphene quality. Moreover, metal catalysts can be re-used several times for graphene growth and etching is not required for the graphene transferring procedure. In this work, we will present graphene growth on Pt and Cu foil using CVD method and introduced different alkaline salts suitable for peeling off graphene from metallic substrates using electrolysis process. Sodium hydroxide (NaOH), Potassium hydroxide (KOH), Lithium hydroxide (LiOH) and Barium hydroxide Ba(OH)2 alkaline solutions were electrolyzed. Then, hydrogen bubbles attached to the metal (Cu, Pt) cathode (graphene/Pt/PMMA stack) and as a result of that graphene layer peeled off from the substrate without any damage. It is observed that KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2. Furthermore, different characterizations techniques like optical microscopy, Raman spectroscopy, scanning electron microscopy (SEM) and atomic force microscopy (AFM) were performed to analyze transferred graphene. A detailed analysis has been made for the single layer and multilayer graphene domains.
9:00 AM - T14.38
Field Emission Current Characteristics of Multi-Wall and Multi-Tube Arrays of Carbon Nanotubes
Renee Van Ginhoven 1 Timothy Paul Fleming 2
1Air Force Research Laboratory Albuquerque United States2Air Force Research Lab Kirtland AFB United States
Show AbstractThere is significant interest in the use carbon nanotube (CNT) based cathodes for improving output power and efficiency in high power microwave devices. In the current work, we explore the effects of tube-tube interactions on the characteristics of field emission using density functional theory (DFT). We obtain predictions of work functions and field emission current of multi-walled tubes and structures consisting of multiple tubes in selected relative orientations. We employ DFT+D2 to correctly describe the graphitic dispersion surface interaction and thus achieve correctly optimized inter-layer and inter-tube configurations. We simulate field emission current from multiple CNT arrangements via the OCTOPUS code implementation of time-dependent DFT (TD-DFT). TD-DFT simulations were performed in a finite computational domain with appropriately shaped absorbing boundary potentials to allow charge migration out of volume boundaries and allow long time evolution. Configurations studied include mixed and homogeneous chiralities, and chemically bridged arrays.
9:00 AM - T14.39
A Novel Nano-Graphite Oxide Based Non Enzymatic Cholesterol Sensor
Vasuda Bhatia 1 Bhawana Singh 1 Vinod Kumar Jain 1
1Amity University Noida India
Show AbstractA non enzymatic cholesterol sensor using nano-graphite oxide has been fabricated. Nano-graphite oxide patelets have been synthesized using Hummers process. The electrodes of nano-graphite oxide have been fabricated by self-assembly using thermal embedding technique onto a felxible substare of parafilm. 5 mg of nano-graphite oxide have been dispersed in 10ml of ethanol and sonicated for several hours to provide a uniform suspension. The dispersed solution has been cast on cleaned glass surface and allowed to dry under ambient conditions for several hours. The cast nano-graphite oxide then self-assembled on to the surface of non-conducting parafilm by thermal embedding technique by heating at oven temperatures from 80-850C for a few minutes. A desired thickness of about 100 mm of the cast film has been achieved. Using nanographite oxide as a working electrode, Ag/Agcl as a reference and platinum as a counter electrode, a linear range of 50 mg/dl to 500 mg/dl for the detection of cholesterol with a correlation coef#64257;cient of 0.99784 has been achieved. This biosensor provided good reproducibility, stability and low interferences detection. In general, the developed nano-graphite oxide based sensor provides a low cost non-enzymatic method to detect cholesterol.
9:00 AM - T14.40
Mechanical Properties of Irradiated Graphene Studied with Molecular Dynamics Simulations
Jesus Martinez-Asencio 1 Carlos Ruestes 2 Eduardo Bringa 2 Maria J Caturla 1
1Universidad de Alicante Alicante Spain2Universidad Nacional de Cuyo Mendoza Argentina
Show Abstract
Molecular dynamics simulations with empirical potentials have been used to study the mechanical properties of graphene after irradiation with carbon and hydrogen ions. The continuous irradiation of a graphene layer is performed and the defects produced by the irradiation are analyzed in detail. Simulations show the formation of very stable structures such as dimers, single and double atomic chains. These structures have been observed experimentally.
The changes in mechanical properties of this material as a function of irradiation dose or defect concentration is also studied. In particular, nanoindentation simulations are performed using the irradiated structures obtained for different doses.
9:00 AM - T14.41
Electro-exfoliation of Graphene with a STM Tip: Experiments and Molecular Dynamics Simulations
Jesus Martinez-Asencio 1 Maria J Caturla 1 Carmen Rubio 1 Carlos Untiedt 1 Juan Jose Palacios 2
1Universidad de Alicante Alicante Spain2Universidad Autoacute;noma de Madrid Madrid Spain
Show Abstract
We present results of the modification of graphite using a scanning tunneling microscope (STM). Firstly, a voltage ramp is applied at the edge of a terrace using the STM tip. Then an image of the surface is taken by scanning the tip showing the formation of triangular structures with well defined edges.
In this work we focus on the description of the process occurring during the exfoliation with the help of molecular dynamics simulations. We have performed calculations on graphite terraces ending on zig-zag and armchair edges. A force is applied to an area close to the edge to simulate the effect of the STM tip. Simulations show the lifting and breaking of the graphene layer and the formation of triangular structures in agreement with the experimental observations. We study in detail the preferential directions for breaking of the graphene layer as well as the direction for bending. These studies provide a new way of modifying graphite in a controlled manner.
9:00 AM - T14.42
Dopants as Morphology Promoters: A Fundamental Study of the Role of Boron and Sulfur in the Formation of MWCNT Junctions
Ana Laura Laura Elias 1 Lakshmy Pulickal Rajukumar 1 Amber McCreary 1 Nestor Perea Lopez 1 Arava Leela Mohana Reddy 2 Martha Audiffred 3 David Swanson 1 Humberto R. Gutierrez 5 Robert Vajtai 4 Vincent Meunier 6 Bobby Sumpter 7 Pulickel M Ajayan 4 Humberto Terrones 6 Mauricio Terrones 1
1The Pennsylvania State University University Park United States2Wayne State University Detroit United States3Jacobs University Bremen Bremen Germany4Rice University Houston United States5University of Louisville Louisville United States6Rensselaer Polytechnic Institute Troy United States7Oak Ridge National Laboratory Oak Ridge United States
Show AbstractMuch has been said about the individual roles of sulfur [1] and boron [2] in the synthesis of carbon fibers and nanotubes (CNTs). We have studied the role of both boron and sulfur together throughout the synthesis of CNTs, via an aerosol assisted chemical vapor deposition (CVD) process. During our experiments, a solution containing Toluene (C6H5CH3) and Ferrocene (C10H10Fe) together with small amounts of B and S precursors was pyrolyzed in an ultrasonic generator. The mist was carried by an Argon flow through the quartz reaction tube inside a furnace at temperatures ranging between 800-900 °C. The resulting material consists of CNT-based hierarchical structures. The incorporation of both boron and sulfur in the precursor solution induces CNT junctions, causing the micron-size carbon fibers to be decorated with radially grown CNTs that resemble “nanotentacles.” The materials were characterized using SEM, HRTEM, EELS, TGA, XRD, XPS, and Raman spectroscopy. Finally, because of their high surface area, these synthesized structures have been tested for their possible application in supercapacitors and Li-ion batteries.
[1] J. M. Romo-Herrera, et. al., Adv. Funct. Mater. 19 (2009) 1193-1199
[2] D. P. Hashim, et. al., Sci. Report 2 (2012) 363
9:00 AM - T14.43
Barrier Inhomogeneities at Monolayer Graphene Based Schottky Junctions
Dushyant Tomer 1 Shivani Rajput 1 Lawrence Hudy 1 Lian Li 1
1University of Wisconsin Milwaukee Milwaukee United States
Show AbstractGraphene interfaced with a semiconductor forms a Schottky junction with rectifying properties. In this study, graphene Schottky junctions are fabricated by transferring the chemical vapor deposition grown monolayer graphene on n-type Si and GaAs substrates. The forward bias current-voltage (I-V) characteristics of these Schottky junctions are measured in the temperature range of 215-350 K. An increase in the zero bias barrier height (#981;b0) and decrease in the ideality factor (eta;) are observed with increasing temperature. Such behavior may be attributed to Schottky barrier inhomogeneities at junction interfaces possibly due to interfacial surface states of the semiconductor as suggested by scanning tunneling microscopy/spectroscopy. Assuming a Gaussian distribution of the barrier heights, mean BHs of 1.14±0.14 eV and 0.76±0.09 eV are found for Gr/Si and Gr/GaAs junctions, respectively. Our findings provide a significant insight on the barrier height inhomogeneities in graphene based Schottky junctions, which are important for the integration of graphene into current Si and GaAs technologies.
This research work was supported by the U.S. Department of Energy, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering under Award No. DEFG02-07ER46228.
9:00 AM - T14.44
Corrugated and Porous Graphene for Use in Supercapacitors
Gonzalo Abellan 1 2 David Rodriguez 3 Jorge Romero 2 Antonio Ribera 2 Ruben Mas 3 Toribio F. Otero 4 Felix Zamora 3 Eugenio Coronado 2
1University Erlangen-Nuuml;rnberg Erlangen Germany2University of Valencia Paterna Spain3Universidad Autoacute;noma de Madrid Madrid Spain4Universidad Politeacute;cnica de Cartagena Cartagena Spain
Show AbstractThe supercapacitors have drawn great attention in the industry as energy storage devices because of their high performance, in terms of reversibility, life cycles and high energy and power densities. The proper selection of electrodes is critical in this type of device, and the selected material must have high specific surface area, accurate pore size distributions, thermal stability and stable electrochemical behaviour. In this context, graphene, and especially the highly corrugated porous graphene is an exceptional candidate. Currently this type of graphene is obtained, for example, by procedures using MgO templates, graphene oxide cooling with liquid nitrogen, or a combined method of ion exchange and activation with NaOH. These processes are considered expensive and complex and, therefore, there is a growing interest in having scalable, cost-effective and simple synthetic methods for their industrial implementation.
Herein, we have patented a new method for synthesizing highly corrugated porous graphene with excellent supercapacitive properties. The method consists of calcination at moderate temperatures (less than 1000°C) of 2D COF materials ("Covalent Organic Frameworks") with adsorbed metals.
The effectiveness of the obtained graphene supercapacitive electrode, which exceeds the performance of any related carbonaceous material, is caused by its high surface area and uniform pore size distribution. The structure, morphology and configuration of the precursor (COF type) promotes the generation of hierarchical porosity in the final material not needing to use MgO templates or ion exchange resins and NaOH. This method allows obtaining porous graphene in one pot, without any problematic reduction step as in the case of the procedure using graphene oxide as precursor. The developed method also allows the incorporation of heteroatoms, such as nitrogen, in the graphene structure.
9:00 AM - T14.45
Electronic and Transport Properties of Graphene Nanomeshes
Watheq Zako Elias 1 Clarence Matthai 2 Martin Elliott 2
1FSCH, Koya University Koya Iraq2Cardiff University Cardiff United Kingdom
Show AbstractThe absence of a band gap in pristine graphene does not allow it to be incorporated directly into the fabrication of electronic devices. Therefore, opening and tuning a small band gap in graphene is a fundamental step towards exploiting its remarkable properties in future applications. Graphene nanomeshes (GNMs) is one of the various approaches that have been proposed to accomplish this goal. Self-consistent extended Huckel theory has been employed to investigate the effect of a number of factors that affect the electronic and transport properties of GNMs. This includes the shape and size of the hole, lattice geometry, and the periodicity of the holes along x- and y-axis. It has been found that, depending on the latter factors, some of the investigated GNMs exhibit a band gap while others preserve the nature of the semi-metalicity of pristine graphene.
9:00 AM - T14.46
Defect Localized Incorporation of N Atoms into Graphene Films by Plasma Afterglow Treatment: Combined Experimental and Theoretical Investigation
Leron Vandsburger 1 Luc Stafford 1
1Universiteacute; de Montreacute;al Montreal Canada
Show AbstractNative graphene is ideally suited as a 2D molecular conductor, but it can also function as a semiconductor or a magnetic metamaterial through molecular modification. Doping of graphene by nitrogen atoms has been addressed in literature as a means either of increasing electron density in graphene films or of inducing a band gap. Nitrogen doping is generally realized during growth by manipulation of growth conditions or introduction of nitrogen containing gases like ammonia. Conventional post-growth methods, which offer more operational versatility than variation of the growth conditions, are based either on wet chemistry or on gas-phase heterogeneous reactions. For this study, post-growth introduction of nitrogen atoms into graphene films was achieved by flowing afterglow treatment in microwave N2 plasmas at reduced-pressure. The cold (low translational energy) nitrogen atoms are produced upstream of the sample by plasma-dissociation and are provided with chemical energy at the graphene surface by one or more de-excitation collisions with N2 metastable species. The ion number density in the afterglow region is small enough to ensure minimal ion-induced damage.
A study has been completed to understand the mechanism of plasma-based N-incorporation from a surface-focused perspective. XPS analysis of treated samples showed direct incorporation of nitrogen atoms into the graphene lattice, in the form of pyridinic and pyrrolic inclusions. XPS data also show that surface chemisorption of atomic nitrogen accompanies the incorporation. Through analysis of the effect of treatment time, it was found that saturation of aromatic N content at 2 At% occurs rapidly, while development of surface adsorbed N continues to increase linearly, only saturating at 20% after a treatment of 3 min. Raman spectra support this finding, showing a characteristic increase of the D and decrease of the 2D peak heights that result from sp3 hybridization of carbon atoms. Molecular dynamics and DFT simulations offer supporting evidence that the reaction occurs preferentially at defect sites. Graphene defects were investigated in geometries containing either single or double lattice vacancies, with a single chemisorbed nitrogen atom being incorporated into either a pyridinic or pyrolic group. The resulting minimum energy path revealed a single transition state energy of 4 eV, below the threshold value of 6 eV provided by N2 metastable species for plasma based incorporation to be possible. The net energy of formation was -5 eV, which further demonstrated the irreversibility and stability of aromatic inclusions. Ion bombardment in Ar plasma environments was used to manipulate the point defect content in graphene films. This resulted in a progressive change in the saturation point of aromatic content. Finally, thermal desorption analysis and de-nitrogenation of treated samples confirmed that chemisorbed N may be removed, while preserving the nitrogen content within the graphene lattice.
9:00 AM - T14.47
Stacking-Dependent Gap-Opening Properties of Various Stacking Configurations of Bilayer Graphene under External Electric Fields
Gunn Kim 1 Changwon Park 2 Junga Ryou 1 Bobby Sumpter 2 Suklyun Hong 1 Mina Yoon 2
1Department of Physics, Sejong University Seoul Korea (the Republic of)2Oak Ridge National Laboratory Oak Ridge United States
Show AbstractIn the design of switching devices exploiting the energy gap generated by external electric field, the coexistence of various stacking region may substatially change their overall response. In this work, we have theoretically investigated stacking-dependent gap-opening properties of symmetry-broken bilayer graphene, and established an energy-gap phase diagram that summarizes their stacking-dependent electronic structures under an applied electric field. Although the crossing in band structure remains for any stacking, characteristics of wavefunctions around the Fermi level can be different for different stacking, and it is conveyed to gap-opening properties in the presence of external electric field. Near the Fermi level small translation and external electric field can be treated as a perturbation to the high symmetric stacking configuration, and thus we provide analytic expression for the evolution of band structure and the energy gap. Our findings could prove to be instrumental in developing graphene-based electronic devices.
9:00 AM - T14.48
Thermal Conductance of Graphene Antidot Lattices from First-Principles Atomistic Greenrsquo;s Function Method
Jivtesh Garg 2 Qing Hao 1
1University of Arizona Tucson United States2University of Oklahoma Norman United States
Show AbstractPristine graphene has low thermoelectric performance due to its ultra-high thermal conductivity and zero band gap that leads to a low Seebeck coefficient. By introducing defects such as holes also known as antidots, a band gap can be opened up in graphene to dramatically increase its Seebeck coefficient. Known as graphene antidot lattices (GALs), such structures also have significantly lower thermal conductivity due to scattering of phonons from these antidots. Two key effects are at play (a) Modification of phonon dispersion due to coherent superposition of phonons reflected from antidots, which leads to decreased phonon group velocities; (b) Scattering of phonons by antidot-edge roughness and strain field, which reduces phonon lifetimes. In this work, we use a first-principles based atomistic Green&’s function (AGF) approach to study the phonon transport in GALs. Inputs required for the AGF method include the second-order interatomic force constants (IFCs) and equilibrium atomic positions. IFCs for GALs are derived from density-functional perturbation theory using open source code Quantum-Espresso. To reduce computational cost, a hybrid Green&’s function technique is used, which employs real space representation along the direction of heat propagation and reciprocal space representation along other directions. Interplay between the two above-mentioned effects is analyzed as a function of antidot periodicity and existence of a minimum in GAL thermal conductivity is addressed.
9:00 AM - T14.49
Tunable Molecular Transport through Atomically Thin Graphene Membranes
Piran Ravichandran Kidambi 1 Michael Boutilier 1 Sean C O'Hern 1 Tarun Jain 1 Luda Wang 1 Rohit Karnik 1
1MIT Cambridge United States
Show AbstractAtomically thin graphene membranes have generated a lot of interest in filtration and gas separation applications. Graphene offers the minimum theoretical membrane resistance along with the opportunity to tune pore sizes at the nanometer scale in contrast to solution-diffusion of molecules through the membrane material for all other membranes.
We demonstrate selective molecular transport by precisely engineering controlled, high-density, sub nanometer diameter pores in graphene membranes grown via scalable chemical vapor deposition processes. The density of such defects can be tuned to be >1012 cm-2. Clear evidence for size selective transport behavior is seen from a combination of reproducible pressure driven and diffusive transport measurements across these membrane.
This demonstrated ability of tuning the selectivity of graphene through precisely controlled introduction of sub nanometer pores addresses one of the most significant challenge towards the development of advanced graphene membranes for gas separation, nanofiltration, desalination, and several biological applications. Finally, these membranes offer opportunities to precisely study the complex dynamics and transport phenomena at these length scales.
Kidambi et al. Chemistry of Materials (2014).
Boutilier et al. ACS Nano (2014).
Kidambi et al. Nano Letters (2013).
O&’Hern et al. Nano Letters (2013).
O&’Hern et al. ACS Nano (2012).
9:00 AM - T14.50
Elucidating Growth Mechanisms of Graphene and Related 2D Materials
Piran Ravichandran Kidambi 1 Bernhard Bayer 2 Raoul Blume 3 Zhu-Jun Wang 3 Marc Willinger 3 Carsten Baehtz 4 Robert Stewart Weatherup 2 Robert Schloegl 3 Stephan Hofmann 2
1MIT Cambridge United States2University of Cambridge Cambridge United Kingdom3Fritz Haber Institute Berlin Germany4Forschungszentrum Dresden-Rossendorf, Institute of Ion Beam Physics and Materials Research Dresden Germany
Show AbstractGraphene and other related 2D materials have recently attracted substantial research interest from academia and industry.[1] While, chemical vapour deposition (CVD) using transition metal catalysts has emerged as a preferred route for scalable and cost effective synthesis, the mechanisms underlying the growth continue to remain unclear. This has led to speculative claims in literature based on limited conclusions that post growth data allows and in-situ observations remain critically lacking.
Here, using a combination of complementary in-situ high-pressure time and depth resolved x-ray photoelectron spectroscopy (XPS), in-situ environmental scanning electron microscopy (ESEM) and in-situ x-ray diffraction (XRD) at realistic CVD conditions of pressure (~0.001 - 1 mbar) and temperatures (700-1000oC) we study the fundamental mechanisms underlying the growth by graphene and related materials on polycrystalline metallic catalysts during exposure to precursors (both gaseous and liquid precursors). These techniques allow us to simultaneously monitor the catalyst surface morphology, surface chemistry and bulk crystallography during the entire CVD process and allow for a detailed fingerprinting of each stage in the CVD process. Coupled with ex-situ experiments [3,4] they allow for the development of a comprehensive growth mechanisms to address the current lack of understanding in literature. [1,2].
Kidambi et al. Chem. Mat. (2014) Just accepted.
Kidambi et al. Nano Letters 13 (10), 4769-4778 (2013).
Kidambi et al. J. Phys.Chem. C. 116, 42, 22492-22501 (2012).
Kidambi et al. PSS RRL. 5, 9, 341-343 (2011).
9:00 AM - T14.51
Building Three-Dimensional Microscale Graphene-Based Structures
Daeha Joung 1 Jing Li 1 Steven John Koester 1 Jeong-Hyun Cho 1
1University of Minnesota, Twin Cities Minneapolis United States
Show AbstractIn theory, three dimensional (3D) graphene origami like cage structures can be programmed to fold in a variety of shapes because of their electrostatic, van der Waals, and elastic energies. Within this theory, these techniques are applied using nanoscale graphene membrane to create a 3D graphene structure and provide potential for molecular storage and drug delivery. However, this is far from reality. Experimentally, a number of chemical synthetic methods have recently been developed to achieve 3D graphene porous and networks like sponge. Although these structures provide 3D graphene-based materials with high surface area, strong mechanical strength, and fast mass and electron transport kinetics, the 3D graphene is not hollow, which limits utilizing the 3D graphene structures for diverse applications such as the molecular storage and the drug delivery systems. In order to overcome the foremost challenge of controllable manufacture of 3D graphene structure, we have employed origami-inspired self-folding approaches and realized the 3D polyhedral (cubic) graphene structures. Overall size of the 3D graphene-based cubic structure is 200 to 1000 micrometers. This method allows fabrication of a 3D structure with both vertical and horizontal free-standing graphene that does not require additional support or substrate. In addition, this approach can preserve the intrinsic properties of graphene membranes.
9:00 AM - T14.52
Impact of Geometry on the Aggregation of Carbon Nanomaterials in PEG Solutions
Shruti Sharma 1 Viet Hung Pham 2 James H Dickerson 2 Rina Tannenbaum 1
1State University of New York, Stony Brook Stony Brook United States2Brookhaven National Laboratory Upton United States
Show AbstractThe geometry and conformation of biological materials determine their correct functioning in highly selective biological environments. Therefore, understanding the correlation between the geometry and properties of such biomaterials is crucial for exploring their potential applicability in various biologically-relevant processes. For example, developing nanoscale vectors for the targeted delivery of drugs requires a good understanding of their flow behavior in biological channels. Since the targeted tissues are commonly accessed through the blood capillaries, the study of the flow properties and the selective aggregation of the biomaterials in such confined conduits could provide important insight as to their efficacy as both drug delivery vectors and therapeutic modalities. Carbon nanomaterials (CNMs) are emerging as materials of interest in biological applications, particularly with respect to their toxicity in cancer tissues. It has been suggested that this selective toxicity is due to the blockage of the blood capillaries in cancer tissues by these CNMs. This work describes the aggregation behavior of the geometrical variants of CNMs in polyethylene glycol (PEG) solutions having blood-like viscosities, as a way to observe, simulate and control the effects of viscosity on their flow behavior. We are exploring the behavior of various geometries of graphene such as graphene sheets, crumpled graphene, rolled graphene and carbon nanotubes placed in viscous PEG solutions at different concentrations. Flow properties, aggregation kinetics and morphologies will be determined by using dynamic light scattering techniques and electron microscopy imaging.
9:00 AM - T14.53
Study of Si Diffusion during the Formation of Epitaxial Graphene Layers on 3C SiC/Si (111)
Bharati Gupta 1 Francesca Zarotti 2 A Sgarlata 2 Massimo Fanfoni 2 Massimo Tomellini 3 Neeraj Mishra 4 Francesca Iacopi 4 Nunzio Motta 1
1Queensland University of Technology Brisbane Australia2Universitagrave; di Roma Tor Vergata Roma Italy3Universitagrave; di Roma Tor Vergata Roma Italy4Griffith University, Nathan, QLD, Australia. Brisbane Australia
Show AbstractCompared to other synthesis methods, the growth of graphene in UHV on SiC gives origin to a contaminant free surface. Recently it has been shown that SiC/Si substrates are a good alternative to bulk SiC, allowing to reduce the cost and to better integrate the material with the Si based electronic devices. SiC/Si(111) substrate is the most suitable for the growth of graphene, due to its 3 fold symmetry, and will help to open the band gap of graphene [1].
The growth of graphene on in SiC in UHV has been discussed by many researchers [2], but there is still a lack of understanding regarding the kinetics of Si diffusion and its connection to the formation of graphene layers.
In this study we analyse the number of graphene layers obtained on 3C SiC/Si (111) as a function of the annealing parameters by using X-ray Photoelectron Spectroscopy. We have grown graphene at temperatures ranging from 1175 #778;C to 1350 #778;C. At each temperature the annealing sequence was monitored by acquiring high-resolution XPS spectra of the carbon peak at defined time intervals, obtaining a plot of the number of graphene layers as a function of annealing time [3].
We demonstrate how this growth is connected to the diffusion parameters of Si atoms in the matrix, and how it is possible to control the annealing process in order to obtain the desired number of epitaxial graphene layers.
[1] K. Novoselov, "Graphene: Mind the gap," Nature materials, vol. 6, pp. 720-721, 2007.
[2] A.Ouerghi, "Epitaxial graphene on cubic SiC (111)/ Si (111) substrate," Applied Physics Letters, vol. 96, 2010.
[3] B. Gupta, M. Notarianni, N. Mishra, M. Shafiei, F. Iacopi, N. Motta, Evolution of epitaxial graphene layers on 3C SiC/Si (111) as a function of annealing temperature in UHV. Carbon 68, 563 (2014).
9:00 AM - T14.54
Experimentally Fitted Time Domain Modeling of Graphene-Based Devices
Ludmila Prokopeva 2 3 4 Naresh Emani 2 Alexandra Boltasseva 1 Alexander V. Kildishev 2 Jongbum Kim 2
1Purdue Univ West Lafayette United States2Purdue University West Lafayette United States3Novosibirsk State University Novosibirsk Russian Federation4Institute of Computational Technologies Novosibirsk Russian Federation
Show AbstractA time-domain phenomenological parametric model of the tunable dielectric function of graphene is imperative for accurate numerical simulations of time-resolved multiphysics, including studies of nonlinear optical response of graphene-based tunable photonic devices with metamaterials or metasurfaces. However, precise time domain modeling of graphene is complicated with the integral expressions of dielectric function. Integrals have to be accurately approximated and effectively evaluated numerically for broadband wavelength operation within desired ranges of tunable parameters such as chemical potential and temperature.
In this paper, we discuss accurate causal time domain modeling of tunable optical response of graphene. The model consists of Drude and number of critical points and is fitted to experiments. This technique can be used for time domain simulations with FDTD, FETD, FVTD Maxwell&’s solvers. The corresponding fitting engine PhotonicsGraphene is planned to be staged at nanoHUB.org for open access.
Example applications of time-domain modeling of the graphene-based tunable devices are also discussed. We review our experimental results with bowtie antenna metasurface, where it has been shown that with local field enhancement the induced change can be as high as 30% for resonance frequency. Recent optical measurements and model fitting for structured graphene is also presented. Based on numerical analyses a pulse shaping device has been suggested which uses an array of coupled graphene nanoribbons as a dispersion element. The great advantage of the proposed approach is the electric gating of the dispersion in the graphene elements previously used in other active devices to achieve other tunable functionalities. Here, gated tunability of graphene is utilized to control the shape of the incident pulse.
9:00 AM - T14.55
Effect of MWCNT Additive on Thermal Phase Transition of beta;-Phase in Electrospun Poly(Vinylidene Fluoride) PVDF Nanofibre
Apipon Methachittipan 1 Krzysztof Koziol 1
1University of Cambridge Cambridge United Kingdom
Show AbstractPoly(vinylidene fluoride) (PVDF) with β-phase crystalline structure is the polymer with high piezoelectricity coefficient (g33=-339x10-3 Vm/N). This makes PVDF with β-phase crystalline desirable for electronic applications that require flexible piezoelectric material such as sensor, transducer, and energy harvesting device.
Electrospun nanofibre is a form of PVDF that is promising for piezoelectric application since it contains high β-phase content formed during spinning process. Nevertheless, PVDF experience serious thermal phase transition at certain temperatures, e.g. at the temperature of 150°C PVDF crystalline phases could completely transform to α-phase. If PVDF was being assembled into a device, it can be exposed to a temperature as high as 150°C, if not more. This could alter the crystalline phases in the PVDF, especially in the case of nanostructure PVDF, like nanofibre. Thus, electrospun PVDF nanofibre that is integrated into a piezoelectric device is prone to lose β-phase through the process. As a consequence, the efficiency of the electronic device could be reduced. To solve this problem, an additive that can help stabilize β-phase at higher temperature is needed.
In this study multiwalled carbon nanotubes (MWCNTs) were added into PVDF to observe effect of MWCNT on stabilizing β-phase to resist thermal phase transition in electrospun PVDF nanofibre. MWCNTs were suspended in PVDF solutions at different concentrations, 0%, 0.5%, and 1%. Each of them was then electrospun into PVDF, and PVDF-CNT nanofibres that intertwined into a membrane. Yielded membranes of nanofibre with different CNT concentration were annealed at 150°C for 5 hours, which is an extreme condition that can alter phases of PVDF. Heat-treated nanofibres and pristine nanofibres with different MWCNT concentration were then analyzed with SEM, TEM, DSC, and XRD to determine physical properties and the β-phase exist in fibres.
It was found that electrospun PVDF nanofibres that did not contain MWCNT gave prominent X-ray diffraction peaks of α-phase, and β-phase from both pristine and heat-treated samples. Moreover, heat-treated PVDF nanofibres also gave a diffraction peak of γ-phase, which was not found in pristine PVDF nanofibres. In PVDF-CNT nanofibres, both pristine and heat-treated samples gave both α -phase, and β-phase X-ray diffraction peaks with lower intensity ratio between α-phase peak and β-phase peak, comparing to that of PVDF nanofibre. There was no γ-phase peak observed from PVDF-CNT nanofibres. The result indicates that MWCNT can promote formation of β-phase electrospun PVDF nanofibre and stabilize β-phase and help preventing it from thermal phase transformation caused by heat treatment.
9:00 AM - T14.56
Engineering Strategies for Graphene-Based Supercapacitors from First-Principles Simulations
Brandon Wood 1 Tadashi Ogitsu 1 Maxwell Radin 2 Minoru Otani 3 Juergen Biener 1
1Lawrence Livermore National Laboratory Livermore United States2University of Michigan Ann Arbor United States3AIST Tsukuba Japan
Show AbstractGraphene derivatives are excellent candidates for supercapacitor electrodes because of their high specific surface area, high conductivity, and relative chemical and electrochemical stability. However, devices based on graphene electrodes also suffer from fundamental capacitance limitations that are connected to the unique electronic structure of graphene. We perform first-principles simulations of charge storage in pristine and defective graphene to explore the detailed origins of these limitations and suggest specific strategies for improvement. We show that proper description of the capacitance of graphene-based devices depends not only on the properties of the electrode and electrolyte individually, but also the nature of their interaction at the electrified electrode-electrolyte interface. Based on insights gained from the simulations, we suggest two distinct strategies for improving graphene-based supercapacitor performance: first, by changing the local structure and chemistry to improve the screening properties; and second, by properly engineering the electrolyte to alter the interfacial coupling. We will also discuss how combining advanced theory with in operando X-ray spectroscopy can give insights into nanoscale chemical changes and mesoscale morphological changes occurring in graphene-based supercapacitor electrodes during charging.
This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under contract DE-AC52-07NA27344.
9:00 AM - T14.57
Two-Dimensional Exploration of Two-Dimensional Carbon: Biomedical and Energy Applications
Neelkanth M Bardhan 2 Priyank Vijaya Kumar 2 Guan-Yu Chen 2 Jeffrey C. Grossman 1 Angela M. Belcher 2
1MIT Cambridge United States2Massachusetts Institute of Technology Cambridge United States
Show AbstractThe unique physical, optical and electronic properties of carbon-based materials have fueled the exploration of novel applications in biosensors, bioimaging, bioassays, catalysis, energy storage, photonic and optoelectronic devices. Here, we explore carbon nanotubes (CNTs), graphene and their two-dimensional derivatives, for creating novel functional materials targeting applications in the biomedical and energy sectors. In one-dimensional nanomaterials, we demonstrate single-walled carbon nanotubes (SWNTs) as probes for high-resolution noninvasive sensing and disease detection in living hosts, using genetically-engineered M13 bacteriophage as a multifunctional vector. We show in vivo detection of ovarian cancers and deep-tissue bacterial infections, with an order-of-magnitude improved resolution of sub-millimeter tumors, at an order-of-magnitude reduced dosage compared to other small molecule fluorescent probes. Moreover, while graphene is a versatile two-dimensional material, solution-processing techniques for synthesizing large-area chemically-derived graphene starting from reduction of graphene oxide (GO) is a poorly understood process. This is because the current synthetic protocols for producing chemically-exfoliated GO sheets result in metastable, chemically inhomogeneous and spatially disordered GO structures. We have developed a scalable, one-step mild thermal annealing technique which facilitates clustering of oxygen functional groups in the GO sheets, thereby leading to improved sheet characteristics, while preserving the oxygen content. This is explained by atomistic calculations combined with experimental evidence, which suggest that the 1-step annealing process results in an energetically favorable and kinetically accelerated phase separation of the mixed sp2-sp3 hybridized GO phase into prominent oxidized and graphitic domains. We propose that photonic devices constructed using our pre-treated GO would achieve a significant 38% increase in the collection of photons in the 350 - 800 nm range of the solar spectrum, relative to untreated GO. Chemically derived graphene produced from our pre-treated GO also offers a marked improvement in the electronic conductivity of rGO thin films. Further, we are exploring the enhancement of cell capture from a small sample of peripheral whole blood, through single domain antibody fragments immobilized onto our pre-treated GO substrates. Finally, we are investigating p/n doped GO-CNT nanocomposites for thermoelectric generator applications, due to their low thermal conductivity and high electronic conductivity. These integrative approaches for leveraging the synergistic properties of CNTs, graphene and their composites open up exciting new possibilities for the development of a gamut of technologies spanning the energy and biotech sectors.
9:00 AM - T14.59
Chemically Selective Probing of Mesoscale Structure in Aligned Nanotube-Polymer Composites by Resonant Soft X-Ray Scattering
Eric R Meshot 1 Darwin Zwissler 1 Marc Zajac 1 Ngoc Bui 1 Sangil Kim 1 Shirui Guo 1 KuangJen Wu 1 Francesco Fornasiero 1
1Lawrence Livermore National Laboratory Livermore United States
Show AbstractComposites made from vertically aligned carbon nanotube (CNT) forests are important for a broad range of applications, such as for thermal management, high-flux membranes, protective fabrics, mechanical reinforcement and energy dissipation. Despite progress, there remains a need for quantitative understanding of how the hierarchical structure of CNT forests dictates both the fabrication of advanced composites and ultimately their performance. Drawing such quantitative relationships is challenging because measuring the morphological structure and order in CNT forests is difficult for a) multi-component systems, b) over large range of length scales, and c) without destroying the material.
To address this issue, we developed a suite of complementary soft and hard X-ray scattering techniques to nondestructively investigate several salient CNT forest characteristics, including polarized resonant soft X-ray scattering (RSoXS - micro/meso), small- and wide-angle X-ray scattering (SAXS/WAXS - nano/atomic) and X-ray attenuation. RSoXS is a novel technique ideally suited for composite characterization because it enables selective probing of the micro-/mesoscale structure of the CNTs and their polymer matrix via scattering with chemical specificity by tuning of incident photon energy.
Using our combined synchrotron techniques, we analyze high-performance nanocomposite membranes fabricated by infiltrating the void space between CNTs with a polymeric material and then etching open the ends of a large number of CNTs [O(10^10-10^12) cm^-2] to expose their core volume to fluid transport. We measured CNT diameter, wall structure, density/spacing, alignment, as well as the polymer matrix&’s mesoscale structure, and we show how these characteristics govern the fabrication of large-area, flexible polymer composites toward their application for multifunctional, protective garments with high breathability.
To this end, we also leveraged this structural information to precisely quantify the fluid flow enhancement measured experimentally through the CNT nanochannels in our membranes. This is an exciting advancement because evaluations of ultrafast fluid transport inside CNT nanochannels reported in literature differ by orders of magnitude due to insufficient information regarding the CNT membrane structural parameters. Finally, by tuning the sample-to-detector distance and incident photon energy, we probed the hierarchical structure of CNT forests to draw structure-property relationships across several length scales, including atomic, nano, meso, and micrometer scales (~10^0-10^4Å).
9:00 AM - T14.60
Aging Effects and Evolution of Temperature-Dependent Polarization of Single Layer Graphene
Zenan Jiang 1 Zihe Ren 2 Peyman Servati 1
1The University of British Columbia Vancouver Canada2Simon Fraser University Burnaby Canada
Show AbstractGraphene, as a two-dimensional electronic material, has attracted great attention due to its extraordinary electrical, optical, and mechanical properties. Single and few layer graphene sheets are promising candidates for the fabrication of flexible large-scale conductors and electronic devices. Large-area monolayer graphene is often prepared by chemical vapor deposition (CVD) with copper as a catalyst. Cu is subsequently removed and the graphene sheets are transferred to different substrates for specific applications. Multiple Cu etchants have been employed in the transfer process.
This work presents effect of etchant selection on the electrical and aging properties of graphene sheets, which are critical for the performance of the final devices. Single layer graphene is prepared by CVD and then transferred to glass slides and FeCl3 or CuSO4 are used as Cu etchant. Mechanical and electrical properties of graphene sheets are investigated. It is noticed that graphene samples prepared using CuSO4 etchant have more uniform surface morphology with fewer ripples and ruptures as compared to the ones prepared by FeCl3. Broadband temperature-dependent dielectric spectroscopy of freshly grown and transferred graphene sheets illustrate almost identical properties: both samples have local maxima of conductivity at ~50 #730;C, ~160 #730;C and ~260 #730;C, corresponding to the intercalation of H2O, CO2 and the decomposition of functional group, respectively. After 6 months aging, however, graphene sheets prepared from CuSO4 showed significant changes in the conductivity maxima to 88 #730;C, 184 #730;C, 216 #730;C and 244 #730;C, suggesting compositional or structural evolution during aging. It is believed that irreversible intercalation of H2O as well as formation of graphene oxides or other oxygen functional group can be responsible for the observed changes. In comparison, graphene prepared from FeCl3 etchant does not show changes in its electrical properties. The underlying mechanisms for such aging discrepancy are discussed based on the preparation and characterization methods.
9:00 AM - T14.61
Flexible Display Integrating Graphene in the Pixelsrsquo; Electronics
Flavia Tomarchio 1 Andrea Ferrari 1
1University of Cambridge Cambridge United Kingdom
Show AbstractFlexible displays are essential components in wearable computer screens, electronic newspapers, and smart identity cards. Widespread use of such devices faces challenges due to processing limitations, such as process temperature[1], high resolution patterning techniques compatible with plastic substrates [1,2] and fabrication costs of the active-matrix backplane[3,4,5]. Graphene's mobility, flexibility, robustness and optical properties make it an ideal material for flexible electronics applications. We present an active-matrix, flexible electrophoretic display that includes in its pixel electronics solution processed graphene. Graphene is produced by ultrasonic treatment in water/surfactant followed by ultracentrifugation is to remove un-exfoliated flakes[6,7]. Raman spectroscopy as well as Transmission Electron Microscopy indicate a yield in monolayers up to 70% and an average flakes size of 600nm. The graphene-ink is integrated into the display at room temperature by rod coating. The display contains 122880 pixels (320x384), driven by the same number of Thin Film transistors (TFTs). Graphene conformally covers 3µm vias and creates the contact with the gold electrode underneath. The graphene layer has strong adhesion, with a peel force of 2.68 N. No delamination or cracking occurs up to a radius of curvature of 0.5mm, assuring the full flexibility of the display. The TFTs fabricated with graphene as top pixel electrode shows the same electrical performances in terms of conductivity, mobility and ION/IOFF ratio with respect to the ones having sputtered metal electrode.
[1] J.Lewis. Materials today 9, 4 (2006)
[2]J.A. Rogers et al. Journal of Polymer Science: Part A: Polymer Chemistry, 40, 3327(2002)
[3] S.R.Forrest. Nature 428, 911-918
[4]Chen. Y.et al. Nature 423, 136 (2003).
[5]M. A. McCarthy et al. Science 332, 6029 (2011)
[6] Y.Hernandez et al., Nature Nanotechnology 3, 563 (2008)
[7] Torrisi et al., Acs Nano, 6, 2992 (2012)
9:00 AM - T14.62
Graphne Liquid Cells for In-situ Biological Materials Imaging
Tolou Shokuhfar 1 Canhui Wang 3 Robert Klie 2
1Michigan Technological University Houghton United States2University of Illinois at Chicago Chicago United States3UIC Chicago United States
Show AbstractThere is a pressing need for characterization of biological samples in a liquid environment with atomic, or at least nm-scale resolution, to study their structures and dynamics without altering their native state. Here we show a novel approach of encapsulating biological samples using monolayers of graphene, thereby not only allowing biological samples to be directly imaged at high resolution in their native liquid state, but also enabling nm-scale analysis using electron energy-loss spectroscopy (EELS) to quantify the local atomic and electronic structures of biomaterials. Using ferritin as a model sample, we characterize the atomic and electronic structures of the ferri-hydride core and fi nd a reduction of iron-oxide from Fe 3+ to Fe 2+ when the protein is in the hydrated state. We further demonstrate the ability of graphene to reduce the effects of electron-beam induced damage, which will enable atomic-resolution imaging and nm-resolution spectroscopy of beam-sensitive materials. This approach is not limited to liquid samples and is used to characterize beam-sensitive materials sandwiched between two layers of graphene.
9:00 AM - T14.63
Fabrication and Observation of Freestanding Graphene and Graphene Nanopores for DNA Detection
Chi Won Ahn 1 Yong Bok Lee 1 Kevin J. Freedman 2 Min Jun Kim 2
1National Nanofab Center, KAIST Daejeon Korea (the Republic of)2Drexel Univ. Philadelphia United States
Show AbstractGraphene is a unique material with a thickness as low as a single atom, high in-plane conductivity and a robust lattice that is self-supporting over large length scales. Schematically, graphene is an ideal solid-state material for tuning the properties of a nanopore because self-supported sheets, ranging from single to multiple atomic layers, can create pores with near-arbitrary dimensions which can provide exquisite control of the electric field drop within the pore. In this study, we characterize the drilling kinetics of nanopores using a thermionic electron source and various electron beam fluxes to minimize secondary hole formation. Once established, we investigated the use of multilayer graphene to create highly tailored nanostructures including nanopores with graphite polyhedral crystals formed around the nanopore edge. Finally, we report on the translocation of double stranded and single stranded DNA through such graphene pores and show that the single stranded DNA translocates much slower allowing detection of extremely short fragments (25 nucleotides in length). Our findings suggest that the kinetic and controllable properties of graphene nanopores under sculpting conditions can be used to further enhance the detection of DNA analytes.
9:00 AM - T14.64
Macroscopic Films of Carbon Nanotube Liquid Crystals for Energy Conversion and Storage and Their Scalable Self-Assembly for Manufacturing
Balaji Panchapakesan 1
1Worcester Polytechnic Institute Worcester United States
Show AbstractSelf-aligned nanotubes through liquid crystal solution based processing offers an alternative route for manufacturing of nanotube rolls and films. However, in the past fabrication of such nematic phases of self-aligned nanotubes has been expensive owing to large amounts of nanotubes (0.1 g) necessary for the formation of self-aligned nanotube films, which remains challenging with currently available sorting and enrichment techniques, such as density-gradient ultracentrifugation (DGU) and dielectrophoresis (DEP). In this paper, we show that nematic phases of nanotubes can be formed at ultra-low concentrations (x 10-6 wt.%) from solution using simple vacuum filtration. The vacuum filtration acts as a sort of concentrator and the high mesogenicity of nanotubes allows the formation of such highly self-aligned films with order parameters ranging from S= 0.5-0.8 due to high translational entropy at such low concentrations. Such nanotube films are cost effective and enable manufacturing into rolls and films that can be defined using pre-determined order parameters. Macroscopic films of such self-aligned nanotubes with gentle curves are attractive candidates for stretchable supercapacitors, lithium ion batteries, pyroelectric energy harvesters, electromechanical and photomechanical actuators. We discuss the scalability of our films, achievable order parameters, optical and electrical anisotropy and energy conversion and storage. Results in area of photomechanical energy conversion suggest high stress of 0.5-1 MPa/W of non-contact energy release through photon-thermal energy transduction. The gentle and porous nature of the nematic films allows for porous anodes and enables liquid electrolyte to penetrate and access the entire network resulting in large supercapacitance of such stretchable networks with high mechanical strength. One can enable cost effective energy solutions by thus controlling the nematic arrangements at such ultra-low concentrations. We discuss the limits of order parameters, nematic phase formation, scalability and energy conversion efficiencies for few devices.
9:00 AM - T14.65
In Silico CMBE Growth of Graphene on h-BN Substrate: Carbon Source Effect on the Interface Sharpness
Jay Lee 1 Vikas Varshney 2 Ajit Roy 1 Barry Farmer 1
1AFRL Wright Patterson AFB United States2Uni Tech Corp-WPAFB Beavercreek United States
Show AbstractCarbon Molecular beam epitaxial (CMBE) growth of graphene on h-BN substrate is simulated using the self consistent charge density functional tight binding (SCC-DFTB) molecular dynamics to study the effect of carbon source molecule on the quality of graphene film and the sharpness of interface between the grown film and the substrate. In experiment, the carbon flux is compossed of a distribution of carbon molecules. For example, three major components of carbon vapor out of heated graphite are carbon trimer, atomic carbon, and carbon dimer. We studied the deposition characteristics of each of those molecular components separately in computation to study which carbon source molecule grows the graphene film of the better quality. Atomic carbons are reactive and make covalent bonds with the substrate atoms upon deposition to form amorphous BCN layer. As the molecular weight of carbon source increases as in carbon dimer and carbon trimer, the van der Waals repulsion bewteen carbon source molecule and the substrate becomes stronger. Carbon trimers, when used as the carbon flux on substrate, show typical van der Waals epitaxial characteristics, growing into high quality graphene film with a sharp interface between the grown film and the substrate. It is suggested that a possible removal of atomic carbons from the carbon source flux should improve the quality of grown graphene film.
T12: Large Scale Production and Processing
Session Chairs
Thursday AM, April 09, 2015
Moscone West, Level 2, Room 2010/2012
9:30 AM - *T12.01
Liquid Exfoliation of 2D Materials: From Novel Composites to Inkjet Printed Device
Jonathan Coleman 1
1Trinity College Dublin Dublin 2 Ireland
Show AbstractIt is well known that liquid phase techniques can be used to exfoliate a range of layered crystals including BN, MoS2, MoO3 and GaS. Particularly interesting is the fact that almost identical processes can be used to exfoliate carbon nanotubes and graphene. This gives a wide palate of materials that can be prepared as liquid suspensions. More importantly, it allows a broad range of processing techniques to be used to prepare functional structures from combinations of these materials. For example, dispersions of different materials can be mixed before deposition to create nano:nano composites. Alternatively, different materials can be selectively deposited to create heterostructures, for example, by inkjet printing.
In this talk I will discuss such materials processing techniques and the applications they enable. I will focus on applications in the energy generation and storage area, for example using networks of nanosheets to replace Pt as the counter-electrode in dye-sensitised solar cells. In particular, I will look at how adding nanotubes to a nanosheet network can dramatically improve performance through percolative effects. Such networks of nanotubes and nanosheets, which we refer to as 2D:1D networks, have a range of applications, for example as electrodes in supercaps, batteries and as hydrogen evolution catalysts.
Furthermore, I will show early results on using networks of nanosheets in printed electronics applications. Specifically, I will describe inkjet printing of both graphene and MoS2 nanosheets and show how these methods can be used to prepareall-solution processed devices such as photodetectors.
10:00 AM - T12.02
Solution Synthesis of Graphene Monolayers by Non-Oxidative Intercalation and Exfoliation of Graphite by Broslash;nsted Acids
Nina Kovtyukhova 1 Yuanxi Wang 1 Mauricio Terrones 1 Vincent Crespi 1 Thomas E. Mallouk 1
1Penn State University University Park United States
Show AbstractIntercalation reactions of lamellar solids are often used as the first step in the exfoliation of their crystals to individual atomic/molecular layers. In order to produce such monolayers as free-standing sheets in practical quantities for device fabrication and physical property measurements, several solution-based chemical approaches have been studied. Among these, only the exfoliation of pre-formed stage-1 intercalation compounds gives an appreciable yield of single sheets.
For 170 years it has been thought that graphite intercalation must involve host-guest charge transfer, resulting in partial oxidation, reduction, or covalent modification of the graphene sheets. Single-sheet colloids can be prepared from oxidatively or reductively intercalated graphite. However, in both cases the red-ox cycle creates defects in the sheets, which destroy the spectacular electronic properties of single-layer graphene, e.g. prepared by mechanical exfoliation of graphite.
Here we revisit this concept and show that graphite can be reversibly intercalated by non-oxidising Broslash;nsted acids (phosphoric, sulfuric, dichloroacetic, and alkylsulfonic acids). X-ray photoelectron and vibrational spectra indicate that the graphene layers are not oxidized or reduced in the intercalation process. These observations are supported by density functional theory calculations that indicate a dipolar interaction between the guest molecules and the polarizable graphene sheets. The intercalated graphites readily exfoliate in polar solvents to give suspensions of crystalline single- and few-layer graphene sheets. The ability to open the graphite galleries by chemical means, without using strong oxidizing agents or causing apparent perturbation of the carbon sheets, enables the preparation of bulk quantities of single- or few-layer graphene by combining intercalation with dispersion in a polar solvent.
10:15 AM - T12.03
Large-Scale Production of AB-Stacked Bilayer Graphene Using Ethanol as Precursor
Riju Singhal 1 Mathieu Monville 1 Shihsheng Chang 1 Karlheinz Strobl 1
1CVD Equipment Corporation Central Islip United States
Show AbstractInexpensive approaches for the synthesis of high-quality CVD graphene is sought after in order to have graphene enter industrial fabrication and be made available for commercial applications. Depending on the nature and preparation of the catalyst substrate, the precursor used and the processing conditions of CVD growth (pressure, temperature, gas ratios), growth kinetics and regimes can change drastically and lead to diverse forms of graphene (partial or full coverage, monolayer, bilayer or multilayer, polycrystalline or monocrystalline).
Among the forms of CVD graphene that attract interest is the AB-Stacked bilayer configuration, which presents high potential for electronic applications, the main reason laying in the existence and tunability of an electronic bandgap in this 2D material without having to appeal to chemical doping, which is usually detrimental to carrier mobility.
Recent advances in the controlled growth of AB-Stacked bilayer graphene (BLG) have defined ethanol as a precursor of choice for the self-limiting CVD growth of BLG, using the so-called copper enclosure concept [1].
In this work, we present how we successfully implemented such bilayer CVD graphene ethanol-based growth with the simple addition of an ethanol bubbler to our universal, patent-pending CVD platform [2,3] which could already produce large-scale high-quality monolayer polycrystalline graphene and mm-large monocrystalline single-layer graphene islands. We believe this result, using our universal engineering solution for CVD graphene will bring research on bilayer graphene for the scientific community as well as the industry to the next level.
[1] “Equilibrium CVD Growth of AB-Stacked Bilayer Graphene”, P.Zhao et al., ACS Nano, (2014), submitted
[2] Scalable 2D-FILM CVD Synthesis, patent pending.
[3] “Towards industrial scale production of CVD graphene”, R.Singhal et al., MRS Fall 2014, Boston.
10:30 AM - T12.04
Epitaxial Graphene: A Platform for Multiple Growths/Transfers of Single-Crystalline Semiconductor Films
Jeehwan Kim 1 Can Bayram 1 Hongsik Park 1 Cheng-Wei Cheng 1 Christos Dimitrakopoulos 1 John Ott 1 Kathleen Reuter 1 Stephen Bedell 1 Devendra Sadana 1
1IBM TJ Watson Research Center Yorktown Heights United States
Show AbstractIt has been challenging to grow single-crystalline films on 2D materials due to the low surface energy of 2D materials. Recently, buffer-assisted growth of crystalline films on sp2-bonded two-dimensional layered materials has been studied. However, the crystalline quality of grown films is not comparable to the films grown on sp3-bonded three-dimensional materials. Here we demonstrate direct van der Waals epitaxy of high-quality single-crystalline films on epitaxial graphene with low defectivity and surface roughness. The crystalline quality is comparable to that grown on conventional thick substrates. The grown-films on epitaxial graphene is then released and transferred onto arbitrary substrates. The post-released graphene is reused for multiple growth and transfer cycles. We believe this work will open up the opportunity for graphene to be widely used as a seed layer for epitaxial growth.
Reference
1. Jeehwan Kim et al. “Principle of direct van der Waals epitaxy of single-crystalline films on epitaxial graphene”, Nature Communications, Vol. 5, 4836 (2014)
2. Jeehwan Kim et al. “Layer-resolved graphene transfer via engineered strain layers”, Science, Vol. 342, 833 (2013)
10:45 AM - T12.05
Direct Dry Transfer of CVD Graphene to Polymers
Guilhermino J. M. Fechine 1 Inigo Martin-Fernandez 2 George Yiapanis 3 Ricardo Vinicius Bof de Oliveira 4 Xiao Hu 5 Irene Yarovsky 3 Antonio H. de Castro Neto 2 Barbaros Ozyilmaz 2
1Presbyterian Mackenzie University Sao Paulo Brazil2National University of Singapore Singapore Singapore3RMIT University Melbourne Australia4UFRGS Porto Alegre Brazil5Nanyang Technological University Singapore Singapore
Show AbstractA process to transfer a graphene film from its original growth substrate to a given target surface is typically composed of different steps that include the coating of graphene with one or more support layers/films, the release of the graphene from its original substrate, the application of the graphene to the target substrate and the removal of the support films1. Different transfer processes have addressed the scalability2-6, minimizing the defects or the residues from the support2,4 or the residues from the etching/delamination6 but the transfer process of graphene is still under discussion. So, the direct transfer of graphene to a polymer and the understanding of the mechanism governing this phenomenon have not been disclosed still. Besides, at this time, the expert&’s validation of a transfer is based on the full coverage of the target substrate with graphene for a later patterning process, possibly because most of the current applications are electronics oriented. But the development of applications targeting other functionalities of the graphene would benefit from a simpler process that would allow controlling graphene coverage at the transfer. In this sense, it seems that the integration of graphene processing with conventional polymeric methods such as hotpressing, lamination, stamping or molding has still not been conceived. We demonstrate the direct transfer of large area CVD graphene to polymers by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various pressures and temperatures show that controlling the graphene-polymer interface is the key to controlling graphene transfer. Also, we show that the amount of graphene transferred to the polymer, from no-graphene to full graphene transfers, can be achieved by fine tuning the transfer conditions. These results are of interest to any polymer-graphene system.
References
1. Kang, J., Shin, D., Bae, S. & Hong, B. H. Graphene transfer: key for applications. Nanoscale4, 5527-37 (2012).
2. Verma, V. P., Das, S., Lahiri, I. & Choi, W. Large-area graphene on polymer film for flexible and transparent anode in field emission device. Appl. Phys. Lett.96, 203108 (2010).
3. Bae, S. et al. Roll-to-roll production of 30-inch graphene films for transparent electrodes. Nat. Nanotechnol.5, 574-8 (2010).
4. Wang, D.-Y. et al. Clean-lifting transfer of large-area residual-free graphene films. Adv. Mater.25, 4521-6 (2013).
5. Gao, L. et al. Face-to-face transfer of wafer-scale graphene films. Nature505, 190-4 (2014).
6. Cherian, C. T. et al. “Bubble-free” electrochemical delamination of CVD graphene films. Small (2014). doi:10.1002/smll.201402024
11:30 AM - *T12.06
Industry Perspective: Thin Film Graphene Growth at AIXTRON
Kenneth B.K. Teo 1
1Aixtron Ltd Cambridge United Kingdom
Show Abstract12:00 PM - T12.07
Nickel Enhanced Graphene Growth Directly on Dielectric Substrates by MBE
Joseph Wofford 1 Timo Schumann 1 Joao Marcelo J Lopes 1 Henning Riechert 1
1Paul-Drude-Institut fuuml;r Festkouml;rperelektronik Berlin Germany
Show AbstractIf graphene is able to fully transition from scientific curiosity to transformational technological material a synthesis method which allows growth directly on dielectric substrates will likely be an enabling factor. Previous efforts at deposition directly on dielectric surfaces have left this prerequisite largely unmet, as they typically result in nanocrystalline, sp2 bonded carbon films of insufficient crystalline quality to fully manifest many of the desirable properties of graphene. Here we examine a novel method to improve the crystalline quality of graphene films grown by MBE on Al2O3(0001) by maintaining a stable, dilute concentration of nickel on the substrate surface throughout carbon deposition.
MBE is an ideal technique for this study because it not only offers precise control over the carbon and nickel flux, but also the cleanliness and in situ characterization required to isolate the influence of the surface nickel. Graphene films were deposited on Al2O3(0001) from an elemental carbon source while the substrate surface was simultaneously exposed to a specified nickel flux. The continuous nickel flux is required to maintain the surface concentration due to the ongoing re-evaporation of the metal from the substrate. Nickel is a natural candidate to achieve enhanced growth because it is widely known to assist the formation of sp2 bonded carbon, which can be seen both in its common use as a catalyst in carbon nanotube growth and also as a substrate for high-quality graphene films. Furthermore, nickel does not form a stable carbide, the accumulation of which might interrupt the developing graphene film. The influence of various growth parameters on the resulting film quality is presented, including substrate temperature and applied nickel flux. Raman spectroscopy reveals marked improvement in graphene quality with both increasing substrate temperature and nickel flux, as judged by the relative D-peak intensity and widths of the G- and 2D-peaks, while other film metrics show corresponding variation. We find that the improvement in film quality offered by a dilute surface concentration of nickel during growth on Al2O3(0001) does not extend to either MgO(111) or amorphous SiO2 substrates. These experiments suggest that a sufficiently well controlled application of metallic species during growth on dielectrics offers a pathway to improve graphene film quality.
12:15 PM - T12.08
Influence of Nonionic Surfactant-Modified PEDOT:PSS on Graphene Electrode
Jae Bok Lee 1 Kuldeep Rana 1 Jong-Hyun Ahn 2
1Yonsei University Seoul Korea (the Republic of)2Electrical and Electronic Engineering, Yonsei University Seoul Korea (the Republic of)
Show AbstractGraphene has attracted tremendous attentions due to its novel and remarkable physical optical, electrical and mechanical properties. These properties make it highly suitable for the transparent conductive electrode material in organic photovoltaic (OPVs) which has been recently emerged energy harvesting device to replace widely used indium tin oxide (ITO). The potential applications of graphene in OPVs depend on the surface wettability, which is related to the chemical composition and morphology of the graphene surface. Especially, the aqueous solution poly(3,4-ethylenedi-oxythiophene):poly(styrenesulfonate) (PEDOT:PSS), which is widely used as hole transport layer to adjust the work function difference between the electrode and active layer, is hard to be uniformly coated on the graphene. Although diverse materials and methods have been reported to functionalize the graphene and add the buffer layer, important issues still remain unresolved. In this study, we demonstrate high performance OPV by employing nonionic surfactant modified PEDOT:PSS as hole transport layer. The surfactant can improve the wettability of the PEDOT:PSS on graphene by forming nanofibril structure of hydrophobic and conductive PEDOT. Moreover uniformly covered PEDOT:PSS can effectively dope the underlying graphene which is measured by the four probe measurement, decreasing 39% of sheet resistance of graphene and support the graphene during the stretching compared to the pristine graphene which supports this method as appropriate candidate for the flexible OPV. Since the surfactant incorporated PEDOT:PSS is uniformly coated and dopes the graphene, which are directly related to the improvement in the fill factor and short circuit current density, the OPV with high power conversion efficiency of 3.19% under AM1.5 is fabricated.
12:30 PM - T12.09
STM Imaging of the Transition from SiC to Graphene
Bharati Gupta 2 Neeraj Mishra 1 Ernesto Placidi 3 Conor Hogan 3 Francesca Iacopi 1 Nunzio Motta 2
1Griffith University Nathan Australia2QUT Brisbane Australia3CNR Roma Italy
Show AbstractWe studied by Scanning Tunneling Microscopy the different atomic reconstructions of 3C SiC/Si after high temperature annealing in Ultra High Vacuum, leading to the formation of Graphene. Graphene obtained from thermal decomposition of 3C SiC/Si is more appealing in terms of cost effectiveness compared to the one obtained from bulk SiC. Additionally, thermal decomposition in ultra-high vacuum (UHV) gives origin to a contaminant free surface.
Graphene was obtained by annealing 3C SiC/Si (111) substrates in UHV for 10 mins at 1250 #730;C [1]. Atomic resolution images of the surface show the transition from a nominal R30°(3/2xradic;3) to a R30°(radic;3xradic;3) reconstruction of the Si terminated layer. We have been able to obtain snapshots of this transition, leading to the formation of graphene. A distortion of the surface cell is occurring, caused by the displacement of Si atoms, which gradually disappear to leave place to the graphene structure.
This is the first time that the SiC to graphene transition is clearly imaged by STM. A DFT model of the atomic reconstruction is under development, to give account to the experimental findings.
[1] B. Gupta, M. Notarianni, N. Mishra, M. Shafiei, F. Iacopi, N. Motta, Carbon 68, 563 2014).
12:45 PM - T12.10
Challenges in Graphene Applications
Amaia Pesquera 1 Alba Centeno 1 Beatriz Alonso 1 Amaia Zurutuza 1
1Graphenea San Sebastian Spain
Show AbstractGraphene has been around for a decade however there are still no relevant industrial applications in the market. This is due to the fact that an advanced material can have a very long time to market. In addition, the term graphene covers a family of materials and each graphene type will have a different time to market.1 The challenges that need to be overcome for a new material to have a successful market uptake include cost and resistance from existing materials (for example ITO in transparent electrode applications) to mention a few.2
To follow up on this, a few applications examples that we are working on will be covered such as the use of graphene films in organic light emitting diodes (OLEDs),3,4 in biosensors and some exciting results that we have obtained using graphene to achieve the electric control of light.5,6 When graphene is intended to be used as the transparent conductor in OLEDs for example, it needs to have a high conductivity and as a consequence it has to be doped. This doping should be stable to temperature in order to withstand the working conditions of the application. A method for the stable doping of graphene will be shown.3,4
Furthermore, some views on the current stage of progress of these applications in the road to commercialization will be presented including some indicators that could reveal the bright future of this material.
[1] H. Alcalde, J. de la Fuente, B. Kamp, and A. Zurutuza, Proc. IEEE, 101, 1799 (2013).
[2] A. Zurutuza and C. Marinelli, Nature Nanotech. 9, 730 (2014).
[3] J. Meyer, P.R. Kidambi, B.C. Bayer, C. Weijtens, A. Kuhn, A. Centeno, A. Pesquera, A. Zurutuza, J. Robertson and S. Hofmann, Sci. Rep. 4, 5380 (2014).
[4] L. D&’Arsié, S. Esconjauregui, R. Weatherup, Y. Guo, S. Bharwaj, A. Centeno, A. Zurutuza, C. Cepek and J. Robertson, Appl. Phys. Lett. 105, 103103 (2014).
[5] P. Alonso-González, A. Y. Nikitin, F. Golmar, A. Centeno, A. Pesquera, S. Vélez, J. Chen, G. Navickaite, F. Koppens, A. Zurutuza, F. Casanova, L. E. Hueso and R. Hillenbrand, Science 344, 1369 (2014).
[6] J. Chen, M. Badioli, P. Alonso-González, S. Thongrattanasiri, F. Huth, J. Osmond, M. Spasenovicacute;, A. Centeno, A. Pesquera, P. Godignon, A. Zurutuza Elorza, N. Camara, F.J. García de Abajo, R. Hillenbrand and F.H.L. Koppens, Nature, 487, 77 (2012).
Symposium Organizers
Francesco Bonaccorso, Istituto Italiano di Tecnologia
Xinliang Feng, TU Dresden
Andrea Ferrari, University of Cambridge
Ado Jorio, Univ Federal do Parana Brazil
Maurizio Prato, Univ di Trieste
Symposium Support
AIXTRON
Aldrich Materials Science
T17: Photovoltaic Applications
Session Chairs
Friday PM, April 10, 2015
Moscone West, Level 2, Room 2010/2012
2:30 AM - *T17.01
Coupling Colloidal Quantum Dots and Graphene for Solution-Processed Photovoltaics
Beatriz Martin-Garcia 1 Anatolii Polovitsyn 1 Mirko Prato 1 Liberato Manna 1 Vittorio Pellegrini 1 Iwan Moreels 1
1Istituto Italiano di Tecnologia Genova Italy
Show AbstractQuantum dot (QD) solar cells are a recent technology with potential for low cost and large-scale solution-based fabrication. Although the QD solar cell performance has risen markedly, with currently an 8.5% certified efficiency,[1] it is still limited by the relatively poor transport properties inherent to nanometer-sized QDs. It has already been shown that the incorporation of graphene enhances the photocurrent. However, only few experimental studies, mainly carried out with cadmium-based QDs, have focused on the detailed understanding of the electronic interactions between QDs and graphene,[2-4] and the full potential of QD-graphene hybrid materials remains to be unlocked.
In this presentation, we will discuss the solution-based fabrication of PbS QD-graphene hybrid materials with a highly efficient charge transfer. We have prepared stable inks using ligand-modified PbS QDs, and graphene oxide (GO) or chemically reduced graphene oxide (RGO). We could use different QDs (PbS, CdSe, CdSe/CdS core/shell QDs) and various coupling strategies. Transmission electron microscopy images of the QD-graphene composites confirm that it is possible to modulate the QD coverage by varying the QD/graphene concentration ratio. In the hybrid materials, we observed a quenching of the photoluminescence (PL) emission and a shortening of the exciton lifetime, increasing with the graphene degree of reduction. By growing a 0.2 nm - 1.2 nm CdS shell around the PbS core QDs, we were able to carefully tune the PL efficiency and thus the carrier transfer. The strong shell thickness dependence, and subtle shifts in the Raman G-band after functionalization suggest a short-range Dexter charge transfer mechanism rather than Förster resonant energy transfer as the main relaxation pathway into the RGO.
The PbS-RGO hybrid materials allow exploiting the small PbS QD band gap and corresponding near-infrared absorption, [5] while enhancing the transport properties through a coupling with graphene. They may therefore be of great interest for future solution-processed photovoltaics.
References:
[1] C.-H. M. Chuang et al., Improved Performance and Stability in Quantum Dot Solar Cells through Band Alignment Engineering, Nature Mater.2014, 13, 796-801.
[2] I.V. Lightcap et al., Fortification of CdSe Quantum Dots with Graphene Oxide. Excited State Interactions and Light Energy Conversion. J. Am. Chem. Soc. 2012,134, 7109-7116.
[3] S. Kundu et al., Fluorescence Dynamics and Stochastic Model for Electronic Interaction of Graphene Oxide with CdTe QD in Graphene Oxide-CdTe QD Composite. J. Phys. Chem. C 2013,117, 23987-23995.
[4] S. Guo et al., Photoinduced Electron Transfer Between Pyridine Coated Cadmium Selenide Quantum Dots and Single Sheet Graphene. Adv. Funct. Mater. 2013,23, 5199-5211.
[5] I. Moreels et al., Z., Size-Tunable, Bright, and Stable PbS Quantum Dots: A Surface Chemistry Study. ACS Nano 2011,5, 2004-2012.
3:00 AM - T17.02
Solution Processed Nano-Engineered Nanotube Networks with Enhanced Vertical Charge Transport in a Semiconducting Polymer
Nicolas Boulanger 1 D. Barbero 2
1Umea Univ Umea Sweden2Umea University Umea Sweden
Show AbstractDue to their exceptional charge transport properties, single wall carbon nanotubes (SWNTs) are expected to enhance the performance of organic based photovoltaic (PV) solar cells through an ultrafast charge transfer process when placed in contact with a semiconducting organic interface such as poly-3-hexylthiophene (P3HT)1.
However, in order to produce efficient charge transport through the active layer, a percolated network of interconnected tubes must be formed. Typical methods (e.g. spin-coating, drop-casting) do not form an efficient pathway for charges, and they often result in randomly organized networks and nanotube aggregates which have been shown to lower conductivity2,3. We have recently developed a new method which results in a nanoscale architecture of networks with enhanced charge transport. However, temperatures above 130 deg C were necessary4.
Here, we present a new room temperature and solution processed method to produce nanoscale nano-engineered SWNT networks in a composite film made of >90% semi-conducting nanotubes in a P3HT matrix5. The nanoscale networks produced by this novel method result in several orders of magnitude increase in charge transport through the composite layer compared to a spun or drop-cast film. These nano-networks also result in a considerable increase in conductivity compared to our previous temperature based method, with the advantage of being simpler and not requiring any heating, which prevents degradation of temperature sensitive polymers.
1) Stranks, S. D. ; Weisspfennig, C.; Parkinson, P.; Johnston, M. B. ; Herz, L. M. ; Nicholas, R. J. Nano Lett. 2011, 11(1), 66-72.
2) Nirmalraj, P. N. ; Lyons, P. E. ; Coleman, J. N. ; Boland, J. J. Nano Lett. 2009, 9(11), 3890-3895.
3) Kymakis, E.; Amaratunga, G. A. J. J. Appl. Phys. 2006, 99 (8), 084302.
4) Barbero, D. R. ; Boulanger, N.; Ramstedt; M., Yu, J. , Advanced Materials 2014, 21, 3111.
5) Boulanger, N. and Barbero, D. R., 2014, submitted.
3:15 AM - T17.03
Dye-Sensitized Solar Cells with Reduced Graphene Oxide Counter Electrode Processed by Atmospheric Pressure Plasma Jets
Ting-Jui Wu 1 Hsiao-Wei Liu 1 Sheng-ping Liang 1 Haoming Chang 1 Peng-Kai Kao 1 Cheng-Che Hsu 1 Jian-Zhang Chen 1 Pi-Tai Chou 1 I-Chun Cheng 1
1National Taiwan University Taipei Taiwan
Show AbstractWe investigated dye-sensitized solar cells (DSSCs) with reduced graphene oxide (rGO) counter electrodes processed by atmospheric pressure plasma jets (APPJs) for ultra-short duration. The best achieved power conversion efficiency of 5.19% is comparable to that of the DSSC with rGO counter electrode processed with a conventional furnace. The new methodology consumes only about 1/3 the thermal budget per unit area in comparison with the conventional furnace calcination process.
The preparation procedure of rGO paste is as follows. First, ethyl cellulose and alpha-terpineol were mixed to form a viscous solution. Next, the rGO was dispersed in ethanol and then mixed with the ethyl cellulose/alpha-terpineol solution. Finally, a rotary evaporator was used to evaporate ethanol from the mixed solution. The counter electrodes were fabricated by screen-printing the paste onto FTO glass substrates, followed by the N2 APPJ treatment for various durations.
Strong emission bands from CN violet system were observed in the time-resolved optical emission spectra at the early stage of APPJ treatment, indicating the reaction between N2 plasma and the carbon content, binder and/or rGO, in the paste. With an increase in the treatment duration, the CN emission decreased rapidly and the emission was dominant by N2 second positive system instead. The CN emission without followed by a gradual decrease in the emission intensity was observed when the rGO-free testing paste was treated by APPJ, which shows that the CN emission is primarily associated with the reaction between the N2 plasma and the ethyl cellulose rather than the rGO. The selective removal of ethyl cellulose while retaining rGO renders a rapid APPJ-based process for DSSC counter electrode fabrication.
The morphologies of the APPJ-treated rGO counter electrodes were investigated by scanning electron microscopy. The rGO counter electrode without APPJ-treatment has rough surfaces and lacks obvious sheet structure. Cracks and flakes become more evident when the APPJ-treatment duration increases. APPJ treatment removes the organic binder rapidly but may introduce defects and oxidic surface groups in the rGO at the same time. The negative impact of the inferior conductivity might be counteracted by the improved catalytic activity of APPJ-treated rGO, showing a comparable performance to 15 min furnace calcination at a treatment duration of 11 s. The result demonstrates the validity of APPJ as a rapid-treatment technique to produce graphene-based counter electrodes for DSSCs.
3:30 AM - T17.04
Liquid Exfoliated Graphene and Graphene-Hybrids as Catalytic Counter Electrodes in DSSCs.
Peter James Lynch 1 Umar Khan 1 Jonathan Coleman 1
1Trinity College Dublin Ireland
Show AbstractDye-Sensitized Solar Cells (DSSCs) having efficiencies of up to 12.3% are a solution to provide cheap, renewable energy. While cheaper than conventional solar cells the DSSC&’s counter electrode which facilitates a catalytic reaction essential to the operation of the cell is currently made of Platinum, a scarce and expensive material. To this end much research has been done to replace this material with cheaper alternatives.
Graphene has been used in this instance. Many studies incorporating graphene use very thick films as the catalyst layer. While there are exceptions little work has been done to identify the effect of thickness of the graphene layer on efficiency. Analysis of different deposition methods: Dropcasting, Spincoating and Spray Printing and the effect of thickness of these films on efficiencies will yield an optimum method to minimize material used in the manufacture of these films.
Studies repeatedly cite defects and edges of graphene flakes as the centres of catalytic activity. Using a metric developed in the group to determine average lateral size and thickness of flakes will find a relationship between these dimensions and efficiency.
Addition of more effectively catalytic but less conductive materials to graphene has been seen to enhance the efficiency of these films. Addition of MoS2 to a Graphene matrix and analysis of percolation theory to explain the effectiveness of this action combined with the previous data should lead to a much cheaper and effective counter electrode for DSSCs.
3:45 AM - T17.05
Single Walled Carbon Nanotube Aerogels and Titania Hybrids for Effective Photochemical Applications
Hang-Ah Park 1 Gregory S. Rohrer 1 Mohammad F. Islam 1
1Carnegie Mellon University Pittsburgh United States
Show AbstractSingle walled carbon nanotubes have been regarded as an outstanding material for photoelectrochemical cells and photovoltaic cells as a substrate and photosensitizer. However, it is hard to demonstrate the phenomena of improvement in efficiency using single walled carbon nanotubes since they can be easily bundled reducing excellent properties of an individual nanotube in semiconductor composites. Here, we demonstrate the effect of well-dispersed single walled carbon nanotubes on semiconductor hybrids by synthesizing single walled carbon nanotubes aerogels with Titania in addition to enhanced efficiencies in photochemical applications. Single walled carbon nanotube aerogels are a new class of three-dimensional carbon nanotube networks with ultrahigh surface area, high porosity, and high conductivity. By hybridizing a semiconductor on high networks of single walled nanotube aerogels, we could maximize the properties of an individual nanotube on fast charge separation, high surface area, and stability in networks, which are critical issues for photochemical application.
To demonstrate, we first synthesize single walled carbon nanotube gel networks and deposit Titania inside of the networks followed by critical dry point to make aerogels whose density is 8mg/ml. Titania is deposited on well-dispersed single walled carbon nanotube junctions maintaining the high networks before and after water-contact experiments. Since single walled carbon nanotube aerogels have ultrahigh surface area, Titania hybrids also have high surface area that would be abundant reactive sites. Moreover, high conductivity of the single walled carbon nanotube aerogels promotes effective transportation of charge carriers at the Titania-single walled carbon nanotube hetero-junctions. With demonstration of these properties, we present the enhanced photocatalytic performance and the photoelectrochemical performance under visible light irradiation. The work would contribute to various semiconductors/single walled carbon nanotube hybrids for sustainable energy conversion devices. This work has been partially supported by the NSF through Grants DMR 0645596 and CMMI 1335417.
T18: Photonic and Sensing Applications
Session Chairs
Friday PM, April 10, 2015
Moscone West, Level 2, Room 2010/2012
4:30 AM - *T18.01
Large-scale Manufacturing of Graphene and Related Materials Inks for Flexible (Opto)electronics
Felice Torrisi 1
1University of Cambridge Cambridge United Kingdom
Show AbstractGraphene and related materials (GRMs) hold great potential for flexible (opto)electronics for their novel electrical, optical and mechanical properties. The road to realistic applications and commercialization of GRMs requires the assessment of three key factors: cost/performance, mass-production and manufacturability with respect to commercially available alternative solutions. For example, transparent conducting oxides used in displays are brittle, printable metal nanoparticles for interconnects are not cost-effective and have demanding processing requirements, while organic polymers are expensive and have limited stability. Low temperature production and deposition of GRM-based inks is thus an attractive alternative for large-area printable, flexible (opto)electronics. GRM inks enable a large range of device fabrication and integration options, such as digital and lithographic printing, roll-to-roll coating, as well as being ideal for embedding into polymer composites or other nanomaterials. Liquid Phase Exfoliation (LPE) of bulk precursor layered materials (such as graphite, MoS2 crystals, etc.) is a scalable approach ideally suited to produce inks. However, currently LPE has low yield, resulting in a low concentration of dispersed GRMs. I will give a brief overview about the development of high-yield, cost-effective and large-scale production techniques for GRM-based inks, and the portfolio of reproducible manufacturability processes enabling future GRM-based printable and flexible (opto)electronic devices and composites. I will demonstrate cost-effective, up-scalable production of high concentration graphene inks with tailored properties (on-demand size, shape, number of layers and concentration) [1]. By combining LPE with ultra-centrifugation, I will show pilot-scales to produce stable GRM inks through engineered exfoliation and chemical treatment protocols. Fine tuning of the size and shape of the flakes enables the formulation of inks, tailored for various printing and coating methods, such as inkjet, flexographic and screen printing, spray and rod coating. Their distribution of the GRM flakes and their interaction with the substrate controls the final (opto)electronic properties of the printed devices. I will discuss realistic pathways to commercialization of GRM inks and demonstrate prototypes such as: inkjet-printed graphene thin-film transistors [3], flexible transparent touch pads and photodetectors. Finally, I will present my vision on manufacturability of flexible and wearable electronic and optoelectronic devices embedding the optical, electronic, mechanical and thermal functionalities of graphene, 2d crystals and their hybrid hetero-structures.
[1] F. Bonaccorsco, et al. “Large Scale Exfoliation and Sorting of Graphene in Centrifugal Fields” submit. J. Phys. Chem. C (2015)
[2] F. Torrisi et al. “Electrifying graphene inks” Nat. Photon. (2014)
[3] F. Torrisi, et al. “Ink-Jet Printed Graphene Electronics” ACS Nano, 6, 2992 (2012)
5:00 AM - T18.02
Synthesis of Red Fluorescent Graphene Quantum Dots for Bioimaging Platform
Louzhen Fan 1
1Beijing Normal University Beijing China
Show AbstractGraphene quantum dots (GQDs) have attracted much recent attention due to their ultrafine dimensions, tunable surface functionalities and cheap synthetic routes. The stable photoluminescence (PL) and low toxicity make them outstanding as an alternative to organic dyes and quantum dots (QDs) in biological applications. On the other hand, due to the auto-fluorescence background and decreased light scattering of biological specimens, fluorescent materials are expected to emit in long wavelength region in order to provide deeper light penetration into the specimens and result in high contrast optical imaging. To date, most of the reported GQDs emit the blue to green fluorescence, and they usually exhibit excitation wavelength dependent PL. The most effective ways to tune the optical properties of GQDs are to develop fluorescent labelled GQDs by covalent modification with organic dyes or prepare large size GQDs in order to keep high conjugation extent. However, complicated preparation limits their application and they are too big to enter cells. we report a facile electrochemical approach for the synthesis of uniform small-sized red fluorescent GQDs without any chemical modification, which has been used for cellular imaging with little background.
5:15 AM - T18.03
Optimization of Graphene FETs Based Sensor for Chemical and Biological Application
Zengguang Cheng 1 Ying Fang 1
1National Center for Nanoscience and Technology, Chinese Academy of Sciences Beijing China
Show AbstractGraphene (Gra) has been proposed as a promising candidate for chemical and biological sensing. When graphene FETs fabricated on SiO2, carriers suffered from two kinds of external scattering: one is resist residue from lithography process, and the other one is charge traps exist at the Gra/SiO2 interface and also in the SiO2. Herein, we reported several methods dealing with the above factors to improve the electrical properties of graphene.
Thermal annealing has been investigated as a common method to eliminate contamination from resist residue. We systematically investigated effects of #8232;thermal annealing on surface morphologies and electrical properties of Gra FETs fabricated on SiO2 substrates. Thermal treatment #8232;above 300 °C in vacuum was required to effectively remove resist#8232;residues on graphene surfaces. At the same time, annealing at higher #8232;temperature (above 400 °C) was found to concomitantly bring graphene in close #8232;contact with SiO2 substrates, which leads to heavy hole doping and severe degradation of mobilities in graphene devices. To address this problem, a wet-chemical approach employing chloroform was developed in our study, enabling both intrinsic surfaces and enhanced electrical properties of graphene devices.
In-situ etching of the SiO2 substrate underneath graphene was carried out to eliminate external charger traps at the Gra/SiO2 interface and in the SiO2. After suspension of graphene in solution, the transconductance of Gra FETs in the linear operating modes increases 1.5 and 2 times while the noise concomitantly decreases 12 and 6 times for hole and electron carriers, respectively. Suspended graphene devices were further demonstrated as direct and real-time pH sensors. We also show #8232;that suspended graphene devices represent the optimal configuration for cardiac #8232;extracellular electrophysiology in terms of both transducer sensitivity,#8232;systematically ~5 times higher than substrate-supported devices, and forming #8232;tight bioelectrical interfaces.
Our results highlight the importance to quantify fundamental parameters that define sensitivity and noise level of graphene-based bioelectronics and demonstrate that suspended nanodevices represent attractive platforms for chemical and biological sensors.
References:
1. Geim, A.K., Nature. 2009, 324(5934), 1530
2. Cheng, Z.; Hou, J.; Zhou, Q.; Li, T.; Li, H.; Yang, L.; Jiang, K.; Wang, C.; Li, Y.; Fang, Y. Nano Lett. 2013, 13(6), 2902
3. Cheng, Z.; Zhou, Q.; Wang, C.; Li, Q.; Wang, C.; Fang, Y., Nano Lett. 2011, 11(2), 767
4. Zhang, T.; Cheng, Z.*; Wang, Y.; Li, Z.; Wang, C.; Li, Y.; Fang, Y., Nano Lett. 2010, 10(11), 4738-4741.(*co-first author)
5.Cheng, Z.; Li, Q.; Li, Z.; Zhou, Q.; Fang, Y., Nano Lett. 2010, 10(5), 1864-1868.
6. Li, Z.; Cheng, Z.; Wang, R.; Li, Q.; Fang, Y., Nano Lett. 2009, 9(10), 3599-3602.
7. Liu, J.; Cheng, Z.*; Fu, T.-M., Jin, L.; Duvvuri, M.; Zhou, T.; Jiang, Z.; Kruskal, P.; Xie, C.; Suo, Z.; Fang, Y.; Lieber, C.M., 2015. Submitted. (*co-first author)
5:30 AM - T18.04
Multi-Modal Sensing with Mechanical Modulation of the Hybrid System of Crumpled Graphene and Colloidal Photonic Crystals
Pilgyu Kang 1 Michael Cai Wang 1 Peter M Knapp 1 SungWoo Nam 1
1University of Illinois, Urbana-Champaign (UIUC) Urbana United States
Show AbstractGraphene, a monolayer of sp2-bonded carbon atoms, has been employed in many optoelectronic applications due to its exceptional electrical and mechanical properties, also the capability to modulate electronic or optical characteristics. Graphene is considered as one of the strongest materials, but the fact that graphene can sustain up to 25% tensile strain has been a challenge for applications which require a large degree of mechanical stretchability and flexibility. Recently, textured/crumpled graphene has attracted increasing attention owing to its enhanced mechanical reliability/stretchability and sustained electrical integrity under a large amount of mechanical deformation. Here we demonstrate that a hybrid system of crumpled graphene and colloidal photonic crystals allows enhanced mechanical modulation of graphene, enabling multi-modal optical and electrical sensing capability over a large stretching and bending strain. To develop the system, we transfer graphene onto a pre-stretched polydimethylsiloxane (PDMS) film in which the colloidal photonic crystals (CPCs) are embedded. The CPCs are a 10s of mm-thick substrate that 200nm-diameter polystyrene nanoparticles form a three-dimensional crystal structure. The CPC substrate embedded in a thin PDMS film appears red in relaxation and turns to green over stretching and bending, resulting from the change of interstitial distance between polymer nanoparticles. We use the CPCs as an integrated optomechanical filter/modulator where the transmission of red light illumination (peak wavelength = 635nm) through the CPCs is modulated together with the photocurrent of integrated crumpled graphene photosensors over a large degree of mechanical strain including stretching and bending. Finally, we show that the hybrid system has the capability of the multi-modal sensing with the transduction of applied strain to direct electrical readouts of photocurrent changes on crumpled graphene as well as colorimetric detection. We believe that the multi-modal sensing capability combined with the compact and compliant nature of the hybrid system could find a broad range of applications in wearable electronic devices including smart clothing, human health monitoring, and physiological motion detection on skin in the future.
T15: Functional Electronic Devices
Session Chairs
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2010/2012
9:30 AM - *T15.02
Integrating Carbon Nanomaterials into Functional Heterostructure Devices
Mark C. Hersam 1
1Northwestern University Evanston United States
Show AbstractImprovements in nanomaterial purity have yielded corresponding enhancements in the performance of electronic, optoelectronic, sensing, and energy technologies. However, as purities approach 100%, other strategies are required to achieve further improvements in device performance. Towards this end, our laboratory has focused on the integration of disparate nanomaterials into heterostructures with well-defined interfaces. For example, organic self-assembled monolayers on graphene act as effective seeding layers for atomic layer deposited (ALD) dielectrics, resulting in metal-oxide-graphene capacitors with wafer-scale reliability and uniformity comparable to ALD dielectrics on silicon. Similarly, the traditional trade-off between on/off ratio and mobility in semiconducting carbon nanotube (CNT) thin-film transistors (TFTs) is overcome by replacing conventional inorganic gate dielectrics with hybrid organic-inorganic self-assembled nanodielectrics. By utilizing unconventional gate electrode materials, the threshold voltage of semiconducting CNT TFTs can be further tuned, thus enabling the realization of CNT CMOS logic gates with sub-nanowatt static power dissipation and full rail-to-rail voltage swing. In addition, the direct integration of p-type and n-type semiconducting materials presents further opportunities for tuning charge transport. Specifically, planar heterostructures fabricated from p-type semiconducting CNTs and n-type MoS2 yield anti-ambipolar, gate-tunable diodes, while bulk heterojunctions of p-type semiconducting CNTs and n-type fullerene derivatives allow for high efficiency and environmentally stable photovoltaics. Overall, this work establishes that nanomaterial applications can be substantially enhanced and diversified into new areas through precise integration into heterostructure devices.
10:00 AM - T15.03
Semiconducting SWNTs Discrimination by Polymer Wrapping - Toward High Performing Field Effect Transistors
Maria Antonietta Loi 1 Vladimir Derenskyi 1 Widianta Gomulya 1 Jorge M. Salazar Rios 1 Satria Zulkarnaen Bisri 1 Martin Fritsch 2 Sybille Allard 2 Ullrich Scherf 2
1University of Groningen Groningen Netherlands2Bergische Univ of Wuppertal Wuppertal Germany
Show AbstractCarbon nanotubes networks in field-effect transistors (FETs) generally show limited performances with on/off ratio much inferior (105) respect to the one of single strand nanotube transistors (108). The low on/off can have different reasons can be due to the high off current or to the low on current. The first case is generally due to presence of metallic tubes in the network, while the second is determined by the low concentration of semiconducting tubes in the transistor channel.
Here we report the fabrication of FETs utilizing semi-aligned networks of polymer wrapped semiconducting carbon nanotube showing on/off ratio up to 108[1]. Semiconducting SWNTs are selected by polymer wrapping using poly-9,9-di-n-dodecyl-fluorenyl-2,7-diyl (PF12) and poly(3-dodecylthiophene-2,5-diyl) (P3DDT), and are aligned on a SiO2 surface by Blade coating. Field effect transistors fabricated in this way show carrier mobility ranging from 0.4 cm2/Vmiddot;s to 3.7 cm2/Vmiddot;s and record high on/off ratio of 108. FETs based on PF12-wrapped SWNTs shows almost symmetric ambipolar characteristic, while devices fabricated with P3DDT-wrapped SWNTs display significantly lower electron current. This results evidence that the wrapping polymer has influence not only on the quality of SWNTs dispersion, but also on the device performance. Finally, we demonstrate the importance of controlling the wrapping polymer concentration as it has significant influence on the transport characteristics.
[1] V. Derenskyi, W. Gomulya, J. M. Salazar Rios, M. Fritsch, N. Fröhlich, S. Jung, S. Allard, S. Z. Bisri, P. Gordiichuk, A. Herrmann, U. Scherf, and M. A. Loi, Adv. Mater. 26, 5969 (2014).
10:15 AM - T15.04
Surfactant-Design for Dense Assembly of Carbon Nanotubes toward Electronic Device Applications
Daisuke Kiriya 1 Kevin Chen 2 Hiroki Ota 3 Yongjing Lin 1 Peida Zhao 1 Zhibin Yu 4 Tae-Jun Ha 1 Ali Javey 1
1UC Berkeley Berkeley United States2University of California Berkeley Berkeley United States3University of California, Berkeley Berkeley United States4UC Berkeley Monterey United States
Show AbstractThe assembly of nanomaterials is a critical issue for the advancement of nanoelectronic devices. Percolation networks of single walled carbon nanotubes (CNTs) are one of the representatives as their network structure with high density are desirable in applications, especially in large-area and laminatable flexible electronic devices. However, the necessary chemicals and mechanisms for effective network assembly are still missing, especially for CNT assembly towards controlling the property of thin-film transistors. Here, we demonstrate an effective assembling strategy of CNTs from solution using a steroid-surfactant, showing an effective CNT assembly with areal coverage up to 80% or more within ten minutes. On the other hand, alky-chain type surfactants show poor assembling rate of CNTs. We analyzed the mechanism of CNT assembly from a view point of assembly of surfactants on substrates. We also demonstrated transistors with ON/OFF ratios ~105 on SiO2/Si substrates. The method is scalable even on flexible substrates and as a proof of concept, we demonstrated roll-to-roll assembly of CNTs on plastic polyethylene terephthalate (PET) with a length of 1 m. The work would be important for assembling nanomaterials, including beyond CNTs, with high density and high assembly rate for electronic devices.
Ref: D. Kiriya et al. J. Am. Chem. Soc. 2014, 136, 11188.
10:30 AM - T15.05
Ambipolar Graphene Barristors for Re-Configurable Logic Circuits
Yuan Liu 1 Yu Huang 2 Xiangfeng Duan 1
1UCLA Los Angeles United States2University of California Los Angeles Los Angeles United States
Show AbstractVertical heterostructure based on graphene have emerged an unqiue architecture for novel electronic devices with unusual characteristics. Here we report a new design of vertical ambipolar barristors based on graphene-silicon-graphene sandwich structure, using graphene as an "active contact" and thin film silicon as the effective channel. Importantly, with finite density of states and weak screening effect of graphene, we demonstrate, for the first time, that both the carrier concentration and carrier type in the sandwiched silicon can be readily modulated by gate potential penetrating through graphene. It can thus enable a new type of ambipolar barristors with an on-off ratio exceeding 103. Significantly, these ambipolar barristors can be flexibly configured into either p-type or n-type transistors and used to create integrated circuits with reconfigurable logic functions. This unconventional device structure and ambipolar reconfigurable characteristic can open up exciting opportunities in future electronics based on graphene or graphene heterostructures.
10:45 AM - T15.06
Effective Large Scale Diffusion Barriers from Tightly Stacked CVD Grown Graphene
Christian Wirtz 1 2 Nina Berner 1 Georg Duesberg 1 2
1CRANN, Trinity College Dublin Dublin Ireland2Trinity College Dublin Dublin Ireland
Show AbstractGraphene has been long thought of as a perfect barrier material due to its impermeability to all gases as well as mechanical and chemical durability. Moreover, graphene layers are transparent and conductive, significantly widening the field of potential applications beyond simple barrier coatings. However, it is very challenging to realise such barriers on a macroscopic scale as immaculate large area films are not available. In this work we present a highly effective oxygen gas barrier made from multiple layers of chemical vapour deposited graphene. The individual graphene layers were stacked using a modified polymer-assisted transfer method, avoiding polymer residue, yielding an oxygen-tight arrangement. A stack of 3 layers of graphene transmitted 6.9 cm3/m2/day of O2 which corresponds to 1.10x10-17 cm3 cm/cm2 s (cm Hg) when normalised to thickness and pressure. This is several orders of magnitude better than any macroscale graphene coating reported to date and performs on a level that can compete with most modern coatings while being much thinner and conductive.
T16: Energy Storage Applications
Session Chairs
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2010/2012
11:30 AM - *T16.01
Flexible and Conductive MXene/Nanocarbon Composite Paper for Energy Storage
Yury Gogotsi 1 Mengqiang Zhao 1 Chang Ren 1 Zheng Ling 1 3 Maria Lukatskaya 2 Michel W Barsoum 1
1Drexel University Philadelphia United States21988 Philadelphia United States3Dalian University of Technology Dalian China
Show AbstractCarbon nanomaterials, such as carbon nanotubes (CNT) and graphene, are attracting much attention as candidates for electrodes of energy storage devices due to their large open surfaces and high conductivity. Recently, MXenes, a new family of 2D carbides, have shown their great promise for electrodes in supercapacitors and Li-ion batteries. [1, 2] Herein, our new results show that the incorporation of nanocarbons into MXene films results in composite papers with much improved performance. [3]
Free-standing sandwich-like MXene/nanocarbon composite papers composed of alternating layers of MXene and carbon nanoparticles, including 0D onion-like carbons, 1D CNTs, and 2D graphene, were fabricated by vacuum filtration method. The resulting “papers” have good flexibility, high electrical conductivity and a structure accessible to electrolyte ions. When employed as electrodes for supercapacitors in aqueous electrolyte, capacitances of ~390 F/cm3 and ~440 F/cm3 were achieved by MXene/CNT and MXene/graphene composites, respectively. Besides, the composites showed ~75% increase in rate performance compared to pure MXene films and exhibited no capacity degradation after 10,000 cycles. The MXene/nanocarbon composite papers can also serve as stable anodes for high-rate Li-ion batteries. The niobium-based MXene/CNT composites achieved a high reversible capacity of ~650 mAh/g at 0.1 C (10 hrs charge/discharge). At 10 C (6 min charge/discharge), a reversible capacity of ~300 mAh/g was retained for >300 cycles, indicating excellent rate performance and cycling stability. These conductive, flexible and mechnaically strong papers are promising materials that can be used in wearable or structural electrochemical energy storage and conversion systems.
[1] M. Naguib, et al., MXenes: A New Family of Two-Dimensional Materials, Advanced Materials, 26, 992-1005 (2014)
[2] M. R. Lukatskaya, et al., Cation Intercalation and High Volumetric Capacitance of Two-dimensional Titanium Carbide, Science, 341, 1502-1505 (2013)
[3] M. Q. Zhao, et al. Flexible MXene/Carbon Nanotube Composite Paper with High Volumetric Capacitance, Advanced Materials, in press (2014)
12:00 PM - T16.02
Design Principles for Graphene-Based Materials to Enhance Supercapacitor Performance
Alexander J Pak 1 Eunsu Paek 1 Gyeong S Hwang 1
1University of Texas at Austin Austin United States
Show AbstractGraphene-based materials have attracted considerable interest for electrochemical double layer capacitor applications due to their high specific surface area and electrical conductivity. However, one of the major limitations of graphene electrodes is the finite quantum capacitance at low applied potential. More specifically, this limitation serves to restrict the overall capacitance which is dependent on the series electric double layer and electrode quantum capacitances. Recently, modifications to graphene electrodes, such as through nitrogen doping, have demonstrated superior electrode performance as a result of enhanced quantum capacitance. In this presentation, we propose several strategies to further enhance performance by improving the quantum and double layer capacitances. Some possible materials design avenues include the introduction of structural defects, mechanical deformation, chemical dopants, and functionalization. We will discuss the relative benefits of each of these approaches as well as means to tailor graphene-based materials for use in supercapacitors.
12:15 PM - T16.03
Monolithic Graphene Foams: Interfacial Charge Induced Macroscopic Phenomena
Juergen Biener 1 Marcus A. Worsley 1 Jonathan R. I. Lee 1 Brandon C. Wood 1 Patrick G Campbell 1 Michael Bagge-Hansen 1 Tadashi Ogitsu 1 Subho Dasgupta 2 Horst Hahn 2 Joerg Weissmueller 3 Theodore Baumann 1
1Lawrence Livermore National Laboratory Livermore United States2Karlsruhe Inst of Technology Eggenstein-Leopoldsh Germany3Technische Universitauml;t Hamburg-Harburg Hamburg Germany
Show AbstractMonolithic graphene based carbon foams with hierarchical three dimensional (3D) architectures and high mass-specific surface area have many promising applications as electrode materials ranging from electrical energy storage to desalination and catalysis. However, surprisingly little is known about the fundamental relationships between charge storage by electrochemical interface polarization, electronic structure, and macroscopic properties of the material despite their obvious importance for material design. Here, we will discuss how electrochemical polarization of the carbon/electrolyte interface triggers macroscopic phenomena such as pronounced conductivity changes of graphene electrodes (up to 300%) [1,2] and strain effects (up to 2 %) [3,4]. The underlying atomic scale phenomena are explored using a combination of atomistic modeling (DFT and MD) and various in-situ characterization techniques, including synchrotron-based in-situ electron spectroscopy. Our results open the door to new applications of monolithic nanocarbon foams including all-carbon bulk actuator and transistor technologies.
Work at LLNL was performed under the auspices of the U.S. DOE by LLNL under Contract DE-AC52-07NA27344.
(1) Biener, J., et al., Macroscopic 3D Nanographene with Dynamically Tunable Bulk Properties. Adv. Mater. 2012, 24, 5083-5087.
(2) Dasgupta, S., et al., Dynamic Control Over Electronic Transport in 3D Bulk Nanographene via Interfacial Charging. Adv. Funct. Mater. 2014, 24, 3494-3500.
(3) Shao, L.H., et al., Electrically Tunable Nanoporous Carbon Hybrid Actuators. Adv. Funct. Mater. 2012. 22, 3029-3034.
(4) Shao, L.H., et al., Electrocapillary maximum and potential of zero charge of carbon aerogel. Phys. Chem. Chem. Phys. 2010, 12, 7580-7587.
12:30 PM - T16.04
Corrugated and Porous Graphene from COFs for Use as Supercapacitors
Gonzalo Abellan 1 2 3 David Rodriguez 4 Jorge Romero 3 Antonio Ribera 3 Ruben Mas 4 Felix Zamora 4 Eugenio Coronado 3
1University of Erlangen-Nuuml;rnberg Erlangen Germany2University Erlangen-Nuuml;rnberg Erlangen Germany3University of Valencia Paterna Spain4Universidad Autoacute;noma de Madrid Madrid Spain
Show Abstract12:45 PM - T16.05
Probing Structure-Function Relationships with Graphene Monolayer Electrodes: The Importance of Disorder for Enhanced Electrochemical Performance
Michael Allan Pope 1
1University of Waterloo Waterloo Canada
Show AbstractGraphene-based electrodes hold promise for a wide variety of electrochemical applications such as in supercapacitors, electrochemical sensors and as electrocatalysts. While fundamental electrochemical studies have been carried out on mechanically exfoliated (Scotch-tape) graphene and vapor deposited materials, most applications require thicker, porous electrodes assembled from aggregated submicron-sized graphene typically obtained by thermal or chemical reduction of graphene oxide. In general, the porous nature of the resulting films precludes a quantitative analysis of important fundamental electrochemical parameters such as the interfacial capacitance or rate constants for various heterogeneous electrochemical reactions.
In this talk, I present a general method for characterizing these intrinsic electrochemical properties in the absence of porosity-related artifacts and uncertainties. This is achieved by assembling graphene monolayers at the air-water interface and transferring films to various electrode substrates. This system enables the characterization of graphene-based materials in a well-defined 2D geometry and provides an effective means of holding morphology and surface area constant while investigating the effects of structural and chemical changes brought about by the production method or post-modification procedures.
This model system was used to demonstrate the significant performance changes observed as the level of defects and oxygen-containing functional groups are varied by thermal annealing and chemical reduction treatments. Under optimal conditions a four-fold increase in the intrinsic capacitance of the graphene/electrolyte interface is observed suggesting that the theoretically achievable capacitance and energy density of graphene-based supercapacitors can be significantly enhanced. Furthermore, the activity of the Co(byy)3(II/III) redox mediator used in dye sensitized solar cells was found to change by more than two orders of magnitude over the range of materials testd. In all cases, a maximum in performance is observed for graphene-based materials which exhibit a significantly disordered structure compared to pristine graphene.