Exhibitors



3D-Micromac AG

3D Micromac Logo

Booth 207

Key Products: microDICE—Wafer Dicing System

3D-Micromac AG is the industry leader in laser micromachining. We develop processes, machines and turnkey solutions at the highest technical and technological level. Our aim is to provide superb customer satisfaction even for the most complex projects. 3D-Micromac delivers powerful, user-friendly and leading edge processes with superior production efficiency. These proprietary technology innovations are now readily available on a worldwide scale.

[email protected]  |  3d-micromac.com


ACME Electronics Corporation

Acme

Booth315

Key Products: High Purity SiC Powder

ACME Electronics Corp. is a professional supplier of high purity SiC powder. We belong to the second largest petroleum group in Taiwan. ACME can produce 5N/6N SiC powder and fine SiC powder for sintered ceramics with our unique processes, and our products are approved by Tier 1 Wafer manufacturers. Our aim is to provide high quality SiC powder for power semiconductor and related applications, including Consuming products, Automotive, Energy, and Aerospace. 

www.acme-ferrite.com.tw


AIXTRON SE

AIXTRON logo

Booth 211

Key Products: MOCVD, PECVD, CVD, OVPD, PVPD and ALD Deposition Equipment

AIXTRON SE is a leading provider of deposition equipment to the semiconductor industry. The Company's technology solutions are used by a diverse range of customers worldwide to build advanced components for electronic and opto-electronic applications based on compound, silicon, or organic semiconductor materials, as well as polymers, carbon nanotubes (CNT), graphene and other nano materials. Such components are used in fiber optic communication systems, wireless and mobile telephony applications, optical and electronic storage devices, computing, signaling and lighting, as well as a range of other leading-edge technologies.

[email protected]  |  www.aixtron.com


Ascatron AB

Ascatron-logo

Booth 411

Key Products: SiC Power Semiconductors; SiC Epitaxy Wafers and Services; Customer Solutions

Ascatron develops next generation Silicon Carbide power semiconductors radically reducing losses in electrical transformers. The high voltage power device products are based on Ascatron’s advanced 3DSiC® material technology with buried doping structures to reduce the surface electric field. This gives very robust devices with lower losses and reliable operation at full power. Target applications are high voltage power electronics >10kW used in e.g. solar power, electric vehicles and smart grids. Ascatron also offers SiC epitaxy services for prototyping with flexible specifications and a wide range of thicknesses for both n- and p-type doping. Ascatron started the operation in 2011 as a spin-out from the Swedish research center Acreo, and has 12 employees in Sweden.

[email protected]  |  www.ascatron.com


Aymont Technology, Inc.

Aymont Logo

Booth 414

Key Products: Crystal Growth Furnaces

Aymont Technology is a manufacturer of crystal growth furnaces for single-crystal silicon carbide and related materials.  We offer both induction (SP-series) and resistance-heated (SR-series) furnaces for 100mm, 150mm and 200mm diameter production of SiC.  With these furnaces, we provide proprietary turnkey processes for growth of device-quality and gemstone-quality SiC.  We also manufacture and supply production quantities of CVD silicon carbide source material powder for crystal growth and other applications.

[email protected]  |  www.aymont.com


centrotherm international AG

centrotherm logo

Booth 512

 

Key Products: c.OXIDATOR 150 High-temperature Furnace for Si and SiC Oxidation; c.ACTIVATOR 150 High-temperature Furnace for SiC Annealing

centrotherm has been developing and realizing innovative thermal solutions for over 60 years. As a leading and globally operating technology group, we offer production solutions for the semiconductor and microelectronic industries. Our equipment is designed for all needs from R&D to mass production and applicable for various semiconductor technologies and applications, such as logic and memory devices (e.g., Flash, DRAM), power semiconductors (e.g., Si, SiC), LED, SMT, MEMS or sensor technology. The product portfolio comprises horizontal and vertical batch furnaces (atmospheric or vacuum processes), vertical high temperature furnaces (annealing < 2000°C, oxidation < 1500°C), and single wafer systems < 300mm wafer diameter (RTP, low-temperature microwave oxidation).

www.centrotherm.de


Ceramicforum Co., Ltd.

CeramicForum

Booth 522

 

Key Products: SiC Crystal Strain Observation Tool; Wafer Flatness Tester; Confocal Raman Microscope

Ceramicforum has been involved in the SiC market in Japan since the early 2000s starting out as distributor of SiCrystal substrates, later also taking Norstel and Ascatron amongst others on board. While representing wide bandgap semiconductor-related measurement equipment makers, they also started R&D and sales of their very own Crystalline Tester CS1, an easy-to-use tool to check for crystal stress in semiconductors.

www.ceramicforum.co.jp


CoolCAD Electronics LLC 


CoolCAD PSIM Logo


Booth 415

Key Products: WBG Circuit Simulation; SPICE; SiC SPICE Model Development; SiC SPICE Parameter Extraction; WBG System Simulation

CoolCAD and Powersim are collaborating to bring you the ultimate simulation environment that will get you to the market rapidly and efficiently. Powersim is specialized in electrical simulation and design tools for research and product development in power supplies, motor drives, power conversion and control systems. CoolSPICE software from CoolCAD is specialized in SPICE model development, parameter extraction and circuit analysis for WBG power devices (SiC and GaN). The inventive PSIM + CoolSPICE software package provides in-depth analyses of circuits and systems containing the new WBG devices for rapid product development.

[email protected]  |  www.coolcadelectronics.com


CORWIL Technology

CORWIL Logo
Booth 318

Key Products: SiC Die Preparation and Assembly

CORWIL was founded in 1990 to provide high quality and responsive IC assembly and test services to the semiconductor, OEM electronics, military and aerospace, and medical industries. CORWIL is a diversified provider of various services, including wafer thinning and dicing, optical/manual inspection, and full assembly and testing of ICs as well as complex modules.  CORWIL has extensive experience with SiC die preparation and assembly and has dedicated engineering talent to ensure success.

[email protected] | www.corwil.com


Dow Corning

Dow Corning LogoBooth 316

 

Key Products: SiC Wafers; Epitaxial Wafers

Dow Corning, a wholly owned subsidiary of The Dow Chemical Company with locations worldwide, is a vertically integrated silicon carbide (SiC) manufacturer that provides industry-leading crystal quality and a highly reliable supply of SiC wafers and epitaxy products for power electronics applications. The three tiers of manufacturing-quality substrates in our Prime Grade portfolio offer unique solutions that enable optimization of specific power electronics design goals. Backed by more than 70 years of proven expertise and renowned customer service, Dow Corning will work with customers to develop solutions that will improve the cost efficiency, reliability and performance of their most advanced power electronics components.

dowcorning.com/compoundsemiconductor


EAG Laboratories

EAG-logo_We-Know-How

Booth 215

Key Products: Surface Analysis; Materials Characterization; Composition & Materials ID; Contaminant Identification; Trace Elemental Analysis; Advanced Microscopy

EAG Laboratories is a global scientific services company serving clients across a vast array of technology-related industries. Through multidisciplinary expertise in the life, materials and engineering sciences, EAG Laboratories helps companies innovate and improve products, ensure quality and safety, protect intellectual property. Stop by to learn more about our capabilities in surface analysis and materials characterization services. Ask EAG. We Know How.

[email protected]  |  www.eag.com


EpiWorld International Co., Ltd.

EpiWorld logo

Booth 420

Key Products: 4H-SiC Epitaxial Wafer (N-type)

EpiWorld International Co., Ltd. is a pure play SiC epitaxial service foundry, equipped with multiple advanced epitaxial systems for 3'', 4'' and 6'' SiC epitaxy, auto defect identification and mapping systems in a class-100 cleanroom. We provide standard 4H-SiC epitaxial wafers for Schottky diodes, MOSFETs, JFETs and BJTs over a wide voltage range for green energy systems such as solar inverters, wind farms, hybrid and electric vehicles, and numerous other energy-efficient systems. Our mission is to be the leading SiC epitaxial service foundry in the global market, providing the highest quality epitaxial wafers with the most competitive price in the shortest delivery time.

[email protected] | www.epiworld.com.cn


FAU-Industrial SiC Crystal Growth Services

FAU Logo

Booth 317

Key Products: High Temperature Crystal Growth & Technology Services

The Crystal Growth Lab at the Materials Department 6 (University of Erlangen-Nürnberg) offers, in conjunction with the University Knowledge and Technology Transfer Office, services for industrial partners in the field of high temperature crystal growth and technology. In particular, high temperature crystal growth and epitaxy of wide band-gap semiconductors like silicon carbide and related materials belong to the key competences.

  • PVT growth machine design and prototyping
  • X-ray in-situ visualization of the PVT growth process
  • SiC PVT process development
  • SiC powder studies for PVT growth
  • Training of industrial staff (SiC growth school)

[email protected]  |  crystals.tf.fau.de


Fraunhofer IISB

Fraunhofer IISB Logo

Booth 219


Key Products: SiC Research and Development Facility

The Fraunhofer Institute for Integrated Systems and Device Technology IISB conducts applied research and development in the fields of power electronics, mechatronics, microelectronics and nanoelectronics. Fraunhofer IISB is the leading institute for SiC research and development in Germany and has been cooperating with international partners for more than 20 years. IISB is offering internationally recognized expertise in terms of SiC services and contract research from materials development and characterization, device manufacturing to module assembly and power electronic systems. IISB operates the p-Fab which is dedicated to technology development and prototype device fabrication on Si and SiC wafers. 

www.iisb.fraunhofer.de


Freiberg Instruments GmbH

Freiberg Logo
Booth 504

Key Products: Single Crystal Diffractometer (XRD); Automated Sorting and Stacking Devices; Electrical Semiconductor Characterization Devices—MD-PICTS, MDP

Freiberg Instruments is a young and dynamic analytical instrumentation company with products and services covering industries such as Crystal Growth & Processing, Microelectronics, Photovoltaic and Research & Development. Our ultra-fast, unique Omega-scan method of measuring crystal orientation has become the accepted standard for industrial quality control of multiple types of crystalline materials.

[email protected]  |  www.freiberginstruments.com


Global Power Technologies Group

GlobalPowerTech

Booth 310

Key Products: Silicon Carbide Epiwafers

Global Power Technologies Group Epitaxial Wafer Division focuses on producing high quality silicon carbide epiwafers for our internal and external customers. Our n-type epitaxy is grown on a high volume, multi cassette, robotic loading, and production silicon carbide reactor. Wafer diameters available today are 100 mm and 150 mm but the tool has capability to handle 200 mm and up to 300 mm for future substrate sizes. Epiwafers are characterized for layer thickness with a spectral reflectance tool that has advantages of simplicity and throughput over the more typical FTIR approach. Doping levels are measured with Hg based CV techniques. Defects are measured and sorted through a microscope imaging based system. The epiwafer loading ports and all characterization tools are housed in a Class 10 operating space.

www.gptechgroup.com


GT Advanced Technologies

GT Adv Tech Logo
 Booth 511

 


Key Products: Crystal Growth Equipment; Sapphire Material

GT Advanced Technologies Inc. is a diversified technology company producing innovative crystal growth equipment for the solar PV and power electronics industries and sapphire material for precision optics and other specialty industries. The company’s technical innovations accelerate the use of advanced materials, enabling a new generation of products across this diversified set of global markets. GT has a long history with developing silicon carbide equipment and solutions going back more than 15 years. The company pioneered the growth of two- and four-inch silicon carbide boules in its SiClone™ sublimation furnaces. Some of the largest captive producers of silicon carbide are using technology developed by GT. The company is well positioned to offer production-ready solutions with a strong IP portfolio across the entire production process.

[email protected]  |  www.gtat.com


II-VI Advanced Materials

IIVI-Advanced-Materials-Logo

Booth 510



Key Products: Single Crystal SiC Substrates for RF and Power Electronics Applications, Up to 200mm Diameter

II-VI Advanced Materials is a leading global supplier of high quality single crystal SiC substrates. These products enable key technologies across a wide variety of fast growing markets, including mobile communications infrastructure, RF and high power electronics. Please visit www.iiviadvmat.com or www.ii-vi.com for more information.

[email protected]  |  www.iiviadvmat.com


Keysight Technologies Inc.

Keysight-

Booth 311



Key Products: Electronic Test and Measurement Equipment

Keysight Technologies is proud of its roots as Hewlett-Packard’s original test and measurement business, and it continues its mission to help customers bring breakthrough electronic products and systems to market faster and at a lower cost. Keysight has solutions to test SiC devices, modules and wafers at up to 1500 A and 10 kV and across temperatures ranging from -50°C to 250°C. It also has solutions to measure SiC junction capacitances at up to 3 kV and gate charge at up to 1100 A and 3 kV. Keysight generated revenues of $2.9B in fiscal year 2016.

www.keysight.com

KITEC microelectronic technologie GmbH

KITEC-Logo

Booth 322

Key Products: Non-contact Sheet Resistance Measurement Tools

KITEC is supplying non-contact sheet resistance measurement tools. The M-RES series for semiconductors are available with and without thickness compensation starting from manual R&D tools through mapping systems to fully automated systems. We cover applications such as wafer/substrate measurements and EPI, implant and metallization process monitoring. A bow and warp compensation is integrated in our advanced system.

[email protected]  |  kitec-resistance-measurement.com


KLA-Tencor Corporation

KLA-Tencor

Booth 407


Key Products: Stylus Profiler; Universal Microscope

With tighter design limits and the escalating need to increase yield and reduce semiconductor manufacturing costs, automated defect inspection to detect and classify defects in compound semiconductor processing is more critical than ever. KLA-Tencor’s Candela semiconductor inspection tool combines the elemental principles of scatterometry, ellipsometry, reflectometry, and topographical analysis to detect defects and then to classify defects in substrates, epi-layers, and process films. Candela semiconductor inspection technology is being used to detect and classify defects by industry leaders in Power Device, LED and GaAs, industries to monitor production lines, detect mission critical defects of interest, and create process-specific recipes to detect and classify killer defects while ignoring nuisance defects.

[email protected]  |  www.kla-tencor.com


Lasertec Corporation

Lasertec

Booth 205

Key Products: Inspection and Metrology Equipment

Lasertec Corporation, founded in 1960, has grown into a world leading innovator of inspection and metrology equipment serving the global semiconductor and related industries. Guided by its corporate philosophy, “Create unique solutions; Create new value,” Lasertec has created several new tools to help companies developing and manufacturing the next generation of wide band gap semiconductors. Tools being highlighted at ICSCRM2017 are SICA88 and TROIS33EX. SICA88 is a confocal/DIC-based inspection/analysis tool not only capable of detecting all the critical defects at high sensitivity but also automatically classifying killer defects optimizing to enable the highest yielding devices. TROIS33EX is the latest addition to the inspection/analysis tool portfolio for customers who deal with GaN and other transparent substrates.

www.lasertec.co.jp/en/


LayTec AG


LayTec

Booth 505

Key Products: Compound Semiconductor Process Monitoring Instrumentation

LayTec is a major provider of integrated metrology for thin-film deposition and other high value generating processes. LayTec’s equipment is used in a broad range of applications like optoelectronics, electronics, PV, displays, optics and photonics, SEMI and flash memory production, automotive industry and others. LayTec’s integrated metrology tools provide access to all key parameters during deposition processes in real-time—either in-situ during the process or in-line during substrate transfer between deposition chambers. In combination with software packages for SPC and APC, LayTec’s metrology allows for fab-wide optimization of processes and film quality, better production efficiency, high yields and reduced costs. In addition, LayTec metrology tools are of crucial importance in the R&D labs of research organizations where new materials, processes and device structures are developed.

[email protected]  |  www.laytec.de


Lehighton Electronics, Inc.

Lehighton logo

Booth 417

Key Products: Metrology Equipment

Lehighton Electronics provides non-contact, non-destructive, in-process and post-process measurement and mapping of sheet resistance and carrier mobility of semiconducting and conducting layers and thin films on semiconducting and insulating substrates, enabling manufacturers to meet tight specifications in the most demanding applications, and minimize manufacturing costs by maximizing process yield and efficiency. Introducing the LEI3200 contactless sheet resistance, carrier concentration, and mobility all-in-one system. The one non-destructive system that measures critical transport and electrical properties of compound semiconductor device manufacturers. Visit LEI to talk about their 1500 sheet resistance, 1600 mobility and 3200 all-in-one nondestructive metrology solutions.

[email protected]  |  www.lehighton.com


LPE S.p.A.

LPE logo

Booth 422

Key Products: Si and SiC Epitaxial Systems; Batch and S/Wafer Systems; Process 100mm-200mm

LPE’s years of epitaxial expertise is reflected in their system designs and capabilities. Their proprietary horizontal gas injector design helps provide consistent and repeatable process and thickness uniformity. Our system flexibility gives the engineering team the option of running multilayer processes within the same run. Other advantages are increased thruput and quicker ramp times by utilizing automation at higher temperatures while providing lowest COO and CPW. This makes the PE106 your best choice for any engineering or production environment. 

[email protected]  |  www.lpe-epi.com


Mersen USA Bay City

Mersen logo

Booth 313

Key Products: Carriers for MOCVD/Epitaxy, Insulation and Graphite Components for Crystal Growth

Mersen is a leading expert in carbon based materials for high temperature applications. Mersen serves the SiC materials and devices industry primarily by supplying MOCVD/Epitaxy carriers and reactor parts, and high performance carbon fiber insulation and isomolded graphite for crystal growth applications. In addition, we supply SiC coated and ultra-pure graphite components for RTP and ion implant systems. Leveraging highly engineered pure materials, high precision CNC machining and proprietary CVD coatings, we partner with our customers to improve part designs for optimum lifetime and performance. Our lead times are very competitive and we offer quick turn-around services for your R&D parts.

[email protected]  |  www.mersen.com  |  www.graphite-eng.com


mi2-factory GmbH


mi2 factory Logo

Booth 520


Key Products: Energy-filtered Ion Implantation Services; Customer-specific Solutions for Doping Accuracy Improvement

mi2-factory GmbH is a German high-tech start-up company with focus on micro-engineering tools for processing semiconductors. We have developed an improved doping technique by means of high-energy ion implantation. The technology is based on an Energy Filter for Ion Implantation (EFII), which allows for very precise, depth-distributed doping of any desired semiconductor material. The EFII technology is offered to semiconductor power device manufacturers who are in particular, but not exclusively, dealing with silicon carbide (SiC) high-voltage Diodes, MOSFETs and Superjunction Devices and also to SiC substrate suppliers. Furthermore, EFII is offered to high-energy ion implantation foundries, ion beam accelerator manufacturers and end-station manufacturers.         

www.mi2-factory.com


Mitsui Bussan Electronics Ltd.

Mitsui Logo

Booth 213

Key Products: Solid Laser Annealers

Mitsui Bussan Electronics Ltd. is a sales distributor of Sumitomo Heavy Industries Ltd., the manufacturer of efficient solid laser annealers for next generation power devices. The application of this laser annealer is Silicon Carbide Back Side Ohmic Contact. Metal-Silicon Carbide interface is heated to a high temperature suppressing the temperature rise in non-irradiation side, and the generation of the ohmic contact is achieved by the original, high-speed laser annealing method.

www.mbel.co.jp/english


Nanotronics

Nanotronics Logo

Booth 321

Key Products: nSpec®: Automated Optical Inspection System

Nanotronics helps their customers improve yield, reduce footprint and scrap, and iterate on their processes faster. We automate industrial microscopes used for inspection of the world's smallest technologies: semiconductors, microchips, hard drives, LEDs, nano-fillers, nanotubes, and more. nSpec® is an integrated part of production processes at many of the world's leading manufacturers. nSpec® is powered by advanced computer vision, machine learning, and artificial intelligence software analyzers to deliver maximum value and capability at lower cost.

[email protected]  |  www.nanotronics.co


Nissin Ion Equipment Co., Ltd.

Nissin

Booth 304


Key Products: Ion Implanters for Semiconductor Process and Flat Panel Displays

Nissin Ion Equipment aims at promoting a development-based business through the manufacture of various equipment at the core of which are proprietary ion beam and plasma technologies. IMPHEAT is the only mass production tool for high temperature ion implantation. It features the high throughput operation, the precise angle and dose control, the high temperature doping, and the ability of implant various ion species such as Al, B, N, and P. IMPHEAT is useful to manufacture SiC pn junction at high temperature ion implantation.

www.nissin-ion.co.jp/en/

Norstel AB

norstel logo

Booth 320

Key Products: SiC N-type Substrates; SiC SI Substrates; SiC Epitaxy

Norstel AB develops and produces high quality SiC N-type and SiC SI substrates for power and RF semiconductor applications as well as SiC epitaxial wafers and services. From its SiC PerfectionTM program, Norstel offers SiC wafers which exhibit the lowest possible defect rates for substrates and SiC epitaxy. Next to its proven sublimation process used for high quality N-type wafers, Norstel has commercialized its world-leading and patented HTCVD growth technology which delivers undoped, high resistivity semi-insulating 4H SiC substrates whose high purity and low defects make them ideally suited for high performance RF GaN HEMT applications.

[email protected]  |  www.norstel.com


NOVASiC SA

NOVASiC

Booth 423

Key Products: 3C-SiC and 4H-SiC Epitaxy; Polishing, Reclaim, Thinning and Planarization Services

NOVASiC provides SiC epitaxy and wide bandgap polishing services. NOVASiC also provides 4H-SiC on 4H-SiC and 3C-SiC epitaxy on silicon—useful templates for nitride growth. We specialize in high-quality polishing of SiC, GaN, AlN, diamond, ZnO... Our polishing is widely recognized as the industry's benchmark. NOVASiC provides reclaim, planarization and thinning services—from removing as little as 1000Å to thinning substrates to 150 microns, or even less. NOVASiC’s headquarters are in the French Alps, near Grenoble.

[email protected]  |  www.novasic.com


NuFlare Technology, Inc.

NUFLARE

Booth 405

Key Products: EPIREVO™ S6—150mm Single Wafer SiC Epitaxial System

NuFlare’s SiC Epitaxial System EPIREVO™ S6 is based on the same concept and key features as NuFlare’s Si technology (HT2000FD). These key features consist of Vertical Gas Flow, High Speed Wafer Rotation, Direct Wafer Temperature Measurement, and Multi Zone Controlled Solid Heater. With the combination of vertical gas flow and high speed rotation, EPIREVO™ S6 forms an ultra-thin and uniform concentration boundary layer. The boundary layer becomes thinner as the rotation speed increases. The growth rate increases with the rotation speed as the source gas diffusion rate is accelerated by the thinner boundary layer.

[email protected]  |  www.nuflare.co.jp/english/

Park Systems Inc., 

Park Systems Logo

Booth 523

Key Products: Atomic Force Microscopes—Park NX10; Park NX20; Park NX-Hivac                              

Park Systems is a world leading manufacturer of atomic force microscopy (AFM) systems with a complete range of products for researchers and industry engineers in biological science, materials research, semiconductor, and storage industries. Park's AFM provides the highest data accuracy, superior productivity, and lowest operating cost. See our Park NX10 and Park NX20, the premiere choices for nanotechnology research, at http://www.parkafm.com.

[email protected]  |  www.parkafm.com


Revasum

Revasum

Booth 223

Key Products: Grinding/CMP Equipment for Cost Effective SiC Wafer Processing

At Revasum, we are dedicated to helping our customers succeed. Whether the goal is to improve performance, reduce costs, or increase productivity, we are diligent in our efforts to provide CMP and grinding solutions to meet these needs. As your requirements change, we want to help develop and further optimize your processes. We are likely to become an extension of your team. Revasum is a new company that acquired the technology from Strasbaugh. Using this technology, we will develop new targeted CMP and grinding equipment. Located in San Luis Obispo, CA, Revasum offers new and certified refurbished systems for sale worldwide.

[email protected]  |  revasum.com

Rigaku Corporation

Rigaku_logo

Booth 306

Key Products: X-ray Based Measurement Tools

Rigaku is a pioneer and world leader in designing and manufacturing X-ray based measurement tools to solve semiconductor manufacturing challenges since its inception in 1951. Rigaku specializes in making TXRF to measure surface metal contamination and X-Ray Topography to analyze crystal dislocations. Also, we offer XRF, XRD and XRR metrology tools to measure critical process parameters like thin film: thickness, composition, roughness, density, porosity, and crystal structure.

[email protected]  |  www.rigaku.com

RIST logo

Booth 517

RIST

Key Products: SiC Wafers

RIST, established by POSCO in 1987, is a research organization specializing in practical development. RIST’s huge contribution has led to a success story in POSCO by building technology development systems and developing next-generation innovative technology such as Strip Casting and FINEX along with POSCO and POSTECH. RIST conducts researches in the fields of advanced materials, future energy, and the green sector for human, and the technology that will enrich the future of humanity.

www.rist.re.kr


SAES Pure Gas, Inc.

SAES Pure Gas Logo

Booth 319

Key Products: Gas Purifiers for Hydrogen, Nitrogen, Ammonia and Others

SAES Pure Gas, a member of the SAES Group, manufactures point-of-use and bulk gas purifiers for SiC and other compound semiconductor applications. Gases purified include Argon, Hydrogen, Nitrogen and other specialty gases. SAES Pure Gas has the widest array of purifier technologies including: Heated Getter, Adsorber, and Palladium Membrane.

[email protected] | www.saespuregas.com


Semiconductor Technology Research, STR Group

STR Logo

Booth 314

Key Products: Software Products: SimuLED, SimuLAMP, CVDSim, Virtual Reactor

Semiconductor Technology Research (STR) provides software and consulting services for development and optimization of industrial growth equipment, improvement of bulk growth (solution growth, PVT, CVI) and epitaxial techniques, engineering of semiconductor devices, modeling of coating processes. Offered software products include the following applications: modeling of bulk crystal growth, CVD SiC, MOVPE and HVPE of Group-III nitrides, III-V materials and their alloys; simulation of semiconductor devices.

[email protected] | www.str-soft.com/contact


Showa Denko K. K.

ShowaDenko
Booth 221

Key Products: Silicon Carbide Epitaxial Wafers

Showa Denko delivers industry-leading quality SiC epitaxial wafers for power electronics applications. We are currently shipping both 100mm and 150mm epitaxial wafers.  Our Power Semiconductor Project focuses on developing leading edge technologies to strive to be the global leader in manufacturing SiC epitaxial wafers for worldwide customers of high power electronics. For details, please contact [email protected].

[email protected]  |  showadenko.us

Siltectra GmbH

Siltectra Logo
Booth 413

Key Products: Cold Split, Kerf-less Wafering

Siltectra has developed its kerf-free “Cold Split” process to replace wire sawing of wafers from boules or ingots as well as back-grinding of processed semiconductor wafers, so called thinning. Compared to wire sawing, CS significantly improves economics by avoiding material waste, which translates in almost double the amount of wafers per grown SiC boule. “Cold Split” is a proven universal kerf-free method and applies to single-crystal materials; e.g., SiC, Si, Ge, sapphire, GaAs, GaN, AlN, InP, YAG, as well as to amorphous or polycrystalline materials such as, Al2O3, bullet-proof glass, etc. “Cold Split” scales favorably with size and has been demonstrated on wafer diameters of up to 300 mm, and the process can operate at nearly 100% yield. CS cuts wafers in a range from 30-2000 µm thickness.

[email protected]  |  www.siltectra.com

Silvaco, Inc.


SILVACO_Logo

Booth 312

Key Products: Victory Process; Victory Device; Athena, Atlas; Virtual Wafer Feb

Silvaco Inc. is a leading provider of IP and EDA software tools used for process and device development, analog/mixed-signal, power IC and memory design. Silvaco delivers a full TCAD-to-Signoff flow for vertical markets including: displays, power electronics, optical devices, radiation and soft error reliability, analog and HSIO design, library and memory design, advanced CMOS process and IP development. The company offers a complete IP portfolio with production-proven intellectual property (IP) cores including IP licensing and IP management solutions. Headquartered in Santa Clara, California, Silvaco has a global presence with offices in North America, Europe, Japan and China.

[email protected]  |  www.silvaco.com

Sumitomo Electric Industries, Ltd.

Sumitomo Electric Logo
Booth 507

Key Products: SiC Epitaxial Wafer "EpiEra" and SiC V-grooved Trench MOSFET

Sumitomo Electric is ready to deliver excellent quality SiC epitaxial wafers globally. "EpiEra" grade wafers are proudly manufactured with our over 40 years of compound semiconductor experience. The mass-production technology MPZR(Multi-Parameter and Zone controlled SiC growth technology) provides extensive ZERO defect area and high uniformity, which takes you to the next SiC generation. Also, our unique V-grooved MOSFET has been offered with high channel mobility on (0338) face. With our "Epi,",  go to the next "Era"!

www.global-sei.com


TanKeBlue Semiconductor Co., Ltd.

TanKeBlue Logo
Booth 506

Key Products: Single Crystal SiC Substrates

A pioneering company in the SiC industry, TanKeBlue Semiconductor Co., Ltd. is devoted to the R&D, manufacture and sales of high quality single crystal SiC substrates all over the world. After over 10 years of fruitful R&D progress in SiC crystal growth and substrate processing technology, the company has developed a series of high quality SiC substrate products for all kinds of applications, and owns independent patents on both the SiC crystal growth equipment and the crystal growth and processing technology. In August 2016, the company built up a new production line in Beijing which is equipped with more than 100 proprietary new SiC crystal growth systems for 4” and 6” products, and the most advanced equipment for slicing and polishing processes.

www.tankeblue.com



Tokyo Electron Limited

TokyoElectron

Booth 410

Key Products: Semiconductor Production Equipment, including SiC Epitaxial CVD Systems and Flat Panel Displays

As a leading global supplier of semiconductor and flat panel display (FPD) production equipment, Tokyo Electron Limited (TEL™) engages in the development, manufacturing, and sales in a wide range of product fields, including:  (Semiconductor) ALD, Thermal Processing, Coater/Developers, Etch Systems, Surface Preparation Systems, Single Wafer Deposition, Test Systems, Wafer Bonder/Debonder, SiC Epitaxial CVD Systems, Gas Cluster Ion Beam Systems, Advanced Packaging  (FPD) Coater/Developers, and Etch/Ash Systems. TEL provides outstanding products and services to customers through a global network of approximately 74 locations in 16 countries and regions in the U.S., Europe, and Asia.

www.tel.com

Toray Research Center, Inc.

Toray Research Center

Booth 412

 

Key Products: Material Analysis Services

Toray Research Center, Inc. provides you with analysis services using a variety of techniques. Our superior ability to meet the problem-solving requirements of clients is based on a long track record and extensive experience in analysis and material evaluation. We will show you our analytical techniques and some examples of our application results.

www.toray-research.co.jp/en/

ULVAC Technologies, Inc.

Ulvac-PMS-301C

Booth 217

Key Products: Etching; Ashing; PVD/CVD; Ion Implanters (High Temp); Oxidation and Annealing Furnaces

ULVAC is an international corporation that designs and manufactures systems, equipment, and materials for the industrial and research applications of vacuum technology. ULVAC covers a broad spectrum of markets, with production equipment for: the automotive manufacturing industry, semiconductor fabrication and the silicon carbide device market; including thin film deposition, RIE etching, ion implantation and high temperature annealing equipment. ULVAC also manufactures equipment for flat panel display manufacturing, roll-coating, precision metallurgy and industrial vacuum processes. A complete line of vacuum components is also offered which includes vacuum pumps of all types, helium leak detectors, UHV systems and gauges, RGA’s and thermal analysis instrumentation. 

[email protected]  |  www.ulvac.com


U.S. Naval Research Laboratory

Naval Research Lab logo

Booth 421

Key Products: Materials Science and Technology; Electronics Science and Technology; Chemistry

The U.S. Naval Research Laboratory provides the advanced scientific capabilities required to bolster our country’s position of global naval leadership. Here, in an environment where the nation’s best scientists and engineers are inspired to pursue their passion, everyone is focused on research that yields immediate and long-range applications in the defense of the United States.

www.nrl.navy.mil


Golden Sponsor
Wolfspeed, A Cree Company

Wolfspeed Logo

Booth 305

Key Products: Field-tested SiC and GaN Power and RF Solutions and Materials

We are Wolfspeed. We have spent nearly 30 years as part of Cree Inc., building the future with market-leading wide bandgap semiconductor products and materials for the transportation, industrial and electronics, energy, and communications markets. From young and ambitious roots in North Carolina’s Research Triangle Park, we have grown into a world-renowned, commercial supplier of the fastest, most efficient semiconductor components and materials ever available, enabling greater efficiency and performance, smaller systems and lower costs. Learn more at wolfspeed.com

www.wolfspeed.com

X-FAB Silicon Foundries SE

XFAB Logo

Booth 323

Key Products: 6-inch SiC Processing Capabilities; CMOS, SOI and MEMS Processes

The X-FAB group is a leading analog/mixed-signal and specialty foundry. At its six manufacturing sites in Germany, France, Malaysia and the U.S., X-FAB manufactures wafers on modular CMOS processes with technologies ranging from 1.0 to 0.13 µm, SOI and MEMS long-lifetime processes. The sites can handle approximately 94,000 8-inch equivalent wafer starts per month in total. X-FAB has established a 6-inch Silicon Carbide foundry line fully integrated within our 30,000 wafers/month silicon wafer fab located in Lubbock, Texas. With the support of the PowerAmerica Institute, X-FAB’s goal is to accelerate the commercialization of SiC power devices by leveraging the economies of scale, automotive quality system and equipment set that have been established in of its silicon wafer fabrication line. 

[email protected]  |  www.xfab.com