Symposium Organizers
Olindo Isabella, Delft University of Technology
Stefaan De Wolf, King Abdullah University of Science and Technology (KAUST)
Lakshmi Krishna, Colorado School of Mines
Noritaka Usami, Nagoya University
Symposium Support
Applied Physics Letters ǀ AIP Publishing
National Renewal Energy Laboratory
ES11.01: Materials—Silicon
Session Chairs
Monday PM, November 27, 2017
Hynes, Level 2, Room 204
8:30 AM - *ES11.01.01
Epitaxial Foils—On the Stepping Stones for Highly Efficient Solar Cells and Silicon Consumption
Valerie Depauw 1 , Twan Bearda 1 , Miha Filipič 1 , Shruti Jambaldinni 1 , Arsalan Razzaq 1 , Hariharsudan Radhakrishnan 1 , Kris Van Nieuwenhuysen 1 , Menglei Xu 1 , Ivan Gordon 1 , Maarten Debucquoy 1 , Jozef Szlufcik 1 , Jef Poortmans 1
1 , imec, Leuven Belgium
Show AbstractCrystalline silicon has been the workhorse of the photovoltaics industry since the early days. Earth-abundant, stable and well-known, with high minority-carrier lifetimes, it has enabled fabricating solar cells with ever-increasing power conversion efficiency. Today, the key driver of the technology is to reduce the cost per watt-peak and per area. Layer-transfer processes hold the promise of a breakthrough for such cost reduction, by fabricating 2 to 4 times thinner wafers, without kerf losses, while short-cutting energy-intensive steps of the wafer production value chain. The most prominent of these processes today, that is currently making its way to industrial production, are epitaxial foils from porous-silicon based lift-off. These foils are grown by epitaxy on a porous wafer surface, which enables both a monocrystalline growth and the controlled detachment of the foil. To compete with the current wafer-based industry, a couple of serious challenges are to be met: to catch-up the high quality of the present Cz material with a (almost) perfect layer-transfer yield, and to manage processing thinner wafers into high-efficiency solar cells. This contribution proposes to shed light on these challenges from the perspective of our experience at imec, from the challenge of growing high-quality epitaxial foils to processing heterojunction cells with foils as thin as 50 μm, both free-standing or bonded to the module glass carrier.
9:00 AM - ES11.01.02
Recombination Mechanisms and Bandgap-Voltage Offset of Thin Silicon Solar Cells
André Augusto 1 , Richard King 1 , Christiana Honsberg 1 , Stuart Bowden 1
1 , Arizona State University, Tempe, Arizona, United States
Show AbstractDecreasing the thickness of silicon solar cells introduces novel functionalities such as flexibility and lightweight, and potential decrease the cost of photovoltaics if efficiency is not sacrificed. The optimum thickness of the solar cell is estimated to be in the range of 10 – 100µm. Thinner cells operate at higher injection level, resulting in higher operating voltages. High quality surface passivation is required as the excess carrier density increases near the surface. We manufacture large-area flexible heterojunction silicon solar cells (153 cm2) with efficiencies approaching 20% using industrial manufacturing processes. The cells are 50-µm-thick, giving an excellent weight-to-power ratio of 0.65 g/W comparable to gallium arsenide solar cells, but at a much lower cost (two orders of magnitude). The cells are flexible to curvature radius of 4 cm, showing no structural damage or efficiency degradation after the flexing tests.
In this work, we study the recombination mechanisms of thin silicon solar cells and we present the mathematical dependence of bandgap-voltage offset on Auger and radiative recombination. To study the recombination near the intrinsic limit we manufacture thin silicon heterojunction structures designed to minimize surface recombination, and to measure voltages and effective lifetimes near the Auger and radiative limit. Open-circuit voltages over 760 mV were measured on 50-µm-thick structures, leading to bandgap-voltage offsets at open-circuit down to 0.35 V. The Auger and radiative recombination represent over 90% of the recombination at open-circuit. This dominance also holds at the maximum power point, giving pseudo-fill factors of 86%. We demonstrate the potential of thin silicon devices to reach high voltages, and bandgap-voltage offsets in line with the best reported for direct bandgap materials such as gallium indium phosphide and gallium arsenide, which have higher detailed balance efficiency limits.
9:15 AM - ES11.01.03
Quantitative Measurement of Active Dopant Density Distribution and Evaluation of Effective Diffusivities in Phosphorus-Implanted Monocrystalline Silicon Solar Cell Using Scanning Nonlinear Dielectric Microscopy
Kotaro Hirose 1 , Katsuto Tanahashi 2 , Hidetaka Takato 2 , Yasuo Cho 1
1 , Tohoku University, Sendai Japan, 2 Fukushima Renewable Energy Institute, National Institute of Advanced Industrial Science and Technology, Koriyama Japan
Show AbstractIon implantation has been proposed as a new process technique for low cost and high efficiency solar cell [1]. The conversion efficiency is affected by the implantation and annealing conditions. Evaluation of carrier distribution in emitter of silicon solar cell is important to understand the detailed physical phenomenon in device. Most common type of silicon solar cell has texture structure in the front surface. Therefore, direct application of secondary ion mass spectroscopy or spread resistance analysis for evaluation of carrier distribution in emitter is difficult.
Cross-sectional measurement using microscope is very useful way to characterize the inside of device. Scanning probe microscopy (SPM) techniques are powerful tools for characterizing two-dimensional carrier distribution with high lateral resolution. Especially, scanning nonlinear dielectric microscopy (SNDM) [2] has high capacitance sensitivity (~10−22F/√Hz) and can visualize detailed carrier distribution. In addition, SNDM can quantify the carrier density using standard sample.
In this study, we quantify the carrier density distribution and then, analyze the dopant diffusion by comparing the experimental results using SNDM with simulation result.
Measured emitter was formed on a p-type (boron) wafer. Mass-analyzed and ionized P was implanted at the textured surface with an acceleration voltage 10 keV and a dose of 4×1015 atoms/cm2. Annealing was conducted at 900 °C for 10 minutes to recover the crystalline and to diffuse P. The 2D carrier distribution was observed using complementary SNDM and dC/dz-SNDM measurements. The distribution can be roughly described as two distinct regions, i.e., the surface and tail regions. Point defects and dopant ions form pairs and diffuse together. In the surface region, the point defect density is lower than the impurity density, whereas the point defect density is comparable with the dopant density in the tail region.
Two Gaussian functions describe the P distribution well. The tail region occupies almost the entire emitter. Effective diffusivities of 9.4×10−15 cm2/s in the surface region and 1.3×10-13cm2/s in the tail region were obtained from the fitted Gaussian function in the surface and the tail region, respectively.
In addition, the 3D P distribution was estimated using the superposition principle. The estimated 3D P distribution was in good agreement with the SNDM results.
Therefore, it was concluded that SNDM is a useful method for evaluation of the active dopant distribution in Si solar cells.
[1] H. Hieslmair, I. Latchford, L. Mandrell, M. Chun, and B. Adibi, Photovolt. Int., 18, 58 (2012).
[2]Y. Cho, A. Kirihara and T. Saeki, Rev. Sci. Instrum. 67, 2297 (1996).
9:30 AM - ES11.01.04
Silicon Nanostructures and Molecular Doping Integrated in Solar Cells
Rosaria Puglisi 1 , Sebastiano Caccamo 1 , Corrado Bongiorno 1 , Giovanni Mannino 1 , Silvia Scalese 1 , Antonino La Magna 1
1 , CNR-IMM, Catania Italy
Show AbstractThe photovoltaic market has seen in the last years the silicon solar cells dominating the scenario with market shares over 95%. The future projections confirm this predominance also for the next years. The reasons of this success are based on the Si abundance, non-toxicity, stability and to its well known and controllable electronic properties. The market production roadmap however requests to reduce the silicon consumption to cut the costs, pointing at the same time to increase the device efficiency. The Si thickness reduction produces detrimental effects on the quantity of absorbed light and consequently of the short circuit current density of the final device. To compensate this issue, innovative ideas have been proposed such as the use of nanostructures with light trapping properties which have to be embedded in the cell emitter region to work as electrically and optically active layers. Quasi-one dimensional silicon nanostructures (1D), such as nanowires [C. Garozzo et al., J. Appl. Phys. 113, 214313 (2013)] or nanoholes [C. Garozzo et al., Physica Status Solidi A, 210(8), 1564–1570 (2013)], exhibit very interesting optical properties and for this reason have become very popular in the scientific literature. For the nanostructured materials to be embedded inside the emitter region, a suitable doping strategy has to be developed. Recently the molecular doping (MD) has been proposed as an alternative to standard doping methods because of its ease of use, low cost, reproducibility, absence of crystal damage and very good electrical results [R. A. Puglisi et al., Physica Status Solidi A, 212(8), 1685–169 (2015)]. For these reasons it has demonstrated to be a proper enabling technology to be used in the nanostructured Si solar cells [R. A. Puglisi, et al., Solar Energy Materials and Solar Cells, 132, 118-122 (2015). R. A. Puglisi (2015), Journal of Nanomaterials, 586458 (2015)]. The talk will describe the fabrication process of the MD doped 1D Si nanostructures based solar cells, including the electrical results of the final cells and the high resolution TEM analysis taken in STEM mode of the single molecules deposited over the nanowire surface.
9:45 AM - ES11.01.05
Sensitization of Silicon by Singlet Exciton Fission
Markus Einzinger 1 , Tony Wu 1 , Dan Congreve 2 , Marc Baldo 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Rowland Institute at Harvard, Cambridge, Massachusetts, United States
Show AbstractSingle junction silicon solar cells are limited by two fundamental losses: Thermalization of high energy photons and transmission of low energy photons, limiting them to a theoretical efficiency of about 33%. In principle, it is possible to surmount these inefficiencies by employing optical downconversion and upconversion schemes. For example, in downconversion, one blue photon could be split into two near infrared photons, thereby doubling the available photocurrent. Unfortunately, however, material systems for implementing such conversions remain elusive.
We propose instead that bound electron-hole pairs, or excitons, in organic semiconductors can solve these challenges. In some molecules a process called singlet exciton fission transforms a molecular singlet state into two triplet states, each with roughly half the energy of the original singlet. In organic solar cells, the generation of multiple carriers led to an efficiency of > 1.26 electrons per photon, exceeding the unity limit for conventional technologies. Furthermore, Tetracene, with a triplet energy of 1.25 eV seems energetically suitable for sensitization of silicon solar cells.
While the efficiency of exciton fission has been confirmed in molecular materials, it remains to transfer the energy to inorganic solar cells. We show that the efficiency of triplet exciton transfer from tetracene to colloidal inorganic nanocrystals is as high as 90%. Such nanocrystals could mediate the energy transfer to silicon. We also present our latest photoluminescent and magnetic field studies of direct energy transfer from tetracene to silicon including the impact of different silicon passivation schemes on energy transfer.
ES11.02: Surface Functionalization
Session Chairs
Monday PM, November 27, 2017
Hynes, Level 2, Room 204
10:30 AM - *ES11.02.01
Nanostructured Silicon for Solar Energy Conversion—First Principles Calculations
Giulia Galli 1
1 Institute for Molecular Engineering, University of Chicago, Chicago, Illinois, United States
Show AbstractSilicon exhibits a large variety of different bulk phases, allotropes, and composite structures, such as, e.g., clathrates. We present first principles calculations of novel Si based materials [1], and we discuss how morphology and nanostructuring can be engineered to significantly improve the efficiency of solar energy conversion devices.
[1] Novel Silicon phases and nanostructures for solar energy conversion, Stefan Wippermann, Yuping He, Márton Vörös, Giulia Galli, Appl. Phys. Rev. 3, 040807 (2016) and references therein.
11:00 AM - ES11.02.02
An Improved Nanoscale Texturing for Multicrystalline Si Solar Cells by Metal Assisted Etching
Rasit Turan 1 , Firat Es 1
1 Center for Solar Energy Research and Application (GÜNAM), Middle East Technical University, Ankara Turkey
Show AbstractWith its indirect band structure and good reflecting properties, Si is a poor absorber particularly in the infrared part of the light spectrum. Thanks to surface texturing technologies, management of light using surface structures at micro and nanometer scale can significantly improve absorption and photocurrent generation. Surface texturing is typically done by alkaline based solutions for monocrystalline Si. Pyramid structures formed by this process provides an optimum condition for minimizing the reflection from the surface and maximizing light trapping. For multi-crystalline wafers, an acid based solution is typically used to form random micro structures. However, this technique does not yield the ideal surface structure for light trapping. Moreover, the use of dangerous acidic materials and removal of excessive Si from the surface are not desirable in large scale industrial lines. It is then of high interest to develop new approaches for the texturing process of multi-crystalline Si wafers.
In this work we have developed a new etching methodology based on Metal Assisted Etching (MAE) which is an electrochemical etching technique utilizing metal nanoparticles as catalyst for controlling the shape of the surface structures. Method was applied to industrial size wafers and evaluated for its applicability and performance in comparison with the standard acidic texturing process. The trade-off between optical gain due to the enhanced absorption by texturing and the electronic losses due to the high recombination at the textured surface is discussed. Gain/loss ratio is shown to improve by controlling the surface shapes with solution chemistry. It is shown that various surface shapes like nanowires, sponge-like porous structures, and pyramid-like nanostructures can be created by varying the chemical composition of the solution. Optimized nanostructures have yielded an efficiency of 5% higher than the cell fabricated by the standard recipe. We have also shown that the same solution can also be applied to mono-crystalline solar cell, which is highly desirable for industrial production lines where both wafers types can be processed without any additional equipment.
11:15 AM - *ES11.02.03
Nanotextured Silicon—The New Face of PV
Marcie Black 1 , Joanne Yim 2 , Joanne Forziati 3 , Michael Jura 4 , Jeffrey Miller 5 , William Rever 6
1 , Advanced Silicon Group, Lincoln, Massachusetts, United States, 2 , University of California, San Francisco Medical Center, San Francisco, California, United States, 3 , Sensera, Boston, Massachusetts, United States, 4 , HRL Laboratories, Los Angeles, California, United States, 5 , Ambri, Boston, Massachusetts, United States, 6 , Advanced Silicon Group, Baltimore, Maryland, United States
Show Abstract
Silicon(Si) solar cell manufacturing is shifting from solar cells with a microtextured front surface to those that are nanotextured and consists of nanowires(nw). This nanotextured Si is also known as black Si. The shift to black Si solar cells is being driven by the ability to texture low-cost wafers, higher efficiency cells, and lower processing costs. These economic advantages have led to black Si cells entering the market. At least 6 of the top 10 cell manufacturers are either developing or manufacturing black Si cells. In this paper we will review some of the challenges that have traditionally limited nanotextured solar cells and how these barriers have been overcome. Then we will explore future directions of nw cells.
Sinw arrays have very low optical reflection and scatter light effectively. While these properties are useful for solar cells, they are not sufficient to make a high efficiency solar cell. A new material requires a new cell design around that material to make use of the improved material properties. Some of these device considerations will be explored. A properly designed nw solar cell can result in higher short circuit current(Jsc), higher voltage, and improved fill factor. The higher Jsc is a result of increased optical absorption from low reflection and excellent light scattering. An improved fill factor comes from improved screen-printed contacts. A higher voltage can be observed as a result of several factors including less dark current from the smaller junction area.
A decade ago, manufacturers were hesitant to use a nanotexture for several reasons. 1) They were concerned that the high surface area would result in larger surface recombination in the emitter. 2) There was concern that the nws would not survive the high temperature processing required to form a p-n junction. 3) There were challenges screen printing to nw surfaces. 4) For metal enhanced etching, there was a concern of residual metal degrading the cell. 5) They were concerned that the process to make nw arrays would have a low throughput and therefore be too expensive. One by one these hurdles were overcome. Now, black Si solar cells have demonstrated high efficiencies, including a 22.1% cell using interdigitated back contacts and aluminum oxide front surface passivation made using RIE nws, and a 19% 6-inch cell made using a low-cost metal enhanced etching process. The technical challenges of passivating nws effectively, contacting the nw arrays with screen printing, not damaging the nws during diffusion, and other issues have been solved.
In addition to exploring the potential advantages of nw solar cells that are already being exploited in the industry, this paper will also address some of the potential future directions of black Si solar cells. These future improvements include improved contacts making use of nws’ unique properties, intermediate band solar cells, and meta material contacts.
11:45 AM - ES11.02.04
A Novel Procedure for Preparation of Sub-Micron Textures on Crystalline-Silicon Used for Solar Cells with Low-Reflectivity in Wide-Wavelength and Thickness Down to 50 μm
Cong Nguyen 1 , Koichi Koyama 1 , Thi Cam Tu Huynh 1 , Shigeki Terashima 1 , Hideki Matsumura 1
1 , JAIST (Japan Advanced Institute of Science and Technology), Nomi, Ishikawa Japan
Show AbstractRecently, crystalline-silicon (c-Si) solar cells with the world top efficiencies of 26.6%, 26.3% (Kaneka) and 25.6% (Panasonic) are all prepared by amorphous-silicon (a-Si)/c-Si hetero-junction back-contact (HBC) structures. Therefore reducing production cost of the HBC solar cells becomes important. To reduce the cost, thinning of c-Si less than 100 μm is one of the key solutions. However, sun-light with long wavelength easily transmits through such thin c-Si, and thus, texturing of its back-side will be necessary to obtain enough reflectivity for long wavelength light. The back-side texture requires suitability for formation of patterned back-contact electrodes in HBC solar cells and also independency on mechanical strength in thin c-Si after texturing, thus, the development of small size texture is essentially needed.
We have already developed a novel cleaning procedure for solar cell c-Si, which is particularly suitable for cleaning of textures with fine complicated structures. In the cleaning, hot concentrated sulfuric acid (H2SO4) at 140°C and the introduction of methanol (CH3OH) into other chemicals are proposed as a key to improve uniformity of chemical reactions by adjusting the surface tension and fluidity of the chemicals to penetrate into the fine complicated structures. Using such cleaning procedure in conjunction with plasma-damage-less catalytic chemical vapor deposition (Cat-CVD) (=Hot-Wire CVD) passivation with silicon–nitride (SiNx)/a-Si stacked layers, excellent passivation quality with extremely low real surface recombination velocity (SRV) less than 0.2 cm/s was obtained. Thus, we have only focused on development of a novel procedure for preparation of sub-micron textures on the solar cell c-Si.
As a method forming textures, the alkaline chemicals with some additives are widely used, however, sometimes it is not easy to keep such additives stable owing to evaporation. In addition, by using the convention methods, it is also not easy to make sub-micron structures stably. Here, thus, we attempt to mix glass micro-particles with well-known alkaline texture-forming chemicals, SUNX-600 (by Wako Pure Chemical Industries Ltd). And we succeeded to control the texture size from 10 μm to sub-micron by changing mixing ratio and temperatures. The additive has very low cost and does not reacts with the chemicals and thus very stable and reusable. We found that the textured structures exhibit the reflectivity lower than 10% (for wavelength 600 to 950 nm) and less than 1% (with SiNx anti-reflection layers) even the 50 µm-thick c-Si. Texturing time consumption when using the additive is about only 4 min much shorter that in case of the conventional solution. Owing to minimized etching time and small texture size, c-Si loss is less than 4 μm much smaller than 40-50 μm when using only the conventional solution. Therefore, we believe that this novel texturing procedure makes a progress for future high efficiency and low cost HBC solar cells.
ES11.03: Modeling
Session Chairs
Monday PM, November 27, 2017
Hynes, Level 2, Room 204
1:30 PM - *ES11.03.01
Band Alignments, Surface Passivation Layers and Fermi Level Depinning at Contacts
John Robertson 1
1 , University of Cambridge, Cambridge United Kingdom
Show AbstractPassivation layers are used to control the amount of surface recombination of photo-excited carriers in photovoltaic devices. The band alignment of suitable passivation layers such as oxides on SI is discussed.
The contact resistance of electrodes on photovoltaic materials is a problem. This can be reduced if there is the ability to vary the Schottky barrier height of electrodes by varying the metal work function. However this is difficult if there is Fermi level pinning due to either metal induced gap states (MIGS) or to defects formed by chemical reaction of the contact metal with the semiconductor. This problem is discussed. If depinning persists, then doping of the semiconductor is need to reducethe tunnelling distance.
Finally, transparent semiconductors used for electrodes are discussed. Of particular interest is where the Fermi level is pinned in the substrate at a heterostructure of these compounds.
2:00 PM - ES11.03.02
Understanding Transport Mechanisms in Heterojunction c-Si Solar Cells for Ultra-High Fill Factor
Paul Procel 1 , Guangtao Yang 1 , Gianluca Limodio 1 , R.A.C.M.M. van Swaaij 1 , Olindo Isabella 1 , Miroslav Zeman 1
1 , Delft University of Technology, Delft Netherlands
Show AbstractThe c-Si/a-Si heterojunction (SHJ) technology has demonstrated highest efficiency with an interdigitated back contacted (IBC) architecture [1]. Most R&D groups have been able to reduce both optical and recombination losses, reporting Jsc and Voc values well above 40 mA/cm2 and 700 mV, respectively. However, FF higher than 82% has been only exceptionally achieved. In this work, we discuss the impact of transport mechanisms on FF.
Based on TCAD modelling, we evaluated the effect of energy barriers in both c-Si/a-Si and doped a-Si/TCO hetero-interfaces in terms of FF variation. The modelling framework has been proven effective in describing accurately inherent physics of fabricated IBC device endowed with poly-Si passivating contacts (IBC-poly) [2]. To simulate SHJ-IBC device, we considered a structure similar to that of IBC-poly but using i-a-Si:H/doped a-Si:H/TCO/Ag in place of tunnelling SiO2/poly-Si/Al. Parameters describing a-Si:H and ITO TCO were taken from [3] and [4]. Aiming to isolate the effect of transport mechanisms, we reduced the impact of recombination by considering almost ideal SRH recombination parameters for a 100-μm thick bulk (τbulk = 10 ms) and 0.1 cm/s SRV at all interfaces. Then, the effect of activation energy (Ea) of doped layers was evaluated for different ITO work-function (WF) and carrier concentrations. To this purpose, we varied the ITO electron affinity and carrier concentration to fit WF reported in literature between 4.1 and 5.5 eV [5]. Finally, focusing on the depletion region of parasitically-induced band bending due to ITO, we evaluated the FF for different thickness of doped a-Si layers.
The transport of carriers at c-Si/i/n, c-Si/i/p and n/TCO hetero-interfaces is described by direct tunneling. For p/TCO hetero-interface, the transport is instead based on band-to-band tunneling. Concurrently changing TCO properties and the Ea of p a-Si, the band alignment of p a-Si valence band and TCO conduction band can be optimized ensuring a functional transport and thus a maximized FF. It is observed that the induced depletion region in doped a-Si by TCO is ~10 nm. Therefore, for < 10-nm thick doped a-Si, the transport is dominated by TCO energy barrier up to inside the bulk. Using thin doped a-Si layers, it is crucial to use TCO materials featuring WF around a-Si conduction band energy (4 eV) for n-contact and around a-Si valence band energy (5.7 eV) for p-contact. In this case, both intrinsic and doped a-Si films act as buffer rather than junction layers. Two main conditions were also identified to achieve good carrier selectivity and transport: i) a conduction (valence) band energy close to Fermi energy near to c-Si/i/n(p) interface; ii) an energy barrier strong enough to repel the other carriers from both hetero-interfaces.
[1] Yoshikawa et al., Nature Energy (2017)
[2] G. Yang et al., SOLMAT (2017)
[3] A. Fell et al., IEEE JPV (2015)
[4] A. Klein et al., Materials (2010)
[5] R. Lachaume et al., Energy Procedia (2013)
2:15 PM - ES11.03.03
Concurrent Design of Quasi-Random Photonic Nanostructures on Amorphous Silicon Thin Films
Won-Kyu Lee 1 , Shuangcheng Yu 2 , Wei Chen 2 , Teri Odom 1 3
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Mechanical Engineering, Northwestern University, Evanston, Illinois, United States, 3 Chemistry, Northwestern University, Evanston, Illinois, United States
Show AbstractNanostructured surfaces with neither perioidic nor fully-disordered geometires have been used for photovoltaics and light-emitting diodes because the quasi-random patterns can manipulate light over broad range of wavelengths and over wide collection angles. Optimization and realization of stochastic patterns have typically relied on serial, direct-write processes such as electron-beam lithography combined with real-space design. However, this approach is not suitable for customizable features or scale-able nanomanufacturing. Moreover, trial-and-error processing cannot guarantee fabrication feasibility because processing-structure relations are not included in conventional designs. This presentation will describe design-manufacturing integrated method to rapidly optimize three-dimensional nanostructures for light trapping in amorphous silicon where over 160% enhancement in light absorption over the 800-1200 nm wavelength range was realized. We developed wrinkle lithography, a wafer-scale fabrication procedure whose processing steps can be combined with simultaneous design of nanostructures and function. The quasi-periodicity of patterns, materials filling ratio, and feature depths could be independently controlled, and the resulitng structures were statistically represented by Fourier spectral density functions (SDFs) that could bridge the processing-structure and structure-performance relations. Iterative search of the optimal structure via the SDF representation enabled the concurrent designing of nanostructures and processing.
2:30 PM - ES11.03.04
Optimizing Current Density in Four-Terminal GaAs/Si Tandem Solar Cells by Optical Modeling
Zhe Liu 1 , Zekun Ren 2 , Haohui Liu 3 , Tonio Buonassisi 1 , Ian Marius Peters 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Singapore MIT Alliance for Research and Technology (SMART), Singapore Singapore, 3 , Solar Energy Research Institute of Singapore (SERIS), Singapore Singapore
Show AbstractFour-terminal tandem solar cell, which combine a high-bandgap thin-film solar cell on top of a c-Si solar cell by mechanical stacking, is an emerging research topic. It is attractive because these tandem solar cells, such as III-V or perovskite on Si tandems, provide a possible path to flat-panel PV module efficiencies beyond 30%. When converting single-junction solar cells into mechanically-stacked tandem configurations, optical losses are likely to be the most significant loss in a four-terminal tandem solar cell causing a deficiency of short-circuit current density jSC, whereas the electrical losses (i.e., open-circuit voltage VOC and fill factor FF losses) may be less affected.
Loss analysis of solar cells has been a useful analytical tool that helps photovoltaic (PV) researchers identify their further research focuses. However, what truly matters is to determine an effective optimization sequence instead of the loss breakdown. Especially, in the case of tandem solar cells where many loss channels are interrelated, eliminating one loss may not result in the same amount of increase in device performance parameters. The interrelation among the loss channels is the so-called the synergistic effect. Therefore, it is important to analyze the jSC losses in detail, understand the interrelation between different photon loss channels, and therefore harvest the maximum benefits of synergistic effects.
In this paper, we present a modeling framework to accomplish these tasks. First, we proposed an optical model for the stacked tandem solar cells. The optical model in this study is designed for tandem cells that consist of a planar thin-film solar cell on top of an industrial textured c-Si solar cell. Second, the possible jSC losses are quantified for a 21.3%-efficient GaAs/Si tandem via modeling with experimental input data. Third, we present the loss redistribution matrix that shows the photon loss redistribution among the loss channels, and visualize the interrelation between the different channels. Furthermore, we compare the potential jSC gains in our four-terminal GaAs/Si tandem with the jSC gains presented in the best-in-class 29.8%-efficient four-terminal InGaP/Si tandem. Finally, we determine the best sequence for optimizing the jSC of the investigated GaAs/Si tandem solar cell to possibly achieve 37.8 mA/cm2 with practically achievable constraints.
With the pressing demand of accelerating the R&D in PV in order to meet the climate target, there is an increasing need to make use of this optimization framework in order to prioritize the research focuses (with less trial and errors) and reduce the time duration between R&D cycles. Besides the examples of four-terminal III-V/Si tandem shown in the work, one can apply the same methodology to many other types of Si-based tandem solar cells and modules to quickly identify the optimization pathways.
2:45 PM - ES11.03.05
Energy Yield of Silicon Based Tandem Solar Cells—How Efficient are They Really?
Ian Marius Peters 1 , Haohui Liu 2 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , SERIS, Singapore Singapore
Show AbstractSilicon based tandem solar cells have shown high efficiencies in the lab, both in combination with III-V and with perovskite top cells. Very few of these tandems have, however, been tested under actual operation in the field. Tandem solar cells show a different sensitivity to varying operating conditions, like variations in intensity, spectrum or temperature, than single junction solar cells. Especially for two tandem solar cells these variations incur additional losses, as they will cause the cell to deviate from the current-matching requirement. Not all variations need to be detrimental, though. In a four terminal tandem each of the two sub-cells operate independently, and a combination with a top cell may also cause the silicon solar cell to perform better. How different tandem solar cell architectures perform compared to their single-junction constituents is, hence, an open question.
In this contribution we investigate the performance of silicon based tandem solar cells by means of energy yield calculations. Our calculations use ground-measured meteorological data for several specific locations, including Singapore and Denver. Ground measured simulations are complemented by satellite based calculations, spanning wide areas around the globe. We focus especially on the impact of different climates (hot/humid, hot/dry, cold/humid, cold/dry) with varying amounts of precipitable water and different temperature profiles. Solar cell performance is calculated using measurements of in-house fabricated single junction solar cells and tandems, as well as published data to represent devices with state of the art efficiency. Measurements include temperature and injection dependent device characterisation, as well as measurements under different spectra. The combined characterisation and modelling framework we use allows us to project performance of different solar cell devices onto operating conditions in any type of environemnet.
Initial results [1] show that tandem solar cell performance varies significantly depending on the climatic conditions in which they operate. A two terminal III-V on Si tandem solar cell in Singapore can show up to 12% relative performance loss, compared to only 3.7% relative in Denver. Results, furthermore, show that the relative performance reduction of tandem solar cells is less different from that of a single junction silicon solar cell than could be expected. In fact, in many cases tandem solar cells perform better than silicon single junction cells, because of the high sensitivity of silicon to the operating conditions compared to those of the top cell. Compared to the top cell, on the other hand, performance of the tandem is often worse.
[1] H. Liu et al. Solar Energy 149 (2017) 77–84
ES11.04: Light Management and TCOs I
Session Chairs
Monday PM, November 27, 2017
Hynes, Level 2, Room 204
3:30 PM - *ES11.04.01
Light Management in Liquid-Phase Crystallized Silicon Thin-Film Solar Cells
Christiane Becker 1 , David Eisenhauer 1 , Grit Köppel 1 , Klaus Jäger 1 , Paul Sonntag 1 , Daniel Amkreutz 1 , Bernd Rech 1
1 , Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany
Show AbstractLiquid phase crystallization (LPC) of 5 – 40 µm thick silicon films being grown and crystallized directly on a glass substrate is a promising technology, which allows avoiding current challenges of silicon wafers, namely high material losses and handling issues particularly arising at very low wafer thicknesses. Scanning a line-shaped energy source, such as a laser beam, across silicon films on glass leads to the formation of large-grained polycrystalline material. The electronic material quality of LPC silicon layers is comparable with multi-crystalline silicon wafers, which enables solar cells with open-circuit voltages exceeding 650 mV. However, the efficiency of state-of-the-art LPC silicon solar cells is still limited to about 13-14%. Incomplete light absorption, mainly due to optical losses at planar interfaces of the device, has been identified as one major limiting factor.
Therefore, we developed light management schemes for LPC silicon solar cells by implementing tailored nanostructures at various interfaces of the device. The main challenge of these nanostructured devices is to achieve both, an excellent silicon material quality allowing for high open-circuit voltages and efficient light trapping, at the same time.
At the sun-facing glass-silicon interface, we implemented three different types of periodic nanotextures into LPC silicon thin-film solar cells in order to reduce reflection losses and enlarge the light path in the absorber layer: (1) pillar-like structures, (2) sinusoidal gratings with smoother texture flanks compared to the pillar texture and (3) Smooth anti-reflective three-dimensional textures (SMART), where TiOx fills the voids of a SiOx pillar structure, leading to a morphologically flat but optically rough layer system. The SMART texture was found to overcome the trade-off between optical gain and nanotexture-caused electronic losses best. 8 μm thin silicon solar cell test devices were fabricated exhibiting an excellent material quality with open-circuit voltage values up to 649 mV and an inreased short-circuit current density of compared to simultaneously processed optimized planar reference devices. In the next step, the nanoimprinted front side structures were combined with light trapping structures at the rear side of the absorber layers which are individually optimized for scattering and light trapping of the long-wavelength light. These tailored rear side textures are applied to the absorber layer either by KOH etching or by a combination of nanoimprint-lithography and reactive ion etching. In summary, we demonstrate that the implementation of tailored nanoimprinted structures at diverse interfaces of 10-20 µm thin LPC silicon thin-film solar cells on glass paves the way towards efficiencies around 18%.
4:15 PM - ES11.04.03
Light Management Si Solar for Improving Si-Cell Efficiency
Fabrice Gourbilleau 1 , Anais Gouesmel 1 , Julien Cardin 1 , Ing-Song Yu 2 , Omar Ibrahim Elmi 3 , Marzia Carrada 4 , Tao Xu 5 , Odile Robbe 6 , Didier Stievenard 7 , Christophe Labbé 1
1 , CNRS/CIMAP, Caen Cedex 04 France, 2 , Department of Materials Science and Engineering, Hualien Taiwan, 3 , Université de Djibouti, Djibouti Djibouti, 4 , CEMES/CNRS, Toulouse France, 5 , Key Laboratory of Advanced Display and System Application, Shanghai China, 6 , PHLAM, Villeneuve D'Ascq France, 7 , IEMN, Villeneuve d’Ascq Cedex France
Show AbstractMany approaches and developments have been devoted these last years to propose innovative solutions that can offer a way to (i) decrease the CO2 production (ii) limit the energy consumption, (iii) increase the renewable energy use. Among the different solutions proposed, huge efforts have been dedicated to increase the Solar Cell (SC) efficiency, notably those in Silicon. The goal is to keep a low cost process to continuously decrease the cost of the power generation. This is the condition for the Si-PV industry to remain a major player in the provision of power generation solutions in the forthcoming years. Among the different loss paths known in a Si-SC, the thermalization effect due to the mismatch between the solar spectrum energy range (UV region) and the Si-SC band gap energy can be overcome. To achieve such a goal, frequency conversion layers so-called Down Conversion (DC) or Down Shifting (DS) layers have been developed in order to convert one UV incident photon into two IR ones (DC case) or one UV incident photon into one visible one (DS case) that can be absorbed by the Si-SC. To reach this objective many systems using a couple of trivalent ions such as Pr3+(Tb3+)-Yb3+ for DC process or one trivalent ion such Pr3+, Tb3+ for the DS conversion have been developed. Unfortunately, their major drawbacks are either a non Si-compatible process due to the nature of the host matrix or a low absorption cross section of the rare earth (RE) ions that limits their excitability in the solar spectrum range. This problem can be solved by the development of a Si-PV compatible host matrix containing sensitizers that efficiently excite RE ions.
This paper details the development of a Si-PV compatible host matrix that contains sensitizers that efficiently absorb the solar spectrum for exciting RE ions and that also favors the incorporation of a high content of RE ions without the detrimental clustering effect. We will describe the fabrication and study of Tb:Yb and Tb doped Si-based thin films. First Tb-doped systems have been optimized to get the maximum photoluminescence emission under UV range excitation for DS process or prior to incorporating the Yb3+ ions. For this later, quantum efficiency higher than 190% has been achieved for these systems either in a composite or a multilayer structure. The fabrication parameters have been optimized to improve the coupling rate between the RE ions to get the maximum of emitted photon at 980 nm. The use of Ag nanoparticles has been developed to increase the optical path length of pumping photons and thus improve the efficiency of the system. EQE measurements as well as electrical and optical characteristics have been carried out on Si-SC. Finally efficiency measurements on homemade and industrial Si-SCs evidence a gain higher than 1 point with either the use of DC/DS layers and/or the Ag network.
This work has been supported the French Research National Agency through the project GENESE (N° ANR-13-BS09-0020-01).
4:30 PM - ES11.04.04
Optical Properties of Two-Dimensional Mie-Resonator Arrays Fabricated on Si(100) Surface by Dewetting
Vladimir Poborchii 1 , Alexander Shklyaev 2 , Leonid Bolotov 1 , Noriyuki Uchida 1 , Tetsuya Tada 1
1 , National Institute of Advanced Industrial Science and Technology, Tsukuba Japan, 2 , A.V. Rzhanov Institute of Semiconductor Physics, Novosibirsk Russian Federation
Show AbstractMicro-scale front texturing is a promising technology to enhance performance of photodetectors, optical sources, thermal emitters, solar cells etc. In particular, high-refractive-index (n) submicron-sized dielectric particles attract much attention due to their ability for Mie-resonance scattering, redirection, concentration and manipulation of electromagnetic radiation with the wavelength (λ) of the order of the particle size d ~ λ/n. Silicon with n ~ 3.5 – 5 in the infrared (IR) - visible spectral range is a suitable materials for such Mie-resonance engineering. [1] Here, we demonstrate the enhancement of broadband antireflection and light concentration caused by specific Mie-resonance scattering in 2D arrays of size-controlled SiGe islands on Si.
2D arrays of SiGe islands were fabricated on a bar-like Si substrate heated to 900-960oC. Nearly regular 2D arrays of submicron Si1-xGex islands were formed during Ge deposition at optimum temperature owing to dewetting [2]. The regularity was high for x < 0.15. The island arrays were characterized using scanning electron microscopy (SEM), atomic force microscopy (AFM), scanning Kelvin probe microscopy (SKPM), Raman microscopy and micro-optical reflection/scattering spectroscopy. Optical properties of Si particles with shapes of disk and spherical segment were calculated using the discrete dipole approximation with an ADDA code [3].
Antireflection effect produced by the SiGe island arrays is evident in normal-incidence reflection in a range of λ=380-800 nm. Compared to bare Si the reflection is reduced by 7-10 times for d < 1μm. Moreover, Raman intensity/shift maps showed noticeable enhancement of the Si substrate band at 520-521 cm-1 (561 nm laser light) up to a factor of ~ 3 in the areas under each SiGe island. Furthermore, heating-induced downshift of the Si-band Raman peak with laser power is nearly 2-fold steeper under SiGe islands. These are clear indications of the light concentration by nearly lens-like SiGe islands, and the island-induced light-concentration was confirmed by numerical calculations of islands with different shapes. Interesting, white-light back-scattering images showed different colors (yellow, red, green) of individual SiGe islands, which is associated with size-dependent Mie resonances in agreement with the experimental and theoretical scattering spectra.The fabricated Mie-resonator arrays demonstrated pronounced antireflection and light concentration effects, which are essential for high performance of photodetectors and photovoltaic elements.
We are grateful to P. Geshev and M. Yurkin for discussions. The partial financial support by Russian Science Foundation (grant 14-22-00143) is gratefully acknowledged.
[1] A. I. Kuznetsov, et al., Science 354 (2016)2472
[2] A. A. Shklyaev et al., Appl. Surf. Sci. 360 (2016) 1023
[3] M. A. Yurkin and A. G. Hoekstra, J. Quant. Spectrosc. Radiat. Transfer 112 (2011) 2234
4:45 PM - ES11.04.05
Micro and Nano Antenna for Broadband Photon Management in Silicon Based Photovoltaics
Sisir Yalamanchili 1 , Erik Verlage 1 , Phillip Jahelka 1 , Rebecca Saive 1 , Katherine Fountaine 1 , Nathan Lewis 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractHere we present a couple of light management strategies utilizing Si microconical arrays and TiO2 nanoconical arrays that demonstrate exceptional absorption and transmission respectively of broadband solar spectrum.
We first show ordered, high aspect ratio, Si microconical arrays that show a nearly perfect angular (0o to 50o) and spectrally averaged reflectivity of <1% of the incident 400 nm - 1100 nm illumination. Absorption in these arrays is measured after isolating them from their substrate with a polymer infill and peel off process. The arrays absorb 89.1% of angular averaged incident illumination (0o to 50o) in an equivalent volume of a 20 micron thick Si planar slab. The absorption is reaches 99.5% of 4n2 classical light trapping limit of a 20 micron thick Si slab between 400 nm – 1100 nm, and notably exceeds the limit at wavelengths near the Si band gap (1050 nm – 1100 nm). The remarkable optical characteristics – minimal reflection and high absorption-- of the Si microcone arrays is due to efficient coupling of incident light into the waveguide modes at Si microcone array tips that act like an antenna, while their long length enables light to propagate far enough to be absorbed. In spite of exceptional absorption the maximum achievable efficiency from these arrays surpass the current world record of 26.33% only at extremely low surface recombination velocities (SRV) of < 1 cm/s. This limitation is due to more than an order of magnitude surface area enhancement of the arrays compared to a flat Si surface. Therefore a design where light absorption is maximized in thin film Si without any surface texture is ideal.
Here we also demonstrate one such strategy where we extend the antenna effect observed in the Si microcone arrays to dielectric TiO2 nanoconical arrays on the front surface to minimize reflection losses and enhance the absorption in the Si substrate under them. After optimizing the lattice, pitch, height, top and bottom radii of the TiO2 arrays in lumercal finite difference time domain simulations, we determine that transmission > 90% can be achieved in the semiconducting substrates under them with metallic top contact between them. The TiO2 nanoconical antenna arrays allow for incident light to couple into the waveguide modes and since TiO2 has minimal absorption in the solar spectrum it enables the light to be absorbed in the substrate below. In this configuration since the incident light doesn’t interact with the metallic top contact, higher loading of metals can be utilized to increase the conductivity. Metals like Ni can be used in place of costly Ag contacts in this configuration and therefore has the potential to be a cheaper alternative. We also present the initial experimental realization of TiO2 conical arrays via plasma etching methods. We envision these structures to be printed over Si solar cells via nano imprint lithography and therefore is expected to be cheaper and scalable to large areas.
Symposium Organizers
Olindo Isabella, Delft University of Technology
Stefaan De Wolf, King Abdullah University of Science and Technology (KAUST)
Lakshmi Krishna, Colorado School of Mines
Noritaka Usami, Nagoya University
Symposium Support
Applied Physics Letters ǀ AIP Publishing
National Renewal Energy Laboratory
ES11.05: Materials—Silicon-Based Alloys
Session Chairs
Tuesday AM, November 28, 2017
Hynes, Level 2, Room 204
8:30 AM - *ES11.05.01
Simple Thermal Evaporation Route to Single-Phase and Highly-Oriented BaSi2 Thin Films
Kosuke Hara 1 , Chiaya Yamamoto 1 , Junji Yamanaka 1 , Keisuke Arimoto 1 , Kiyokazu Nakagawa 1 , Noritaka Usami 2
1 , University of Yamanashi, Kofu Japan, 2 , Nagoya University, Nagoya Japan
Show AbstractBaSi2 is an emerging Si-based absorber-layer material for thin-film solar cells. The highest reported power conversion efficiency of solar cells using BaSi2 is 9.9%, which was achieved with a p-type BaSi2/n-type Si heterojunction structure [1]. Since BaSi2 has a band gap of 1.3 eV and high absorption coefficients (> 104 cm−1), a higher conversion efficiency reaching 25% is theoretically possible with a BaSi2-based structure. We are developing a thermal evaporation technique for BaSi2 growth in view of future practical application. In spite of the simple process evaporating dry-air-stable BaSi2 source in a high-vacuum chamber, films with long carrier lifetime up to 5 μs can be formed at a high deposition rate around 1 μm/min [2]. For the application of evaporated BaSi2 films to solar cells, single-phase and highly-oriented films without the spatial distribution of electrical properties are needed. Here, we demonstrate the formation of mostly a-axis-oriented BaSi2 films without any secondary phase by a thermal evaporation technique, and elucidate its mechanism from a thermodynamic viewpoint.
We usually fabricate BaSi2 films on Si substrates at high substrate temperatures of 500 °C and higher. This is because the composition of the vapor produced from BaSi2 source is Ba-rich in average and also changes from Ba-rich to Si-rich while evaporation proceeds. That is, BaSi2 is formed through the reaction between Ba-rich deposits and Si substrate. According to the phase diagram of the Ba–Si system, BaSi2 is readily produced on Si in equilibrium, as actually observed by X-ray diffraction. However, microstructural composition analyses using transmission electron microscopy and Auger electron spectroscopy detect oxide inclusions around the region corresponding to the original substrate surface. This is possibly because the initially deposited Ba-rich layer may be easily oxidized by the residual gas in moderate vacuum. We discuss the method to suppress oxygen incorporation on the basis of structural analysis results with and without the deposition of initial Ba-rich vapor.
As the second topic, we show that the crystal orientation of BaSi2 depends on the substrate temperature, and that a mostly a-axis-oriented epitaxial film is obtained on Si(100) at 700 °C. The epitaxial relationship is the same as that realized by molecular beam epitaxy. The reason of epitaxial growth at a high substrate temperature is discussed by considering the microstructure revealed by transmission electron microscopy, which will show the importance of initially-formed layers.
A guide is established from above results to fabricate single-phase and highly-oriented BaSi2 films by thermal evaporation, which will be useful toward solar cell applications.
[1] S. Yachi, et al., Appl. Phys. Lett. 109, 072103 (2016).
[2] C. T. Trinh, et al., Mater. Res. Express 3, 076204 (2016).
9:00 AM - ES11.05.02
Alternative Simple Method to Realize P-Type BaSi2 Thin Films for Si Heterojunction Solar Cells Application
Kazuma Takahashi 1 , Yoshihiko Nakagawa 1 , Kosuke Hara 2 , Isao Takahashi 1 , Yasuyoshi Kurokawa 1 , Noritaka Usami 1
1 , Nagoya University, Nagoya Japan, 2 , University of Yamanashi, Yamanashi Japan
Show AbstractDevelopment of efficient carrier selective materials to have more superior carrier selectivity attracts considerable attention for Si heterojunction solar cells with high conversion efficiency. We have recently proposed p-type BaSi2 (p-BaSi2) as a promising candidate for a hole selective material based on a device simulation (Afors-Het ver. 2.5). However, the fabrication method of p-BaSi2 thin films is limited to only molecular beam epitaxy. Therefore, it is preferable to develop a new method, which can be applied to a large Si substrate.
In this contribution, we report on development of an alternative simple method to realize p-BaSi2 for Si heterojunction solar cells. The method consists of thermal evaporation of BaSi2 on B-doped hydrogenated amorphous Si (a-Si:H) deposited by plasma enhanced chemical vapor deposition (PECVD), which is suitable for large area substrates. Boron in pre-deposited a-Si:H was found to successfully substitute a part of Si in BaSi2, leading to overcompensate undoped n-type BaSi2.
First, B-doped a-Si:H thin film with a thickness of about 100 nm was deposited on Si(100) substrate (resistivity>1000 Ω cm) by PECVD. The flow rates of SiH4, H2, and 1%B2H6 was chosen as 10, 60, and 20 sccm, respectively. The a-Si:H film was crystallized when the sample was heated in deposition chamber (substrate temperature (Tsub) 500, 550 600°C). In each case, BaSi2 granule of 0.15 g was evaporated by resistive heating, and then the vapor generated from the BaSi2 source was reacted to the crystallized a-Si:H. This led to realization of BaSi2 films having the thickness of about 500 nm. The obtained films were characterized by X-Ray Diffraction (XRD), Hall measurement, and Secondary Ion-microprobe Mass Spectrometry (SIMS).
From the XRD (2θ-ω) patterns, it was confirmed that single-phase BaSi2 was realized regardless of Tsub as evidenced by the appearance of peaks assigned as BaSi2. When Tsub is 500 or 550°C, the carrier type of the BaSi2 films was found to be n-type, which is same with that of undoped films. On the other hand, increase of Tsub to 600°C resulted in successful conversion of the carrier type to p-type. The hole density, carrier mobility and resistivity were revealed to be 7.3×1019 cm-3, 3.8 cm2/V s and 2.3×10-2 Ω cm, respectively, which suggests that the B originally existing in a-Si:H diffused in BaSi2 to substitute a part of Si as evidenced by the SIMS results. This obtained hole density and mobility of the BaSi2 films at Tsub of 600°C meet the conditions for the Si heterojunction solar cells with high conversion efficiency. Also, it is suggested that the p-BaSi2 films with the optimum properties suggested by the simulation could be realized by controlling the B density in the a-Si:H. These results show that the fabrication method of p-BaSi2 films designed by us is promising to realize the p-BaSi2/n-Si heterojunction solar cells.
9:15 AM - ES11.05.03
Structural and Optical Analysis of Sputtered BaSi2 Thin Film
Yilei Tian 1 , Robin Vismara 1 , Steve van Doorene 1 , Pavol Šutta 2 , Lubomír Vančo 3 , Marian Veselý 3 , Peter Vogrinčič 3 , Olindo Isabella 1 , Miroslav Zeman 1
1 , Delft University of Technology, Delft Netherlands, 2 , University of West Bohemia, Pilsen Czechia, 3 , Slovak University of Technology in Bratislava, Bratislava Slovakia
Show AbstractEmerging as a novel and promising absorber material for photovoltaic (PV) applications, BaSi2, consists of earth-abundant elements. It can be regarded as the best substitution of toxic and scarce materials used in thin-film solar cells (e.g. CdTe and CIGS). The outstanding promise of BaSi2 stems from its appealing opto-electrical properties, including an (in-)direct band gap between 1.15 and 1.3 eV, a long minority-carrier lifetime (~10 μs) [1] together with a high absorption coefficient of BaSi2. The last exceeds 3×104 cm-1 for photon energies larger than 1.5 eV [2]. Presently, heterojunction BaSi2/c-Si solar cells with efficiency reaching 9.9% have been reported [3].
In this contribution, we present a characterization of the structural and optical properties of BaSi2 films prepared by radio-frequency (RF) magnetron sputtering for utilization in p-i-n solar cell architecture inherited from thin-film silicon technology. The study was carried out by means of Auger electron spectroscopy (AES), Raman spectroscopy, X-ray diffraction (XRD), and reflectance/transmittance (R/T) measurements.
Thickness uniform BaSi2 films were deposited with a BaSi2 stoichiometric ceramic target on 3 × 3 cm2 glass substrates at a deposition rate of 6.6 nm/min. AES results indicated a constant Ba : Si ratio of 1:2 throughout the 500-nm thick as-deposited BaSi2 film. However, those samples were found to be amorphous (Raman) with poor transmittance (R/T). Samples were subsequently annealed for 90 min at different temperatures (Ta). Formation of orthorhombic BaSi2 was identified with both XRD and Raman spectra at Ta from 550 °C to 700 °C, implying the crystalline quality enhancement of annealed films. Besides five typical Raman peaks of BaSi2, Si nanocrystal peaks at ~ 519 cm-1 were also found for Ta > 650 °C, which can result from BaSi2 surface oxidation during annealing process. Such surface oxidation was also proved by AES results of the sample annealed at 650 °C, in which a ~180-nm thick oxidation layer can be found. An obvious Ba diffusion motivated by surface oxidation was also observed, inducing the composition variation throughout the film. Wavelength-dependent R/T measurement results, in the wavelength range from 300 nm to 2500 nm, revealed the trend that absorptance for longer wavelength decreased with increasing Ta, resulting from higher Ta reducing defective and/or metallic phases of BaSi2.
Further optical analysis together with electric properties are being performed. Also, efforts on annealing condition optimization and anti-oxidation layer are directed towards the accomplishment of a comprehensive research on BaSi2 material, which lays the groundwork for the development of high efficiency solar cell devices.
References
[1] T. Suemasu, et al., J. Phys. D Appl. Phys. 50(2), 023001 (2016).
[2] R. Vismara, et al., SPIE Photonics Europe 9898, 98980J (2016).
[3] S. Yachi, et al., Appl. Phys. Lett. 109, 072103 (2016).
9:30 AM - ES11.05.04
Intrinsic Properties of Si24
Michael Guerette 1 , Timothy Strobel 1 , Matthew Ward 1 , Stephen Juhl 2 , Nasim Alem 2 , Konstantin Lokshin 3 , Lakshmi Krishna 4 , P. Craig Taylor 4
1 , Geophysical Laboratory, Carnegie Institution of Washington, Washington, District of Columbia, United States, 2 , The Pennsylvania State University, University Park, Pennsylvania, United States, 3 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 4 , Colorado School of Mines, Golden, Colorado, United States
Show AbstractThe recently discovered orthorhombic allotrope of silicon, Si24, is an exciting prospective material for the future of solar energy due to a quasi-direct bandgap near 1.3 eV [1], coupled with the abundance and environmental stability of silicon. Synthesized via precursor Na4Si24 at high temperature and pressure (~850 °C, 9 GPa), typical synthesis results have yielded polycrystalline samples with crystallites on the order of 20 µm. With new synthesis approaches guided by microanalysis observations, we have achieved free standing single crystals of Na4Si24 greater than 150 µm. The ability to remove Na from the structure on this length scale is shown to be effective through a variety of techniques. This result allows the investigation of intrinsic properties of Na4Si24 and Si24 which were not previously possible, such as directional optical and electronic properties. Our study elucidates the merits and challenges of pursuing Si24 for the future of solar energy generation and efficient electronics.
References:
[1] D. Y. Kim, et al., Nat. Mater., vol. 14, no. 2, pp. 169–173, Feb. 2015.
9:45 AM - ES11.05.05
Thermal Stability of Cu/Ni/Si and Cu/NiSi/Si Contacts for Application in c-Si Solar Cells
Abhijit Kale 1 , William Nemeth 2 , David Young 2 , Alexander Marshall 3 , Karine Florent 3 , Santosh Kurinec 3 , Sumit Agarwal 1 , Pauls Stradins 2
1 , Colorado School of Mines, Golden, Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States, 3 , Rochester Institute of Technology, Rochester, New York, United States
Show AbstractScreen printed and fired Ag paste, the current standard for front-side metallization of silicon solar cells, has high cost and causes some performance loss to the cell. Electrodeposited Cu, a low–cost, low–damage alternative to Ag requires a conductive diffusion barrier to Si to prevent the formation of Cu precipitates that reduce carrier lifetime in Si wafer. In this study we address the materials science of structural and chemical stability of Cu on Ni film (Cu/Ni) and Cu on NiSi film (Cu/NiSi) contacts for c-Si solar cells. The highly conductive NiSi phase was formed by rapid thermal annealing of Ni films on clean c-Si over a temperature range of 250 to 450 °C in an N2 atmosphere in two temperature steps resulting in the reaction Ni → Ni2Si → NiSi. This two-step annealing process was then modified to obtain NiSi in a much simpler single annealing step while ensuring complete conversion of Ni to NiSi with absence of the high resistivity Ni2Si phase. The various phases in these films were analyzed by X–ray diffraction (XRD) and Raman spectroscopy.
The thermal stability of the Cu/Ni/Si and Cu/NiSi/Si contacts and their blocking of Cu diffusion were studied over a temperature range of 200-500 °C using XRD. Our results show that the Cu/NiSi/Si contact is considerably more thermally stable as compared to Cu/Ni/Si contact. The Cu/Ni/Si contact when annealed at 200 °C results in the formation of high resistivity Ni2Si phase which will cause a loss in cell efficiency. Under the same conditions the Cu/NiSi/Si contact undergoes no change. On annealing the Cu/Ni/Si contact to 450 °C, the Cu readily diffuses through the Ni layer and reacts with underlying Si forming Cu3Si phase. Interestingly, there was no evidence of formation of any NixSi phase under these annealing conditions. In summary, NiSi is a much better Cu diffusion barrier as the extent of Cu3Si formation was much less for the Cu/NiSi/Si contact annealed at 450 °C. We also studied the effect of NiSi thickness on the thermal stability of the Cu/NiSi/Si contact. An ~40 nm thin NiSi film in a Cu/NiSi/Si stack completely degrades when annealed at 450 °C for extended time while a 110 nm NiSi film does not degrade. These materials science results might provide guidelines for inexpensive and stable, Cu-metallized high efficiency mono-Si solar cell mass production.
Funding for this work was provided by US DOE EERE contract SETP DE-EE00025783, SETP DE-EE00030301 (SuNLaMP) and under Contract No. DE-AC36-08GO28308.
ES11.06: Passivating Contacts I
Session Chairs
Tuesday PM, November 28, 2017
Hynes, Level 2, Room 204
10:30 AM - *ES11.06.01
Ion Implantation as a Cost-Effective Route to Forming High Efficiency Silicon Solar Cells
David Young 1 , William Nemeth 1 , Vincenzo LaSalvia 1 , Pauls Stradins 1
1 , NREL, Golden, Colorado, United States
Show AbstractSilicon photovolatics (PV) have seen a steady increase in efficiency towards its practical limit mainly due to improved bulk wafer lifetimes, passivated contacts and refined processing steps. Though several institutions have broken the 25% efficiency barrier, the cost for these record cells remains high due to the number and complexity of the manufacturing process steps required. These may include wet chemical texturing, etching, and cleaning, high-temperature diffusion, thin-film deposition, annealing, and metallization. Depending on the device design, many of these steps may require high precision alignment, adding cost. This talk explores the use of ion implantation to form emitter and back contacts to silicon solar cells to avoid process steps, improve yield and increase efficiency. Silicon PV has a long history of adopting process steps developed in the IC industry. Ion implantation is poised to be the next enabling process.
Several companies have development high-throughput ion implanters designed specifically for the PV industry. These implanters are simple, non-mass analyzed, high-dose machines capable of implanting 1000s of wafers/hr. Beam line and plasma immersion technologies have been developed for the PV industry and each has advantages and disadvantages. Several solar cell designs have benefited from implantation including: (1) direct implantation into the wafer to form emitters and selective emitters; (2) passivated contacts where ions are implanted into a deposited amorphous or polycrystalline layer; and (3) masked ion implantation to form patterned interdigitated back contact (IBC) solar cells, either directly into the wafer or into passivating contact layers. Passivating contacts have the benefit of not needing a high temperature post implant anneal to remove ion-induced crystallographic damage. Instead, the a-Si or polySi crystallizes and incorporates the dopants at temperatures and times that do not sacrifice the high lifetime of the bulk wafer.
Implanters provide a clean, cost-effective route to form high efficiency solar cells. Cost of ownership models show that implanters are a viable tool for modern PV lines by producing high value cells with fewer process steps than traditional diffusion cells. The talk will include a review of state-of-art passivated contacts formed by ion implantation using P, B and Ga ions. It will conclude with new opportunities for implanters in the PV industry to add value to a solar cell beyond patterned doping.
11:00 AM - ES11.06.02
Hydrogenation of Poly-Si Passivating Contacts by Al2O3 Films
Bas van de Loo 1 , Manuel Schnabel 2 , David Young 2 , Bart Macco 1 , William Nemeth 2 , Pauls Stradins 2 , Erwin Kessels 1
1 Applied Physics, Eindhoven University of Technology, Eindhoven Netherlands, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractDoped polycrystalline silicon (poly-Si) is becoming an important building block for crystalline silicon (c-Si) solar cells. It allows for outstanding passivation of defects states at the c-Si surface, and is capable of extracting either electrons or holes from the c-Si bulk with low Ohmic losses. In this way, poly-Si passivating contacts have already led to a conversion efficiency of 25.7% (a world record for non-back contacted c-Si solar cells). Hydrogenation of the poly-Si and the underlying interfaces is key in achieving surface passivation, and it has recently been shown that Al2O3 capping films enable surface passivation by poly-Si after annealing.1 Yet, little is known about the interface hydrogenation induced by the Al2O3. In this contribution, the role of hydrogen in the passivation mechanism of poly-Si passivating contacts by Al2O3 is scrutinized using isotope labelling, and experimental evidence for hydrogen transport from the poly-Si towards the c-Si/SiO2 interface is provided.
To examine the hydrogenation effect, n- and p- type poly-Si was prepared on both sides of n-type CZ-grown Si wafers with a 1.5-nm thick thermally-grown interface oxide. Next, the poly-Si was capped by Al2O3 films prepared by atomic layer deposition, which contained either hydrogen (Al2O3:H) or deuterium (Al2O3:D). Subsequently, the samples have been subjected to anneals at 400 oC in various ambients (N2 and forming gas anneals (FGA) containing H2 or D2). Using secondary ion mass spectroscopy (SIMS), the transport of hydrogen and deuterium was monitored throughout the film stack.
The surface passivation by p-type poly-Si improves by annealing in N2 or forming gas. However, only in presence of an Al2O3 capping layer can an excellent iVoc=712 mV be reached after FGA. The Al2O3 can be removed after this FGA without affecting the improved passivation. SIMS profiling reveals that deuterium in the Al2O3 exchanges rapidly with hydrogen from the FGA, although the overall hydrogen concentration in Al2O3 does not change significantly. Yet, importantly, the hydrogen concentration at the c-Si/SiO2 interface increases by annealing. Moreover, the deuterium/hydrogen isotope ratio at this interface mirrors the ratio present in the Al2O3. The iVoc improves with anneal times >20 minutes, and continues to improve even when the interface hydrogen concentration does not increase further. Therefore, besides supplying energy for hydrogen to diffuse from the Al2O3 to the c-Si/SiO2 interface, a key role of the anneal step is to activate dangling bond passivation.
Finally, it will be briefly shown that also for polycrystalline materials other than poly-Si (e.g., ZnO), Al2O3 capping can enable outstanding surface passivation, resulting in iVoc = 735 mV. Experimental evidence suggests that in particular the transport of molecular hydrogen through grain boundaries plays a pivotal role in achieving chemical passivation by polycrystalline materials.
1B. Nemeth et al, J.Mater.Res. 31, 671 (2016).
11:15 AM - ES11.06.03
Effect of Tunneling SiOx Thickness on Passivated Contacts for High-Efficiency c–Si Solar Cells
Abhijit Kale 1 , William Nemeth 2 , Matthew Page 2 , Sumit Agarwal 1 , Pauls Stradins 2
1 , Colorado School of Mines, Golden, Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractMonocrystalline Si (c-Si) solar cells with passivated contacts based on the ultrathin tunneling SiOx and doped polycrystalline Si (pc-Si) layers in a c‑Si/SiOx/pc-Si structure can achieve high efficiencies >25%. In this work we have investigated the effect of tunneling SiOx thickness on passivated contact performance. The SiOx interlayer provides excellent chemical passivation of the c-Si surface while allowing for photocarrier transport by tunneling. The dopants from the doped pc‑Si provide field-effect passivation and induce p-n and high-low junctions in the wafer. Thus, it is important to establish the materials science of tunneling SiOx that governs the best performance of these passivated contacts.
SiOx was thermally grown via dry oxidation on RCA cleaned c-Si (100) wafers at 800 °C and the thickness was varied from 1–3 nm by changing the oxidation time. Doped a‑Si:H layers were then deposited via PECVD and annealed at 850 °C in N2 environment for converting the a‑Si:H to pc-Si and allowing dopants to activate and partly diffuse into the SiOx and c-Si substrate. Contact properties: i-Voc using the Sinton Lifetime measurement; metallization induced degradation via photoluminescence imaging; and the electrical transport properties using modified transmission line method were determined for both phosphorous doped n-type (BSF) and boron doped p-type (p-n junction) passivated contacts.
Our results show that for both types of contacts, there is an optimum SiOx thickness of 1.4 to 1.7 nm for obtaining good i-Voc values, ~730 mV for n-type and ~700 mV for p-type contact, while mitigating metallization induced degradation of the contacts. Surprisingly, there is a significant drop in i-Voc for both types of contacts when the SiOx thickness is ~1.8 nm or greater. The measured sheet resistance of the pc-Si film including the near surface c-Si layer into which dopants have diffused also increases as the SiOx thickness is increased. For the n-type contact, it plateaus out when the SiOx thickness is greater than 1.8 nm. The through-contact resistivity for the n-type pc-Si/SiOx/n-type c-Si structure is less than 20 mΩ-cm2 when SiOx thickness is less than 1.7 nm, and increases exponentially for thicker SiOx. This indicates that the thick SiOx no longer allows efficient tunneling transport. It also manifests as dramatic series resistance increase in the I-V behavior for the p-type pc-Si/SiOx/n-type c-Si diode. Dopant depth profiles measured using Time-of-Flight Secondary Ion Mass Spectrometry for both type of contacts with 1.5 and 2.2 nm thick SiOx show that even a 0.7 nm difference in SiOx thickness significantly affects the dopant profiles within the c-Si substrate with the thinner SiOx allowing greater dopant diffusion into the c-Si.
Funding for this work was provided by US DOE EERE contract SETP DE-EE00030301 (SuNLaMP) and under Contract No. DE-AC36-08GO28308.
11:30 AM - ES11.06.04
Performance Improvement of Silicon Nanowire Based Solar Cells Using Al2O3/TiO2 Passivation Films
Yasuyoshi Kurokawa 1 2 , Ryota Nezasa 1 , Shinya Kato 4 , Hisashi Miyazaki 3 , Isao Takahashi 1 , Noritaka Usami 1
1 Graduate School of Engineering, Nagoya University, Nagoya Japan, 2 PRESTO, Japan Science and Technology Agency (JST), Saitama Japan, 4 Graduate School of Engineering, Nagoya Institute of Technology, Nagoya Japan, 3 Department of Materials Science and Engineering, National Defense Academy, Yokosuka Japan
Show AbstractTo overcome the maximum efficiency of bulk Si single solar cells, a silicon nanowire (SiNW) has been attracted great attention as the top cell of all silicon tandem solar cells, since SiNWs enable us bandgap tuning by the quantum size effect and effective photo-absorption due to strong optical confinement effect. We have reported that the bandgap of SiNW arrays can be tuned by reducing the diameter from cathode luminescence. To obtain high conversion efficiency using SiNWs, it is very important to reduce surface recombination velocity on the surface of SiNWs. In this study, to decrease surface recombination, we tried to cover SiNWs with aluminum oxide (Al2O3) and titanium oxide (TiO2) by atomic layer deposition (ALD), since Al2O3 grown by ALD provides an excellent level of surface passivation on silicon wafers and TiO2 has higher refractive index than Al2O3, leading to the reduction of surface reflectance. Optical properties and effective carrier lifetime of the SiNWs with the Al2O3/TiO2 stack layer were evaluated.
SiNW arrays were prepared by metal assisted chemical etching with silica nanoparticles (MACES) on a Si wafer (n-type, (100), 1-5 Ω・cm). Although it is basically same as the MACE process, silica nanoparticles are used as an etching mask to control the diameter and density of SiNWs. SiNWs with the length of 1, 5, and 10 µm were prepared on a Si wafer by changing etching duration. The diameter of SiNWs was 30 nm. After the fabrication of SiNW arrays, Al2O3 and TiO2 were deposited by ALD system. Trimethylaluminum (Al(CH3)3 (TMA)), tetrakisdimethylaminotitanium (Ti[N(CH3)2]4), called TDMAT, and H2O were used as aluminum, titanium, and oxygen reactant sources, respectively. Thicknesses of Al2O3 and TiO2 were both 20 nm. Post-annealing was carried out to increase negative charge density in the Al2O3. Transmittance and reflectance were measured by a spectrophotometer. Absorptance spectra of the SiNWs were measured by photoacoustic spectroscopy. We also evaluated effective lifetime of SiNWs by the µ-PCD method.
The absorptances of SiNWs with the Al2O3/TiO2 stack layer were almost 100% in all the wavelength ranges from 300 to 2000 nm. This high absorption in the wide range was also observed by the photoacoustic spectroscopy. On the other hand, the flat Si wafer with the Al2O3/TiO2 stack layer did not have such a high absorption in the same range, suggesting that both SiNW structure and the Al2O3/TiO2 stack layer enhanced the optical confinement. The absorption of an infrared region may be due to the parasitic absorption of TiO2. Effective minority carrier lifetime of SiNWs with the Al2O3/TiO2 stack layer was drastically improved from 6 to 122 µsec by the post-annealing. This result was revealed that the surface recombination velocity on the surface of SiNWs was reduced by negative charge in the Al2O3. These results suggest that the improvement of the performance of SiNW solar cells can be expected using the Al2O3/TiO2 stack layer.
ES11.07: Doping-Free Approaches
Session Chairs
Tuesday PM, November 28, 2017
Hynes, Level 2, Room 204
1:30 PM - *ES11.07.01
Dopant Free Selective Contacts for Highly Efficient Si Solar Cells
Ali Javey 1
1 , University of California, Berkeley, Berkeley, California, United States
Show AbstractA generic characteristic of solar cells is their ability to subject photo-generated electrons and holes to pathways of asymmetrical conductivity, ‘assisting’ them towards their respective contacts. Traditional solar cells achieve this by making use of doping, which presents several optoelectronic losses and technological limitations. In this talk, I will present our recent advancements in developing dopant-free selective contacts for Si and III-V solar cells. Solar cells with efficiencies approaching 20% based on Si have been developed using such contacts processed at low temperatures. The work presents an important advance in exploration of a new class of cell architectures that can be manufactured at lower cost while potentially offering higher efficiencies.
2:00 PM - ES11.07.02
Efficient Electron-Selective Contacts for Silicon Solar Cells Using Magnesium Metal, Oxide and Fluoride
Yimao Wan 1 , Chris Samundsett 1 , James Bullock 2 , Jun Peng 1 , Mark Hettick 2 , Ali Javey 2 , Andres Cuevas 1
1 Research School of Engineering, Australian National University, Canberra, Australian Capital Territory, Australia, 2 Department of Engineering and Computer Science, University of California, Berkeley, Berkeley, California, United States
Show AbstractCrystalline silicon (c-Si) has been dominating worldwide photovoltaic (PV) production for decades, with a global market share of around 93%, making it unequivocally the most important PV technology of all time. The majority of commercialised c-Si PV devices are based on a simple solar cell architecture—a p-type c-Si wafer with a front phosphorus diffusion and a full-area aluminium (Al) alloyed rear back surface region. The success of this architecture is largely due to the simple, low-cost formation of a highly doped p+ region upon alloying, which leads to a low contact resistance for hole transport and a moderate level of recombination suppression at the rear surface. When Al is directly deposited on n-type c-Si, however the contact behaves in a rectifying fashion, even if it is not alloyed with the silicon, and results in a high contact resistance, despite the small difference (~0.1–0.2 eV) that exists between the Al work function and the electron affinity of silicon and the consequently low barrier height predicted by the Schottky-Mott rule. This behaviour is widely attributed to the Fermi-level pinning phenomenon, consequence of a high density of bandgap states or defects at the metal/semiconductor interfaces, which leads to a relatively high Schottky barrier height (ΦB) of ~0.65 eV. This in turn hinders the flow of electrons out of the n-type silicon wafer.
An obvious approach to reducing contact resistivity ρc is to reduce ΦB. One straightforward technique to reduce ΦB for electron transport is the utilization of a metal layer with a very low work function, such as calcium or magnesium. An alternative, or complementary, technique is the de-pinning of the Fermi-level by inserting an interfacial layer between the outer metal electrode and the inner silicon absorber. The interlayer functions as a passivating layer to reduce the density of states/defects at the metal/silicon interface while being conductive enough to allow significant transport of carriers through it. One or several of the following interlayer properties are desirable for achieving a low contact resistivity: (i) low, or negative, conduction band offset to c-Si, (ii) low bulk resistivity, which is assoicated with tunnelling effective mass and bulk carrier concentration, and (iii) possible capability of modifying (reducing) the overall work function of the outer metal layer. Based on abovementioned conditions, this work reports the progress in exploring magnesium related layers—metal (Mg), oxide (MgOx) and fluoride (MgFx)—as electron-selective contacts to n-type c-Si by investigating (i) the contact properties, (ii) solar cell performance, and (iii) device thermal stability. In particular, a simple aluminium electrode, functionalized with a nanoscale Mg-based layer, significantly enhances the performance of n-type c-Si solar cells, to a conversion efficiency of ~20%, equivalent to the performance of the standard p-type silicon solar cells with an alloyed Al full-area hole contact.
2:15 PM - ES11.07.03
TiO2 Based Electron Selective Contacts for Interdigitated Back-Contacted c-Si(n) Solar Cells
Gerard Masmitja 1 , Pablo Ortega 1 , Joaquim Puigdollers 1 , Guillermo Gerling 1 , Cristobal Voz 1 , Isidro Martin 1 , Ramon Alcubilla 1
1 , Universitat Politecnica de Catalunya, Barcelona Spain
Show AbstractThe photovoltaic industry is mainly dominated by crystalline silicon (c-Si) based solar cells where, the contact selectivity is usually achieved by doping the wafer surfaces with phosphorus and boron atoms.
Several alternatives are used in order to avoid the high temperature, furnace-based, diffusion process. Examples include the well-known silicon heterojunction (HIT) using both intrinsic and doped amorphous silicon (a-Si:H) films, or the formation of p+ and n+ regions by laser-firing of doped dielectric films. Nevertheless, in both cases the use of toxic and flammable gases is required.
Recently, the use of dopant-free materials based on transition metal oxides (TMOs) like MoOx, V2Ox and MgOx have shown excellent hole and electron selectivity [1-3]. The use of titanium oxide (TiO2) is an attractive option to form electron-selective contacts, due to its small conduction- and large valence-band offsets (DEc ~0.05 eV and DEv ~2.0 eV respectively), allowing an easy electrons transport through the c-Si/TiO2 interface while blocking the holes [4]. The introduction of a thermal dielectric SiO2 interlayer at the c-Si/TiO2 interface improves the quality of the selective contact and its thermal stability reaching efficiencies up to 21.6% [5]. The replacement of this high temperature SiO2 layer by other dielectric films deposited a low temperatures is an interesting objective.
In this work we study the properties of atomic layer deposited (ALD) dielectric/TiO2 stacks deposited at low temperatures as electron transport layers. The goal is to use optimized dielectric/TiO2 layers as selective contacts in interdigitated back-contacted (IBC) c-Si(n) solar cells. Preliminary results confirm surface recombination velocities below 40 cm/s with implied open circuit voltage (iVoc) values of 675 mV in symmetrical dielectric/TiO2 test samples. Specific contact resistance values below 3 mΩcm2 are also measured on stacks properly covered with the metal capping electrode. These excellent results pave the way to use these stacks as electron selective contacts on IBC solar cells, in combination with V2Ox hole selective contacts. Experimental and technological details as well as first IBC solar cell results will be presented at the conference.
References
[1] L. G. Gerling, et al., Sol. Energy Mater. Sol. Cells, 2016, 145, 109
[2] G. Masmitjà, et al., J. Mater. Chem. A, 2017, 5, 9182
[3] Y. Wan, et al., Advanced Energy Materials, 2016, 1601863
[4] K. A. Nagamatsu, et al., Applied Physics Letters, 2015, 106, 123906
[5] X. Yang, et al., Advanced Materials 2016, 28, 5891
2:30 PM - ES11.07.04
Tungsten Oxide as Hole-Selective Contact Layer in Silicon Solar Cells—Connecting Material Properties to Cell Performance
Mathias Mews 1 , Antoine Lemaire 1 , Dorothee Menzel 1 , Lars Korte 1
1 , Helmholtz-Zentrum Berlin, Berlin Germany
Show AbstractHigh work function metal oxides such as molybdenum oxide (MoOx) [1] or tungsten oxide (WOx) [2,3] thin films are currently investigated as possible highly transparent alternative hole contact layers, replacing p-type amorphous silicon, (p)a-Si:H, in amorphous/crystalline silicon heterojunction (SHJ) solar cells. Previously [3], we applied in-system photoelectron spectroscopy on evaporated WOx to connect variations in the material’s oxygen content x to changes in its work function, with a maximum value of 6eV for stoichiometric material and lower WF for x<3. We could show that these work function variations have a direct influence on cell performance in WOx/(i)a-Si:H/(n)c-Si solar cells: the band bending measured in these cells is reduced for high oxygen vacancy concentration (low x) which leads, in turn, to low cell fill factors (FF) and open circuit voltages (Vocs). We surmise, that oxygen vacancies in WOx provide negative charges which raise the Fermi-level in WOx and reduce the band bending at the WOx/(n)Si-junction.
In the present contribution, we explore whether the required low density of oxygen vacancy defects in WOx can be achieved in sputtered WOx films, where the stoichiometry and oxygen vacancy density can be adjusted through the Ar/O2 dilution ratio in the sputter gas. We found that in a sputtered ITO/WOx contact stack at least 20nm WOx are needed to prevent detrimental effects of the ITO work function on the band bending at the WOcx/Si junction, the implied FF and real FF of solar cells. At this thickness, the low conductivity of WOx limits the FF of cells. First results on an alternative approach using doping/alloying in order to achieve both the desired work function and conductivity will be presented.
[1] C. Battaglia, S. M. de Nicolas, S. De Wolf, X. Yin, M. Zheng, C. Ballif, and A. Javey, “Silicon heterojunction solar cell with passivated hole selective MoOx contact,” Appl. Phys. Lett. 104, p. 113902, 2014
[2] M. Bivour, J. Temmler, H. Steinkemper, and M. Hermle, “Molybdenum and tungsten oxide: High work function wide band gap contact materials for hole selective contacts of silicon solar cells,” Sol. En. Mat. Sol. Cells 142, pp. 34 – 41, 2015.
[3] M. Mews, L. Korte, and B. Rech, “Oxygen vacancies in tungsten oxide and their influence on tungsten oxide/silicon heterojunction solar cells”, Sol. En. Mat. Sol. Cells 158, 77-83, 2016.
2:45 PM - ES11.07.05
Surface Passivation Quality of Atomic Layer Deposited TiO2 Thin Layer for Carrier Selective Contact on Crystalline Silicon
Takeya Mochizuki 1 , Kazuhiro Gotoh 1 , Isao Takahashi 1 , Yasuyoshi Kurokawa 1 , Noritaka Usami 1
1 , Nagoya University, Nagoya, Aichi Japan
Show AbstractExcellent surface passivation is required to realize crystalline Si (c-Si) solar cells with high conversion efficiency, and hydrogenated amorphous silicon (a-Si:H) layers have been implemented to the record solar cell to exhibit that of 26.6%. However, the absorption in the a-Si:H layer and band offset at the a-Si:H/c-Si interface are regarded as obstacles for further increase of the solar cell performance.
Titanium oxide (TiO2) is one of candidates to replace n-a-Si:H, which could be applied to electron selective contact (ESC) for Si solar cells due to following reasons. Firstly, the band gap is reported to be 3.2 eV to certify high transparency. In addition, TiO2/c-Si heterojunction has a small conduction-band offset (EC<0.3eV) and large valence-band offset (EV>2.3eV) [1]. Therefore, TiO2 could act as an ESC to transmit electrons while blocking holes. In addition to the carrier selectivity, excellent surface passivation quality is mandatory for high quality carrier selective contact.
In this paper, we attempted to prepare TiO2 layers by atomic layer deposition (GEMStar-6, Arradiance) on both sides of (100)-oriented float-zone (FZ) p-type silicon wafers with a resistivity of 2 Ωcm, and investigated their passivation quality.
We used Tetrakis-dimethyl-amido titanium (TDMAT), H2O as titanium precursor, oxidant respectively. After deposition, a post-annealing in the reducing atmosphere was carried out to activate the surface passivation in the range of 200°C-400°C with annealing temperatures. In order to investigate the effect of the thickness of TiO2 on the passivation quality, 1, 2, 3, 4, 5 ,8 and 12 nm thick layers have been deposited. The effective minority carrier lifetime (τeff) was determined using microwave photoconductance decay (LTA-1512EP Kobelco Research Institute, Inc.).
Before post-annealing, the τeff was around 30 μs. After annealing, the τeff of the samples with 2-4 nm TiO2 layers increased greatly in comparison with that of as-deposited samples. On the other hand, the sample with 1 nm TiO2 layer did not show improvement. When the thickness exceeded 5 nm, the passivation quality was largely decreased, which could be due to stress-induced phase transitions. As for the annealing condition, a degradation of the surface passivation quality was observed when the annealing temperature exceeds a certain temperature. It is assumed that the degradation is caused by the crystallization of TiO2. From the relationship between annealing temperature before crystallization of TiO2 and the τeff, the activation energy for the surface passivation was estimated to be 0.37 eV, which would help to clarify underlying mechanisms for the origin of passivation by TiO2 and improve the passivation quality.
These results suggest that TiO2 is a promising candidate for high-efficiency silicon solar cells.
[1] D. O. Scanlon et al., Nature Mater. 12, (2013) 798-801
ES11.08: Light Management and TCOs II
Session Chairs
Tuesday PM, November 28, 2017
Hynes, Level 2, Room 204
3:30 PM - *ES11.08.01
Transparent Electrodes for High-Efficiency Photovoltaics
Monica Morales-Masis 3 , Quentin Jeangros 3 1 , Esteban Rucavado 3 , Raphael Monnard 3 , Mathieu Boccard 3 , Stefaan De Wolf 2 , Aïcha Hessler-Wyser 3 , Christophe Ballif 3
3 , École Polytechnique Fédérale de Lausanne, Neuchatel Switzerland, 1 , University of Basel, Basel Switzerland, 2 , King Abdullah University of Science and Technology (KAUST), Thuwal Saudi Arabia
Show AbstractIn this presentation we discuss the status and recent developments in the field of transparent electrodes for high-efficiency solar cells, with special focus on metal oxides. Thin-film PV technologies (perovskite, CdTe, CIGS) but also high-efficiency crystalline silicon heterojunctions (SHJ), perovskite-on-silicon tandem cells, as well as new PV designs that are bifacial and semitransparent, rely on the use of transparent conductive oxides (TCO). The implementation of transparent electrodes that combine exceptionally low optical absorption from the UV to the IR with high lateral conductivity is crucial to avoid parasitic absorption or electrical losses in the solar cell. To enhance the solar cell efficiency, the interfaces between the transparent electrode and the adjacent device layers have to be well designed (e.g. to minimize contact resistance and chemical oxidation/reduction reactions), and light in-coupling need to be optimized, by for example tuning of the refractive index of the TCO electrode. The main challenge for developing high-performance TCOs, is to fulfill simultaneously many, if not all of these requirements, with their final properties being driven by the inherent trade-off between the electrical and optical properties. Hydrogenated indium-based TCOs are leading the race of the ideal transparent electrodes for solar cells, mainly due to their exceptionally high electron mobility (> 100 cm2/Vs) that can be achieved at low deposition temperatures (< 200 °C), their wide band gap (between 3.5 and 3.8 eV) and their low sub-bandgap absorption. These properties are strongly linked to a low density of defects, which results from a high crystalline quality and passivated grain boundaries. In this talk we compare these high mobility TCOs, namely: InZnO, In2O3:Zr, In2O3:H, In2O3:Ce:H with In2O3:Sn (ITO), from their fundamental properties to their integration into high-efficiency SHJ cells as their front electrode and into semitransparent perovskites for tandem cells. The link between optoelectronic properties and microstructure is established, also in relation to the underlying hydrogenated amorphous silicon (a-Si:H) layer in the SHJ cell. Finally, we present recent efforts to replace or reduce indium in TCOs, discuss future challenges in the field of transparent electrodes for photovoltaics and propose guidelines to design TCOs with decoupled properties.
Reference
M. Morales-Masis, S. De Wolf, R. Woods-Robinson, J. Ager, C. Ballif. ‘Transparent Electrodes for Efficient Optoelectronics’, Adv. Electronic Materials, 3, 1600529, 2017.
4:00 PM - ES11.08.02
Atomic Hydrogen—Enabling Highly Transparent, Conductive and Passivating Metal Oxides for c-Si Photovoltaics
Bart Macco 1 , Bas van de Loo 1 , Harm C.M. Knoops 1 2 , Jimmy Melskens 1 , Erwin Kessels 1 3
1 , Eindhoven University of Technology, Eindhoven Netherlands, 2 , Oxford Instruments Plasma Technology, Bristol United Kingdom, 3 , Solliance, Eindhoven Netherlands
Show AbstractFor silicon heterojunction or passivating contact solar cells, the main driver for efficiency gain lies in the development of stacks of thin films that combine a few key functionalities: surface passivation, selective extraction of either electrons or holes, lateral conduction of the charge carriers, and a high level of transparency. For this reason, we have developed transparent conductive oxides (TCOs) by atomic layer deposition (ALD) consisting of both H-doped indium oxide (In2O3:H) and zinc oxide (ZnO:H). In this contribution, we provide an overview on how the H in these doped TCOs can be used to achieve both excellent conductivity and transparency, and moreover enable outstanding surface passivation of c-Si.
In TCOs, there is a fundamental trade-off between transparency and conductivity: Electrons enhance the conductivity, but they reduce the transparency by free-carrier absorption (FCA). Thus, in order for a TCO to be both highly transparent and conductive, it is prerequisite to maximize the carrier mobility, as this allows for a high conductivity at a low carrier density. In order to achieve this, the TCO must be engineered such as to minimize scattering at grain boundaries and other defects, such as inactive dopants.
In our work, we show that carrier mobility values close to the fundamental limits in In2O3 and ZnO, (~138 and 55 cm2/Vs, respectively) can be obtained by using atomic H as dopant, giving them the optimal trade-off between conductivity and transparency. One key perk of H is that, as opposed to traditional dopants, it does not (significantly) contribute to scattering when it is inactive. Also, whereas traditional dopants tend to decrease the grain size, H can be used to enhance the grain size, although the mechanism is markedly different for the two materials, In2O3:H and ZnO:H. In the case of In2O3:H, a high grain size (~400 nm) can be achieved by growing a mostly amorphous film with a few embedded crystallites. Upon annealing at 150-200 oC the embedded crystallites grow, whereas the H in the amorphous tissue suppresses further nucleation in the amorphous phase, leading to a high grain size. ZnO on the other hand always grows polycrystalline. In this case, a H2 plasma can be used to dope the film but also to selectively etch ZnO nuclei during initial film growth. This leads to competitive grain growth among fewer grains, thereby strongly enhancing the grain size.
In addition, it is demonstrated that the embedded H can enable excellent c-Si surface passivation: an ALD ZnO TCO on top of a SiO2 tunnel oxide and capped with ALD Al2O3 can yield an extremely high iVoc of 735 mV after annealing at 450 oC. The SiO2 tunnel oxide is hydrogenated with H from the ZnO during annealing, whereas the Al2O3 capping is used to retain the H in the SiO2/ZnO system.
Finally, in the contribution the potential of the developed highly transparent, conductive and passivating metal oxides for various c-Si solar cell configurations will be highlighted.
4:15 PM - ES11.08.03
Two-Dimensional Electrical Modeling and Design of High Geometrical Aspect Ratio, Phosphorus Doped Emitters
Deniz Türkay 1 2 , Selcuk Yerci 1 2 3 , Wisnu Hadibrata 2
1 , Center for Solar Energy Research and Applications (GÜNAM), Ankara Turkey, 2 Department of Micro and Nanotechnology, Middle East Technical University, Ankara Turkey, 3 Department of Electrical and Electronics Engineering, Middle East Technical University, Ankara Turkey
Show AbstractIn recent years, high geometrical aspect ratio surface structures have been developed to improve the optical performance of so called “black” silicon solar cells (Si SCs). Si SC performances utilizing such structures are nearing that of the conventional surface structures. The optical performance of these structures is well-studied in the literature1. However, a detailed numerical analysis of high geometrical aspect ratio surface structures for the electrical performance of Si SCs is missing in the literature despite there are a number of comprehensive experimental studies2,3,4.
In this work, the electrical simulations of Si SCs with high geometrical aspect ratio surface structures having varying geometries and dopant profiles was performed via process and electrical simulation tools, Silvaco Athena and Atlas, combined with the optical simulation results obtained from COMSOL Multiphysics Wave Optics Module. In our simulations, we monitored the emitter saturation current densities, spatial carrier collection efficiencies and the sheet resistances of solar cells with high geometrical aspect ratio surface structures. A previously developed 1D formulation was extended to 2D domain and a simulation methodology resembling that of a QSSPC measurement tool was formulated to model the emitter saturation current density5. The spatial carrier collection efficiency was extracted combining the electrical simulation results from Silvaco and the optical spatial generation profiles from the wave optics simulations. Lastly, the sheet resistance values were obtained utilizing a simulation domain resembling a two point probe resistance measurement equipment. Finally, in this presentation, we will discuss the deviations in the trends from the well known one dimensional cases and provide design insights on how to achieve high electrical performances and consequently high solar cell efficiencies.
[1] Wang, Ken Xingze, et al. "Absorption enhancement in ultrathin crystalline silicon solar cells with antireflection and light trapping nanocone gratings." Nano letters 12.3 (2012): 1616-1619.
[2] Kafle, Bishal, et al. "Nanotextured multicrystalline Al BSF solar cells reaching 18% conversion efficiency using industrially viable solar cell processes." physica status solidi (RRL)-Rapid Research Letters 9.8 (2015): 448-452.
[3] Ingenito, Andrea, Olindo Isabella, and Miro Zeman. "Nano cones on micro pyramids: modulated surface textures for maximal spectral response and high efficiency solar cells." Progress in Photovoltaics: Research and Applications 23.11 (2015): 1649-1659.
[4] Savin, Hele, et al. "Black silicon solar cells with interdigitated back-contacts achieve 22.1% efficiency." Nature nanotechnology 10.7 (2015): 624-628.
[5] Kane, D. E., and R. M. Swanson. "Measurement of the emitter saturation current by a contactless photoconductivity decay method." IEEE photovoltaic specialists conference. 18. 1985.
4:30 PM - *ES11.08.04
Key Issues in Latest Developments in Thin-Film Silicon Solar Cells and Related Technologies
Hitoshi Sai 1 , Takuya Matsui 1 , Koji Matsubara 1
1 Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractThin-film silicon solar cells (TFSSCs) based on plasma-enhanced chemical vapor deposition (PECVD) have been used in various applications ranging from large-area photovoltaic systems to consumer products, including light-weight flexible solar cells on plastic substrates, thanks to their low growth temperature (~200 °C). However, the efficiency of hydrogenated amorphous silicon (a-Si:H) solar cells degrades by 10–20% after a prolonged exposure to light (Staebler–Wronski effect). This detrimental effect has been impeding the efficiency improvement until now. Compared to pure amorphous materials, hydrogenated microcrystalline silicon (nc-Si:H), which is also grown by PECVD at low temperatures, has higher tolerance to light soaking. Therefore, the multi-junction approach using a stack of a-Si:H and nc-Si:H cells is an effective way to mitigate light-induced degradation and to improve the stabilized efficiency. Recently, there are a series of efficiency improvements in TFSSCs, which were triggered by several novel technologies:
Single junction a-Si:H solar cell: from 10.1% (2009) to 10.2% (2014) [1]
Single junction nc-Si:H solar cell: from 10.1% (1997) to 10.7% (2012), 10.8% (2013), 11.0% (2014), 11.4% (2014), and 11.8% (2014) [2]
a-Si/nc-Si dual junction cell: from 12.3% (2011) to 12.6% (2014) and 12.7% (2014) [1]
a-Si/nc-Si/nc-Si triple junction cell: from 12.4% (2011) to 13.4% (2012), 13.6% (2015) [3], and 14.0% (2016) [4]
Note that the efficiencies in (1)(3)(4) are stabilized efficiencies after prolonged light soaking, while those in (2) are initial efficiencies without light soaking. The efficiency of nc-Si:H solar cells has shown marked improvements in recent 5 years with a relative gain of 16%. The main breakthrough behind this is an improved textured substrate, which is called as “honeycomb texture”. A carefully-designed honeycomb texture enables us to grow high-quality mc-Si:H films without detrimental effects related to the texture, resulting in an increased photocurrent density and a high open circuit voltage. This technology was successfully transferred to an a-Si/nc-Si/nc-Si triple junction cell and set a new record stabilized efficiency of 13.6% [3].
In a-Si:H solar cells, there was a progress in suppressing the light-induced degradation. It was reported that so-called triode PECVD technique is useful to grow a-Si:H material which shows less light-induced degradation than those grown by standard PECVD. This material has contributed to set the current record efficiency in a-Si/nc-Si dual junction cell with a stabilized efficiency of 12.7% [1]. Just recently, we have tried to combine the above-mentioned technologies developed in a-Si:H and nc-Si:H solar cells into triple junction cells. As a result, a new record stabilized efficiency of 14.04% was realized last year [4].
In this talk, we show the details behind the recent record-efficiency TFSSCs which were reported by our group especially in mc-Si:H and a-Si/nc-Si/nc-Si triple junction cells.
ES11.09: Poster Session
Session Chairs
Wednesday AM, November 29, 2017
Hynes, Level 1, Hall B
8:00 PM - ES11.09.02
ITO-Free Carrier Selective Contact for High-Efficiency Crystalline Si Solar Cells
Deokjae Choi 1 , Han-Don Um 1 , Kwanyong Seo 1
1 , UNIST, Ulsan Korea (the Republic of)
Show AbstractCarrier selective contacts (CSCs) have been proposed to replace the conventional p-n junction because CSCs yield selective hole and electron collections through the negative- and positive-polarity contacts, respectively. For CSCs solar cells, indium tin oxide (ITO) is essential in order to collect the carriers through CSCs because of their relatively low conductivity. However, ITO film formed by sputter deposition leads to serious performance degradation of the CSCs solar cells due to parasitic absorption of ITO and plasma damage during sputtering. In this work, we designed ITO-free transparent metal electrode that can effectively collect carriers separated from the interface between molybdenum oxide (MoOx, hole contact) and c-Si. Although inversion layer in MoOx/c-Si interface has high sheet resistance, we demonstrated that the electrical loss can be minimized in our electrode design. Our micro-scale metal electrode significantly minimizes the optical losses compared to the ITO electrode. In addition, the metal electrode formed by the thermal evaporation prevents sputtering damage, leading to no electrical degradation at the MoOx/Si interface. With this optimized metal electrode, we could obtain the remarkable PCE enhancement of the MoOx/c-Si solar cell achieving a PCE of up to 16% higher than that with the ITO electrode. Thus, the use of our designed metal contact to construct an ideal front electrode presents a unique opportunity to develop highly efficient c-Si solar cells with CSCs.
8:00 PM - ES11.09.03
Enhancement of Light Absorption in Photovoltaic Devices Using Textured PDMS Stickers
Deokjae Choi 1 , Inchan Hwang 1 , Sojeong Lee 1 , Ji Hoon Seo 1 , Kwanyong Seo 1
1 , Ulsan National Institute of Science and Technology, Ulsan Korea (the Republic of)
Show AbstractLight-absorption enhancement is one of the key research areas related to the development of high-efficiency solar cells. In this study, We designed and fabricated a random-size inverted-pyramid-structured polydimethylsiloxane (RSIPS-PDMS) sticker to enhance the light absorption of solar cells and thus increase their efficiency. The fabricated sticker was laminated onto bare-glass and crystalline-silicon (c-Si) surfaces; consequently, low solar-weighted reflectance values were obtained for these surfaces (6.88% and 17.2%, respectively). In addition, we found that incident light was refracted at the PDMS–air interface of each RSIPS, which redirected the incident power and significantly increased the optical path length in the RSIPS-PDMS sticker which was 14.7% greater than that in a flat-PDMS sticker. Moreover, we investigated power reflection and propagation through the RSIPS-PDMS sticker using a finite-difference time-domain method. By attaching an RSIPS-PDMS sticker onto both an organic solar cell (OSC) based on a glass substrate and a c-Si solar cell, the power conversion efficiency of the OSC and the c-Si solar cell were increased from 8.57% to 8.94% and from 16.2% to 17.9%, respectively. Thus, the RSIPS-PDMS sticker is expected to be universally applicable to the surfaces of solar cells to enhance their light absorption.
8:00 PM - ES11.09.04
Ultrathin Si Solar Cell with Nanostructured Light Trapping by Metal Assisted Etching
Wisnu Hadibrata 2 1 , Firat Es 2 , Selcuk Yerci 2 1 3 , Rasit Turan 2 1 4
2 , Center for Solar Energy Research and Applications (GUNAM), Ankara Turkey, 1 Micro and Nanotechnology, Middle East Technical University, Ankara Turkey, 3 Electrical and Electronics Engineering, Middle East Technical University, Ankara Turkey, 4 Physics, Middle East Technical University, Ankara Turkey
Show AbstractIn ultrathin (<10 µm) silicon (Si) solar cells, the thickness is much smaller than the typical diffusion length of carriers. Therefore, it is possible to fabricate solar cells using lower quality Si wafers while maintaining a relatively high efficiency. Moreover, higher open-circuit voltage (VOC) can be achieved if the solar cell surface is well-passivated and if a relatively high short-circuit (JSC) is achieved. Despite these advantages, ultrathin Si solar cell performance is outweighed by incomplete light absorption due to its indirect bandgap. This has become the limiting factor for achieving high efficiency ultrathin Si solar cells. The conventional method to improve light absorption in Si solar cells is to form random upright pyramids whose size range in between 5-15 µm. However, this method is clearly not suitable for ultrathin Si with thicknesses less than 10 µm. Random nanostructures enabled by metal assisted etching (MAE) processes can provide alternative method for achieving suitable light trapping structures in ultrathin Si. MAE is a highly flexible technique for fabricating various structures on Si, ranging from nanometers to micrometers in size.
In this study, we fabricated an MAE-enabled 8 µm-thick silicon solar cells with an efficiency of 9.60%. Nanostructured Si surface with maximum size of 500 nm shows excellent antireflection capability with reflection well below 10% over wavelengths of 350-1100 nm. Despite the excellent optical performance, moderate JSC of 25.44 mA/cm2 was collected. External quantum efficiency (EQE) measurement result shows major current losses in both ultraviolet (UV) and infrared (IR) regime of the spectrum. Losses at relatively short wavelength were associated with enhanced surface and Auger recombination near the nanostructured Si surface. Meanwhile, our numerical optical simulation shows that JSC loss at relatively long wavelengths can be attributed to parasitic absorption at the rear metal contact layer. The simulation also shows planarization of the rear Si surface and insertion of a low refractive index dielectric spacer between Si and the rear metal can significantly reduce the parasitic absorption in the metal, resulting in JSC values over 35 mA/cm2. Our study demonstrates the possibility of achieving high efficiency ultra-thin Si solar cells decorated with a high aspect ratio surface texture formed by a scalable and low-cost process [1].
[1] W. Hadibrata et al. submitted to SOLMAT
8:00 PM - ES11.09.05
Exploiting Sub-Gap Absorption and Light-Trapping Using Laser-Structured 'Black' Silicon in a Hybrid Organic-Inorganic Solar Cell
Philippe Chow 1 , Quentin Hudspeth 1 , Tushar Gupta 2 , Joshua Maurer 1 , Jeffrey Warrender 1
1 , Benet Laboratories - ARDEC, Watervliet, New York, United States, 2 , Rensselaer, Troy, New York, United States
Show AbstractHybrid organic/inorganic silicon-based heterojunction solar cell architectures have gained substantial research interest due to their competitive power conversion efficiencies (PCEs) and their simpler and lower-cost processing methods when compared to traditional pn-junction fabrication methods. Despite the accomplishments in the field, increasing the open-circuit voltage (VOC) and environmental stability remain essential for improved PCE in silicon-based photovoltaic applications. One approach towards this goal is to increase the solar cell's spectral response towards longer-wavelength photons.
In this work, we utilized a nanosecond-pulsed laser structuring technique to create 'black'-silicon. The laser-structuring process accomplishes two things: It creates a dense array of micron-scale crystalline silicon spikes and, secondly, incorporates impurity atoms from the surrounding environment into the silicon surface (~100 nm) at concentrations above the equilibrium solubility limit due to the rapid solidification. The impurity trapping and microstructuring endows the silicon with enhanced absorption (due to mid band-gap defect level formation) and light-trapping ability at sub-bandgap wavelengths, widening silicon's potential for absorbing the near-infrared portion of the solar spectrum. Through control of ambient chemistry, laser shot density and fluence during the structuring process, the optical properties of the resulting material can be tuned.
To demonstrate the advantages of our approach in a hybrid photovoltaic cell, we utilize our structured, 'black' silicon as an electron conducting layer and form an intimate junction with a conducting polymer hole-transport layer, such as doped polyaniline (PANI). We use in-situ polymerization methods to conformally coat the structured silicon in order to avoid limitations to VOC which tend to accompany poor polymer coverage of high-aspect ratio features. In this talk, we present our findings relating photovoltaic cell performance metrics to factors such as the laser-structured silicon's morphology, its surface chemistry, device architecture and contact electrode properties. Aspects regarding electronic interaction at the inorganic/organic interface will also be discussed.
8:00 PM - ES11.09.06
Embedded Ag Nanoparticles in Thin Tunneling Al2O3 Layer Passivation/Down Conversion Tb3+-Yb3+ Co-Doped SiNx Layer Stack Structure on Silicon Solar Cells
Omar Ibrahim Elmi 1 7 , Julien Cardin 8 9 , Tao Xu 2 6 , Odile Robbe 3 , Fabrice Gourbilleau 8 9 , Christophe Krzeminski 5 , Didier Stievenard 4
1 , Université de Djibouti, Djibouti Djibouti, 7 , Faculté des Sciences, Djibouti Djibouti, 8 , CIMAP, Caen France, 9 , CNRS/CEA/ENSICAEN/UCBN, Caen France, 2 , Key Laboratory of Advanced Display and System Application, Shanghai China, 6 , University of Shanghai, Shanghai China, 3 , Université de Lille 1, Villeneuve d'Asq France, 5 , ISEN, Lille France, 4 , Centre National de la Recherche Scientifique (CNRS), Villeneuve d'Asq France
Show Abstract
An original approach to allow an efficient conversion of UV light in a solar cell is to associate Ag nanoparticles (Ag-NPs) and rare earth ions (RE) embedded in a Down-conversion layer (DC) to enhance the RE absorption/excitation. The challenges to overcome are: (i) the efficient sensitization of RE ions (Tb-Yb), and the realization of a solar cell including these technological processes. As the passivation is a key point for solar cell, we take benefice of the Ag-NPs both for plasmonics effects and also for field effect passivation through Ag-NPs /silicon contacts.
We first model the light/Ag-NPs interaction in SiNx host matrix, investigating size and spatial distribution effects on the VIS-NIR spectral range. In this matrix, we model the Ytterbium/Terbium rare earth (RE) ions optical excitation investigating the RE concentration effect under solar optical pumping condition and seeking for the optimal DC layer with the highest quantum efficiency. Complementary simulations of the influence of Ag-NPs on the optical properties of a solar cell have been done using OptiFDTD software. This step is a guide for the optimization of the material composition.
Following the DC layer optimization, we proceed at the integration on n+- p junctions. We therefore realized planar n+- p junctions using (180 keV, 1018 cm-3) implantation of phosphorous in 2x1015 cm-3 boron p type silicon material. Ag-Nps arrays were deposited through a low cost process by means of a 2D hexagonal network of silica microspheres (MSs) deposited using Langmuir Blodgett approach. The Ag-Nps network is obtained by the evaporation of Ag into the free spaces between the MSs.
Finally, before the deposition of the SiNx layer or after the deposition of the Ag-NPs, a thin 1.5 nm Al2O3 passivation layer is deposited using ALD technique, as a tunneling passivation layer all over the structure. Structures including a SiNx layer (80 nm) with or without Ag-Nps or DC Tb3+-Yb3+ co-doped SiNx layers with or without Ag-NPs are produced. Ag-NPs increase dramatically the efficiency (from 1.6 to 8.05 %) of solar cells topped with the SiNx layer through the increase of i) light diffusion in the SiNx matrix, ii) light absorption in the Space Charge Region of the device and iii) a strong local passivation effect through Ag/Si Schottky contact. Finally, the same behavior is observed on the photocurrent with the DC Tb3+-Yb3+ co-doped SiNx layers.
This work has been supported the French Research National Agency through the project GENESE (N° ANR-13-BS09-0020-01).
8:00 PM - ES11.09.07
Short-Circuit Current Enhancement in Si Solar Cells Employing an Upconverter Film Using a Semi-Ellipsoidal Optical Cavity
Cagil Koroglu 1 2 , Deniz Türkay 1 3 , Selcuk Yerci 1 3 4 , Wisnu Hadibrata 1
1 , Center for Solar Energy Research and Applications (GÜNAM), Middle East Technical University, Ankara Turkey, 2 Department of Physics, Middle East Technical University, Ankara Turkey, 3 Micro and Nanotechnology Programme, Middle East Technical University, Ankara Turkey, 4 Department of Electrical and Electronics Engineering, Middle East Technical University, Ankara Turkey
Show AbstractPhoton upconversion is the process where multiple photons are consumed in the generation of a higher-energy photon. This process can be used to upconvert part of the radiation incident on a solar cell with photon energies smaller than the band gap of the solar cell material into photons that can contribute to photocurrent, thereby increasing the short-circuit current (ISC) and efficiency of the solar cell. In this way, upconversion offers a way of increasing the efficiency limit of a silicon solar cell (Si SC) from about 30% up to about 40% [1]. Requiring multiple photons, upconversion is a nonlinear process that necessitates a large irradiance, and hence a high solar concentration ratio to be a viable method to increase ISC due to upconversion. Er3+-doped hexagonal sodium yttrium fluoride (β-NaYF4) is a suitable upconverter material for Si SCs thanks to its relatively broad absorption spectrum below the band gap energy of Si and emission at higher energies. In a recent study, an efficiency improvement of 0.19% due to upconversion has been demonstrated in a Si SC using a β-NaYF4 doped with 25% Er3+ for a solar concentration ratio of 210 suns [2].
In this work, the feasibility of a bifacial Si SC with an upconverter (β-NaYF4:25% Er3+) film placed on its rear side, coupled with a semi-ellipsoidal optical cavity, is investigated through ray tracing and wave optics simulations to boost ISC of the solar cell. The cavity has previously been shown to increase efficiency by reducing reflection losses [3], and also serves to enhance upconversion by concentrating light on the solar cell. At 100 suns, simulations predict relative ISC due to upconversion of over 1% of the current that is achieved without upconversion, calculated by assuming Si has 100% internal quantum efficiency at wavelengths below 1120 nm. This enhancement corresponds to 0.93% of the maximum theoretical ISC without upconversion, and 59% of the maximum current due to upconversion that can be achieved using unstructured β-NaYF4:25% Er3+ as the upconverter. In this presentation, we will also discuss the requirements on the upconverter film thickness and the cavity geometry to achieve the maximum enhancement, as well as the effects of free carrier absorption of n- and p-type emitters.
[1] T. Trupke, A. Shalav et al. "Efficiency enhancement of solar cells by luminescent up-conversion of sunlight." Sol. Energy Mater. Sol. Cells 90.18 (2006): 3327-3338.
[2] S. Fischer, A. Ivaturi et al. "Upconverter silicon solar cell devices for efficient utilization of sub-band-gap photons under concentrated solar radiation." IEEE J. Photovolt. 4.1 (2014): 183-189.
[3] L. A. Weinstein, W-C. Hsu et al. "Enhanced absorption of thin-film photovoltaic cells using an optical cavity." J. Opt. 17.5 (2015): 055901.
8:00 PM - ES11.09.08
Efficiency Improved by H2S Passivation for CuI-Si Heterojunction Solar Cells
Jian Lin 1
1 , Sungkyunwan University, Suwon Korea (the Republic of)
Show AbstractWe report the effects of H2S passivation on improving CuI-Si heterojunction solar cell performance. The c-si wafers were treated with thermal annealing under H2S atmosphere before fabrication. The CuI-Si heterojunction solar cell without any passivation treatments was also fabricated for comparison. And it showed very poor performance with low efficiency (6.94%), low open-circuit voltage(0.43V) and low fill-factor(44.5%). Experiments have shown that H2S passivation leads to a highest minority carrier lifetime gain of 2210%. Moreover, significant enhancements in efficiency, Voc and fill-factor were observed , which are attributed to the sulfur passivation effect on c-si wafers.
8:00 PM - ES11.09.09
Conductive PEDOT:PSS Polymer Glue as an Ohmic and Rectifying Electrical Contact for H-Terminated n-Si and p-Si Wafers
Daniel Cohen 1 , Michael Walter 1
1 , University of North Carolina at Charlotte, Charlotte, North Carolina, United States
Show AbstractWe examined the use of an organic, conductive glue (s-PEDOT:PSS) based on a blend of the polymers poly(3,4-ethylenedioxythiophene) (PEDOT) and poly(styrenesulfonate) (PSS) and the sugar alcohol d-sorbitol for laminating conductors to crystalline silicon. The PEDOT:PSS glue functions as a high work function conductor. On p-type silicon, an ohmic contact was formed. For n-type silicon, a rectifying contact is formed creating a diode. Under illumination, the n-Si:PEDOT:PSS:d-sorbitol junctions exhibited current-voltage characteristics suggesting minority carrier trap states, leading to charge recombination at the silicon/polymer interface. Further investigation into the nature of these trap states could yield better devices. Conductive glue for laminating to crystalline silicon is desirable for making electrical contacts to flexible materials such as organics, graphene, or transparent conductive oxides. These materials could eliminate the need for metal contacts to the front face of silicon solar cells. Conductive glue could prove especially useful for laminating to textured silicon or novel micro- or nano-structured silicon.
8:00 PM - ES11.09.10
Effect of Laser Wavelength on AZO Surface Texturing by Direct Laser Processing/Patterning for Thin-Film Silicon Solar Cells Applications
Zeynep Demircioğlu 2 1 , Hisham Nasser 1 , Robert Balog 3 , Rasit Turan 2 1
2 Physics, METU, Ankara Turkey, 1 , METU- GUNAM, Ankara Turkey, 3 Electrical and Computer Engineering, Texas A&M University at Qatar, Doha Qatar
Show AbstractEnhancement of light absorption in thin film solar cells is achieved by several light management techniques including surface texturing. Transparent conductive oxide (TCO) layer and/or the substrate itself can be textured to reduce the reflection and enhance the optical path length of incoming light in the absorber layer by controlling scattering at the surface. Adjustment of the texture feature size and pattern are the important parameters to create an effective light management for an enhanced absorption in the underlying thin film device.
The surface texturing of conventional Aluminum Zinc Oxide (AZO) layer is usually done by wet chemical etching which is compatible with large area thin film solar cell fabrication. However, the most common drawback of this method is that the chemicals used for texturing also damages the polymeric and glass substrates. Alternatively, laser texturing, a dry process, can be employed to create micro- and nanostructures on the surface without introducing any undesired damage to the underlying glass or polymeric substrates. Moreover, laser texturing enable precise control of the feature size and pattern to achieve superior control of the optical properties.
The focus of this paper is to study the surface texturing of AZO thin films deposited on ultra-thin flexible glass (<100 μm) substrates by RF magnetron sputtering for thin film photovoltaic applications. A HIPPO Spectra Physics laser operating at two different wavelengths (532nm and 355nm) was used to create the surface textures. Texture optimization was achieved by varying process parameters to reach the demands of proper surface structure layer for thin silicon solar cell applications. The laser was set for a straight line scan to obtain uniform ablation in a linear pattern. Various features geometries were obtained by changing the frequency and direction of the scan. The resulting surface texture were characterized using scanning electron microscopy (SEM) and atomic force microscopy (AFM). Four-point-probe measurement was used to determine the sheet resistance of the textured films. Optical response of the obtained textures such as total transmittance and haze in transmittance were obtained using an integrating sphere system.
It was found that laser does not ablate the thin TCO film layer all the way though to the substrate, only interacting with AZO top surface so that the bulk of the AZO as well as the substrate are not deteriorated or damaged. The study examined the laser repetition rate; which is actually the frequency of the laser spot (f), the distance (d) between the obtained spots at certain motor speed (v). These parameters altered the hazing of the laser-modified AZO film surface. Separated periodic and overlapping textures attained by different laser wavelengths were comparatively studied. By optimizing the laser parameters, high conductive, high transmittance, and desirable haze value up to 60% textured AZO films were obtained.
Symposium Organizers
Olindo Isabella, Delft University of Technology
Stefaan De Wolf, King Abdullah University of Science and Technology (KAUST)
Lakshmi Krishna, Colorado School of Mines
Noritaka Usami, Nagoya University
Symposium Support
Applied Physics Letters ǀ AIP Publishing
National Renewal Energy Laboratory
ES11.10: Materials—Defects and Impurities in Silicon I
Session Chairs
Wednesday AM, November 29, 2017
Hynes, Level 2, Room 204
8:30 AM - *ES11.10.01
Gettering Iron with Black-Silicon
Toni Pasanen 1 , Hannu Laine 1 , Ville Vähänissi 1 , Hele Savin 1
1 Department of Micro and Nanosciences, Aalto University, Espoo Finland
Show AbstractNanostructured silicon, also known as black-silicon, is a promising method for completely eliminating
surface reflectance in silicon-based optoelectronic devices. It has recently been shown that despite the
increased surface area, these surfaces can be effectively passivated [1], resulting in high-performing
optoelectronic devices, such as solar cells and photodiodes [2, 3]. This work investigates a thus-far
neglected benefit of black silicon: the increased surface area provides an opportunity for enhanced
gettering of deleterious metal impurities.
Here, we perform a benchmark study to quantitatively investigate the gettering performance of iron, the
most deleterious metal impurity in p-type silicon processing in highly-doped black-silicon structures. We
use IC-grade Cz-Si wafers intentionally contaminated with iron at specific concentrations. We
manufacture high-quality black silicon on these wafers and subject these wafers to different kind of
doping processes. We quantify the resulting gettering efficiency and discuss the dominant gettering
mechanisms.
References:
[1] P. Repo, A. Haarahiltunen, M. Yli-Koski, H. Talvitie, L. Sainiemi, M. C. Schubert and H. Savin,
”Passivation of black silicon surfaces with ALD Al2O3”, in 2nd International Conference on Silicon
Photovoltaics, SiliconPV, Leuven, Belgium, 2012.
[2] H. Savin, P. Repo, G. von Gastrow, P. Ortega, E. Calle, M. Garin and R. Alcubilla, “Black silicon solar
cells with interdigitated back-contacts achieve 22.1% efficiency”, Nature Nanotechnology, 10, 624-
628 (2015).
[3] M. A. Juntunen, J. Heinonen, V. Vähänissi, P. Repo, D. Valluru and H. Savin, ”Near-unity quantum
efficiency of broadband black silicon photodiodes with an induced junction”, Nature Photonics, 10,
777-781 (2016)
9:00 AM - ES11.10.02
Metal Precipitate Distributions in Conventional and High-Performance Multicrystalline Silicon
Mallory Jensen 1 , Sarah Wieghold 1 , Kai Ekstrøm 2 , Antoine Autruffe 2 , Erin Looney 1 , Juan-Pablo Correa-Baena 1 , Zhe Liu 1 , Jeremy Poindexter 1 , Gaute Stokkan 3 , Barry Lai 4 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Norwegian University of Science and Technology, Trondheim Norway, 3 Materials and Chemistry Sector for Sustainable Energy Technology, SINTEF, Trondheim Norway, 4 , Argonne National Laboratory, Chicago, Illinois, United States
Show AbstractMulticrystalline silicon (mc-Si) is a promising material substrate to enable sustainable growth of the photovoltaic industry. This material is characterized by lower capital intensity requirements and lower operating costs compared with single crystalline alternatives such as Czochralski crystal growth. In recent years, conventional casting methods for mc-Si have been modified to engineer wafers with much smaller grain sizes. This modified material is termed high-performance multicrystalline silicon (HP mc-Si).
HP mc-Si is known for lower dislocation densities and higher solar cell efficiencies than conventional mc-Si. The current world record mc-Si solar cell uses n-type HP mc-Si as a substrate to produce 21.9% efficiency. The root-cause of the higher cell efficiencies is said to be due to the lower dislocation density throughout the wafer, which results in higher overall minority carrier lifetimes. It is further possible that HP mc-Si wafers also benefit from a larger number of uniformly-distributed heterogeneous nucleation sites, yielding smaller, more getterable metal-rich precipitates than conventional mc-Si.
In this contribution, we investigate the hypothesis that metal-rich precipitates in HP mc-Si wafers are smaller and present in higher densities than those in conventional mc-Si. Two ingots, one seeded for conventional mc-Si growth and one seeded for HP mc-Si growth, are prepared under similar conditions. Wafers are selected from each ingot from similar ingot heights, and electron back scatter diffraction is used to characterize grain boundary orientations throughout the wafer. We employ synchrotron-based micro-X-ray fluorescence microscopy (µ-XRF) to multiple grain boundaries of similar orientation in each wafer to characterize metal-rich precipitate distributions. We find that the precipitate behavior with grain boundary orientation is similar between the two growth methods, and that larger precipitates are present in conventional vs. HP mc-Si.
This work provides essential insight for design of growth processes as well as post-growth high temperature processes, including phosphorous diffusion gettering and contact metallization firing.
9:15 AM - ES11.10.03
Analysis for Efficiency Potential of Crystalline Si Solar Cells
Masafumi Yamaguchi 1 , Yoshio Ohshita 1
1 , Toyota Technological Institute, Nagoya Japan
Show AbstractFor realizing great contribution of photovoltaics, further research and development of high-efficiency, low-cost and highly reliable solar cells is necessary. Especially, crystalline Si solar cells are thought to contribute as the major solar cells and materials even in the future.
Although 26.3% efficiency has been attained with hetero-junction and back contact (HBC) structure and by using bulk minority-carrier lifetime of around 10 msec, high efficiency of more than 28% is expected to be realized as a result of decreasing non-radiative recombination loss. This paper presents analytical results for high efficiency potential of crystalline Si solar cells, analyzed by using external radiative efficiency, voltage loss and fill factor loss.
One of problems to attain the higher efficiency crystalline Si solar cells is to reduce non-radiative recombination loss. The open-circuit voltage drop compared to bandgap energy (Eg/q-Voc) is dependent upon non-radiative voltage loss (Voc, nrad) that is expressed by external radiative efficiency (ERE). As radiative open-circuit voltage 0.87V was used in this study. Correlation between Voc values for HIT, HBC, PERL and multi-crystalline Si cells and ERE values in the references and estimated in this study that crystalline Si solar cells have still non-radiative loss and further improvements in efficiency are thought to be possible by improving minority-carrier lifetime. Correlation between calculated values and obtained values of minority-carrier lifetime suggests that further improvement in minority-carrier lifetime is expected to be realized. Really, high bulk lifetime of around 100 msec has been obtained by the Magnetic-field-applied Czochralski method and decreasing carbon concentration to less than 1014 cm-3 in Si. Higher minority-carrier lifetime of around 100msec by decreasing carbon and oxygen concentrations will provide lower voltage drop of around 0.3V and higher Voc of around 800mV and thus higher efficiency Si solar cells of more than 28%.
In the case of multi-crystalline Si solar cells, one of major problems for realizing higher efficiency is higher dislocation density. Dislocation density Nd dependence of effective lifetime τeff based on our previous study was used in this study. In this study, minority-carrier life time was as assumed to be limited by dislocation density in multi-crystalline Si soar cells. Changes in open-circuit voltage drop compared to bandgap energy (Eg/q-Voc) and non-radiative Voc (Voc, nrad) estimated using our previous study in multi-crystalline Si cells as a function of dislocation density in Si suggests that lower dislocation density of less than 3x103 cm-2 will provide lower voltage drop of less than 0.4V and higher Voc of more than 700mV, and thus higher efficiency multi-crystalline Si solar cells of more than 24%.
Non-radiative properties of dislocations, oxygen precipitates and point defects in Si are also discussed.
9:30 AM - ES11.10.04
Evaluating Oxygen Defect Mitigation Techniques for Silicon Solar Cells through Multiscale Device Modeling
Erin Looney 1 , Zhe Liu 1 , Johnson Wong 2 , Mallory Jensen 1 , Amanda Youssef 1 , Hannu Laine 3 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Solar Energy Research Institute of Singapore, National University of Singapore, Singapore Singapore, 3 , Aalto University, Espoo Finland
Show AbstractOxygen defects are prevalent in 25-30% of all monocrystalline silicon ingots and can lower cell efficiencies by up to 20% (rel.) causing these cells to be sold as lesser quality material or scrapped entirely. Oxygen is found in as-grown wafers at concentrations of around 11017 – 11018 atoms/cm-3 and is most harmful to silicon solar cell performance when in a precipitated form. In this work, we compare two strategies for oxygen mitigation through experiments and modeling.
The first is a high temperature processing step called tabula rasa (TR) that can be added to a manufacturing line to dissolve the precipitates into interstitial form. This process is used in the electronics industry to rid an as-grown wafer of oxygen nucleation sites and nano-precipitates so they cannot harm the cell performance post processing. An experiment to find the limiting energy in dissolution of oxygen precipitates was completed. The result was that the process is kinetically limited as the migration enthalpy of interstitial oxygen in silicon was found to equal the activation energy of oxygen precipitate dissolution (Ea = 2.6 eV). Also, it was learned that more aggressive temperatures and times than conventionally used may be necessary to completely dissolve precipitates in as-grown solar cells.
Another solution proposed is thin wafering which simply means using thinner wafers (50-100 µm) than the industry currently uses (180-200µm). Thinner cells have inherent defect tolerance due to the lesser volume of defects, shorter diffusion lengths for carriers to travel, and higher operation voltage. The thin silicon wafering technique was assessed through modeling, where the defect tolerance of these cells was shown to enable efficiencies equal to those of thicker cells made of defective material. Thin silicon could therefore enable lower quality material, such as those with oxygen defect rings, to be used with similar efficiencies as thicker cells with adequate light trapping and surface passivation.
TR has the potential to fully mitigate oxygen ring defects, while thin silicon does not recover the full performance of the cell. However, thin silicon is not only good for oxygen defects, but helps mitigate all defects in the cell while also lowering the material cost by 2–3. Through use of a multiscale modeling package, the performance of solar cells after TR or after thin wafering can be compared with control cells. It is found that both techniques successfully mitigate the oxygen ring defects, but TR is much more effective, with ~1-2% (abs.) more gain in efficiency. To decide what option is best for an industrial line, a full study is needed to assess the additional economic benefits of thin silicon wafering as compared to the greater efficiency gain of post TR wafers.
9:45 AM - ES11.10.05
Quantitative Analysis of Silicon Oxide Thin Films via Laser- Induced Breakdown Spectroscopy (LIBS)
Seyyed Ali Davari 1 , Sheng Hu 1 , Ravi Pamu 1 , Dibyendu Mukherjee 1
1 , University of Tennessee, Knoxville, Tennessee, United States
Show AbstractWe present Laser Induced Breakdown Spectroscopy (LIBS) as a facile and effective analytical tool for rapid process-line characterization of thin-film SiO2 layers in metal- oxide-semiconductors (MOS) used for photovoltaics and transistors/capacitors fabrication. The motivation stems from the demands for rapid and cost-effective quality control and characterizations of thin film semiconducting materials for the current largest market share and continually growing semiconductor manufacturing sector in the US. Specifically, we carry out calibration-free quantitative LIBS analysis on silicon oxide (SiO2) thin-films of various thicknesses grown out of high-temperature moisture-free oxidation on industrial-grade Si wafers. Using an internal calibration technique, the stoichiometric ratios of oxygen to silicon ([O]/[Si]) in various SiO2 films are measured from the LIBS spectra. The oxide layer thickness and laser crater depth profile are analyzed using ellipsometry, scanning electron microscopy (SEM), atomic force microscopy (AFM), and profilometry measurements. The LIBS results are verified against the benchmark analyses based on oxide layer thicknesses and laser-induced crater profile topographies. We compare the stoichiometric ratios of [O]/[Si] as calculated from thickness and profilometry measurements with the ones from direct LIBS measurements. Our results indicate good agreement between the LIBS and profilomteric calculation results demonstrating the future capability of LIBS for thin film characterizations during their industrial processing.
ES11.11: Silicon-Based Solar Cells
Session Chairs
Wednesday PM, November 29, 2017
Hynes, Level 2, Room 204
10:30 AM - *ES11.11.01
High Efficiency a-Si/c-Si Heterojunction Solar Cells
Kenji Yamamoto 1 , Kunta Yoshikawa 1 , Hisashi Uzu 1 , Daisuke Adachi 1
1 , Kaneka Corporation, Osaka Japan
Show AbstractKaneka’s record-breaking conversion efficiencies of crystalline Si (c-Si) solar cells using a heterojunction (HJ) technology will be presented.
Recently, several high conversion efficiencies have been reported in the field of c-Si solar cell. There are mainly two technologies contributing to these progresses: passivated contact technology including HJ technology and interdigitated back contact (BC) technology. Especially, the HJ c-Si solar cell with amorphous Si (a-Si) passivation layers on a c-Si wafer has been recognized as one of the most important technologies to improve the conversion efficiency. The BC structure is known as the most ideal structure for a high efficiency solar cell by means of reduced optical loss due to the structure with electrode formed only on rear side.
We have so far focused our attentions to the HJ technology using a-Si thin film as a passivation layer of the c-Si wafer [1]. Using our HJ technology, we have reported a high efficiency both-side-contacted Cu-plated HJ c-Si solar cell with a conversion efficiency of 25.1% [Voc: 738 mV, Jsc: 40.8 mA/cm2, FF: 83.5%, Area: 151 cm2] in 2015 [2]. By means of a combination of our HJ technology, low resistance electrode technology and the BC technology, we have achieved record-breaking conversion efficiency of 26.6% [Voc: 740 mV, Jsc: 42.5 mA/cm2, FF: 84.7%, Area: 180 cm2] in the field of c-Si solar cell [3]. Loss analysis of our high efficiency c-Si solar cells suggests that the surface carrier recombination of Si wafer is a dominant factor to limit the minority carrier lifetime at the maximum power point under 1-sun illumination condition, and over 27%-efficiency is achievable using our improved technologies [3].
As demonstrated, further challenge to improve the HJ technology is one of the most crucial points to bring out a maximum potential of the c-Si solar cell.
References:
[1] K. Yamamoto, D. Adachi, H. Uzu, M. Ichikawa, T. Terashita, T. Meguro, N. Nakanishi, M. Yoshimi, and J.L. Hernández, Jpn. J. Appl. Phys. 54, (2015) 08KD15.
[2] D. Adachi, J.L. Hernández, and K. Yamamoto, Appl. Phys. Lett. 107, (2015) 233506.
[3] K. Yoshikawa, H. Kawasaki, W. Yoshida, T. Irie, K. Konishi, K. Nakano, T. Uto, D. Adachi, M. Kanematsu, H. Uzu, and K. Yamamoto, Nat. Energy 2, (2017) 17032.
Acknowledgment:
This work was supported in part by the New Energy and Industrial Technology Development Organization (NEDO) under the Ministry of Economy, Trade and Industry of Japan.
11:00 AM - ES11.11.02
Record Efficiency Graphene/Silicon Solar Cell through Carrier Transport Engineering
Serdar Yavuz 1 , David Fenning 1 , Prab Bandaru 1
1 , University of California, San Diego, San Diego, California, United States
Show AbstractRapidly enhanced power conversion efficiency (PCE) of graphene/n-silicon solar cell may be a promising alternative for clean energy production. Cost effective device fabrication that does not require high temperature processes is one of the advantageous compared to the p-n junction counterparts. However, many graphene/silicon solar cells have been reported with either a poor fill factor (FF) or low open circuit potential (Voc) due to the ineffective collection of carriers. Two of the main reasons of those carrier losses are the surface defects of silicon and high series resistance of solar cell. In here, it is shown that applying a passivation layer at the back side of graphene/silicon solar cell may address the carrier lossess and improves the PCE of graphene/silicon solar cell. Moreover, by engineering the structure of graphene/silicon solar cell to reduce the resistance losses and applying an acidic doping, a fill factor of 0.78, the highest reported for graphene/silicon solar cell to date, was obtained. Combined with an antireflective coating, a record PCE of 16.9% was demonstrated under standard illumination (AM 1.5G). Applying such a simple back contact passivation shows that the PCE of graphene/silicon colar cell can be improved further when incorporated with a front surface passivation.
11:15 AM - ES11.11.03
Schottky Barrier Metal-Insulator-Silicon Photovoltaics—Influence of Fixed Charge and Dipoles
Nick Strandwitz 1
1 , Lehigh University, Bethlehem, Pennsylvania, United States
Show Abstract
Metal-insulator-semiconductor Schottky barriers are a potentially low-cost photovoltaic configuration. The PV figures of merit are strongly influenced by the interface composition and structure. Our group has used atomic layer deposition (ALD) to create well-defined alumina-based insulators in which the thickness, fixed charge, and composition can be well-controlled. From a simple electrostatic model, fixed charge in the insulator can be used to modify barrier heights, and the fixed charge at ALD alumina-silicon interfaces can be tuned over a range of approximately 5E12 cm-2, making this system an ideal test-bed to understand the role of fixed charge experimentally. We find little to no influence of the fixed charge characteristic of the alumina-silicon interface in our experiments, and that barrier heights appear to be dominated by interface dipoles. We relate our results to previous experimental and theoretical work that relates dipole strength to differences in oxygen areal densities at the silicon oxide-aluminum oxide interface. We also report preliminary PV figures of merit for our well-controlled MIS junctions.
11:30 AM - ES11.11.04
Enhancing the Efficiency of Silicon-Based Solar Cells by the Piezo-Phototronic Effect
Laipan Zhu 1
1 , Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences, Beijing China
Show AbstractAlthough there are numerous approaches for fabricating solar cells, the silicon based photovoltaics are still the most widely used one in industry and around the world. A small increase in the efficiency of silicon based solar cell has huge economic impact and practical importance. Here, we present a new silicon-based nanoheterostructure (p+-Si/p-Si/n+-Si (and n-Si)/n-ZnO nanowire (NW) array) photovoltaic device and demonstrate the enhanced device performance through significantly enhanced light absorption by NW array and effective charge carrier separation by the piezo-phototronic effect. The strain-induced piezoelectric polarization charges created at n-doped Si-ZnO interfaces can effectively modulate the corresponding band structure and electron gas trapped in the n+-Si/n-ZnO NW nanoheterostructure, and thus enhance the transport process of local charge carriers. The efficiency of the solar cell was improved from 8.97% to 9.51% by simply applying a static compress strain. This is the first demonstration that the piezo-phototronic effect can significantly enhance the performance of a silicon-based solar cell, with great potential for industrial applications. There is still ample opportunity to further increase the efficiency of the silicon-based nanoheterostructure solar cells by the piezo-phototronic effect through using a better-controlled structure and morphology of piezoelectric semiconductor nanomaterial. This study opens up a new field of optimizing performance of commercial solar cells (such as polycrystalline silicon solar cells, CIGS solar cells, and perovskite solar cells) via piezo-phototronic effect.
11:45 AM - ES11.11.05
Embedded Metal Electrode for High-Efficiency PEDOT:PSS/Si Nanowire Hybrid Solar Cells
Han-Don Um 1 , Deokjae Choi 1 , Inchan Hwang 1 , Namwoo Kim 1 , Kangmin Lee 1 , Ji Hoon Seo 1 , Jeonghwan Park 1 , Kwanyong Seo 1
1 , Ulsan National Institute of Science and Technology, Ulsan Korea (the Republic of)
Show AbstractWe demonstrate here an embedded metal electrode for highly efficient organic–inorganic hybrid nanowire solar cells. The electrode proposed here is an effective alternative to the conventional bus and finger electrode which leads to a localized short circuit at a direct Si/metal contact and has a poor collection efficiency due to a nonoptimized electrode design. In our design, an Ag/SiO2 electrode is embedded into a Si substrate while being positioned between Si nanowire (SiNW) arrays underneath poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS), facilitating suppressed recombination at the Si/Ag interface and notable improvements in the fabrication reproducibility. With an optimized microgrid electrode, our 1-cm2 hybrid solar cells exhibit a power conversion efficiency of up to 16.1% with an open-circuit voltage of 607 mV and a short circuit current density of 34.0 mA/cm2. This power conversion efficiency is more than twice as high as that of solar cells using a conventional electrode (8.0%). The microgrid electrode significantly minimizes the optical and electrical losses. This reproducibly yields a superior quantum efficiency of 99% at the main solar spectrum wavelength of 600 nm. In particular, our solar cells exhibit a significant increase in the fill factor of 78.3% compared to that of a conventional electrode (61.4%); this is because of the drastic reduction in the metal/contact resistance of the 1-mm-thick Ag electrode. Hence, the use of our embedded microgrid electrode in the construction of an ideal carrier collection path presents an opportunity in the development of highly efficient organic–inorganic hybrid solar cells.
Symposium Organizers
Olindo Isabella, Delft University of Technology
Stefaan De Wolf, King Abdullah University of Science and Technology (KAUST)
Lakshmi Krishna, Colorado School of Mines
Noritaka Usami, Nagoya University
Symposium Support
Applied Physics Letters ǀ AIP Publishing
National Renewal Energy Laboratory
ES11.12: Materials—Defects and Impurities in Silicon II
Session Chairs
Thursday AM, November 30, 2017
Hynes, Level 2, Room 204
8:30 AM - *ES11.12.01
Impurities and Defects in Silicon for Solar Cells
Daniel Macdonald 1
1 Research School of Engineering, Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractAs industrial solar cell architectures continue to improve, they become increasingly sensitive to the electronic quality of the silicon wafers. Greater understanding and control of the key detrimental impurities and defects therefore remains an important scientific and technological priority. In this paper we will review the dominant impurities and defects in various silicon materials for solar cells, such as cast multicrystalline silicon and Czochralski-grown wafers. A particular focus will be on metal impurities, grain boundaries and dislocations, and defects related to light elements such as oxygen, especially those leading to meta-stable point defects. We will also examine how these defects respond to cell processing steps such as phosphorus gettering and hydrogenation, and review recent advances in detecting and characterizing key defects.
9:00 AM - ES11.12.02
Novel Growth of Silicon Nanowires for Electronic Applications
Krishna Nama Manjunatha 1 , Shashi Paul 1
1 , De Montfort University, Leicester United Kingdom
Show AbstractSilicon nanowires (SiNWs) have gained tremendous attention over the past decade. They have shown excellent electronic and optical properties that facilitate their use in emerging electronic devices such as solar cells, sensors, transistors and Li-ion batteries [1-3]. In particular, there are several advantages of SiNWs in solar cells including increased absorption by scattering and trapping of light, minimised recombination of charge carriers, effective charge separation, tailoring bandgap of crystalline silicon (Si), reduced reflection, decoupling of absorbed light and generated carriers axially and radially [2]. Use of SiNWs in emerging electronic applications not only requires less silicon compared to traditional Si wafer based devices but also minimises the cost of production and facilitates the use of cheap substrates such as glass and plastic.
This research focuses on understanding and optimisation of SiNWs growth by a Plasma Enhanced Chemical Vapor Deposition process (PECVD) at very low temperatures (<400°C). This research relies on a patented growth process with initial preparatory procedures to deposit a compound over desired substrates (glass, plastic, semiconductor wafer and transparent conductors. To the best of our knowledge, no one has reported such process. This work demonstrates a novel and the new growth process that does not rely on eutectic temperature and growth can be achieved at a much lower temperature than the eutectic temperature. Thermogravimetric, optical and electrical analyses have been performed to understand the properties of compounds that act as a precursor for initiating growth of SiNWs. SiNWs were investigated to understand the morphology and crystallinity by various characterisation techniques such as transmission and scanning electron microscopy, X-Ray diffraction and Raman spectroscopy. This research is not just limited to the novel growth process but also adapting SiNWs in few electronic applications. For example, fabricated SiNWs photovoltaic solar cells have shown an efficiency of 5%.
References
[1] N. Gabrielyan, K. Saranti, K. N. Manjunatha and S. Paul, "Growth of low temperature silicon nano-structures for electronic and electrical energy generation applications," Nanoscale Research Letters, vol. 8, pp. 1-7, 2013.
[2] S. Misra, L. Yu, W. Chen, M. Foldyna and P. Roca I Cabarrocas, "A review on plasma-assisted VLS synthesis of silicon nanowires and radial junction solar cells," J. Phys. D, vol. 47, 2014.
[3] K. N. Manjunatha, and S. Paul. "In-situ catalyst mediated growth and self-doped silicon nanowires for use in nanowire solar cells." Vacuum.,vol 139, pp.178-184., 2017.
9:15 AM - ES11.12.03
Evaluating Depth Distribution of Excimer Laser Induced Defects in Silicon Using Micro-Photoluminescence Spectroscopy
Richard Monflier 1 2 , Toshiyuki Tabata 3 , Megane Turpin 1 2 , Amin Benyoucef 1 2 , Filadelfo Cristiano 1 2 , Karim Huet 3 , Fulvio Mazzamuto 3 , Antonino La Magna 4 , Salvatore Lombardo 4 , Julien Roul 1 2 , Elena Bedel-Pereira 1 2
1 , LAAS-CNRS, Toulouse France, 2 , Université de Toulouse , Toulouse France, 3 , SCREEN-LASSE, Gennevilliers France, 4 , IMM CNR, Catania Italy
Show AbstractLaser Thermal Annealing (LTA) has been demonstrated to be an effective method to create heavily doped regions required for ultra-shallow junctions, in which dopants are typically introduced by ion implantation. More generally, laser annealing is very attractive due to the localised nature of the annealing process (both on the wafer surface and in depth), allowing dopants to be activated while preserving the integrity of the surrounding areas. Similarly, it is generally accepted that the laser induced damage, if any, is also localised and is reduced when using ultrashort pulses. However, the depth distribution of the laser induced damage has been rarely investigated in detail, with few works reporting on the subsurface doping and damage in laser-doped Si solar cells [1, 2].
In a preliminary study [3], we investigated the penetration of oxygen (O), from the surface native oxide, occurring during melt laser annealing of in-situ doped epitaxial Si layers. Depending on the laser anneal conditions, we found that the O in-diffusion could also result in the formation of O precipitates, while the presence of defects and their evolution was qualitatively monitored by micro-photoluminescence spectroscopy (µPL).
In this work, we present a more precise investigation both in terms of defect localization and formation mechanism. To this aim, we have used SIMS and temperature-controlled µPL (with a defect detection limit as low as 106 defects/cm-2 [3]) to evaluate the laser-induced damage on samples submitted to various LTA conditions (fluence in the range 1.7 to 8 J/cm2, and various numbers of pulses). In addition, a phase-field continuum model has been used for the simulation of the temperature, phase and impurity fields, necessary for the interpretation of the experimental results.
Firstly, we have obtained useful information about the spatial distribution of defects at various depths by varying the µPL excitation wavelengths. Depending on the depth, optical defects known as G- and D-lines, related to O, carbon and/or dislocations are observed, whose behaviors are correlated with the LTA conditions.
Secondly, to further improve the defect depth location, a controlled etching process was implemented in combination with the μPL measurements so that, for a given sample, several μPL measurements are available as a function of the removed silicon thicknesses (determined from the corresponding SIMS O profiles). This approach provided a better localization of defects and allowed a more detailed specification of their formation in relation to LTA parameters.
The results obtained in this work are expected to improve the available knowledge on the laser induced damage formation mechanisms and eventually contribute to the optimisation of this advanced annealing process.
[1] P. Gundel et al., IEEE Trans. Electron Devices 58, 2874 (2011)
[2] Y. J. Han et al., Appl. Phys. A, 122:420 (2016)
[3] R. Monflier et al., Nanotech. Mat. & Dev. Conf. (NMDC), 2016 IEEE, 2016, pp. 1–2
9:30 AM - ES11.12.04
Figure-of-Merit Evaluation of Dopants for Photovoltaic Applications of Hyperdoped Silicon
Jacob Krich 2 , Matthew Wilkins 2 , Philippe Chow 1 , Senali Dissanayake 5 , Wenjie Yang 3 , Yining Liu 4 , Quentin Hudspeth 1 , Shao Qi Lim 3 , Jay Mathews 4 , Jim Williams 3 , Meng-Ju Sher 5 , Jeffrey Warrender 1
2 Department of Physics, University of Ottawa, Ottawa, Ontario, Canada, 1 , U.S. Army ARDEC - Benet Labs, Watervliet, New York, United States, 5 Physics Department, Wesleyan University, Middletown, Connecticut, United States, 3 Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory, Australia, 4 Department of Physics, University of Dayton, Dayton, Ohio, United States
Show AbstractLaser hyperdoping offers a viable method to introduce large concentrations of impurities into silicon, introducing states deep in the silicon band gap that could enable an intermediate band solar cell architecture. A previously reported quantitative figure of merit has been advanced as a heuristic for assessing the promise of a candidate silicon-hyperdopant system. The figure of merit is proportional to the product of the electron mobility, the recombination lifetime, and the square of the average sub-gap absorption coefficient.[1] For the first extensively-studied hyperdopant, sulfur, the figure of merit was found to be fairly low at all S concentrations due to extremely short (<130 ps) carrier lifetimes.[2] Recent work has shown evidence of sub band gap photocurrent response resulting from the introduction of transition metals in hyperdoping concentrations. [3] Here we report on a systematic study to experimentally determine the mobility, lifetime, and absorption coefficient for three transition metal hyperdopants (Au, V, and Zn) at several concentrations each, and use these to compute a figure of merit for each dopant and dose. We use time-resolved THz spectroscopy and time resolved microwave conductivity measurements to study carrier lifetime from sub-picosecond to microsecond time scales, and we compare these to the previously reported results for S. One complication of working with transition metals as impurities is the incidence of cellular breakdown – a microstructure that results from instability during solidification – under some solidification conditions. This instability is especially likely as the impurity concentration is increased. We discuss the implications of our measured parameters and of the maximum concentrations that can be practically synthesized for the prospect for PV devices using hyperdoped layers containing these transition metal impurities.
[1] Sullivan et al., J. Appl. Phys. 114, 103701 (2013)
[2] Sher et al., Appl. Phys. Lett. 105, 053905 (2014)
[3] Mailoa et al., Nature Communications 5, 3011 (2014)
9:45 AM - ES11.12.05
Defect Engineering for Material-Quality Improvements in Low-Capex Crystalline Silicon—An Application of Temperature- and Injection-Dependent Lifetime Spectroscopy
Mallory Jensen 1 , Yan Zhu 2 , Kazuo Nakajima 3 , Mattias Juhl 2 , Amanda Youssef 1 , Erin Looney 1 , Ziv Hameiri 2 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , University of New South Wales, Sydney, New South Wales, Australia, 3 FUTURE-PV Innovation, Japan Science & Technology Agency, Koriyama Japan
Show AbstractThe capital expense (capex, e.g., equipment purchase) of conventional silicon crystal growth is a barrier to sustainable growth of the photovoltaic industry [1]. One promising innovation in crystal growth is the non-contact crucible method (NOC-Si), which combines aspects of Czochralski (Cz) and conventional casting. This material satisfies the dual requirements of high lifetime and low dislocation density for high-efficiency photovoltaic devices, with capex likely between that of Cz (high capex) and multicrystalline silicon (mc-Si, low capex). Wafers from early NOC-Si ingots indicated the presence of an ungetterable impurity that was successfully mitigated by modifications to the growth process. Solar cell efficiencies from the next generation ingots varied significantly with ingot height, correlated with the evolution of swirl-like defects. Since these first ingots, material quality has significantly improved, enabling post-gettered lifetimes exceeding 1 millisecond and efficiencies up to 19.14% (outperforming standard Cz wafers) [2].
In this contribution, we employ temperature- and injection-dependent lifetime spectroscopy (TIDLS) to study the defects responsible for progressive enhancements to NOC-Si wafer quality, as well as to identify opportunities for further material improvement. To perform TIDLS, the wafer lifetime is measured at temperatures from -125°C to 200°C using a specialized lifetime tester [3]. Photoconductance and photoluminescence are measured simultaneously, and the sample temperature is controlled with a sealed temperature stage designed around the two detectors. In this experiment, NOC-Si wafers are selected from the top and bottom of each of several different NOC-Si ingots, from early (likely impurity-rich) to state-of-the-art ingots.
The Shockley-Read-Hall (SRH) lifetime is extracted from the measured lifetime at each temperature using models for Auger and radiative and a float-zone sample to characterize surface recombination [4]. The SRH lifetime is then analyzed for the dominant defect parameters, including energy level, electron-to-hole capture cross-section ratio, and minority carrier capture time constant (a function of defect concentration). The underlying defect parameters can be determined from plots of the capture cross-section ratio vs. possible energy level within the bandgap. We apply this analysis to our measured NOC-Si lifetime curves to assess the dominant defects in each part of the ingot after process improvements.
This work provides insight for defect engineering of NOC-Si as well as other novel growth methods, and allows us to outline a path forward for higher efficiency NOC-Si solar cells.
[1] D. Berney Needleman et al., Energy & Environmental Science 9, 2122-2129 (2016).
[2] K. Nakajima et al., Journal of Crystal Growth 468, 705-709 (2017).
[3] C. Vargas, Y. Zhu et al., Applied Physics Letters 110 (9), 092106 (2017).
[4] S. Rein et al., Journal of Applied Physics 91 (3), 2059-2070 (2002).
ES11.13: Passivating Contacts II
Session Chairs
Thursday PM, November 30, 2017
Hynes, Level 2, Room 204
10:30 AM - *ES11.13.01
Status and Industrial Perspectives of TOPCon
Frank Feldmann 1 , Bernd Steinhauser 1 , Leonard Tutsch 1 , Sven Kluska 1 , Martin Hermle 1 , Stefan Glunz 1
1 , Fraunhofer Institute for Solar Energy Systems ISE, Freiburg Germany
Show AbstractPassivating and carrier-selective contacts which consist of an ultrathin SiOx layer and a heavily doped silicon film (e.g. poly-Si, SIPOS, or TOPCon) are an appealing technology to further push the efficiency of silicon solar cells. The replacement of a partial rear contact (PRC) scheme by TOPCon as a full-area rear contact has so far resulted in efficiencies up to 25.7% on 2x2 cm2. The transfer from small lab type cells to large area cells and industrial production technologies is a challenging task. Large areas cells, featuring a passivating rear contact and a diffused front side have been realized from different groups, but efficiencies less than 22% have only been reported so far. The solar cells were -amongst other things- strongly limited by recombination at the front side and, therefore, did not benefit from the excellent surface passivation enabled by the passivating rear contact.
In this paper we demonstrate practical size (≥100 cm2) n-type solar cells, featuring a homogeneous boron emitter and a passivating rear contact, achieving efficiencies above 24%. In addition, this talk addresses industry-viable metallization schemes for both front and rear side. For the front metal grid, LCO and NiCu plating is the method of choice. Although it has not been adopted by industry yet, it is an appealing technology as it enables contact formation on lowly-doped high-efficiency emitters and very fine line widths. For the metallization of TOPCon, different approaches including the use of TCOs will be discussed.
11:00 AM - ES11.13.02
Degradation Analysis of Carrier Selective Contacts for SHJ Solar Cells
Simone Bernardini 1 , Mariana Bertoni 1
1 , Arizona State University , Tempe, Arizona, United States
Show AbstractIn the last years, several conceptually very different solar cells technologies have reported record conversion efficiency >25%. In particular, two technological advancements have greatly facilitated such an outstanding progress: i) the interdigitated back contact (IBC) technology, and ii) the passivated contact technology including heterojunction (HJ). By combining these two approaches in a single HJ-IBC device, Kaneka recently established a new efficiency record of 26.6% for a single-junction solar cell, inching closer to the theoretical limit of 29.1%.
Despite the excellent level of surface passivation provided by the thin a-Si:H layer used in HJ solar cells, still some challenges related to the use of this material remain, hindering the ultimate levelized cost of electricity (LCOE) benefit. One of the most important obstacles is represented by the light- or carrier-induced decay of its electronic properties – known as Staebler-Wronski effect (SWE) – which has been reported on a cell level for decades and is usually attributed to the generation of deep defects acting as recombination centers. Despite progresses have been made on establishing a correlation among SWE and the microstructure of the film, a clear understanding of the underlying degradation mechanisms has not been reached yet. Furthermore, more recently a “new” degradation mechanism has been observed on a module level for SHJ technology: this mechanism is found to mostly affect the VOC of the system in the first two years of open-field operation and is likely correlated to a degradation of the passivation layer.
In this work, we’ll present an analysis of symmetric structures of high-quality FZ n-type c-Si samples coated with either intrinsic a-Si:H alone or a stack of a-Si:H(i) and doped a-Si:H. Our main aim is to understand the origin of the interface defect states at the interfaces c-Si/a-Si:H(i) and a-Si:H(i)/a-Si(n,p), and their variation occurring after different experimental treatments, such as thermal annealing or hydrogenation. We will show the characterization results obtained via temperature- and injection-dependent lifetime spectroscopy (TIDLS), and surface photovoltage (SPV): the former allows the thorough evaluation of the passivation quality provided by the dielectric layer by decoupling the bulk and surface contribution to the measured effective lifetime; the latter provides a contactless evaluation of the energetic distribution of the interface state density and their charge state. Finally, we will compare our experimental results to the latest parameterization of semiconductor-dielectric interface proposed by Bonilla et al. in order to establish optimum dielectric films properties and charge concentrations for surface passivation in current and new generation solar cells.
11:15 AM - ES11.13.03
Catalytic-Doping for Hydrogenated Nanocrystlline Silicon Oxide Thin Films in Silicon Heterojunction Solar Cells
Weiyuan Duan 1 , Yong Liu 1 , Andreas Lambert 1 , Florian Lentz 1 , Manuel Pomaska 1 , Doyun Kim 1 , Kaining Ding 1
1 , IEK5-Photovoltaik, Forschungszentrum Jülich GmbH, Jülich Germany
Show AbstractSilicon heterojunction (SHJ) solar cells have attracted increasing attention because of their high performance and potential in the research and mass production of photovoltaic devices. Conversion efficiency of 25.1% and open-circuit voltage (Voc) up to 738 mV was achieved on both-side-contacted SHJ solar cell by Kaneka in 2015. The recent new world record efficiency for silicon based monocrystalline solar cells came to 26.6% which has also combined SHJ structure in the cell design. In the SHJ technology, a considerable amount of current is lost in the hydrogenated amorphous silicon (a-Si:H) layers. In order to reduce the parasitic absorption in SHJ solar cells, hydrogenated nanocrystalline silicon oxide (nc-SiOx:H) as a wide band gap material has attracted considerable interest of the photovoltaic community as a candidate to substitute the a-Si:H layers. This material consists of a phase mixture of nanocrystalline silicon (nc-Si) which contributes to the high conductivity, and of amorphous silicon oxide (a-SiOx:H) which gives rise to a high transparency and a low refractive index. In this work, n-type nc-SiOx:H layer is applied as wide gap front surface field (FSF) layer in n-type SHJ solar cells.
Since wide band gap and high conductivity are usually contradictory properties for nc-SiOx:H, catalytic-doping (Cat-doping), which is a novel post-deposition doping method based on Hot Wire Chemical Vapor Deposition (HWCVD), is used to increase the conductivity of the as-grown wide band gap n-type nc-SiOx:H thin films, in order to reduce series and contact resistance without sacrificing Jsc in solar cell device. The nc-SiOx:H film can be doped within a shallow depth of few nanometers during the Cat-doping process by radicals generated at the hot catalyzer surface. The Cat-doping mechanism within nc-SiOx:H is systematically investigated, and the correlated effectiveness for the properties of nc-SiOx:H thin film is revealed by Secondary Ion Mass Spectrometry (SIMS), Transmission Electron Microscopy (TEM) and Atom Probe Tomography (APT) measurement. Ultimately, the optimized Cat-doping process on nc-SiOx:H brings an increment on the fill factor (FF) for SHJ solar cells with constant Jsc compared to those without Cat-doping. A maximum active area efficiency of 18.8% is finally achieved on planar SHJ solar cells.
11:30 AM - ES11.13.04
Ion-Implanted Carrier-Selective Passivating Contacts for High-Efficiency c-Si Solar Cells
Gianluca Limodio 1 , Guangtao Yang 1 , Hao Ge 1 , Yue Zhang 1 , Arthur Weeber 1 , Olindo Isabella 1 , Miroslav Zeman 1
1 , Delft University of Technology, Delft Netherlands
Show AbstractIon-implantation is an attractive doping technique in wafer-based c-Si solar cells because of (i) one-side doping possibility, (ii) easy doping patterning, (iii) high uniformity and (iv) high industrial throughput [1]. Ion-implantation is applied in c-Si homojunction solar cells architectures as PERL/PERT [2], bifacial [3] and IBC [4]. Despite efficiencies well above 20%, high contact recombination at Si/metal interface limits the efficiency of homojunction technology [5]. These losses can be quenched by deploying carrier-selective passivating contacts.An embodiment of this approach is the tunneling SiOx/doped poly-Si stack because of (i) large quasi-fermi levels difference at poly-Si / c-Si interface and (ii) high tunneling probability through the SiOx [6]. Employing poly-Si carrier-selective layers, efficiencies larger than 25% have been reported in front/rear contacted [7] and IBC [8] solar cells. The analysis of minority carriers lifetime as function of thickness of ion-implanted poly-Si passivating contacts and their application in c-Si solar cells is here reported. N-type (P-implanted) poly-Si passivates well textured c-Si (τeff > 4 ms, iVOC > 705 mV, J0 ~ 10 fA/cm2) after hydrogenation (35 nm < dpoly-Si < 250 nm). For p-type (B-implanted) poly-Si on flat wafer, we report τeff > 5ms, iVOC > 710 mV, J0 ~ 10 fA/cm2 for dpoly-Si = 250 nm. Then, passivation worsens for thinner poly-Si due to excessive boron doping diffusion in c-Si bulk. Implanting BF2, thinner p-type poly-Si is enabled with τeff > 2ms, iVOC ~ 690 mV and J0 ~ 20 fA/cm2 (dpoly-Si = 75 nm). For both polarities, thickness, implantation dose and annealing profile are tuned to control doping confinement into poly-Si layer for band-bending, bandgap narrowing and Auger recombination. These passivation layers are deployed, respectively, as p-type rear emitter and n-type front surface field of front/rear contacted solar cells with SiNx ARC and Ag/Al rear metal stack. When dfront and drear are kept the same and concurrently decreased, spectral response and JSC improve while VOC worsens. With decoupled dfront / drear= 20 / 250 nm and employing Cu-plated front-contacts, VOC = 682 mV, JSC = 38.1 mA/cm2, FF = 75.2% and η = 19.6% (7.84 cm2, 6% shading) are obtained. Replacing n-type poly-Si with i/n a-Si:H stack, SiNX with ITO and plated Cu with evaporated Al, VOC = 704 mV, JSC = 40.5 mA/cm2, FF = 73.8% and η = 21.0% (9 cm2, 2.64% shading) are measured. Finally, applying dpoly-Si = 250 nm on the rear side of 9-cm2 wide IBC, VOC = 696 mV, JSC = 39.6mA/cm2, FF = 79.6% and η = 21.9% are achieved. Tuning the process fabrication, η > 23% are in short term at hand.
[1] H. Hieslmair, et.al., Intevac (2012)
[2] J. Benick, et.al., EUPVSEC (2012)
[3] T. S. Boscke, et.al., IEEE JPV (2014)
[4] R. Müller, et.al., SiPV (2014)
[5] C. Battaglia, et.al., Energy & Environmental Science (2016)
[6] J. Melskens, et.al., IEEE PVSC (2015)
[7] S. Glunz. et. al., EUPVSEC (2015)
[8] F. Haase, et. al., ASIANPVSEC (2016)
ES11.14: Tandem Solar Cells
Session Chairs
Thursday PM, November 30, 2017
Hynes, Level 2, Room 204
1:30 PM - *ES11.14.01
Perovskite-Silicon Tandems—Progress toward 30% Efficiency
Thomas White 1 , The Duong 1 , Jun Peng 1 , Dale Grant 1 , Daniel Jacobs 1 , Heping Shen 1 , Yiliang Wu 1 , Klaus Weber 1 , Kylie Catchpole 1
1 , Australian National University, Canberra, New South Wales, Australia
Show AbstractThe rapid rise of perovskite solar cells to efficiencies above 22% presents an exciting opportunity for low-cost perovskite-silicon tandem cells with efficiencies exceeding the current crystalline silicon cell record of 26.6%, and potential efficiencies above 30%. Combining such different materials, processing methods and cell architectures presents unique design challenges, and requires detailed knowledge and characterization of the optical and electrical properties of every layer, as well as practical constraints imposed by processing sequences and chemical incompatibilities.
This presentation will review the key material, optical and device performance requirements for high-efficiency perovskite-silicon tandem cells in both monolithic and mechanically-stacked configurations, and identify the main challenges that must be overcome to achieve an efficiency of 30%. We will also present our group's progress on the detailed design, optimization and experimental demonstration of mechanically-stacked tandems, starting from an initial 20.1% efficiency [1] using the widely-studied CH3NH3PbI3 perovskite, and leading to our recent demonstration of a record 26.4% efficient tandem cell [2] incorporating a bandgap-optimized multi-cation perovskite cell with a back-contact c-Si cell. Recent progress towards characterizing and improving perovskite cell stability, and understanding the physical origin of hysteresis and slow-transient phenomena will also be discussed.
[1] T. Duong et al., IEEE J. Photovolt. 3, 679-687 (2016).
[2] T. Duong et al., Adv. Energy Mater. DOI: 10.1002/aenm.201700228 (2017).
2:00 PM - ES11.14.02
Infrared Photocurrent Management in Monolithic Perovskite/Silicon Heterojunction Tandem Solar Cells by Using a Nanocrystalline Silicon Oxide Interlayer
Luana Mazzarella 1 , Matteo Werth 2 , Steve Albrecht 3 , Klaus Jäger 4 , Lars Korte 1 , Rutger Schlatmann 2 , Bernd Stannowski 2
1 Institute for Silicon Photovoltaics, Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany, 2 PVcomB, Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany, 3 Young Investigator Group Perovskite Tandem Solar Cells, Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany, 4 Young Investigator Group Nano-SIPPE, Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany
Show AbstractSilicon heterojunction (SHJ) solar cells have seen a progressive increase in conversion efficiency (η) with the current record of 26.6%[1], approaching towards the theoretical limit. Combining a SHJ bottom cell with a top cell having a wider bandgap enables a more effective use of the solar spectrum by reducing thermalization losses with potential η limit >40%. Perovskite semiconductors are a suitable candidate for tandem applications since their bandgap can be tuned to match the Si one and exhibit a high absorption coefficient with a sharp optical absorption edge. These properties led to a rapid progress in monolithic tandem device performance with η of 23.6%[2].
In this contribution we present experimental and simulation results for optimizing the bottom SHJ cell in perovskite/SHJ tandems to enhance the short-circuit current (JSC) in the infrared region. We chose an inverted cell architecture with the electron selective contacts placed at the front side of each sub-cell and a flat interface between both cells [3]. Our focus was on the use of nanocrystalline silicon oxide (nc-SiOx:H) film growth by PECVD both as carrier selective n-contact layer and as an interlayer to optically manage the light absorption.
We first performed optical simulations of the monolithic perovskite/SHJ tandem device to predict the optimum thickness and refractive index of the (n)nc-SiOx:H interlayer. As observed for single SHJ cell, by tuning the (n)nc-SiOx:H layer properties we expect a matched JSC as high as 19.9mA/cm2 in the tandem configuration.
We then developed the (n)nc-SiOx:H film for the SHJ cell having a planar front surface to control the nc-SiOx:H growth and obtain high fill factor (FF) and JSC [4]. Then, the thickness and oxygen content of the (n)nc-SiOx:H layer was tailored to enhance the spectral response in the range 750-1200nm, obtaining a gain in JSC by 1.1mA/cm2 as compared to a full-spectrum optimized SHJ cell [4]. The addition of a random texture on the rear side of the SHJ cell leads to a further gain in JSC by 1.5mA/cm2. The best flat-front side SHJ cell for use as bottom cell reached η~19% with FF=80.7%, open circuit voltage of 721mV and JSC=32.6mA/cm2.
Finally, by combining parameters of the currently best perovskite top cell [1] (FF=80.3%, VOC=1.1V) with our current best SHJ bottom cell, we estimated the potential η to be 26.6% and 29% considering the experimental and simulated JSC (750-1200nm) extracted by the bottom cell, respectively. To be realistic the VOC of the SHJ cell is reduced by the logarithmic relation to JSC. Further gain can be predicted by adding an additional rear reflector [2] or a rough SHJ front surface.
Our work highlights the benefit of utilizing nc-SiOx:H as a contact layer for monolithic perovskite/SHJ tandem solar cells to optimize JSC in the infrared spectral region.
[1] M. Green et al. Progr. in PV,25,2017
[2] K. Bush et al. Nat. En.,2,2017
[3] K. Jäger et al. Opt. Expr.,25,2017
[4] L. Mazzarella et al. submitted IEEE JPV,2017
2:15 PM - ES11.14.03
Enhanced Light Harvesting on Semi-Transparent Perovskite Solar Cells Enabled by Low Temperature Processed TiO2 Electron Selective Layer
Erkan Aydin 1 , Xinbo Yang 1 , Kai Wang 1 , Hoang Dang 1 , Esma Ugur 1 , Marios Neophytou 1 , Iain McCulloch 1 , Frederic Laquai 1 , Aram Amassian 1 , Stefaan De Wolf 1
1 , King Abdullah University of Science and Technology, Jeddah Saudi Arabia
Show AbstractTandem solar cells using crystalline silicon (c-Si) bottom cell allow higher efficiencies than single-junction solar cell by utilizing the energy of short –wavelength photons in the spectrum of sunlight. Semitransparent perovskite solar cells have significant potential as the top cell in a tandem structure with the c-Si bottom cell. Several theoretical studies showed that c-Si/perovskite tandems have potential to exceed the efficiency of 30%. Despite the rapid progress on the efficiency of the tandem devices, still, there is room to improve the light harvesting by careful light management on charge transport layers and electrodes. In this study, we will focus on the fabrication of high efficiency, semi-transparent perovskite solar cells with a particular focus on the role of low temperature processed TiO2 electron selective layer to replace the commonly used PCBM which causes parasitic absorption in the visible range, particularly in the blue region. To eliminate the current losses which originate from electron selective layer, we used TiO2 which exhibits high transparency in the visible and infrared region of the spectrum. Preparation of the TiO2 film was achieved by simple solution processing of TiO2 nanocrystal with further annealing at 150 °C, which is a rather low temperature for compact ETL materials. Low-temperature processing steps are quite necessary to develop novel 2-terminal tandem devices due to the low thermal budget of underlying silicon devices. These semi-transparent perovskite cells exhibit a potential for 2-terminal c-Si/perovskite tandem applications thanks to the low-temperature processing of TiO2. In this study, we used mixed cation mixed halide system perovskite absorber layer with 1.60 eV optical band gap which is very close the optimal value. Through this effective combination of processing and device architecture developments, we have fabricated semitransparent perovskite solar cells with 15.5% PCE (without antireflection coating) which has glass/ITO/TiO2/Cs0.05FA0.81MA0.14PbI2.55Br0.45/Spiro-OMeTAD/MoOx/ITO/Ag structure. The opaque device using MoOx/Ag rear electrodes showed 19.8% efficiency. In this presentation, we will show the performance results of the mechanically stacked 4-terminal c-Si/perovskite tandems as well and we will explain the possible mechanisms behind the current losses. Finally, we will discuss the strategies to reduce the parasitic absorption via device illumination polarity and transparent conductive oxide selection which particularly limits the performance of monolithic tandem devices.
3:00 PM - *ES11.14.04
High Efficiency III-V/Si Tandem Photovoltaics
Adele Tamboli 1
1 , National Renewable Energy Laboratory, Lakewood, Colorado, United States
Show AbstractSilicon photovoltaics are rapidly approaching their practical efficiency limit of 29%. However, multi-junction geometries have the potential to reach significantly higher conversion efficiencies. While III-V multi-junctions have already demonstrated efficiencies exceeding 40%, approaches based on lower cost technologies have only recently surpassed 30% efficiency. In this talk, we will discuss 4-terminal, mechanically stacked III-V//Si dual- and triple-junction solar cells that reach record one-sun efficiencies of 32.8% and 35.9%, respectively. These efficiencies exceed both the theoretical efficiency limit for single-junction Si and also the record III-V dual-junction efficiency (32.6%). We will also discuss a new approach to mechanically stacked solar cell fabrication, which relies on the use of a transparent conductive adhesive (TCA) to interconnect the cells. The TCA conforms to textured Si surfaces, providing optical and electronic coupling between any top and bottom cell, enabling two-terminal and three-terminal devices. Three-terminal devices are based on an interdigitated back contact bottom cell with a conductive top surface. This design, in particular, is a compelling platform for tandem solar cell integration because it includes the best features of both two- and four-terminal operation. It enables low sensitivity to band gap matching and spectral fluctuations, similar to a four-terminal device, but does not require the intermediate grids and lateral current transport. We will discuss both modeling and experimental work on TCA-bonded three-terminal tandem solar cells.
3:30 PM - ES11.14.05
GaAsP/Si Dual Junction Step-Cell for Cost Effective Manufacturing
Sabina Abdul Hadi 1 , Eugene Fitzgerald 2 , Steven Griffiths 1 , Ammar Nayfeh 1
1 Masdar Institute, Khalifa University of Science and Technology, Abu Dhabi United Arab Emirates, 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractIn this work, we present a cost-effective process method for the manufacturing of a silicon based dual junction solar cell. We estimate the manufacturing costs of the GaAsP/Si solar cells using step-cell technology. Si is an ideal material for bottom cell [1]. In a step-cell design, the top cell is patterned in the shape of “fingers”, such that the top area (Atop) is smaller than the area of the bottom cell (Atotal). Fingers are designed narrow enough to be lifted-off using only HF facilitating fast epitaxial lift-off (ELO). Atotal/Atop ratio can be adjusted to optimize the performance of the III-V/Si DJ cell. The calculated theoretical detailed balance upper efficiency limit of a conventional Si-based DJ step-cell is ~45% with top cell bandgap of ~1.75 eV [2]. Comparable efficiency values can be achieved for the materials with bandgap values ranging between ~1.5-1.9 eV by the means of an increasing Atotal/Atop ratio [2]. With carefully selected top cell bandgap and Atotal/Atop ratio, a step-assisted ELO method can be used to facilitate high production throughput without sacrificing efficiency. The efficiency of bonded four terminal III-V/Si dual junction solar cells is ~29% [3]. Our estimates show that efficiencies between 25% and 35% are also achievable[3]. With III-V growth on Si substrates and the use of a step-design that facilitates quick ELO process, production of dual junction solar cells could be shifted to 8” wafer level processing without new equipment. The cost of solar cells ($/W) reduces with increased manufacturing throughput and wafer size. Manufacturing costs of GaAsP/Si dual junction solar cells grown via SiGe/Si wafer is calculated using bottom-up approach. Estimated step-cell costs are compared to cost estimates for commercially available GaInP/GaAs/Ge triple junction solar cell. Results show a cost benefit of Si based growth versus Ge, primarily because of substrate recycling enabled by ELO of GaAsP layers. The major cost contributors for bonded GaAsP/Si step-cells are found to be MOCVD growth of III-V layers, carrier costs and lithography. Under base scenario, 8” GaAsP/Si cell with 30% efficiency is estimated to cost ~$9.1/W to manufacture. Under a long-term scenario, this cost is reduced to $1.5/W assuming efficiency increased to 35%. In comparison, commercially available 3J GaInP/GaAs/Ge solar cell would cost about $45/W under the most favorable assumptions in base scenario. Finally, with this cost advantage over commercially available monolithic Ge-based multi-junction solar cells, Si-based DJ step-cell is a likely candidate for high efficiency and low cost source of energy, with applications in the areas requiring light-weight power solutions.
[1] S. Kurtz et al., Journal of Applied Physics, vol. 68, p. 1890, 1990.
[2] S. Abdul Hadi et al, Journal of Applied Physics, 119, 073104 (2016).
[3] Stephanie Essig et al.”, IEEE Journal of Photovoltaics, vol. 6, no. 4, July 2016.
[4] S. Abdul Hadi et al. , 43rd IEEE PVSC, 2016.
3:45 PM - ES11.14.06
Origin of the Resistance at Si/GaAs Heterointerfaces Fabricated by Surface-Activated Bonding at Room Temperature
Yutaka Ohno 1 , Hideto Yoshida 2 , Seiji Takeda 2 , Jianbo Liang 3 , Naoteru Shigekawa 3
1 , Tohoku University, Sendai Japan, 2 , Osaka University, Osaka Japan, 3 , Osaka City University, Osaka Japan
Show AbstractTandem solar cells consisting of silicon (Si) and III-V compounds are one of the promising candidates for next-generation terrestrial photovoltaic systems, that can surpass the efficiency milestone of 30% for non-concentrating solar cells without using expensive Ge or GaAs substrates. Recently, the surface-activated bonding (SAB) at room temperature, in which surfaces of substrates are activated before bonding by creating dangling bonds via the removal of contaminants under an energetic particle bombardment in high vacuum, is applied to form Si/GaAs heterointerfaces for hybrid triple-junction cells with a high conversion efficiency above 26% [1]. Even though the interface resistance (~10-1 Ωcm2) is low enough for solar cells, it is still higher than the ideal one at defect-free heterointerfaces (~10-4 Ωcm2). Accordingly, a comprehensive knowledge of the electrical property at the heterointerfaces depending on their atomistic structure is indispensable to establish the fabrication processes of high-efficiency tandem cells by optimizing the interface structure.
In the present work, p-Si/n-GaAs heterointerfaces were fabricated at RT under a SAB condition [1], with the substrates of B-doped (100) p-Si (with a carrier concentration of 2x1014 cm-3) and Si-doped (100) n-GaAs (2x1016 cm-3). A part of them were then annealed at 673 K for 1 min. Their structural properties were determined by transmission electron microscopy (TEM). As-bonded heterointerfaces included an As-deficient crystalline GaAs layer less than about 1 nm thick and an amorphous Si layer about 3 nm thick [2]. Dimples were introduced on the GaAs surface at the bonding heterointerface, presumably via the introduction of As vacancies during the surface activation process, and they disappeared after 673 K annealing. The density in the amorphous Si layer was slightly lower than in the conventional amorphous Si, presumably due to the introduction of Si vacancies during the surface activation process, and it was increased by 673 K annealing. Those structural changes would result in the reduction of the resistance at the bonded Si/GaAs heterointerfaces [3]. Thus, the resistance should be reduced by suppressing the defects via optimization of SAB conditions. The annihilation process of the defects by annealing will be discussed.
[1] N. Shigekawa, J. Liang, R. Onitsuka, T. Agui, H. Juso, and T. Takamoto, Jpn. J. Appl. Phys. 54 (2015) 08KE03.
[2] Y. Ohno, H. Yoshida, S. Takeda, J. Liang, N. Shigekawa, Jpn. J. Appl. Phys., 57 (2018) accepted for publication.
[3] J. Liang, L. Chai, S. Nishida, M. Morimoto, and N. Shigekawa, Jpn. J. Appl. Phys. 54 (2015) 030211.
.
4:00 PM - ES11.14.07
Silicon-Hybrid Multi-Junction Devices for Photovoltaic and (Photo-) Electrochemical Applications
Paula Perez Rodriguez 1 , Johan Blanker 1 , Ravi Vasudevan 1 , Hairen Tan 1 , Miroslav Zeman 1 , Arno Smets 1
1 , Delft University of Technology, Delft Netherlands
Show AbstractPhotovoltaic (PV) device configurations based on multi-junctions have the advantage of improved utilization of both photons in the solar spectrum and the energy of the photons. PV bottom junctions based on low-bandgap semiconductors allow to utilize the low energetic photons, whereas high-bandgap semiconductors in the top PV junctions allow to efficiently utilize the photon energy for high voltage generation. As a consequence multi-junctions PV devices are a straightforward approach to achieve higher solar-to-electricity conversion efficiencies (η). In addition, multi-junctions are interesting building blocks for new solar-to-fuel approaches based on PV/photo-electrochemical(PEC) or PV/electrochemical(EC) configurations. Multi-junctions PV devices offer high flexibility in delivering the high voltages of 1.6-3.2 V required to split water or reduce carbon-dioxide.
In this contribution we report on the optimization of a large variety of hybrid multi-junction PV devices. The devices are based on 1) a large portfolio of photovoltaic materials and 2) various types of PV device architectures, like: amorphous silicon (a-Si:H), amorphous silicon-germanium (a-SiGe:H), and nano-crystalline silicon (nc-Si:H) p-i-n junctions; CIGS/CdS hetero-junctions; organic photovoltaic (OPV) devices; and monocrystalline silicon wafer/a-Si:H based hetero-junction solar cells (c-Si HJ). Every type of multi-junction device configurations exhibits its own advantage, like high conversion efficiencies, cost-effective module topologies, limited usage of materials, easy up-scalable processing methods for large areas, high water resistant PV materials to allow flexible and cheaply encapsulated modules and high voltage (and current) material devices for monolithically integrated PEC-PV concepts.
The results of various types of devices will be presented: a-Si:H/CIGS 2-junctions, a-Si:H/OPV 2-junctions, and a-Si:H/a-Si:H/OPV 3-junctions, nc-Si:H/c-Si 2-junction and a-Si:H/nc-Si:H/c-Si 3-junction and a-Si:H/a-SiGe:H/c-Si 3-junctions.
The general design rules of these hybrid PV devices to accomplish high conversion efficiencies are discussed, like tackling the crucial electrical and optical loss mechanism. First, a detailed study on a wide variety of tunnel-recombination junctions for hybrid devices will be presented. Secondly, to realize conditions close to current matching a wide variety of light management concepts are discussed, like: modulated surface textured substrates and interfaces to establish a compromise between ideal light trapping and processing of high quality PV materials; bi-functional intermediate layers that act as reflector layers and tunnel recombination junctions; and minimalizing the parasitic absorption losses of supporting layers. The current state-of-the-art hybrid PV devices will be presented.
Finally, examples of the application of these multi-junction devices in solar-to-fuel and water purification applications will be presented.