Symposium Organizers
Daryush Ila, Fayetteville State Univ
John Baglin, IBM Almaden Research Ctr
Marcela Bilek, University of Sydney
Esperidiana A. B. Moura, USP-IPEN
PM1.1: Biotechnology and Biomolecular Sciences
Session Chairs
Marcela Bilek
Hans Hofsaess
Daryush Ila
Monday PM, November 28, 2016
Hynes, Level 1, Room 107
2:30 PM - *PM1.1.01
Ion Beams and Nanomedicine—A Crossover to New Bio-Functional Materials
Giovanni Marletta 1 2
1 Universita degli Studi di Catania Catania Italy, 2 CSGI Firenze Italy
Show AbstractIon beam technology has long been recognized as a versatile, multiple-task tool, whose subtlety and diversity has been recently applied to achieve the manipulation of critical material properties with nano-scale precision. A particularly challenging field of application for nanotechnology, in general, and for ion beam based methodologies in particular, relates to nanomedicine.
The key challenge in this field is to succeed in building new tailored bio-functional materials, able to interact with the biological environment by controlling/directing early activity of cells, and also their long-term fate. In short, new smart bio-functional materials must be able to globally manage the cell–material interactions. In this framework, the use of ion beams as elective nanotechnology tools may be crucial for identifying and tailoring surface factors which are intrinsically relevant to cellular processes at nanoscale, thus unraveling basic elements of the cell–material communication code.
Accordingly, this Lecture is aimed to set the basic landscape, by focusing attention, on one hand, on the material surface properties at nanoscale and, on the other hand, on the capability of ion beams to tailor those desired nanometer-scale material properties. Thus, among the many factors affecting the strength and nature of the cell–material interactions, factors that are modifiable by ion beam-based processes, features like topography, confined biological cues, mechanical and electrical properties will be discussed.
Finally, the possibility to develop multifunctional bioactive platforms, integrating different possible biological actions, will be outlined in connection with the concepts of “cell instructive” and “programmable” surfaces.
3:00 PM - *PM1.1.02
Plasma Based Wear Resistant Anti-Microbial Surfaces on Medical Devices and Its Monitoring
Inigo Braceras 1 , Noelia Alvarez 1 , Miguel Martinez 4 , Marta Brizuela 1 , Ibon Azkona 3 , Inaki Garmendia 2
1 Tecnalia San Sebastian Spain, 4 Sumisan San Sebastian Spain, 3 Metal Estalki Zamudio Spain, 2 Mechanical Engineering University of the Basque Country San Sebastian Spain
Show AbstractKey events in the biomedical field are regulated at the nano-scale and are thus affected or can be influenced by surface nano-features on medical devices. Among others, implant tissue interactions, bacteria proliferation and associated infections, tribological phenomena and wear debris side effects, corrosion, lixiviation and release in physiologic media are recurring issues of paramount importance in the success of medical device based therapeutic and prophylactic treatments. Plasma based, PVD (Physical Vapor Deposition) and ASP (Active Screen Plasma), processes offer significant possibilities in this field.
In the case of most implants, prior to its placement, the receiving bone site has to be duly prepared, so it can not only host the implant but also favor its fast integration onto the surrounding tissue. Despite the high success rate of such surgeries, still some risks persist. Among them, the incidence of nosocomial infections associated to the surgeries. Important costs are also incurred by the short life of surgical tools due to wear (e.g. a sharp edge is a must in order to reduce the damage caused to the hosting bone). In this line, the authors have studied the wear performance of nanostructured coatings doped with antimicrobial agents. Among other aspects, surgical procedures were applied to test the coating endurance against synthetic bone and the suitability for further surgical usage.
The performance of the DLC family of coatings, taking advantage of PVD/ASP technologies, can also benefit from nano-features. This is also the case of stainless steel, the material of choice in most medical tools, which tends to show poor tribology, i.e. limited lifetime. ASP/PVD processes can improve performances, without compromising corrosion resistance and biocompatibility.
Coating endurance and/or re-usability is of critical importance for a safe and dependable clinical implementation of such surfaces in a diversity of devices. So is the monitoring of the status of the surfaces, to determine when refurbishments or disposal of the devices should occur. With these aims in mind, we performed electro-tribological studies, where the evolution of electrical contact resistance, ECR, which is affected by the wear and corrosion/oxidation of the surfaces, was analyzed. Likewise, this technique allows for studying the relative performance of nano-layers or gradually doped regions in multilayered coating structures.
Overall, ECR evolution was found to be much related to the coating deterioration / damage. Therefore, it was found that ECR can be a useful and simple way of monitoring the status of the nanostructured coatings, thus assisting in defining and identifying safe and dependable operational device lives. Additionally, simulation of the experimental data was performed and equivalent electric circuits proposed, as a tool to gain knowledge of the occurring phenomena and thus open ways to further improve the surface features.
3:30 PM - *PM1.1.03
Negative-Ion Beam Modification of Polymer Surfaces for Cell Patterning of Adult Stem Cells
Hiroshi Tsuji 1
1 Kyoto University Kyoto Japan
Show AbstractPolymeric materials are very useful substances not only for general mass products but also for instruments and grafts required in biological experiments and medical treatments. They have, in general, poor cell adhesion property and cell affinity due to their hydrophobicity at a water contact angle of 90 – 110 degrees. Therefore surface modification of polymers to hydrophilic for biocompatibility has been done in chemical and physical methods. Cell patterning has not been easy. However, the cell patterning on polymers is desired to form an artificial nerve network, as a bio-interface between a living nerve system and an external semiconductor circuits, in a neuroscience field to research mechanism of memory and decision.
In this research, a negative-ion implantation technique was used for cell patterning of adult-stem cells on polymers of insulators. Carbon negative ions were implanted into silicone elastomer (SR) and polystyrene (PS) sheet through a micro-pattern mask at a low energy of 5 – 20 keV with a dose of 1013 – 1016 ions/cm2. The typical conditions are carbon negative ions, 10 keV and 3 x 1015 ions/cm2 through a multi-line pattern mask with a line width of 50 μm and spacing of 70 or 150 μm. The following topics were investigated from physical and biological standpoints: (1) contact angle by a water drop in the air and/or by an air bubble in the water taking account of the ageing effect, (2) ion-induced functional groups with oxygen atoms related to C1s by XPS analysis, (3) protein-adsorption mapping on the implanted regions on the SR from a culture medium with serum and from fibronectin solution in phosphate buffered saline (PBS), (4) influence of implanted ion-species, C, Cu and Ag negative ions, on cultured neuron-like cells of rat pheochromocytoma cells, PC12h, (5) regeneration of rat sciatic nerve at “Tuburaton” with the SR tube, of which the inner wall was implanted with carbon negative ions, for checking cell affinity and a long-term safety, (6) cell patterning of adult-stem cells of mesenchymal stem cells (MSCs) derived from rat bone marrow on the implanted SR in a pattern with a line-width of 50 μm, (7) single cell adhesion and aligned nucleus orientation on the SR implanted in a pattern with the very narrow line-width less than 10 μm, and (8) Induction of differentiation of MSCs into nerve cells, transformation of cell body and expression of neuron specific enolase in the differentiated cells.
Details are presented at the symposium and they will show the ion implantation technique is one of the useful and prospective mehods for modification of polymers in many fields including biological and medical ones.
4:30 PM - *PM1.1.04
Bio-Application of Nano Ripples Formed by Gas Cluster Ions on Gold and Glass Substrates
Wei-Kan Chu 1 2 , Iram Saleem 1 2 , William Widger 1 3 , Samina Masood 4
1 Texas Center for Superconductivity Houston United States, 2 Department of Physics University of Houston Houston United States, 3 Department of Biology and Biochemistry University of Houston Houston United States, 4 Department of Physical and Applied Sciences University of Houston–Clear Lake Houston United States
Show AbstractOne-dimension gold nano-ripple pattern formed by gas cluster ion beam irradiation have shown localized surface plasmon resonance effect. It is a simple and cost effective scheme for biosensing. These localized surface plasmon resonance (LSPR) based bio sensors not only are capable of label free real time analytical detection but also show high sensitivity. The nano surface morphology determines the changes in the plasmonic properties of nanostructures hence the plasmonic response is tunable. By immobilizing a stable and sterically accessible monolayer of antibody on the surface of these substrates and loading different concentrations of the specific antigen we identified the shift in the LSPR peaks triggered by the change of dielectric function in the neighborhood of the structures. The LSPR biosensor was used to detect antibody-antigen reaction of rabbit X-DENTT antibody and DENTT blocking peptide (antigen). The sensor can be further developed to obtain real-time analytical-reaction dynamics. Its capability to selectively manipulate bio-materials with high-sensitivity can lead to clinical and biomedical applications. The bio-sensor has monolayer molecule-coating sensitivity and specific selectivity. On the other hand the comparison of bacterial growth on nano ripple glass pattern inside and outside the magnetic field has shown different behavior in the growth. More growth on nano ripple glass substrate has been observed than on a plain glass surface. The bacteria growing on a nano ripple pattern outside the magnetic field tend to make larger colonies. Magnetic field effects the growth of bacteria by decreasing the size of the colonies. Non-uniform fields show pattern following magnetic field lines.
5:00 PM - PM1.1.05
Bacterial Growth Study on Nano-Ripple Glass Pattern Fabricated by Gas Cluster Ion Beam Irradiation
Iram Saleem 1 2 , Samina Masood 3 , Wei-Kan Chu 1 2
1 Texas Center for Superconductivity Houston United States, 2 Department of Physics University of Houston Houston United States, 3 Department of Physical and Applied Sciences University of Houston–Clear Lake Houston United States
Show AbstractSelf-assembled glass nano ripple structure was induced by oblique gas cluster ion beam irradiation. Comparison of bacterial (E.coli and Pseudomonas) growth on one dimensional nano ripple glass pattern and plain glass slide shows more growth on the nanostructure. We studied the effect of weak magnetic field on the growth of E.coli and Pseudomonas bacteria. Different behavior in the bacterial growth was observed on the glass nano-ripple surface inside and outside the magnetic field. Bacteria seems to grow more in the absence of the magnetic field. Bacteria growing on a nano ripple pattern outside the magnetic field tend to make larger colonies. Uniform magnetic field shows uniform growth on the substrate and much smaller colonies. Non-uniform fields show pattern following magnetic field lines. Magnetic field effects the growth of bacteria on the nano ripple substrate by decreasing the size of the colonies.
Symposium Organizers
Daryush Ila, Fayetteville State Univ
John Baglin, IBM Almaden Research Ctr
Marcela Bilek, University of Sydney
Esperidiana A. B. Moura, USP-IPEN
PM1.2: Self-Assembly, Patterning, Ripples
Session Chairs
John Baglin
Wei-Kan Chu
John Demaree
Tuesday AM, November 29, 2016
Hynes, Level 1, Room 107
9:30 AM - *PM1.2.01
Ion-Solid Interactions with Self-Organized Nanostructures
Joerg Lindner 1
1 Department of Physics Paderborn University Paderborn Germany
Show AbstractDuring the last decade a broad variety of self-assembly techniques has emerged, allowing to form regular arrays of molecules or particles as a laterally patterned thin film on a solid surface with periodicities in the 10 to 1000 nm range. Often, such patterned films are used as a shadow mask for a subsequent lithographical modification of the substrate underneath. As the mask formation is based on bottom-up techniques such approaches are very cost effective and can be scaled up to large surface areas – even though pattern irregularities increase with increasing area. Nevertheless, by combinations of bottom-up techniques even hierarchical nanopatterns can be formed, making self-assembly techniques an interesting alternative to the extremely complex and expensive electromagnetic wave based lithography. To this end we have recently shown that nanosphere lithography together with reactive ion etching can be successfully used to direct the self-assembly of block-copolymers on a silicon wafer, leading to hierarchical patterns with sub-20 nm feature sizes. Applications of such structures include electronics, sensors, optics and bio-surfaces. Due to the surpassing homogeneity and reproducibility of ion beam techniques it is interesting to use ion irradiation a) to modify such self-assembled nanomasks themselves and b) to modify substrates pre-patterned at the nanoscale by bottom-up techniques. Examples will be given in which ion beam modification is used for a fine tuning of nanomask openings and/or where the substrates have been successfully modified locally by broad beam ion implantation through the nanomasks. Both applications of ion beams add to the spectrum of surface patterns which can be fabricated by exploiting self-organization effects. The talk will conclude with some suggestions of experiments to be done in the future.
10:00 AM - *PM1.2.02
Ion-Induced Nanostructures on Compound Semiconductor Surfaces—Formation, Properties, and Promise
Rachel Goldman 1
1 University of Michigan Ann Arbor United States
Show AbstractIon-irradiation of semiconductor surfaces has emerged as a promising approach to generate a variety of self-organized nanostructures, ranging from islands to ripples to nanorods. On Ga-V and In-V surfaces, focused-ion-beam-irradiation beyond a threshold ion dose leads to the formation of Ga-rich droplets [1] and In-rich islands. Interestingly, the threshold ion dose increases with increasing surface binding energy, suggesting a key role of sputtering on nanostructure formation. For low binding energy compounds, the surface morphology evolves from pits to ripples, followed by the nucleation of islands on ripple crests, and the subsequent formation of nanorods [2]. Together, these results suggest a nanostructure formation mechanism based upon ion-induced non-erosive surface response, followed by preferential Group V sputtering and island-induced self-shielding. In this talk, we discuss the formation [3], motion [4], assembly [5] and optical properties [6] of ion-induced nanostructure arrays on compound semiconductor surfaces. We also discuss Ga nanoparticle array overgrowth using molecular-beam epitaxy [7], and prospects for seamless integration of nanostructure arrays [8] into photonic devices.
[1] J.H. Wu, W. Ye, B. L. Cardozo, D. Saltzman, K. Sun, H. Sun, J. F. Mansfield, and R. S. Goldman, Appl. Phys. Lett. 95, 153107 (2009).
[2] J.H. Wu and R. S. Goldman, Appl. Phys. Lett. 100, 053103 (2012).
[3] M. Kang, J.H. Wu, S. Huang, M.V. Warren, Y. Jiang, E.A. Robb, R.S. Goldman, Appl. Phys. Lett. 101, 082101 (2012).
[4] M. Kang, J. H. Wu, D. L. Sofferman, I. Beskin, H. Y. Chen, K. Thornton, and R. S. Goldman, Appl. Phys. Lett. 103, 072115 (2013).
[5] M. Kang, I. Beskin, O. Shende, S. Huang, S. Jeon, A. A. Al-Heji, and R. S. Goldman, Appl. Phys. Lett. 104, 182102 (2014).
[6] M. Kang, T.W. Saucer, M.V. Warren, J.H. Wu, H. Sun, V. Sih, and R.S. Goldman, Appl. Phys. Lett. 101, 081905 (2012).
[7] M. Kang, A. A. Al-Heji, J. Lee, T. W. Saucer, J. H. Wu, L. Zhao, A. L. Katzenstein, D. L. Sofferman, V. Sih, and R. S. Goldman, Appl. Phys. Lett. 103, 101903 (2013).
[8] S. Jeon, M. Kang, J. H. Wu, J. Lee, V. Sih, and R. S. Goldman, submitted (2016).
10:30 AM - *PM1.2.03
Novel Mechanisms of Ion Induced Surface Pattern Formation
Hans Hofsaess 1 , Kun Zhang 1 , Omar Bobes 1
1 University of Gottingen Gottingen Germany
Show AbstractThe formation of self-organized surface patterns on Si and carbon due to noble gas ion irradiation has been studied extensively in the past. In particular Ar ions are commonly used and the pattern formation was analyzed as function of ion incidence angle, ion fluence and ion energies between 250 eV and 140 keV. Very few results exist for the energy regime between 1.5 keV and 10 keV and it appears that pattern formation is completely absent for these ion energies. The dynamic behavior of the thickness of the ion irradiated layer and the recently introduced curvature dependence of the erosion crater function are able to explain this behavior, which is confirmed by additional experimental results.
Recently, Bradley and Hofsäss introduced ion implantation as an additional effect contributing to pattern formation. The mecjhanism for pattern formation by implantation is briefly introduced and model cases are presented where ion implantation is crucial for pattern formation.
Our Monte Carlo simulations to calculate curvature coefficients of linear continuum models of pattern formation using the crater function formalism were extended, taking into account contributions due to erosion, implantation, recoil redistribution and the dynamic thickness effect. With these contributions to the linear theory, our simulations are now able to predict pattern formation or surface stability for a variety of ion-target systems and a broad range of irradiation conditions.
11:30 AM - *PM1.2.04
Virtually Defect-Free Ripples and Terraced Topographies Produced by Ion Sputtering
R. Bradley 1 2 , Matt Harrison 1 , Daniel Pearson 1
1 Department of Physics Colorado State University Fort Collins United States, 2 Department of Mathematics Colorado State University Fort Collins United States
Show AbstractBombarding a solid surface with a broad ion beam can lead to the emergence of surface ripples with wavelengths as short as 10 nanometers. The primary obstacle that has prevented the adoption of ion bombardment as a nano-fabrication tool is the high density of defects in the patterns that typically form. Our simulations indicate that a simple modification to the experimental setup could solve this problem --- ion bombardment can produce nearly defect-free ripples on the surface of an elemental solid if the sample is concurrently and periodically rocked about an axis orthogonal to the surface normal and the incident beam direction.
The anisotropic Kuramoto-Sivashinsky (AKS) equation has been used to model the formation of ripples during oblique-incidence ion sputtering for two decades. However, when the angle of incidence is large, intriguing phenomena are observed in experiments that are not reproduced by the AKS equation. We have introduced an equation of motion for the surface of an ion-bombarded material that differs from the AKS equation by the inclusion of a term that is third order in the surface slope. Our simulations establish that this term has a crucial influence on the dynamics --- it can lead to the formation of a terraced topography that coarsens in time, in accord with experimental observations for high incidence angles. In the future, regular terraced surfaces produced by templating the surface prior to sputtering may be used as blazed diffraction gratings.
12:00 PM - PM1.2.05
Experimental Study of Angle-Dependent Thin-Film Stress Generation in Si Amorphized by Low-Energy Ar+ Ion Bombardment
Joy Perkinson 1 , Michael Aziz 1
1 Harvard University Cambridge United States
Show AbstractLow-energy ion irradiation damages the surface layer of targets and causes morphology changes such as smoothening and self-organized pattern formation. In semiconductor targets, the surface layer is amorphized and builds up stress. It has been shown that stress could play an important role in ion-induced pattern formation [1,2]. This stress can be measured using a multi-beam optical stress sensor (MOSS). We have built a custom ion irradiation chamber to measure real-time, in situ thin-film stress via MOSS at all ion incidence angles, from normal to grazing. Here we present MOSS measurements of stress from experiments in this chamber. We use a high-flux ion source at Ar+ energies below 1.2 keV. We examine stress evolution during irradiation-induced amorphization, during continued irradiation, and during relaxation after cessation of irradiation. We discuss the implications of these results for our understanding of the mechanisms of stress generation and relaxation in amorphous Si.
1M. Castro, R. Gago, L. Vázquez, J. Muñoz-García, and R. Cuerno, "Stress-induced solid flow drives surface nanopatterning of silicon by ion-beam irradiation", Phys. Rev. B 86, 214107 (2012).
2S.A. Norris, "Stress-Induced Patterns in Ion-Irradiated Silicon: Model Based on Anisotropic Plastic Flow", Phys. Rev. B 86, 235405 (2012).
12:15 PM - *PM1.2.06
Model Parameter Estimation Using GISAXS-Extracted Dispersion Relations—Inclusion of High Wavenumbers Enables Quantitative Predictions
Scott Norris 1 , Joy Perkinson 2 , Mahsa Mokhtarzadeh 3 , Eitan Anzenberg 3 , Michael Aziz 2 , Karl Ludwig 3
1 Southern Methodist University Dallas United States, 2 Harvard University Boston United States, 3 Boston University Boston United States
Show AbstractIn past work, models based on both the Crater Function framework [1-3] and ion-induced stress [4-5] have seemed, independently, able to explain both the emergence and wavelength of nano-scale ripples on ion-irradiated Si. This leads naturally to the question of whether one effect is dominant, and GISAXS – which in principle allows direct experimental measurement of the dispersion relation R(q,thetat) – has been shown to be a promising means of experimentally comparing the relative magnitudes of different physical effects [6-7]. Unfortunately, such studies have previously been limited to rather small values of q, where the two mechanisms are nearly indistinguishable.
Here we extend past work by developing GISAXS analysis methods sensitive to the full range of experimentally-available wavenumbers. As a result, we extract data on the the amplification rate R\left(q\right) governing the stability/instability of Si surfaces, over a much wider range of wavenumbers than has previously been obtained. These extracted amplification rates are then compared to combinations of theoretical models for various mechanisms directing surface evolution, which also retain full wavenumber-dependence.
We find that such models provide a strikingly good fit of the experimental data over the full range of irradiation angles and wavenumbers. Moreover, the expanded wavenumber range provides enough data to enable direct experimental estimates of the relative magnitudes of most physical mechanisms currently believed to be important to the pattern-formation process on monatomic targets. In particular, we extract estimates of the depth, ion-enhanced fluidity, ion-induced stress, and contributions associated with impact-induced displacements.
In all cases, the values extracted from the fits agree well with direct observations or atomistic simulations of the same quantities, suggesting that GISAXS analysis may allow more powerful comparison between theory and experiment than had previously been thought. As a specific demonstration of this assertion, our results add to a growing body of evidence suggesting an important role for ion-induced stress, at least comparable to, and possibly stronger than, competing mechanisms such as impact-induced redistribution and erosion.
[1] S.A. Norris et al., J. Phys. Cond. Matt., 2009, 21, 224017
[2] S.A. Norris et al., Nature Communications, 2011, 2, 276
[3] M. P. Harrison and R.M. Bradley, Physical Review B, 2014, 89, 245401
[4] M. Castro and R. Cuerno, Applied Surface Science, 2012, 258, 4171-4178
[5] S.A. Norris, Phys. Rev. B, 2012, 86, 235405
[6] C.S. Madi et al., Phys. Rev. Lett., 2011, 106, 066101
[7] E. Anzenberg et al., Physical Review B, 2011, 84, 214108
12:45 PM - PM1.2.07
The Effect of Radiation-Induced Swelling on Stability of Ion-Bombarded Surfaces
Jennifer Swenson 1 , Scott Norris 1
1 Southern Methodist University Dallas United States
Show AbstractIrradiation of semiconductor surfaces often leads to the spontaneous formation of rippled structures at certain irradiation angles. However, at high enough energies, these structures are observed to vanish for all angles, despite the absence of any identified, universally-stabilizing physical mechanisms in operation. Here, we examine the effect on pattern formation of radiation-induced swelling, which has been excluded from prior treatments of stress in irradiated films. After developing a suitable continuum model, we perform a linear stability analysis to determine its effect on stability. Under appropriate simplifying assumptions, we find swelling indeed to be stabilizing at wavenumbers typical of experimental observations. Therefore, this mechanism may account for the vanishing ripples observed at high energies.
PM1.3 Device Fab—New Materials
Session Chairs
Giovanni Marletta
Esperidiana A. B. Moura
Tuesday PM, November 29, 2016
Hynes, Level 1, Room 107
2:30 PM - PM1.3.01
Direct Observation of Single Ion Implanted Dopants Distribution in Silicon by Atom Probe Tomography
Yuan Tu 1 , Bin Han 1 , Yasuo Shimizu 1 , Koji Inoue 1 , Maasa Yano 2 , Yuki China 2 , Takashi Tanii 2 , Takahiro Shinada 3 , Yasuyoshi Nagai 1
1 The Oarai Center, Institute for Materials Research, Tohoku University Oarai Japan, 2 School of Science and Engineering, Waseda University Tokyo Japan, 3 Center for Innovative Integrated Electronic Systems, Tohoku University Sendai Japan
Show AbstractThe feature size of silicon-based electronic devices such as complementary metal–oxide–semiconductor field-effect transistors has progressively decreasing. A key challenge for down-scaling semiconductor devices toward 10 nm is the ability to achieve doping control, such as controlled dopant locations and density within a channel region because the threshold voltage is sensitive to the spatial distribution and number of dopant atoms. A single ion implantation (SII) method, which is capable of implanting the dopant ions one by one into a tiny region, was put forward to precisely control the amount and position of the dopants, thus realize a highly controlled, or deterministic, dopants distribution [1]. By detecting the drain current decreasing as a result of the silicon crystalline displacement induced by single ion incidence, the total implanted account could be precisely controlled [2]. Whereas this method is only feasible when the total account is less enough, and the distribution range of dopants is still not available. Therefore, we employ the state-of-the-art atom probe tomography (APT) to investigate the fine distribution of dopant ions, so as to evaluate the accuracy of the SII process [3].
In the initial stage, standard implantation with nanohole resist masks is performed. phosphorous (P) and germanium (Ge) ions are implanted into Si (111) substrate with a dose of 3x1015 cm-2 and 6.4x1015 cm-2, respectively. By selecting the diameter of nanohole as 20 nm and 10 nm, the expected amount of Ge and P ions in each implanted region is 10000 and 5000, respectively. The implanted regions are extracted and milled into ta needle shape by a focused ion beam system (Helios Nanolab 600i), and measured by a local electrode atom probe (LEAP4000XHR). We succeeded in obtaining the half distribution maps of implanted Ge and P. By utilizing the analyzing tools of APT, we could estimate the distribution range of the intact implanted region. In addition, simulation is performed based on the SRIM software [4]. The results obtained by APT experiment are almost consistent with simulation. Detailed results and discussion would be presented in the conference.
[1] T. Shinada et al., Nature 437, 1128 (2005).
[2] T. Shinada et al., Nanotechnology 19, 345202 (2008).
[3] T. Kelly and M. Miller, Rev. Sci. Instrum. 78, 031101 (2007).
[4] J. Ziegler et al., SRIM-The Stopping and Range of Ions in Matter, Lulu Press Co, USA (2008).
2:45 PM - PM1.3.02
Ion-Induced Grain Boundary Engineering of Small-Scale Materials
Huan Ma 2 , Fabio Lamattina 3 , Ivan Shorubalko 3 , Ralph Spolenak 2 , Matteo Seita 1
2 Department of Materials ETH Zurich Zurich Switzerland, 3 Swiss Federal Laboratories for Materials Science and Technology Dübendorf Switzerland, 1 Massachusetts Institute of Technology Cambridge United States
Show AbstractControlling the grain boundary network of small-scale materials—such as coatings and thin films—is an ambitious goal that would ultimately enable the production of components with tailored properties and improved reliability. In this work we present a new technique—which we term ion-induced grain boundary engineering (iGBE)—to engineer the character distribution and connectivity of grain boundaries in gold films in situ, as they are being deposited. iGBE consists of a repeated sequence of ion-induced material removal and material deposition which results in the selection and growth of crystal grains in twinned relationship. This phenomenon yields an increased fraction of Σ3 twin boundaries in the microstructure, which have renowned beneficial effect on the material's resistance to intergranular degradation. We confirm the improved reliability of iGBE microstructures by assessing their resistance to electromigration—one of the most common causes of failure in integrated circuit interconnects. We find that, through iGBE, interconnects' lifetime increases by three orders of magnitude at standard operating conditions. Since iGBE is material-insensitive and compatible with standard microfabrication technology, we expect it to have significant impact on microelectronics industry.
3:00 PM - PM1.3.03
Nanostructure Engineering—Manipulating Nanoepitaxial Growth Vectors
Elias Garratt 1
1 Center for Neutron Research Gaithersburg United States
Show AbstractOf the persistent remaining challenges in the incorporation of nanostructures into device architectures, alignment and positioning is key. Research into methods to address these challenges has demonstrated methods which exploit crystallographic cell structure to align nanostructures to the device plane, resulting in lateral epitaxial growth of nanowires with predictable orientations. Extrapolating from this idea, we present here a method to further control the orientation of forming nanowires by manipulating the surface lattice constant using ion bombardment. We demonstrate the mode of failure for nanoepitaxy depends on both the spatial distribution of lattice matched areas and the degree of ion bombardment. Furthermore, we demonstrate the ability to reliably create kinked nanostructures through spatial confinement of forming nanosystems between epitaxially inaccessible zones on the substrate surface lattice.
3:15 PM - *PM1.3.04
Lithography and Metrology on EUV CAR Resists with a Helium Ion Microscope (HIM)
Diederik Maas 1
1 Nano-instrumentation Netherlands Organisation for Applied Scientific Research Delft Netherlands
Show AbstractTo enable further shrink of semiconductor devices, new resists are needed that offer a superior combination of resolution, uniformity and sensitivity. To correctly asses the performance of a resist, the resolution of patterning as well as metrology tools that are used must be exceptional. In this paper, we show that a helium ion microscope (HIM) can simulate resist exposure by EUV, and is a very promising candidate for CD metrology. The first part of this paper demonstrates how HIM lithography can serve as a lab-scale tool to evaluate the performance of new EUV resist materials and processing recipes. One targeted result is to select the most promising EUV resists for actual evaluation in an EUV scanner. Another promising
HIM application is to pattern EUV resists at pitches that are not yet printable by EUV scanners. Such samples are considered essential for the development of adequate metrology methods for patterns at (sub-)5 nm pitch. In both ion beam and EUV lithography, resist chemistry is triggered with the ionization of a target atom and followed by a shower of secondary particles that create the latent image. Of course, there are differences in the stopping range, energy deposition profile and the qualities of the secondary particles in the shower. We found however that the secondary electrons energy distribution (SEED) and hence their range in these resists, is remarkably similar. This conclusions is based on experiments on PMMA, HSQ and several chemically amplified resists. The second part of this paper presents a systematic assessment of the CD metrology capabilities of
Helium ion Microscopy (HIM), optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), and Atomic Force Microscopy (AFM). We analyzed metrology data obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. All
techniques show good correlation, but differ significantly in the reported CD values. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays.
4:15 PM - PM1.3.05
Filamentary Behaviour of Si Hyperdoped with Au Formed by Ion Implantation and Pulsed Laser Melting
Wenjie Yang 1 , Lachlan Smillie 1 , Austin Akey 2 , Michael Aziz 2 , Jim Williams 1
1 Australian National University Canberra Australia, 2 Harvard University Cambridge United States
Show AbstractA Si-based photodetector operating at sub-band gap wavelengths has recently been demonstrated using Si hyperdoped with Au by ion implantation followed by pulsed laser melting (PLM) [1]. While the sub-band gap (infrared) absorption of this material increases monotonically with Au dose, further improvement in absorption was compromised by cellular breakdown observed following PLM at critically-high Au doses [2]. In this work, we further examined this Si:Au system under varying implantation conditions and observed an inhomogeneous Au distribution and filamentary behaviour prior to conventional cellular breakdown. However, the Au that segregated to the surface in such cases also formed a cellular structure. We have studied the structure of these features in detail and investigated their impact on sub-band gap absorption. High resolution Rutherford backscattering spectrometry combined with ion channelling (RBS/C) was employed to study the atom location of the Au dopants in the ultra-high Au concentration (filamentary) regions and the evolution of the filamentary behaviour at increasing Au doses was examined using scanning electron microscopy (SEM) and cross-section transmission electron microscopy (XTEM). Furthermore, rocking curve X-ray diffraction (XRD) was used to analyse the strain in the hyperdoped Si matrix. RBS/C and XTEM show that the filamentary Au is highly substitutional with no evidence of precipitation, and both XRD and XTEM studies indicate strain in the Si lattice in such cases. In the filamentary regime, the sub-band gap absorption continued to increase with Au concentration.
1. Mailoa, J.P., et al., Nat Commun, 2014. 5
2. Recht, D., et al., Journal of Applied Physics, 2013. 114(12)
4:30 PM - PM1.3.06
Morphological and Hardness Changes in Silica Implanted with MeV Heavy Ions
Laura Lovell 1 , Chibu Umerah 1 , Zhiping Luo 1 , John Demaree 2 , Daryush Ila 1
1 Department of Chemistry and Physics Fayetteville State University Fayetteville United States, 2 Weapons and Materials Research Directorate US Army Research Laboratory Aberdeen Proving Ground United States
Show AbstractThe purpose of this research is to better understand changes in the surface morphology of Infrasil (a type of optical quartz silica) when ion implantation and subsequent annealing are used to induce the formation of metallic nanocrystals beneath the surface, a technique which can be used to create high efficiency thermoelectric devices. The various stresses that are induced by these processes can lead to the formation of complex defects, so an understanding of the defects that are introduced during the different stages, and the role that they play in the overall function and durability of the material can aid in the production of higher quality optoelectronic devices. We have implanted Infrasil coupons with high fluences of MeV gold and silver ions, and verified the implantation profile using Rutherford Backscattering Spectrometry (RBS) and RUMP code simulations. Changes in surface topography were assessed by Atomic Force Microscope (AFM), and changes in hardness using a dynamic ultra micro hardness tester. Obtained results are from various stages of the material (pristine, MeV Ion implanted, and annealing both before and after). Ion implantation significantly increased the surface roughness of the material, but after annealing at elevated temperatures of 900C to 1150C the surfaces were smoother. Changes in the hardness and surface exfoliation were also found to depend on the total implantation fluence. The results of this study will be used to improve the methodology for production of these promising thin film thermoelectric materials.
4:45 PM - *PM1.3.07
Study of Large Cluster Impact for Surface Modification Process
Takaaki Aoki 1 , Toshio Seki 1 , Jiro Matsuo 1
1 Kyoto University Kyoto Japan
Show AbstractGas cluster ion beam (GCIB) is an unique ion beam technique, where an aggregate of gas atoms or molecules is ionized, accelerated and radiated on target keeping in its cluster state. The fundamental collisional process between cluster and target atoms is based on multiple collision mechanism, which differs from binary collision for conventional monomer ion beam and is expected for novel material processing. In this presentation, we demonstrate several results from molecular dynamics simulation and fundamental experiments to characterize the collisional process of clusters onto solid surfaces.
The criteria to cause or not to cause surface damage is one of the interesting and important issues for surface modification. In case with usual GCIB apparatus, the mean cluster size in the beam is several thousands and the beam is accelerated at several tens keV in total, which means each constituent cluster atom carries several tens eV/atom. When this cluster impacts on a planer solid target such as silicon, the cluster can penetrate the target surface and causes large motion of surface atoms in lateral direction to form crater-like damage. On the other hand, if this cluster beam is radiated on initially rough surface, cluster impact acts to smooth the surface; the atoms at convex curve are moved to fill the concave area preferentially.
As the cluster size increases keeping total acceleration energy, the incident energy per atom decreases. When the incident energy is as low as several eV/atom (which may be related with the property of the surface), the cluster atoms do not penetrate the surface but spread in lateral direction keeping high energy and momentum density. This spreading process affects on surface irregular structure, for example, removing surface contaminant without surface damage.
We will discuss the model of cluster impact and application for surface modification process from the viewpoint of cluster size, incident energy and other process parameters.
5:15 PM - PM1.3.08
Hyperdoping by Ion Implantation for Extended Infrared Si p-n Photodiodes
Yonder Berencen 1 , Slawomir Prucnal 1 , Fang Liu 1 , Mao Wang 1 , Lars Rebohle 1 , Shengqiang Zhou 1 , Manfred Helm 1 , Wolfgang Skorupa 1
1 Helmholtz-Zentrum Dresden Rossendorf Dresden Germany
Show AbstractThe development of room-temperature extended infrared Si photodetectors is of great interest for integrated photonics, optical communications, sensing and medical imaging applications [1]. The typical peak photoresponse of traditional Si photodetectors is between 700 and 900 nm, which is mostly limited by the 1.12 eV-Si indirect band gap. Nevertheless, such intrinsic material limitation can be circumvented by introducing transition metals or chalcogens into the Si band gap at concentrations far above those obtained at equilibrium conditions [1, 2]. Ion implantation and short-time annealing have been the adopted methods in those approaches. This new class of hyperdoped materials with a donor impurity band has been postulated as a promising route to extend the Si photoresponse at the short-wavelength infrared spectral region [3].
In this work, we report steady-state room-temperature extended infrared p-n photodiodes at the two primary telecommunication wavelengths from single-crystalline Si hyperdoped with Se concentrations as high as 9×1020 cm-3, which are introduced by a robust and reliable non-equilibrium processing consisting of ion implantation followed by millisecond-range flash lamp annealing (FLA). The FLA approach in the millisecond range allows for a solid-phase epitaxy that has been reported to be superior to liquid-phase epitaxy induced during pulsed laser annealing [2]. The success of our devices is primarily based on the high quality of the developed n-type hyperdoped material, which is single-phase single crystal with high electrical activation, without surface segregation of Se atoms and with an optically flat surface. A detailed description of the working principle and performance of the photodiodes as well as the main features in the studied wavelength region is provided.
[1] J. P. Mailoa, A. J. Akey, C. B. Simmons, D. Hutchinson, J. Mathews, J. T. Sullivan, D. Recht, M. T. Winkler, J. S. Williams, J. M. Warrender, P. D. Persans, M. J. Aziz, and T. Buonassisi, Nat. Commun. 5, 3011 (2014).
[2] S. Zhou, F. Liu, S. Prucnal, K. Gao, M. Khalid, C. Baehtz, M. Posselt, W. Skorupa, and M. Helm, Sci. Rep. 5, 8329 (2015).
[3] I. Umezu, J. M. Warrender, S. Charnvanichborikarn, A. Kohno, J. S. Williams, M. Tabbal, D. G. Papazoglou, X. C.Zhang, and M. J. Aziz, J. Appl. Phys. 113, 213501 (2013).
5:30 PM - PM1.3.09
Metal Assisted Focused-Ion Beam Etching for High Fidelity Fabrication of Nanophotonic Devices
Akash Kannegulla 1 , Li-Jing Cheng 1
1 Oregon State University Corvallis United States
Show AbstractFabrication of nanostructures with high precision and flexibility is highly important and challenging to implement nanophotonic devices. Focused-ion beam (FIB) has been widely used to define the device structures by direct milling of working materials without additional masks. In an alternative way, FIB can be used to fabricate templates that allows to duplicate the devices through nanoimprint lithography. FIB fabrication technique is advantageous in its maskless process and spatial resolution. In addition, the milling process allows the fabrication of tapered structures which can hardly be achieved by using other fabrication methods such as electron beam lithography and reactive ion etching. However, ion beam exposure in the FIB process tends to induce damages on substrate morphology, material redeposition, and surface roughness that deteriorate the fidelity of pattern transfer resulting in optical loss and degradation of device performance. Plasmonic devices for instance, the blunt-edged device structures due to low-definition of pattern transfer reduce the electric field hotspot intensity and alter spectral response. This is a critical issue in biosensors which rely on fluorescence enhancement and refractive index based biosensing.
Metal nanostructure based photonic devices can be fabricated via direct FIB milling of metal thin films. The conventional FIB milling process tends to yield unwanted rough surfaces and blunt milling edges due to material redeposition and scattering of ions during milling. To overcome the aforementioned issues, we present a metal assisted focused-ion beam (MAFIB) etching process which utilizes an aluminum protective layer on the working material to achieve high-fidelity nanostructure patterning. The protective Al layer prevents the material from exposing to low-energy peripheral ion beam tails, reduces lateral milling of the substrate and thus avoids deformation of pattern edges and surface morphology. The use of aluminum layer significantly reduces the ion-beam induced damage and can easily be removed using 0.9 M KOH solution at room temperature which works well with most of the working materials, including silver, gold, silicon and other dielectric materials for nanophotonic applications and nanoimprint template fabrication. In this paper, we demonstrate the use of MAFIB technique for sophisticated nanophotonic devices and v-shaped groove nanostructures on silver and silicon with controllable groove angles which can serve as plasmonic waveguides or the templates for nanoimprint lithography.
PM1.4: Poster Session I
Session Chairs
Wednesday AM, November 30, 2016
Hynes, Level 1, Hall B
9:00 PM - PM1.4.01
Development of Funtionalized Bioceramic Surfaces by Focused Ion/Electron Beam (FEB-FIB) Modification
Feray Bakan 1 , Melike Cokol Cakmak 2 , Meltem Sezen 1 , Murat Cokol 2
1 Sabanci University Nanotechnology Research and Application Center Istanbul Turkey, 2 Sabanci University Faculty of Engineering and Natural Sciences Istanbul Turkey
Show AbstractNowadays, having an important role in the health sector and improving the life quality, biomaterials have high-added and hence high financial value. Calcium phosphate compounds; in particular hydroxyapatite; are used as bone graft material in tumor surgery and bone fracture healing, to strengthen dentin in dentistry and as a coating material for implants because they can adhere to the body's own bone tissue strongly owing to their excellent biocompatibility and bioactivity. The surface texture or micro-topography of an implant material effects the implant-cell interactions. Therefore, the investigation of surface-cell interaction by forming controlled surface textures has crucial importance and research related to this subject has not been found in the literature.
For this purpose, the surface topography of three calcium phosphate ceramics, hydroxyapatite- beta tricalcium phosphate- biphasic apatite, were modified in a dual-beam electron/ion microscopy platform (SEM/FIB) by exposing electrons or ions directly onto the surfaces and by diverting the storage gas (carbon) which is sprayed through gas injections system (GIS) to a targeted surface (square and cylindrical holes and protrusions). The crucial point in this study is performing the corresponding processes inside a FIB / SEM platform and therefore carrying out the modifications in variety of sizes from micron to nanometer and in different geometries which are local and selective. The alterations in the surface properties were tracked by SEM imaging, Raman spectral analysis and EDS qualitative / quantitative analyses.
The effect of surface modification on the response of cell growth and adhesion was investigated using MG-63 osteosarcoma mammalian cell line and opportunistic fungus, Candida albicans.
Acknowledgments: The authors would like to thank TUBITAK 115M788 Project for financial support.
9:00 PM - PM1.4.02
Fabrication of SU-8 Polymer Waveguides Using Focused Ion-Beam Lithography
Swagata Samanta 1 , Pallab Banerji 1 , Pranabendu Ganguly 1
1 Indian Institute of Technology Kharagpur Kharagpur India
Show AbstractAn attempt is made to fabricate air-cladded SU-8 waveguides of different widths on an oxidized Si substrate using focused ion beam lithography with varying ion doses;and this report discusses limitations & advantages of applying the method on SU-8 for waveguide fabrication purpose.
Our starting material is Si (100) substrate.The sample is cleaned by Piranha cleaning;H2O2 is poured in a quartz beaker & conc. H2SO4 is slowly dropped into it (volume ratio of the mixture is 1:1),and left undisturbed for 25mins.Next it is thoroughly rinsed with DI water & is blown with dry nitrogen & is put in oxidation furnace.After reaching a temperature of 10500C,it is oxidized in a sequence of dry-wet-dry for 30mins-2hrs-30mins respectively to obtain an oxide thickness of 1µm,which is uniform throughout the wafer surface.Next it has undergone a general heating for 30mins at 1500C.Oxygen Plasma treatment is carried out at a power of 40W for 45secs.It is then spin coated with SU-8 5 polymer at 500rpm for 10secs and ramped to 6000rpm for next 20secs to achieve a resist thickness of ~1.5μm (measured by Dektak surface profiler).It is then baked on a hotplate at 650C and 950C for 1min and 3mins respectively,and cooled at room temperature for 10mins for thermal relaxation.It is then placed on Au coater to obtain a layer of gold before proceeding to FIB lithography for pattern generation.
The width of the waveguides as inspected under FESEM is 0.6,0.8,1.0,1.5 and 2.0μm.The depth as obtained from AFM for 1,15&40 doses is 0.195,0.34&0.57μm respectively.The rms roughness values are 0.118,0.415&0.512μm for 1,15&40 doses respectively.However,to operate in single-mode region,waveguide should have film thickness within 0.43&1.72μm as obtained from our indigenously developed modeling software EIMM©.Thus we have chosen 0.57μm etch depth which is obtained on applying dose 40 for trial purpose.However,as thickness is near the cut-off region,it is obvious there will be error & will prone to huge loss;also as dose increases,the milling depth increases,thereby making the surface rougher;and rougher means more prone to optical loss.FIB lithography has also a limitation of scanning long distances.As the current is low here it takes a lot of time to scan the whole waveguide length.We know that for optical characterization,the waveguides should be fabricated edge to edge of the substrate.So our sample is cleaved in such a way that it can be scanned within feasible time.Beam current is kept fixed at 10nA & area dose is 0.01C/cm2.It is observed from SEM view of waveguide edge (where dose for FIB lithography is taken 15) that the portion of the wafer where there is no SU-8 coating on it,the waveguide pattern is fair,thus we can say FIB lithography is favorable on Si rather than SU-8 for higher doses.But FIB has been successfully applied to make any micro-changes in the waveguide structures generated by optical lithography,& creating photonic-crystal waveguide structures made of SU-8 polymer.
9:00 PM - PM1.4.03
Comparison of Pattern Formation of Si and Si3N4 by N+ and N2+ Ion Irradiation
Hans Hofsaess 1 , Kun Zhang 1 , Omar Bobes 1 , Lukas Richter 1
1 University of Gottingen Gottingen Germany
Show AbstractRecently Bradley and Hofsäss introduced ion implantation as an additional effect contributing to pattern formation. The effect on surface stability or instability by ion implantation is similar to ion erosion (Bradley Harper theory), but the curvature coefficients are reversed in sign. Therefore ion implantation should contribute to surface instability an pattern formation at larger ion incidence angles, typically above 45-50°. To demonstrate the the effect of ion implantation, we compare N+ and N2+ ion irradiation of Si and Si3N4 substrates. Si3N4 cannot accommodate N beyond the given stoichiometry and implanted N must diffuse out. The stoichiometry of the films remains unchanged irradiation with N and additional N incorporation can be neglected. On the other hand, N will be incorporated into Si and an amorphous SiNx layer will form. Here, implanted N should contribute to pattern formation. We irradiated Si and Si3N4 samples with ions in the energy regime few keV up to 10 keV and ion incidence angles between 60° and 75°. We find no pattern formation on Si3N4 for all investigated irradiation parameters. In contrast, N irradiation of Si leads to pronounced ripple patterns. The behavior can be understood from a comparison with simulation results based on the crater function formalism and Monte Carlo simulations of the ion solid interaction. We find strong support that pattern formation on N ion irradiated Si is mainly determined by N ion implantation.
9:00 PM - PM1.4.04
Noble Gas Ion-Induced Pattern Formation on Oxide Thin Films
Hans Hofsaess 1 , Omar Bobes 1 , Ronja Langendorf 1
1 University of Gottingen Gottingen Germany
Show AbstractThe formation of self-organized surface patterns due to noble gas ion irradiation has been studied extensively in the past. Ripple pattern formation by noble gas ion irradiation of oxides was mainly investigated for fused silica [1], sapphire [2] and also Indium-Tin-oxide (ITO) [3]. Whereas silica and sapphire exhibits the expected behavior regarding ripple formation, ripple formation was investigated only for grazing incidence, where parallel ripple were observed. It was concluded that crystallinity plays a crucial role for pattern formation on ITO [3].
We have studied systematically the pattern formation on ITO for 1 keV Ar and Xe ion irradiation and a broad range on ion incidence angles. Indeed, we find flat surfaces except for grazing ion incidence where perpendicular ripples similar to [2] are observed. Results for ion irradiation at lower ion energy will be presented. We compare the existing data for silica, sapphire and ITO with predictions from linear theories, where we use curvature coefficients determined from Monte Carlo simulations. The simulations were done in dynamic mode, taking into account stoichiometry changes due ion irradiation. The simulation results are in good quantitative agreement with the experiments done with silica and sapphire and also explain the suppression of pattern formation for ITO and also for Ta2O5 for keV noble gas ions.
[1] A. Keller et al., J. Phys.: Condens Matter 21 (2009) 495305
[2] H. Zhou et al., Phys. Rev. B 78 (2008) 165404
[3] T. Škeren et al., Thin Solid Films 589 (2015) 315
9:00 PM - PM1.4.05
Sub-Micron Stencil Lithography with a 3D Patterned Optical Fiber by Focused Ion Beam
Raquel Flores 1 , Ricardo Janeiro 1 , Dionisio Pereira 1 , Jaime Viegas 1
1 Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates
Show AbstractA focused ion beam (FIB) microscope is a powerful microfabrication tool. Through the interaction of an ion beam, typically gallium ions, with a sample, the FIB microscope can directly modify the surface by ablation of the material. This technology expands the possibilities for patterning at the nanoscale regime, therefore enabling the development of research areas, namely the integration of diffractive elements on optical fiber tips, valuable for biophotonics interaction, or stencil-based lithography.
Lithography is a crucial process in any industry that requires nano and microfabrication processes, such as in the semiconductor industry. It is often a limiting factor to further down-scale the microelectronics devices. In addition, it is also one of the most expensive steps in nanotechnology fabrication, and therefore detrimental to the deployment of nanostructures in large area devices. There are many important aspects in any lithography development, such as resolution, high throughput and reliability. Recent developments in the field of plasmonic nanostructures for display technology, energy generation and desalination would greatly benefit from low-cost, large-area capable and flexible patterning techniques. High-throughput maskless lithography has been demonstrated with an array of diffractive lenses such as Fresnel zone plates. Another method for high resolution lithography has been demonstrated where a photon sieve is used as the focusing element in scanning optical lithography, relaxing resolution limitations of a Fresnel zone plate. However, this photon sieve was fabricated on a planar substrate coated with a chromium layer and though maskless, such approach still requires complex alignment of the optical components.
Therefore, to overcome such limitations we propose the monolithic integration of a diffractive element on the optical fiber and we demonstrate a sub-micron lithography of photoresist with a 405 nm wavelength laser. Our device for the maskless lithography is based on the 3D patterning of an optical fiber tip by FIB milling. The compact and versatile device uses a photon sieve directly inscribed on the tip of the optical fiber, exempting the need of any alignment between the focusing element and the illumination system, with increased resolution. A 405 nm laser beam was launched into the S405-XP fiber, and then the probe was scanned over the sample. The patterning consisted of 2D scans, for which sub-micron and sub-wavelength features were obtained in parallel, on a single step exposure
9:00 PM - PM1.4.06
Nanoscale In Situ Self-Assembly Using Ion-Beam Microscopy System
Chunhui Dai 1 , Jeong-Hyun Cho 1
1 University of Minnesota Minneapolis United States
Show AbstractThree dimension (3D) nanostructures shows great potential for discovering new physical and chemical properties and phenomena due to their high dimensionality. Up to date, various technologies have been developed to assemble the 3D nanostructures such as by using reactive ion etching, atomic layer deposition, and metal-assisted etching. A major problem with the assembly process is a difficulty with controlling the desired shape of the 3D nanostructures because all these processes are dynamic and should be carried out without an assist of visual access that can monitor the status of the assembly process. This results in a low assembly yield especially in nanoscale. In order to solve this problem, we developed an in situ self-assembly process by using an ion beam microscopy system. The ion beam microscopy system offers real time imaging of the assembly process as well as energy required for a self-assembly process. Since ion energy, generating heat and inducing a self-assembly process, in the microscopy system is adjustable, this approach enables to precisely control the assembly process with sub-10 nm scale precision. The combination of real time imaging and controllable energy for self-assembly makes 3D nanostructures with a yield of 100%.
9:00 PM - PM1.4.07
Molecular Dynamics Studies of Low-Energy Noble Gas Ion Implantation, Clustering, and Effects on Nanopattern Formation in Silicon
Michael Lively 1 , Samuel Bennett 1 , Jean Paul Allain 1
1 University of Illinois at Urbana-Champaign Urbana United States
Show AbstractThe use of ion irradiation of surfaces is a promising and powerful approach to design novel materials with unique surface properties. In particular, the formation of nanopatterns on silicon surfaces has attracted much attention as a “simple” case for studying the fundamental mechanisms of ion-induced pattern formation [1]. To date, nearly all theories of ion-induced nanopattern formation on Si have neglected to consider any effects from the implanted ions themselves. This has been justified by the fact that the implanted ion concentration is usually only a few atomic percent. However, it is well-known that a similarly small concentration of metallic impurities deposited on a silicon surface can lead to completely different patterning regimes, even in the absence of chemical formation of stable metal silicide bonds [2-4]. In this light, the implanted ions may also be considered as impurities which may influence the surface morphology.
Expanding on previous work by Moore and coworkers [5], molecular dynamics simulations of consecutive ion impacts into Si(001) have been carried out, varying the ion species (Ne, Ar, Kr, Xe), ion energy (100-1000 eV), and incidence angle (0-80 degrees), up to fluences of either 1E15 or 1E16 ions/cm^2. Interestingly, the implanted ions tend to form clusters with characteristic sizes of ~10 ions/cluster in many cases, despite the lack of any ion-ion attractive potential in the simulations. The size of these clusters does not generally depend on the ion species, except for Ne which at 500 eV shows a 60% increase in the average cluster size. Otherwise, the cluster size appears relatively insensitive to the other experimental variables, though the ion implantation fraction does vary. In particular, the implanted ion concentration decreases sharply for higher angles of incidence.
At fluences >1E15 ions/cm^2, clusters are exposed to vacuum as the surface is eroded, leading to so-called “explosive decompression” events where dozens of ions may be emitted from the surface, leaving behind a surface void which quickly relaxes afterwards. These decompression events can be responsible for the destruction of potential surface nanostructure “seeds”. Since the implantation of ions is greatest for near-normal angles of incidence, we conjecture that this decompressive “seed” destruction contributes to the observed stability of ion-bombarded Si surfaces at low incidence angles. Additionally, since the size of the clusters and the implantation fraction are both greater for Ne ions, this decompression phenomenon may contribute to the apparent experimental difficulty in patterning Si surfaces with Ne ions.
References:
[1] J. Muñoz-García, et al., Mater. Sci. Eng. R (2014) 86:1-44.
[2] O. El-Atwani, et al., Nano. Res. Lett. (2011) 6:403.
[3] O. El-Atwani, et al., Appl. Phys. Lett. (2012) 101:263104.
[4] O. El-Atwani, et al., J. Appl. Phys. (2013) 113:124305.
[5] M. C. Moore, et al., Nucl. Instrum. Meth. Phys. Res. B (2004) 225:241-255.
9:00 PM - PM1.4.08
Coherent X-Ray and Simulation Studies of Nano-Patterning by Ion Bombardment
Mahsa Mokhtarzadeh 1 , Christa Wagenbach 2 , Karl Ludwig 1 2 , Randall Headrick 3 , Jeffrey Ulbrandt 4
1 Physics Boston University Boston United States, 2 Materials Science and Engineering Boston University Boston United States, 3 Physics University of Vermont Burlington United States, 4 Materials Science and Engineering University of Vermont Burlington United States
Show AbstractThe bombardment of surfaces by ions can lead to the spontaneous formation of nanoscale-structures. Depending on the irradiation conditions, smoothening or roughening mechanisms can be the leading order in pattern formation which can result in the creation of dots, ripples or ultra-smoothening effects.
The fundamental processes governing surface pattern formation are not well understood. Using new x-ray photon correlation spectroscopy (XPCS) techniques in a coherent grazing incidence small angle x-ray scattering (GISAXS) scattering geometry offers opportunities to investigate surface dynamical processes and fluctuations in greater detail than was previously possible. We have taken advantage of this new capability to perform XPCS studies of silicon surfaces under various ion-beam irradiation conditions. With the use of coherent X-rays, intensity correlation functions of the scattered light such as the auto-correlation function g2(q, t) has been measured in the regime of dynamic steady state erosion. In addition, to study the dynamics of the non-equilibrium system, two-time correlation functions C(q, t1, t2) have been extracted from the Co-GISAXS experiments in the early time regime where the system is in a non-stationary phase. The early time as well as the steady state regime of the surface evolution provides us valuable information that can lead to better understanding the mechanisms of pattern formation.
Because of the new applications of Co-GISAXS, we are in principle able to compare experimental results for the dynamics of the surface structure with predictions of different surface evolution models. In order to facilitate comparison with experiment, we have performed simulations to calculate the g2(q, t) functions in the steady state regime both in the two-dimensional linear Kuramoto Sivashinsky (KS) and nonlinear Kardar-Parisi-Zhang (KPZ) models. In the KPZ case, the g2(q, t) curves display compressed exponential relaxation and the scaling behavior of the correlation time constants τ (q) versus wave-number q is examined.
Presumably, the full GISAXS intensity map can be theoretically described within the framework of the Distorted-Wave Born-Approximation. We discuss the results of our simulations within the framework of BA/DWBA. In the limit that q_zσ << 1, where σ is the root-mean-square (rms) surface roughness and q_z is the specular component of the wave-vector transfer, then the BA and DWBA give GISAXS patterns which are simply proportional to the height-height structure factor. Even well beyond this limit, however, we find that the intensity autocorrelation function properly reflects the underlying system dynamics. This shows that Co-GISAXS XPCS experiments can be clearly interpreted to give information about dynamics of kinetic roughening.
9:00 PM - PM1.4.09
Development of Funtionalized Biopolymer Surfaces by Focused Ion/Electron Beam Modification
Meltem Sezen 1 , Feray Bakan 1 , Sina Sadighikia 2 3
1 Sabanci University Nanotechnology Research and Application Center Istanbul Turkey, 2 Faculty of Engineering and Natural Sciences Sabanci University Istanbul Turkey, 3 Debye Institute for Nanomaterials Science Utrecht University Utrecht Netherlands
Show AbstractIn the frame of nanotechnology based research and application, the miniaturization of materials needs the use of electron microscopy assisted high-definition processing. FIB/SEM platforms are capable of performing maskless site-specific structuring which are considered to be the major advantages of direct ion and electron lithography over other lithography methods. Nowadays, such integrated tools serve for targeted micro/nano-structuring of many material species, including soft and sensible matter, such as biopolymers.
In this work, optimization and modification of Melinex® (polyester-PE) biocompatible polymer films were carried out using pre-defined XeF2 gas assisted gallium ion and focused electron beam irradiation site-specifically. The purpose is the development of functional surfaces on polymeric materials for different capabilities of wetting, adhesion and reactivity, as a result of interaction of surfaces with electrons/ions and assistant gases during nanostructuring. Varying instrumental parameters were used for the surface modification processes, such as; ion and electron voltages/currents, exposure times, irradiation modes and etching regimes. The alterations in the surface properties were tracked by SEM imaging, AFM measurements, Raman spectral analysis and EDS qualitative / quantitative analyses.
Acknowledgements: The authors would like to thank TUBITAK 114M040 Project and COST CM1301 Action, CELINA for financial support.
Symposium Organizers
Daryush Ila, Fayetteville State Univ
John Baglin, IBM Almaden Research Ctr
Marcela Bilek, University of Sydney
Esperidiana A. B. Moura, USP-IPEN
PM1.5: Basic Simulation, Modeling, Biosurfaces
Session Chairs
K. Heinig
Esperidiana A. B. Moura
Wednesday AM, November 30, 2016
Hynes, Level 1, Room 107
9:30 AM - *PM1.5.01
Mechanisms of Recoil-Induced Radiation Damage Formation in Materials—From Dense Metals to Organic Materials
Kai Nordlund 1
1 University of Helsinki Helsinki Finland
Show AbstractIn this talk, I overview the understanding of how atomic recoils (that can be induced by neutrons, ions or electrons) lead to damage formation in materials. In particular, I discuss the crucial role of atomic packing density on the damage formation mechanisms. In densely packed metals, ions or atomic recoils in the keV energy range induce the formation of a hot, very disordered liquid-like zone known as a heat spike. However, due to the close atomic packing, the crystal structure has a strong capability to regenerate, leading to recrystallization of almost all the disordered atoms.As an interesting new finding in metals, I will show that this is also true in high-entropy alloys, and that in these the damage may be even lower than in regular metals.
In less densely packed covalent semiconductors like Si and Ge, the recrystallization capability of the material is smaller, and hence must of the atoms in a heat spikes remain in an amorphous state after a recoil event. Ionic materials, in turn, can behave either as metals or semiconductors depending on packing density -- although for these the reasons to why some amorphiza and others not is still not fully understood. I will point out that major differences in defect formation energies can, however, lead to major elemental asymmetries in damage production in ionic materials with a large atom size difference.
Finally, I will consider carbon-based materials such as nanotubes, graphene, and the organic material cellulose. In these, the large amount of empty space between atomic or molecular layers makes substrate-induced recrystallization practically absent, and in the primary damage state, practically all displaced atoms remain in some displaced position in the primary damage state. On the other hand, these positions can be surprisingly asymmetric due to rearrangements of covalent bond.
10:00 AM - *PM1.5.02
Sputtering and Redeposition of Ion Irradiated Au Nanoparticle Arrays
Henry Holland-Moritz 1 , Andrey Ilinov 2 , Flyura Djurabekova 2 , Kai Nordlund 2 , Carsten Ronning 1
1 University of Jena Jena Germany, 2 Department of Physics University of Helsinki Helsinki Finland
Show AbstractNanostructured materials are today subject to intense research, as their mesoscopic properties will enable a variety of new applications in future. They can be grown with specific properties under equilibrium conditions by a variety of different top-down and bottom-up synthesis techniques. Subsequent modification or doping or alloying using the highly non-equilibrium process of ion irradiation significantly expands the potpourri of functionality of this today important material class. Important and recently discovered effects must be considered compared to ion irradiation of bulk or thin film counterparts, as the ion range becomes comparable to the size of the nanostructure. This includes non-linear incorporation of implanted species, enhanced sputtering yields, morphological changes induced by the high thermal impact, as well as strongly enhanced dynamic annealing for such confined nanostructures [1,2]. If nanoparticle arrays are irradiated instead of single nanostructures, one has further to consider the effect of redeposition of sputtered material at neighboring particles.
We show here, by combination of experiments and a newly developed Monte Carlo algorithm, that such redeposition may play a significant role on the system development. The simulations are directly compared to in situ experiments obtained using a dual focused Ga+ ion beam system and high resolution scanning electron microscopy, and explain the size evolution by a combination of sputtering and redeposition of sputtered material on neighboring particles. The effect is dependent on the size of the nanoparticles: if the nanoparticle size is comparable to the ion range, the reposition is negligible. For larger nanoparticles the redeposition becomes significant and is able to compensate up to 20 % of the sputtered material, effectively reducing the process of sputtering. The redeposition may even lead to significant growth: this was observed for nanoparticles with sizes much smaller than the ion range. Furthermore, the algorithm shows that significant redeposition is possible when the large size neighboring nanoparticles are present.
[1] A. Johannes, H. Holland-Moritz, C. Ronning, Semicond. Sci. Technol. 30, 033001 (2015)
[2] G. Greaves, J. A. Hinks, P. Busby, N. J. Mellors, A. Ilinov, A. Kuronen, K. Nordlund, S. E. Donnelly, Phys. Rev. Lett. 111, 065504 (2013)
10:30 AM - PM1.5.03
IM3D—3D Simulation Tool for Advancing Nano-Scale Ion Implantation
Yang Yang 1 , Yonggang Li 1 2 , Michael Short 1 , Ju Li 1
1 Massachusetts Institute of Technology Cambridge United States, 2 Key Laboratory for Materials Physics Institute of Solid States Physics, Chinese Academy of Sciences Hefei China
Show AbstractNano-scale ion implantation represents a rapidly expanding interdisciplinary field that combines radiation damage with nano engineering, in doing so, offers the potential to create novel nano-devices. In particular, ion implantation enables more precise control of dopants’ spatial distribution and concentration, thus it is highly desirable for fabricating reproducible and scalable nano-devices. However, the advantage of reproducibility/scalability is based on accurate knowledge of the defects distribution created by ion implantation, while currently widely-used 1D simulation approach fails to predict it because it ignores ion exchange at boundaries. We have developed a full 3D Monte Carlo simulation code for Ion irradiation in Matters (IM3D). IM3D is based on fast indexing of scattering integrals and the SRIM stopping power database, and allows the user a choice of Constructive Solid Geometry (CSG) or Finite Element Triangle Mesh (FETM) method for constructing 3D shapes and microstructures. It not only perfectly reproduces SRIM results for 1D/2D(multi-layers) simulations with much higher efficiency (~10-103 times and MPI-parallelized) but also provides a fast approach for 3D simulations. IM3D enables a more precise estimation of defects distribution, which is a key for fabricating scalable nano devices by ion implantation. Several classic applications of IM3D will be presented. We conclude that 3D simulations are necessary for the study of nano-scale ion implantation.
11:15 AM - PM1.5.04
Studies of Jahn-Teller Lattice Distortions, Local Microstructure, Surface Ion Defects, Cubic to Tetragonal Phase Transition, Incoherent Lattice Fluctuations and Phonons of Transition Element Implanted and Pristine SrTiO3 with Rutherford Backscattering Spectrometry-Ion Channeling, HR-TEM, XPS, XRD and Raman Scattering
Kalyan Sasmal 1 , Quark Chen 1 , Wei-Kan Chu 1
1 Texas Center for Superconductivity and Department of Physics University of Houston Houston United States
Show AbstractPerovskite SrTiO3 is large gap semiconductor. Defects in SrTi1-xFex O3-δ narrows band gap & raises Fermi level into conduction band. Fe 3d states contribute in band structure & ensures conductivity. 60 KeV Transition elements implanted STO with Cr4+ (d2), Cr5+ (d1) & Fe4+ (high spin d4) exhibits Jahn-Teller distortion.2nd order JT effect occur for degenerate filled & empty molecular orbitals.Depth distributions of Fe derived from XPS Fe peaks intensity agrees SRIM simulation.Most Fe was found at ~ 30 nm.No significant diffusion of Fe after rapid thermal annealing to 1000°C.STO undergoes non-ferroelectric 2nd order structural phase transition at Curie-Weiss T0=105 K from cubic to body-centered tetragonal I 4/mcm antiferrodistortive O1h→D184h with doubled primitive unit cell of 10 atoms, caused by antiphase tilting of TiO6 octahedra, leads to low T quantum paraelectric.Minimizing Gibbs free energy with respect to rotation angle (order parameter) describes PT.AFD rotation opens bandgap & weakens FE instability by reducing cross gap hybridization. 2.0 MeV He+ RBS-Axial Ion Channeling, ultrafast real-space probe of sub-picometre atomic displacement is used to probe JT effect & Displacive PT, provides direct evidence of incoherent lattice fluctuations (thermal vibrational amplitude of lattice atoms) as function of T across structural PT to investigate interplay of ferroelectric,AFD distortions & superconducting order.Critical channeling angle ψc & ratio of minima of Angular ICh-RBS spectral yield χmin for Sr & Ti sub lattices (crystal axes [110] & [100]) determine lattice distortion. Absence of peak in minima of angular ICh spectra suggests impurity Cr/Fe is not located in interstitial positions & does not form interstitial defects.Narrowing of U shaped wells for Ti sublattice suggests Cr & Fe displaces Ti ions from ideal lattice sites.Displacements of Ti4+ are calculated.Similar values of ψ1/2 for Sr sublattice indicates no displacement of Sr.Distortion of Ti sublattice means Cr/Fe is actually located in Ti positions.JT Cr4+& Fe4+ impurity could induce Raman active localized Oxygen vibrational mode, not involving motion of nearest Fe or Ti ions. Implanted STO shows a minor tetragonal phase with a = 0.3905 nm & c= 0.3968 nm, corresponds to lattice expansion along c axis. Tetragonal phase observed after annealing at 1000°C.GID φ plot shows expected four fold rotation symmetry around [001] axis, indicating minor phase is not randomly oriented.Structural defects (interstitials, interstitial clusters, vacancies) can induce lattice expansion or contraction along normal of free surface, peak shift & broadening.TEM Samples for cross sectional microstructure analysis are prepared by FIB milling.Conventional & High-Resolution TEM imaging, Selected Area Electron Diffraction & Energy Dispersive Spectroscopy were used to identify the presence of atomic distortions, clusters of point defects, linear defects (dislocations) & nano particles caused by implantations.
11:30 AM - PM1.5.05
Coupled Effects of Nuclear and Electronic Energy Loss in Ion Irradiation
Eva Zarkadoula 1 , Yanwen Zhang 1 2 , William Weber 2 1
1 Oak Ridge National Laboratory Oak Ridge United States, 2 Materials Science and Engineering University of Tennessee Knoxville United States
Show Abstract
During irradiation, energy is transferred from the moving ion to the nuclei of the target material (elastic energy loss) and to the electrons (inelastic energy loss). Understanding the materials’ response to radiation includes their response to both the nuclear and electronic energy loss, separately but also combined. With the use of Molecular Dynamics simulations and the inelastic Thermal Spike model we examine the ion track formation mechanisms and structural transformations in ceramics. Our work provides insights into the effects of the coupling of electronic and atomic processes, which can have synergistic or additive impacts on the produced damage. In combination with experimental methods we investigate the complex processes that take place during ion irradiation. Our findings highlight the combined effects of the inelastic and elastic energy loss in the energy dissipation and damage production.
This work was supported by the U.S. DOE, BES, MSED.
11:45 AM - PM1.5.06
Ion Irradiation of Rare Earth Sesquioxides Gd
2O
3 and Lu
2O
3
Chien-Hung Chen 1 , Cameron Tracy 1 , Meimei Li 2 , Marquis Kirk 2 , Pete Baldo 2 , Ed Ryan 2 , Rodney Ewing 1
1 Stanford University Stanford United States, 2 Argonne National Laboratory Lemont United States
Show AbstractOxides with the fluorite structure, such as UO2, typically retain their initial structures under irradiation. Recently, crystalline-to-crystalline phase transformations have been reported in several materials with anion-deficient fluorite-derivative structures. In this study, the behavior of two lanthanide sesquioxides with the bixbyite structure (Gd2O3 and Lu2O3) under irradiation have been investigated with a real-time experimental approach to understand the mechanism of fluorite formation and phase transformation from the cubic (C-type) to monoclinic (B-type) structure.
Low temperature (120 K) and room temperature irradiation are known to induce phase transformations in the rare earth sesquioxides (i.e., change from an ordered cubic C-type structure to a disordered, anion-deficient fluorite structure or B-type structure). The phase formed and the rate of this irradiation-induced transformation depends strongly on the ionic radius of the cation (which is inversely proportional to the atomic number in the lanthanide series). For a sample with a relatively large ionic radius (e.g., Dy2O3), a complete order-to-disorder phase transformation can be induced with a relatively low ion dose (~2.5 dpa) at cryogenic temperature. Also, the rate of B-type formation with irradiation decreases across the lanthanide series. In the observed C-to-B phase transformation, it’s known that intra-cascade transformation and damage accumulation play an important role. The critical dose for phase transformation in Dy2O3 increases with increasing temperature.
To further investigate the mechanism of phase transformation, in-situ irradiation experiments with two lanthanide sesquioxides with different Ln ionic radii (Gd = 0.096 and Lu = 0.084 nm) were completed at both 120 K and room temperature in order to estimate the critical dose for initiating the phase transformation. Since this transformation mechanism involves anion disordering in bixbyite, monitoring of the defect clusters produced during irradiation by HRTEM was performed to relate the long-range structural transformations to local atomic reordering mechanisms.
12:00 PM - *PM1.5.07
Plasma and Ion Beam Surface Modification of Biomaterials
Paul Chu 1
1 City University of Hong Kong Kowloon Hong Kong
Show AbstractThe relationship between materials and biological tissues/fluids depends on the surface properties of the biomaterials and biological responses. Since most biomaterials wth the desirable bulk properties such as durability, strength, hardness, and chemical inertness do not necessarily not perform the pre-designed biological functions well, surface modification is desirable and frequently performed. Plasma and ion beam based technology offers the unique capability that selective surface properties can be modified to satisfy the biological requirements while the favorable bulk properties of the biomaterials can be retained. In particular, plasma immersion ion implantation and deposition (PIII&D) is widely used in the surface treatment of biomaterials and medical implants because its non-line-of-sight nature is suitable for biomedical devices with a complex geometry such as orthopedic implants, scoliosis correction rods, cardiovascular stents, and artificial heart valves. In this invited talk, recent research performed in the Plasma Laboratory of City University of Hong Kong realted the use of plasma and ion beam to treat biomaterials and biomedical devices will be described. Examples include nanostructured coatings/surfaces, biodegradable metals and polymers, antimicrobial properties, biocompatibility, and cancer therapy.
12:30 PM - *PM1.5.08
Ion Beam Tailoring Low Dimensional Structures
Eduardo Alves 1 2 , Miguel Sequeira 2 1 , Katharina Lorenz 2 1 , Marco Peres 2 1 , Djibril Faye 1 , Andres Redondo-Cubero 5 , Teresa Monteiro 3 4 , Bruno Daudin 6
1 Instituto Superior Técnico Bobadela Portugal, 2 Instituto de Plasmas e Fusão Nuclear Lisboa Portugal, 5 Universidade Autonoma de Madrid Madrid Spain, 3 Física Universidade de Aveiro Aveiro Portugal, 4 I3N Aveiro Portugal, 6 CEA Grenoble Grenoble France
Show AbstractIn recent years the low dimensional structures emerged as the building blocks of (opto)electronic devices and nanodevices such as lasers, transistors and sensors. However, the scaling down poses several problems to obtain homogeneous doping and defect free structures. Indeed, even the presence of a reduced amount of defects could destroy or inhibit device operation. Homogeneous doping during the growth or by diffusion is difficult to achieve especially in compound materials.
The well established ion implantation technique allows an excellent control of the dopant distribution and has been successfully applied to dope nanostructures like nanowires (NWs) and multiple quantum wells (QWs). In this contribution we will show and discuss results of NW and QW structures implanted with rare earth (RE) ions, correlating the damage role on the optical behavior of the implanted samples. The possibility to use defects to release interface strain in multiple QW through ion mixing will be also analyzed. The damage build-up and RE incorporation and activation in NWs and QWs were studied by Rutherford Backscattering/Channeling Spectrometry (RBS/C), high resolution X-ray diffraction (HRXRD) and photoluminescence (PL). The effect of subsequent annealing on the activation of the dopants and defect recovery will be discussed.
PM1.6: Functional Devices—3D Patterning
Session Chairs
Daryush Ila
Diederik Maas
Wednesday PM, November 30, 2016
Hynes, Level 1, Room 107
2:45 PM - *PM1.6.01
Ion Beam-Enabled CMOS-Compatible Manufacturing of SETs Operating at Room Temperature
K. Heinig 1 , K. Stegemann 2 , Stefan Facsko 1 , G. Hlawacek 1 , R. Huebner 1 , W. Moeller 1 , L. Bischoff 1 , T. Pruefer 1 , X. Xu 1 , J. von Borany 1
1 Helmholtz Center Dresden-Rossendorf Dresden Germany, 2 XFAB GmbH Dresden Germany
Show AbstractBillions of tiny computers will create the Internet of Things. As there will be many mobile applications, advanced computation and communication must be delivered at low power consumption. Single Electron Transistors (SET) are extremely low energy dissipation devices, and CMOS advantages like high-speed, driving etc. compensate exactly for SET's intrinsic drawbacks. Thus, unrivalled integration with high performance is expected for hybrid SET-CMOS architectures. Manufacturability is the roadblock for large-scale use of hybrid SET-CMOS architectures. To assure room temperature (RT) operation, single dots <5nm have to be fabricated, located exactly between source and drain with tunnel distances of a few nm.
Ion beams can enable the CMOS-compatible manufacturing of RT-SETs using a self-assembly process for feature sizes of 1…3 nm. Our process provides both (i) controlled self-assembly of single ~2 nm Si dots and (ii) self-alignment of each nanodot with source and drain at tunneling distances of ~2 nm. The fabrication process of the Si nanodot involves (i) formation of a very small volume ~(10 nm)3 of metastable SiOx by local ion beam mixing of a thin SiO2 layer buried in Si, (ii) thermally activated phase separation of this SiOx volume into a single Si dot and SiO2. The very local ion beam mixing is achieved (i) for fundamental studies by focused Ne+ irradiation with a Helium Ion Microscope, and (ii) for the RT-SET fabrication by broad beam Si+ irradiation of ~20-nm-wide Si nanopillars with an embedded thin SiO2 layer. The aim is to fabricate gate-all-around nanopillar RT-SETs together with nanopillar GAA-FETs.
To begin, we present the concept of the ion beam-enabled RT-SET fabrication. Then, we show the predictions on local ion beam mixing of a SiO2 layer embedded in Si based on a new 3D version TRI3DYN of the well-known 1D program TRIDYN, which describes the dynamics of the implantation profiles and the damage evolution. The mixing profiles are compared with EFTEM studies. Using the concentration profiles of SiOx predicted by TRI3DYN, Si quantum dot formation is studied by 3D kinetic lattice Monte Carlo simulations. A diagram of the volume mixed by the ion beam vs. the ion fluence shows that the single Si dot formation works only for a specific combination of these parameters.
This part of the work is being funded by the European Union’s Horizon 2020 research and innovation program under Grant Agreement No 688072 (Project IONS4SET).
3:15 PM - PM1.6.02
Monolithic Single-Crystal Multi-Grating Fabricated by FIB and Its Applications
Akshay Agarwal 1 , Chung-Soo Kim 1 , Richard Hobbs 1 , Stijn Van den Broeck 2 , Karl Berggren 1
1 Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Cambridge United States, 2 University of Antwerp Antwerp Belgium
Show AbstractIn recent years focused-ion beam (FIB) techniques have been increasingly used to fabricate novel electron optics elements such as zone plates and phase plates for generating vortex beams. FIB can work with all solid materials, allows 3-D fabrication and offers nanometer-scale control over the geometry of fabricated structures. We report the nanofabrication of single-crystal multi-gratings (MGs) from monocrystalline silicon with the utilization of FIB. These MGs can potentially be used in electron interferometry, holography and phase contrast imaging. As an application we demonstrate a two-grating electron interferometer in a transmission electron microscope (TEM).
All of our MGs fabricated by FIB (FEI Helios Nanolab 600 and 650) used commercially available TEM grids made of single crystalline silicon. Utilizing these cantilever-shaped grids enabled us to fabricate MGs monolithically. In order to suppress material redeposition and increase the precision of gratings’ flatness we undercut the cantilever by rotating it 90 degrees before shaping the final geometries of grating. To protect the silicon crystal during fabrication we deposited 3 μm thick platinum layers at the position of each membrane. We then milled the silicon between the platinum layers and thinned the leftover membranes at 30 kV ion beam energy. Finally, we lowered the ion beam energy successively to 8, 5 and 2 kV to polish the membranes and remove any amorphous layer of silicon or deposited gallium. We successfully fabricated MGs with up to 5 membranes (gratings) in series and could also fabricate gratings with the 3-D geometries which may find new applications in electron microscopy.
Grating interferometers split and recombine the incident electron beam through diffraction from multiple gratings. FIB allows us to precisely control the distance between two successive gratings such that the two diffracted beams from the first grating separate completely. Monolithic fabrication ensures that the MGs are self-aligned and allows their use as separate-path electron interferometers in a standard TEM without any modification.
We inserted our 2-grating structure into the sample stage of a JEOL 2010F TEM and chose a beam of diameter 300 nm and convergence angle 3 mrad which allows the primary and (111) diffracted beams to separate out completely between the two gratings. By changing the stage height we successively placed the plane of the first and second gratings in the eucentric (imaging) plane and obtained lattice resolved images from each. We then raised the stage height such that the plane where the beams diffracted from the second grating overlap came into the imaging plane and observed interference fringes with a period of 0.32 nm, as expected from interference between the primary and (111) diffracted beams from silicon.
Our MGs can be used to perform electron holography and interferometry and also as cascaded beamsplitters to perform quantum Zeno-enhanced interaction free measurements.
4:30 PM - *PM1.6.03
Focused MeV Proton Beams for 3D Nano-Lithography, DNA Nanofluidics and Nanoimprint Lithography—Outlook towards Fast Fabrication at sub 10 Nanometer
Jeroen Van Kan 1
1 Center for Ion Beam Applications, Department of Physics National University of Singapore Singapore Singapore
Show AbstractMicroscopy has been an integral part of scientific development and has spurred development in many fields. Microscopy using fast protons has several advantages over other forms of microscopy. A fast incoming proton mainly interacts with substrate electrons, due to the mass mismatch between protons and electrons a proton beam practically follows a straight path through resist material. In proton-electron collisions most substrate electrons get enough energy to break bonds and typically have a short projected range (~nm). Proton Beam Writing (PBW) employs a focused MeV proton beam which is scanned in a predetermined pattern over a resist, which is subsequently chemically developed. PBW exhibits low proximity effect, coupled with the straight trajectory and even energy deposition along the path of the proton beam result in smooth sidewalls. The high penetration depth of the proton beam enables the production of high aspect ratio, high density 3D nano structures, ideal for high quality molds for nano-imprint-lithography. We can now1 focus proton beams down to 9.3 x 32 nm2, allowing high aspect ratio lithography down to 19 nm in HSQ. PBW is an ideal technique to fabricate lab-on-chip (LOC) devices with features at the micron as well as at the nano scale. An easy method is introduced allowing fast replication of nanofluidic LOC devices using accurately fabricated molds featuring cross-sections down to 60 nm in polydimethylsiloxane. These masters can be used more than 200 times to replicate nanofluidic devices capable of handling single DNA molecules. This method reduces fabrication and packaging complexity, allowing end-users to fabricate nanofluidic LOC devices. Using fluorescence microscopy the extensions of DNA molecules has been observed inside nano-channels, large scale DNA sequencing has also been demonstrated2. Progress towards fast PMMA LOC device replication, will be discussed as well.
The current limitation of proton focusing systems is the lack of user-friendly facilities. The main weak point in these microscopes is the source performance which is typically several million times less in brightness compared to electron beam sources. Recent test with on chip ion sources has shown great potential, opening up the way to improve the ion beam brightness by a million times3,4. These results suggest that a compact PBW system can write high aspect ratio features down to single digits nano meter at high speeds.
We kindly acknowledge NRF-Singapore for their support NRF-CRP13-2014-04.
1. JA van Kan, P Malar, and AB de Vera, Rev. Sci. Instrum., 83, (2012) 02B902-1.
2. C Zhang, A Hernandez-Garcia, K Jiang, Z Gong, D Guttula, SY Ng, PP Malar, JA van Kan, Liang Dai, PS Doyle, R de Vries, and JRC van der Maarel, Nucleic Acids Research, 41 (2013) e189.
3. N Liu, X Xu, R Pang, PS Raman, A Khursheed and JA van Kan, Rev. Sci. Instrum, 87 (2016) 02A903-1.
4. D Jun and P Kruit, J. Vac. Sci. Technol. B, 29, (2011) 6.
5:00 PM - PM1.6.04
Direct Write of 3-Dimensional Structures with Helium Ion Microscopy
Matthew Burch 1 , Michael Stanford 2 1 , Brett Lewis 2 1 , Anton Levlev 1 , Raymond Unocic 1 , Jason Fowlkes 1 , Philip Rack 1 2 , Alex Belianinov 1 , Olga Ovchinnikova 1
1 Oak Ridge National Laboratory Oak Ridge United States, 2 University of Tennessee Knoxville United States
Show AbstractThe ability to fabricate complex free-standing 3-dimensional structures at the nano-scale onto any substrate could revolutionize nanoscience and nanotechnology, opening pathways to the fundamentally new mechanical, electronic, and photonic devices. Over the last decade, electron beam deposition has been established as a technique with demonstrated ability to direct write 3-dimensional structures. Using a scanning electron microscope e-beam cracking of metallic precursor, Pt, Au and W based structures can be deposited directly onto a substrate. However, the localization of an e-beam is such that the probe size and interaction volume severely limits the minimum size of fabricated structures. Similarly, the chemical composition of the deposited materials is often limited, necessitating combined laser-e-beam methods or complex post-processing steps that often negatively impact the fidelity or final structure. In this work we demonstrate the fabrication of 3-dimensional platinum structures utilizing a helium ion microscope (HIM). Due to the nature of helium ions and stronger ion-matter interactions, this significantly limits probing volume and increases spatial localization of the reaction zone. Hence, He-ion induced deposition has the potential to deposit 3 dimensional structures faster and at smaller scales the electron beam fabricated structures. We demonstrate complex structure fabrication with the HIM and compare the efficacy with that of electron beam fabricated structures. We optimized the microscope parameters for fabrication by depositing large arrays of 3D pillars and varying the parameters at each location. Further, we demonstrate an automated process to determine the optimal deposition parameters using He-ions, which directly calculates deposition rates, thickness and angles at varying microscope parameters. Finally, the purity of these structures was analyzed with STEM-EELS and EDS.
Acknowledgments
This work was conducted at the Center for Nanophase Materials Sciences, which is a Department of Energy (DOE) Office of Science User Facility.
5:15 PM - PM1.6.05
Elucidating the Directed Nanoscale Transformations when Building with Ions in Liquid
Anton Ievlev 1 , Vighter Iberi 2 , Jacek Jakowski 1 , Matthew Burch 1 , Holland Hysmith 1 , Bobby Sumpter 1 , Alex Belianinov 1 , Raymond Unocic 1 , Olga Ovchinnikova 1
1 Oak Ridge National Laboratory Oak Ridge United States, 2 Procter and Gamble Cincinnati United States
Show Abstract
In-situ direct writing by ion beams from solutions opens a pathway for resistless fabrication of nanostructures with higher purity than standard gas phase deposition approaches like ion beam induced deposition (IBID). In particular the use of the helium ions with the opposite charge and shorter mean free path offers the potential for the localization of the reaction zone on the single digit nanometer scale. However, to fully control the interaction of the ion beam with the liquid to allow for single digit fabrication a comprehensive understanding of the radiolytic process as well as the role of secondary iSE generated in solution has to be developed. Here we will present our results on visualizing nanoparticle nucleation and growth parameters through data analytics on acquired in-situ growth movies and correlate these results to a fully encompass time-dependent quantum dynamical simulation that takes into account both quantum and classical interactions. Additionally, with optimized instrument parameters and solution chemistry we are able to demonstrate writing of platinum structures from liquid (ion beam induced electroplating) in a platinum chloride solution using helium ions with sub-10 nm resolution. Furthermore, we will discuss opportunities for using in situ flow cell technology for understating of diffusion processes as they relate to direct writing with ions in solution.
This work was conducted at the Center for Nanophase Materials Sciences, which is a Department of Energy (DOE) Office of Science User Facility
5:30 PM - PM1.6.06
The Fabrication and Application of 3D Metamaterials by Ion Beam Irradiation
Changzhi Gu 1
1 Institute of Physics, Chinese Academy of Sciences Beijing China
Show AbstractMany 3D nanofabrication technologies have been developed in decades, such as layer-by-layer stacking, multilayer electroplating, direct laser writing and ion-beam irradiation, etc. However, the fabrication technology of nanoscale 3D metamaterials (MMs) with a hierarchical geometry remains challenging. Here, we developed a fabrication method of focused-ion-beam (FIB) folding of metallic cantilevers to construct self-supporting 3D MMs. The fabrication process employed FIB nanopatterning combined with in situ irradiation-induced folding of metallic thin-film structures. The result indicated that this method can be used to produce complex 3D metamaterials with ease and is also feasible for the production of 2D arrays of millimeter-sized 3D elements, which have practical uses in many optical applications.Our works focused on metamaterials of U-type nanograters consisting of an array of vertical U-shape splitring resonator patterns standing along an edge of horizontal subwavelength rectangular holes. The 3D metamaterials exhibited scalable unusual Fano resonances, a very strong dependence on the refractive index of the surrounding medium, with a high refractive index sensitivity, was observed. These suggested that the local modifications to the phase and amplitude of the light wave front on a subwavelength scale induced by the nanograter structures profoundly influence the propagation of the incoming light, thereby suggesting that such nanostructures may be applied in a wide range of optical applications, including high-performance plasmonic sensing.
PM1.7: Poster Session II
Session Chairs
Thursday AM, December 01, 2016
Hynes, Level 1, Hall B
9:00 PM - PM1.7.01
Optical Absorption due to Silver Nano-Particles in Silica Glass Produced by 50-380 KeV Ag Ion Implantation and Subsequent 10-200 MeV Energetic Heavy Ion Irradiation
Fuminobu Hori 1 , Kengo Fukuda 1 , Hiroshi Kojima 1 , Motoaki Tanaka 1 , Satoshi Semboshi 2 , Yuichi Saitoh 3 , Akihiro Iwase 1
1 Osaka Prefecture University Osaka Japan, 2 Institute for Materials Research Tohoku University Osaka Japan, 3 National Institutes for Quantum and Radiological Science and Technology Takasaki Japan
Show AbstractNanocomposite glasses containing metal nanoparticles in matrix have become an object of interest among many researchers because of their promising photonics materials for the fabrication of optical components. The presence of metal nanoparticles leads to the modification of optical properties of glass matrix. There are several well-known methods to prepare nanocomposite glasses. Ion implantation is one of the most suitable techniques for the synthesis of metal nanoparticles in solid host matrix. The advantages of the ion implantation are the possibilities to use any matrix, to choose various elements to be implanted, to overcome the solubility limits and to control the depth and distribution of implanted atoms. The optical properties of the nanocomposite glasses depend on the type of implanted ions, the fluence and the energy of the ions. In the present study, we have investigated the effect of Ag ion implantation and the subsequent high energy heavy ion irradiation on the optical absorption of silica glass.
Target samples were silica glass sheets with the dimension of 5mmx5mmx1mm. They were implanted with 50-380keV Ag ions at room temperatures with various fluences. After the ion implantation, some samples were subsequently irradiated with 10-200MeV heavy ions at room temperatures or were annealed at elevated temperatures.
The optical absorption measurement shows an absorption band around 410nm for the Ag ion implanted samples, corresponding to the surface plasmon resonance of the Ag nanoparticles. With increasing the amount of implanted Ag ions, the intensity of 410 nm peak increases and also another peak appears around 700nm. This experimental result suggests that Ag nano-particles are produced by the implantation, and that the shape and/or the size of Ag nanoparticles change gradually during the Ag implantation. The optical absorption spectra are also changed by the subsequent high energy heavy ion irradiation. The positions of 410nm peak and 700nm peak shift to lower wavelength and .their intensity decreases. The structures of Ag nano-particles were investigated by means of transmission electron microscope (TEM).
The present experimental result suggests that the combination of metal ion implantation and subsequent high energy ion irradiation is a useful method to control the optical properties of silica glass. In the symposium, we will also mention the effect of annealing at elevated temperatures on the optical absorption spectra.
The authors are grateful to Dr. H. Amekura of National Institute for Materials Science for the fruitful discussion.
9:00 PM - PM1.7.02
Synthesis of Ternary Transition Metal Silicide Nano-Systems Using Low Energy Multiple Ion-Implantation
Satyabrata Singh 1 , Wickramaarachchige Lakshantha 1 , Bibhudutta Rout 1 , Floyd McDaniel 1
1 University of North Texas Denton United States
Show AbstractTernary intermetallic compounds (e.g. Fe, Co, Ni, Mn, Ga, Si, etc.) can form interesting structures such as Heusler alloys. Due to their unique crystallographic structures and chemical phases, the Heusler alloys exhibit remarkable magnetic, electronic and thermal properties. By reducing the alloy film dimension to nanoscale, significant improvements can be achieved in the thermo-electric, spintronic and ferromagnetic shape memory properties of these alloys. Various ternary-silicide or Heusler alloy thin films involving Fe, Co and Si have been grown as bulk materials or as thin films on the surfaces of GaAs and MgO using sputtering and chemical vapor deposition techniques. However, there is not much research done on the synthesis of self-assembled ternary metal-silicide or Heusler alloy nano-system. Also because of proven industrial technology based on silicon, recently there is a greater desire for the growth of the Heusler compounds on the Si surfaces. Among the well-known synthesis techniques to form or to modify the composition and physical properties of thin films, low energy ion implantation (< 50 keV) has shown to be a very powerful technique.
In this project, we have implanted consecutively Fe and Co ions both at 50 keV in to commercially available Si nanowires grown on Si wafer to synthesize ternary metal silicide nano-systems. Since the ion implantation is a dynamic process, simulation models incorporating dynamic changes in the target layer compositions were used in this study to predict re-distribution of the implanted ions and target atoms. The simulation showed that for 50 keV Fe ion implanted in Si, the Fe concentration was seen to be saturated at a fluence of 1.2×1017 atoms/cm2 and higher. Then subsequent simulation was performed taking the output data from the first simulation to find the saturation fluence for the Co implanted in to the Fe-Si nanowire system. It showed that the saturation fluence for the Co ions is same as that for Fe ions. The results of these simulations show that for Si nanowire, having a diameter of 200 nm irradiated with 50 keV Fe and Co, will form a ternary alloy nanowire system of a diameter ~110 nm due to the sputtering caused by the implanted ions. The implanted samples were annealed at different temperatures (500°C – 800 °C) to form various phases of Co-Fe-Si ternary-silicide alloy nanosystem. We will report the structural and chemical composition of these ternary alloy nanostructures.
9:00 PM - PM1.7.04
Ion Beam Spurted Dimethyl Sulfate Doped PEDOT:PSS Composite Layer Aligning Liquid Crystal with Low Residual Direct Current Voltage
Yang Liu 1 , Dae-Shik Seo 1
1 Yonsei University Seoul Korea (the Republic of)
Show AbstractThin ion-beam (IB)-spurted dimethyl sulfate/poly(3,4-ethylenedioxythiophene) polystyrene sulfonate (DMS/PEDOT:PSS) layers with improved electro-optic performance are presented for aligning liquid crystals. IB spurting is effective for enhancing the conductivity of such layers, as well as the anchoring energy of the liquid crystals sandwiched between them. Compared with a commercial twisted-nematic cell assembled with polyimide alignment layers, the same cell assembled with 3.0-keV IB-spurted DMS/PEDOT:PSS alignment layers shows a 38% faster switching and a 93% lower residual direct current. The improved electro-optic performance here is likely due to the enhanced electric field effect and the charge-releasing ability of thin IB-spurted DMS/PEDOT:PSS layers.
9:00 PM - PM1.7.05
Ion Beam Synthesis of Doped Nanocrystals of
Si1-xGex
Alloys Embedded in SiO2
Abdellatif Chelouche 1 , Guy Schmerber 2 , Dominique Muller 1 , Gerald Ferblantier 1 , Daniel Mathiot 1
1 ICube laboratory, CNRS-Université de Strasbourg Strasbourg France, 2 IPCMS laboratory, CNRS-Université de Strasbourg Strasbourg France
Show AbstractIn the prolongation of our previous work concerning the doping of silicon nanocrystals (nc's) [1], we show that ion beam synthesis, by co-implantion of the dopant and of the constituants of the alloy, is also a convenient way to grow more complex structures, such as doped nc's of SiGe alloys.
The various species (Si, Ge and As) were implanted in this order into a 170 nm-thick SiO2 film thermally grown on a (100) Si substrate. The implantation energies (35 keV for Si, 70 keV for Ge and As) were chosen to obtain the same projected range (about 50 nm from the top surface) for the three implanted elements. As a typical case we used doses of 1x1017 and 8x1016 cm-2 for Si ang Ge respectively. Two different doses of arsenic (1x1016 and 3x1016 cm-2) were used to compare the doped nc's with the undoped (no As implantation) SiGe nc's. A single thermal anneal at 1000°C was used to drive the nc's formation.
Rutherford backscattering spectroscopy (RBS) was used to measure the impurity profiles. Although the Ge and As profiles cannot be separately resolved by RBS because of their similar atomic mass, the overall shape of the spectra proves that the As dopant atoms remain in the Ge rich area. In the case of the undoped Si1-xGex nc's, quantitative analysis of the RBS spectra allowed us to determine the alloy composition x.
X-ray diffraction (XRD) patterns (with clear peaks corresponding to the diamond structure) evidence the formation of the Si1-xGex nc's. The chemical composition x, as deduced from the peak positions of the XRD patterns, is in fair agreement with the RBS results, and does not depend significantly on the As implanted dose. On the other hand, the size of the nc's increases sharply with the As dose. Raman spectroscopy spectra confirm the results found by XRD.
Typical TEM caracterizations of the samples as a function of the As dose will be also shown, and finally we will present the influence of the doping on the I(V) and C(V) curves of simple devices including these SiGe nc's.
9:00 PM - PM1.7.06
Surface Modification of Solution-Derived Inorganic Films by Ion-Beam Bombardment for Liquid Crystal Alignment
Hae-Chang Jeong 1 , Ju Hwan Lee 1 , Dae-Shik Seo 1
1 Yonsei University Seoul Korea (the Republic of)
Show AbstractSurface modification is one of the most important process not only academic fields but also industrial fields in materials engineering, irrespective of the polymer or inorganic materials, since it could change the surface functionality, thereby controlling the interaction between the adjacent layers. Rubbing process is one of the surface modification method conventionally used on the alignment of liquid crystal (LC). However, movement of the rubbing roll over the alignment layer leads to the accumulation of static charges and to the generation of dust particles on the surface that can degrade performance of the device. Therefore, various noncontact methods have been developed to overcome the weakness of the rubbing process, including photo-alignment [1], plasma treatment [2], and ion-beam (IB) irradiation [3]. In particular, IB irradiation has a distinct ability to reform the surface by reactive ions without a catalyst or heat. We choose IB irradiation as an alignment method.
The alignment layer was obtained via solution process, called sol-gel, is one of the most valuable process. The sol-gel method could involve low fabrication cost, and easily fabricate inorganic materials with good stability.
In this study, the ion-beam treated inorganic material was used as an alignment layer, and the alignment state and the switching behavior of LC on the resulting materials were investigated.
References
P.J. Shannon, W.M. Gibbons, and S.T. Sun, Nature 368, 532 (1994).
J.L. Janning, Appl. Phys. Lett. 21, 173 (1972).
Y.-G. Kang, H.-J. Kim, H.-G. Park, B.-Y. Kim, D.-S. Seo, J. Mater. Chem. 22 15969 (2012).
9:00 PM - PM1.7.07
Elemental Analysis of Selected Toys by RBS, Electron Microprobe, and XPS
Christina Young 1 , Chibu Umerah 2 , Zhiping Luo 2 , John Demaree 3 , Daryush Ila 2
1 Department of Materials Science and Engineering Tuskegee University Tuskegee United States, 2 Department of Chemistry and Physics Fayetteville State University Fayetteville United States, 3 Weapons and Materials Research Directorate US Army Research Laboratory Aberdeen Proving Ground United States
Show AbstractSome polymeric toys may contain heavy metals elements as lead, mercury and others, which can be toxic to children, especially with long term exposure or ingestion (e.g., when they place toys in their mouths). Most countries exporting cheap toys often use inexpensive and unregulated materials in their manufacturing processes, and organizations such as the Consumer Product Safety Commission (CPSC) have set many strict standards for toy safety (e.g., according to CPSC "The standard of lead in children's products should be more than 100 ppm of total lead content in accessible parts"). In this study we have used Rutherford Backscattering Spectrometry (RBS),X-ray photoelectron spectroscopy (XPS), and electron microprobe techniques in order to determine the elemental content of selected toys readily available in the market. RBS is a standardless technique which provides elemental information in the first few micrometers of a surface with parts-per-thousand sensitivity; electron microprobe probes somewhat deeper, but is somewhat reliant on standards; and XPS is a highly surface-sensitive technique that measures both the elemental composition and chemical bonding information for the first 10 nm of a material. Together, the three techniques can provide a view into the possible presence of harmful metals in a number of polymeric toy materials. In this paper, we will present our findings on a number of sample products, and provide a comparison with allowable limits.
Symposium Organizers
Daryush Ila, Fayetteville State Univ
John Baglin, IBM Almaden Research Ctr
Marcela Bilek, University of Sydney
Esperidiana A. B. Moura, USP-IPEN
PM1.8: Materials with Novel Properties
Session Chairs
John Baglin
Joerg Lindner
Jeroen Van Kan
Thursday AM, December 01, 2016
Hynes, Level 1, Room 107
9:30 AM - *PM1.8.01
Nanocomposite Formed by Metallic Nanoparticles in Insulating Matrix by Ion Implantation
Maria Cecilia Salvadori 1 , Roman Spirin 1 , Fernanda Teixeira 1 , Mauro Cattani 1 , Ian Brown 2
1 University of São Paulo São Paulo Brazil, 2 Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractNanocomposites formed by metal nanoparticles in an insulating matrix can be fabricated by ion implantation, where the implanted metal self-assembles into nanoparticles. During the implantation, the excess of metal atom concentration above the solubility limit leads to nucleation and growth of metal nanoparticles. The electrical conductivity of the nanocomposite layer has been described mathematically by a number of theories of electron transport in isotropic percolating materials.
Specifically in this work we present the study of titanium ion implantation into alumina. This nanocomposite is especially important for fabricating high-voltage insulators with controlled surface resistivity, and metal ion implantation into alumina has been used for this purpose. High voltage accelerator columns have been prepared in this way, and support rods for electrostatic focusing lenses in single-ion devices. In these applications, the objective is to bleed off surface charge accumulation while maintaining a uniform voltage gradient across the insulator surface, avoiding surface breakdown and maximizing the voltage-hold-off capability of the component. Although this approach has been used with considerable success, theoretical understanding of the processes involved is still not completely established.
We have studied the electrical characteristics of the buried layer formed by different metals into different insulating matrix, including Au/PMMA (polymethylmethacrylate), Pt/PMMA, Au/alumina and some of our experiments have included Ti/alumina nanocomposites; the results of these prior investigations are also discussed in the present work.
The Ti/alumina samples were formed using titanium ion implantation, and in situ conductivity measurements were performed as the implantation proceeded, thus providing data of the surface electrical conductivity as a function of the implantation dose. To assist in analysis and interpretation of the results, the TRIDYN computer code was used to estimate the depth profiles of the ion implanted titanium in the alumina substrate. The measured conductivity of the buried layer is explained by percolation theory. We determine that the saturation dose, φ0, the maximum implantation dose for which the nanocomposite material still remains a composite, is φ0 = 2.2 x 1016 cm-2, and the corresponding saturation conductivity is σ0 = 480 S/m. The percolation dose φc, below which the nanocomposite still has basically the conductivity of the alumina matrix, was found to be φc = 0.84 x 1016 cm-2. The experimental results are discussed and compared with a percolation theory model.
10:00 AM - PM1.8.02
Reduction of Contact Adhesion Energy in NEM Relays by Ion-Beam Synthesized Oxide Nanolayers
Bivas Saha 1 2 , Alexis Peschot 3 , Benjamin Osoba 3 , Changhyun Ko 1 2 , Tsu-Jae King Liu 3 , Junqiao Wu 1 2
1 Department of Materials Science and Engineering University of California Berkeley United States, 2 Materials Science Division and Molecular Foundry Lawrence Berkeley National Laboratory Berkeley United States, 3 Department of Electrical and Computer Engineering University of California Berkeley United States
Show AbstractNanoelectromechanical (NEM) logic switches have the potential to overcome the energy-efficiency limitations of complementary metal-oxide semiconductor (CMOS) transistors for the implementation of digital integrated circuits, and hence have attracted increasing attention in recent years. This is because a mechanical switch (i.e. a relay) can achieve zero off-state leakage current and switch on/off abruptly, so that it can be operated with much lower voltage than a transistor. Contact adhesive force results in hysteretic switching behavior, however, and must be minimized in order to minimize the operating voltage.
In this presentation, we demonstrate that by forming an ultra-thin layer of metal-oxide (such as RuO2 or WOx) on the surface of a contacting electrode, the adhesion energy per unit area can be reduced by as much as a factor of 10. We employ low-energy (2-3 KeV) ion-beam synthesis and subsequent thermal annealing to form very thin layers (~2 nm) of metal oxides on Ru and W metal surfaces, and quantify the adhesion energy by atomic force microscopy with microspherical tips of SiO2, Ru and W. The thickness of the oxide layers and the stoichiometry of the oxides were characterized with X-ray photoemission spectroscopy (XPS).
Our results show that the Ru-SiO2 interface has about 3x higher adhesion energy (164 mJ/m2) than the W-SiO2 interface (52 mJ/m2), and with the formation of RuO2 and WOx, the adhesion energies between RuO2-SiO2 and WOx-SiO2 decrease to smaller values of 48 mJ/m2 and 10 mJ/m2 respectively. To approximate the contact conditions in NEM relay devices, SiO2 tips were coated with Ru or W metals as well. The measurements show similar reductions in the adhesion energy of the RuO2-Ru interface, by a factor of 3, as compared to the Ru-Ru interface. These results have significant implications for energy-efficient relay design and their promise for future ultra-low-power integrated circuits.
Ref: 1. Reduction of Adhesion Energy on NEM Relay Metals by Surface Engineering, Bivas Saha, Alexis Peschot, Benjamin Osoba, Changhyun Ko, Tsu-Jae King Liu and Junqiao Wu (in-review)
10:15 AM - PM1.8.03
Enhanced Faraday Rotation in a Magnetophotonic Crystal Composed of Cerium Substituted Yttrium Iron Garnet and Thermally-Stable Bragg Mirrors
Takuya Yoshimoto 1 , Taichi Goto 1 2 , Hiroyuki Takagi 1 , Yuichi Nakamura 1 , Hironaga Uchida 1 , Caroline Ross 3 , Mitsuteru Inoue 1
1 Toyohashi University of Technology Toyohashi Japan, 2 Japan Science and Technology Agency Kawaguchi Japan, 3 Massachusetts Institute of Technology Cambridge United States
Show AbstractMagnetooptical (MO) effects can be used in many devices like optical isolators and Q-switches which are essential for next generation optical communication systems. However, the size of MO devices is large compared with other optical components. To shrink the devices by enhancing the MO effect of the MO material, a magnetophotonic crystal (MPC) could be a good candidate. A MPC is a microcavity comprising a MO layer sandwiched between two Bragg mirrors (BMs), allowing enhancement of the MO effect due to the localization of the light in the MO layer.
Recently we fabricated a MPC working at an optical communication wavelength. Cerium substituted yttrium iron garnet (Ce1Y2Fe5O12, CeYIG) was used as the MO layer due to its good figure of merit (Faraday rotation/ absorption). At a localized wavelength the Faraday rotation (FR) was enhanced by 30 times, however its figure of merit (FOM) was lower than theoretical value. This degradation was caused by the light scattering from the grain boundaries of the crystallized Ta2O5 used in the BMs, which comprised Ta2O5 and SiO2 and which were heated to over 700°C in order to crystallize the CeYIG.
To suppress the degradation of the BM, tantalum oxide - yttria (Y-Ta-O) was used [1] [2] as a high refractive index material. In [1], the high crystallization temperature of Y-Ta-O was reported as a function of composition, and [2] addressed photoluminescence, but there are no reports about the optical constants of Y-Ta-O and it has not been used as a component of a BM with high thermal stability. In this work we fabricated MPC with Y-Ta-O to improve its FOM.
The structure of the MPC was fused silica substrate/(Y-Ta-O/SiO2)8/CeYIG/(SiO2/Y-Ta-O)8. The BMs were fabricated by ion beam sputtering using a sintered Ta2O5 target containing 14 at% of Y2O3. The target thicknesses of Y-Ta-O and SiO2 in the bottom BM were 187 and 271 nm respectively. After the preparation of the bottom BM, the 339 nm CeYIG layer was prepared by RF sputtering and annealed in 10 Pa residual air to crystallize the CeYIG. The XRD patterns of annealed Y-Ta-O showed that the crystallization of Ta2O5 was suppressed by yttria doping. Finally the top BM in which the target thicknesses of Y-Ta-O and SiO2 were 192 and 267 nm respectively was deposited on this structure without annealing.
Transmission and FR spectra of the fabricated MPC showed a photonic bandgap in the spectral range of 1380-1680 nm. A localized state occurred at a wavelength of 1470 nm at which the transmissivity and the FR were 31.3% and –5.4 degree (159.2×103 degree/cm) respectively. The FOM was 27 times higher than that of the MPC composed of crystallized Ta2O5. The reported value of FR for single crystal CeYIG was 3.3×103 degree/cm, therefore this MPC has the potential to decrease the required thickness of the MO layer by 48 times.
[1] H. Fujikawa and Y. Taga, Journal of Applied Physics 75, 2538 (1994)
[2] K. Miura, T. Osawa, Y. Yokota and O. Hanazumi, Results in Physics 4, 185-186 (2014)
10:30 AM - PM1.8.04
Synthesis of Nickel Nanoclusters Embedded within Indium Phosphide Lattice via Low Energy Ion Implantation
Daniel Jones 1 , Wickramaarachchige Lakshantha 1 , Floyd McDaniel 1 , Bibhudutta Rout 1
1 University of North Texas Denton United States
Show AbstractTransition metal (Cr, Fe, Co) doped Indium Phosphide (InP) has been studied for decades to be use in optoelectronics applications. Interesting magnetic properties are reported in Fe implanted InP single crystals with the formation of magnetic clusters after annealing the ion implanted InP samples [1]. Formation of metal nanoclusters distributed at different depths surrounded by high quality InP lattice structures can contribute to development of interesting spintronics devices. In this research we will demonstrate the formation of nickel (Ni) nanoclusters in single crystal InP. Initially 50 keV energetic Ni ions is implanted with a fluence of 2 × 1015 cm-2 resulting in deposition at a depth of ~30 nm from the surface. Then 50 keV H ions are implanted with a fluence of 1.5 ×1016 cm-2 to create defect centers at a depth ~ 400 nm. The samples have been annealed in the temperature range of 500 – 700 °C. Upon annealing the hydrogen will typically vacate the lattice and leave behind nanocavities which will act as trapping sites for gettering the Ni atoms. We hypothesize that this will yield a ferromagnetic Ni layer in a region of the InP lattice that has minimal damages. This presentation will report the synthesis of Ni nanoclusters in InP and the correlation between the structural and observed magnetic properties.
[1] L. Zhou, Y.X. Shang, Sh.U. Yuldashev, Y.H. Kwon, W.C. Yang, S.J. Yan, D.J. Fu, T.W. Kang, “Influence of annealing temperature on magnetic properties of InFeP prepared by ion implantation”, Surface & Coating Technology 228 (2013) S233-S236.
10:45 AM - PM1.8.05
Changes in the Optical Properties of Ag and Au Implanted Infrasil Silica
Chibu Umerah 1 , Zhiping Luo 1 , John Demaree 2 , Daryush Ila 1
1 Fayetteville State University Fayetteville United States, 2 Weapons and Materials Research Directorate US Army Research Laboratory Aberdeen Proving Ground United States
Show AbstractWe have measured changes in the optical absorption in Infrasil (a type of optically polished quartz silica) due to formation of nanoclusters of gold, due to formation of nanoclusters of silver, as well as due to formation of both nanoclusters of Au and Ag at the same depth in Infrasil coupons. The objective of this research is to study changes in the optical absorption band of these nanoparticles when both exist in close proximity. The implantation energies for Au and Ag were selected using the ion implantation simulation program SRIM in order to produce metallic nanoclusters at the same depth: 2.850MeV Au ions (SRIM calculated implantation depth of 6658A and lateral straggling of 1117A) and Ag ions at 1.452MeV (SRIM calculated implantation depth of 6470A and lateral straggling of 1386A) at fluences from 6 x 1016 ions/cm2 to 3 x 1017 ions/cm2. The optical absorption properties of the implanted Infrasil were measured before and after annealing (900C to 1150C for one hour) for coupons implanted with either Au or Ag to verify the formation of nanoclusters. We then measured the properties of coupons which were first implanted by Ag, subsequently annealed at 1150C, and then implanted by MeV Au, and finally annealed again at 1150C. Initial results indicates spontaneous clustering at high fluence implantation even before annealing, and surface plasma coupling due to formation of Au and Ag nanoclusters in Infrasil.
11:30 AM - PM1.8.06
Towards Synthesis of Direct Bandgap Germanium-Tin Alloys by Ion Implantation and Pulsed Laser Melting
Tuan Tran 1 , Hemi Gandhi 2 , David Pastor 2 , Michael Aziz 2 , Jim Williams 1
1 Australian National University Canberra Australia, 2 Harvard University Boston United States
Show AbstractThe group IV germanium-tin alloy (Ge1-xSnx) is gaining great attention as an advanced material for electronic and photonic applications such as to enhance the carrier mobility in MOS-FET devices [1], to increase direct tunnelling current in tunnel FETs [2], and for direct bandgap applications in photonics at infrared wavelengths [3]. Due to the low equilibrium solubility of Sn in Ge (around 0.5 at.%), Ge-Sn alloys are predominantly fabricated by non-equilibrium techniques such as MBE and CVD. The latter used to obtain a direct bandgap material and demonstration of an optically pumped laser at Sn concentrations around 12 at.% [3]. There have also been efforts to produce the material with ion implantation followed by pulsed laser melting (PLM), which offers greater advantages in term of scalability, cost effectiveness and process reliability. Using this method, we have recently demonstrated a Ge1-xSnx alloy with a Sn concentration of >6 at.% [4]. However, two ion beam related phenomena, namely sputtering and porosity in Ge, have severely limited the Sn concentration that can be achieved and the crystal integrity of the material.
In this presentation, we demonstrate that the limits to achievable Sn concentration by ion implantation and the ultimate crystal quality of the alloy can significantly be improved by depositing a capping layer onto the Ge substrates prior to ion implantation and subsequent PLM [5]. The capping layer suppresses ion beam induced porosity in Ge as well as effectively eliminating impurity loss due to sputtering. Rutherford backscattering spectrosmetry indicates that an alloy with about 10 at.%Sn has been achieved, which is comparable to state-of-the art studies using MBE or CVD. High resolution transmission electron microscopy and diffraction techniques (electron and X-ray) show that the material has excellent crystal quality. Furthermore, optical characterisation techniques such as optical absorption, photoreflectance and photoluminescence are used to explore the direct bandgap behaviour of the material.
Reference
[1] J. D. Sau and M. L. Cohen, Physical Review B 75, 045208 (2007).
[2] Y. Yang, K. Lu Low, W. Wang, P. Guo, L. Wang, G. Han, and Y.-C. Yeo, Journal of Applied Physics 113, 194507 (2013).
[3] WirthsS et al., Nat Photon 9, 88 (2015).
[4] T. T. Tran, D. Pastor, H. H. Gandhi, L. A. Smillie, A. J. Akey, M. J. Aziz, and J. S. Williams, Journal of Applied Physics 119, 183102 (2016).
[5] T. T. Tran, H. S. Alkhaldi, H. H. Gandhi, D. Pastor, L. Q. Huston, Wong-Leung, M. J. Aziz, and J. S. Williams, to be submitted (2016).
11:45 AM - PM1.8.07
Ion Beam Assisted Production of High Volume Fraction Quantum Dots of Metals in Infrasil
Daryush Ila 1
1 Fayetteville State University Fayetteville United States
Show AbstractWe have used both MeV ion bombardment as well as Ion Beam Assisted Deposition techniques in order to produce nanoclusters of metal ions, such as gold and silver in Infrasil. In fact for the past thirty years the ion beam users have, successfully, produced nanocrystals of various elements in all variety of substrate. Also, a large number of workers have tried to control the size, distribution, and volume fraction of such nanocrystals on the surface and inside substrate by ion beam. As of today, only two groups, Hubler et al [1] and ILA et al [2] have produced high volume fraction of metal nanocrystals in order to infer the creation of pseudo-quantum dot lattices which have been shown in a series of research works initiated during the past decade. The researchers have theoretically shown the enhancement of the thermoelectric figure-of-merit in regimented quantum dot super lattices [3, 4]. For this presentation we have selected simple systems of metal such as gold, silver and/or copper in silica (SiO2) and techniques such as Ion Beam Assisted Deposition (IBAD), using argon beam, and post bombardment by 5 MeV Si beam. In this work, we use the term quantum dots for quantum confined nanocrystals as small of at about 1 nm diameter. Using these two methods we produced highly efficient conformal thermoelectric materials, figure 1, which can operate at room temperatures and at temperatures as high as 973K [2, 5]. During this lecture we will review the results from past decades and present our most recent finding which resulted in production of thermally high insulating but electrically high conductive materials with high Seebeck coefficients produced by IBAD and produced by post bombardment by MeV ion beam.
1. Hubler et al, Nucl. Instr. and Meth. in Phys. Res. B I27/ 128, 566 (1997)
2. D. ILA, High efficiency thermoelectric device, USPTO No. US 8841539 B2
3. A. Balandin and O. L. Lazarenkova, Appl. Phys. Lett. 82, 415 (2003)
4. O. L. Lazarenkova and A. A. Balandin, Phys. Rev. B 66, 245319 (2002)
5. D. ILA, Thermoelectric systems: Ion beam enhanced thermoelectric properties, Applied Surface Science Volume 310, 217 (2014).
12:00 PM - PM1.8.08
Elementary Plasma-Surface Interactions Investigated by Means of Particle Beam Experiments
Carles Corbella Roca 1 , Simon Grosse-Kreul 1 , Berkem Ozkaya 2 , Oliver Kreiter 1 , Sascha Monje 1 , Adrian Marcak 1 , Jakob Schwarzmann 1 , Vincent Layes 1 , Teresa de los Arcos 2 , Guido Grundmeier 2 , Achim von Keudell 1
1 Ruhr-University Bochum Bochum Germany, 2 Paderborn University Paderborn Germany
Show AbstractBasic surface processes on metals and polymers during plasma exposure have been mimicked by means of beam experiments in ultra-high-vacuum. Quantified beams of argon ions with energies from 20 up to 2000 eV were provided by an electron cyclotron resonance plasma source. Atom beams of reactive neutrals like oxygen and nitrogen were produced by hot capillary and Evenson atom sources, whereas an effusion cell sent metal atoms by thermal evaporation. Mass variation rates during reactive sputtering of metal (Al, Cr) targets were measured with a quartz microbalance to address target poisoning issues. The application of the extended Berg's model yielded fundamental parameters like sputtering efficiencies, sticking and implantation coefficients, and densities of reactive sites. Chemical states of polymer (PET, PP, PMMA) surfaces were characterized in situ by Fourier transform infrared spectroscopy in order to study the surface modification during plasma treatment. The density of active groups, etching rates, as well as activation and cross-linking probabilities during plasma treatment have been described with a surface coverage model. Finally, ion-induced secondary electron emissions of different metals (Al, Cr, Ti, Ta) and their compounds were measured with an electrostatic collector and were compared with models involving magnetron plasmas. This work demonstrates that beam experiments constitute a robust method to evaluate the reaction cross-sections on surfaces in contact with low-temperature plasmas. These surface science experiments are adequate: (1) to understand the physical mechanisms underlying in plasma-surface interactions; and (2) to optimize plasma processes for materials engineering and industrial applications.
12:15 PM - PM1.8.09
SrTiO3 under Highly Ionizing Irradiation—Evidence For Self-Trapped Electrons
Miguel Crespillo 4 , J. Graham 4 1 , F. Agullo-Lopez 2 , Yanwen Zhang 3 4 , William Weber 4 3
4 Department of Materials Science and Engineering University of Tennessee Knoxville United States, 1 Department of Mining and Nuclear Engineering Missouri University of Science and Technology Rolla United States, 2 Centro de Microanálisis de Materiales Autonomous University of Madrid Madrid Spain, 3 Materials Science and Technology Division Oak Ridge National Laboratory Oak Ridge United States
Show AbstractEnergetic ions produce high densities of electronic excitation along their trajectories. Luminescence induced by ion-beam irradiation, ionoluminescence (IL), is an in-situ technique to investigate radiation-induced processes, including in-situ monitoring of the populations of defects during radiation damage evolution. Visible luminescence in stoichiometric SrTiO3 (STO) has been studied under irradiation with different ions (H, O, Si, Cl, Ti) at energies ranging from 3-20 MeV and at temperatures of 100 K, 170 K and room-temperature (RT). With ion energies on the order of MeVs and above, the deposited energy density to target electrons is orders of magnitude higher than that from UV or X-ray sources and comparable to femtosecond laser irradiations. The wide range of electronic (Se) (from ~ 0.04 to 8.5 keV/nm) and nuclear stopping powers reveal the differences between nuclear and electronic processes in their dynamic roles in the luminescence process.
The IL reveals a broad spectrum that can be resolved into three main Gaussian bands at 2.0 eV, 2.5 eV and 2.8 eV, whose relative contributions depend strongly on irradiation temperature and electronic energy loss. All bands are intrinsic and associated with electron-hole recombination. The 2.8 eV band is attributed to recombination of free (conduction) electrons with an in-gap level, possibly associated with self-trapped holes. Self-trapped excitons (STE) are considered suitable candidates for the 2.5 eV band, which shows a large energy relaxation in comparison to the intrinsic edge transition. The well-defined band at 2.0 eV, dominates at and below 100 K, has previously been observed in heavily strained and amorphous STO, and is, here, attributed to d-d transitions from self-trapped electrons at relaxed Ti3+centers. In fact, the intensity of the band is observed to grow as the accumulation of irradiation damage creates distorted TiO6 octahedra, hindering the translational symmetry in the crystal. Therefore, the band provides optical evidence for the occurrence of self-trapped electrons in irradiated STO, whose distorted structure may be stabilized by a large number of irradiation-induced oxygen vacancies. Based on the systematic study, a new framework is presented for the interpretation of the IL emission bands, and the role of the excitation densities, electronic energy loss and nuclear collisions will be discussed.
This work was supported in part by the U.S. Department of Energy, Office of Basic Energy Sciences, Materials Sciences and Engineering Division.
12:30 PM - *PM1.8.10
Accelerator Enabled Nano-Nuclear Materials Development
Liviu Popa-Simil 1
1 Los Alamos Academy of Sciences Los Alamos United States
Show AbstractNuclear renaissance is not possible without the development of new nano- and hetero- structured materials. The usage of accelerators in research and development of nano- and micro-nuclear materials will shorten the R&D time, due to the unique capabilities of ion-beam techniques, which integrate nanoscale engineering and characterization within mesoscale platforms.
Modern accelerator-based knowledge and novel fuel materials based on nano-technologies can lead to novel concepts and new nuclear reactor fuel systems.
Knowledge on ion beam interaction with matter contributed to the development of a novel micro-hetero structure, entitled "cerliq-mesh", nuclear fuel that self-separates the fission products from nuclear fuel, makes fuel reprocessing easier, allowing near-perfect burnup by easy fast recladding, being prone to improve nuclear fuel cycle.
In addition, fuel heating analysis led to development of new direct energy conversion nano-hetero structured meta-materials resembling a super-capacitor loading from nuclear particles’ energy and discharging as electricity, prone to remove 90% of the actual nuclear power plant hardware, increasing the energy conversion efficiency. In order to develop these structures, ion beam will be used to test and simulate nuclear fuel behavior and understand effects as fuel damage, and fuel heating under thermal spike. Ion beam simulation in direct energy conversion nano-structure designs and tests will have capital importance in understanding the delta electron generation and harvesting in nano-layers and nano-clusters as well the associated thermo-electric effects.
Moreover, usage of ion beam recoil analysis is used to measure and prove the nano-grains’ and nanoclusters’ special properties, such as shape-enhanced impurity diffusion and self-repairing in cluster structured fractal materials. The nano-grain liquid interface is studied by ion beam simulation in order to develop a new generation of nuclear fuels with enhanced breeding and transmutation properties, able to directly separate the transmutation products, thus reducing the need for hard, hazardous chemical processes.
Ion-beam channeling in material may be extended to neutrons and gamma rays, and using hybrid NEMS structures new applications may create novel solid-state nuclear reactor control reactivity system, radiation modulators for gamma, neutrino communication systems and ultra-light radiation shielding.
Other very important domain that may be studied using accelerators and implanters is the effects of nuclear active quantum environments based on stimulated entanglement, in nano-structures where a selected low energy quantum transition may generate a high-energy quantum transition with applications in energy and Graser like devices.
Simulations of the performance of these nano-systems based nuclear fuel concepts and under accelerated development using accelerator technologies will be discussed in this paper, as will plans for future experiments.