9:00 PM - Y6.37
Improved Hydrogen Storage Properties of Mg-based Nanostructures by Glancing Angle (Co)Deposition.
Yuping He 1 , Yiping Zhao 1
1 Physics and Astronomy, University of Georgia, Athens, Georgia, United States
Show AbstractMagnesium hydride is very attractive for future solid state hydrogen storage application, due to its lightweight, low cost, and high hydrogen storage capacity of 7.6 wt%. However, its practical application is limited by its high thermodynamic stability and sluggish reaction kinetics. By tailoring Mg/MgH2 into nanostructures and incorporating an appropriate transition metal catalyst, its hydrogen storage performance could be improved. In this work, a glancing angle (co)deposition technique has been used to sculpture Mg nanostructures and incorporate different forms of nanocatalysts. For an intrinsic Mg film and Mg nanoblade array, the hydrogenation results show that the Mg nanoblades start to absorb H2 at 523 K
9:00 PM - Y6.38
Engineered Microstructure and Photo-electrochemical Characteristics of Tungsten Oxide Thin Films for Solar Water Splitting.
Vinay Vidyarthi 1 2 , Martin Hofmann 2 , Alan Savan 2 , Wolfgang Schuhmann 3 1 , Alfred Ludwig 2 1
1 Research Department IS<sup>3</sup>/HTM, Ruhr University Bochum, Bochum Germany, 2 Chair of Materials for Microtechnology, Institute for Materials, Ruhr University Bochum, Bochum Germany, 3 Chair of Analytical Chemistry, Ruhr University Bochum, Bochum Germany
Show AbstractThe splitting of water with sunlight to produce hydrogen is one of the most attractive forms of energy carrier production, since both water and sunlight are vastly abundant. Solar-harvesting devices such as photo-electrochemical (PEC) cells could be an important source of sustainable alternative energy for a hydrogen economy, and essential to decreasing the consumption of fossil fuels. The main requirement in this field is the development of a multifunctional material system which can absorb a substantial portion of solar radiation, has good surface catalytic properties, can produce at least 1.6 V to drive redox reactions of H2O and at the same time should be stable in harsh environments; i.e., aqueous electrolyte under solar radiation. In this presentation, engineered microstructure and PEC characteristics of tungsten oxide (WO3) films fabricated by a reactive magnetron sputtering process will be described. A systematic study on the micro-structural evolution of tungsten oxide films as a function of working pressure, sputtering power, and substrate temperature will be presented. The photocurrent characteristics of WO3 films are found to be highly dependent on the microstructure and thickness of WO3 films. The photocurrent measurement has been performed in a three-electrodes system under a solar-like radiation (LC8, Hamamatsu Photonics K.K.; mercury-xenon lamp, visible type 400 … 700 nm) of maximum intensity of 2.1 Wcm-2 and external bias varying from 0 to 1.6 V vs. an Ag/AgCl reference electrode. Photo-current values as high as 3 mAcm-2 have been measured for a WO3 thin film with a thickness of 350 nm. Micro-structural characterization of WO3 films by x-ray diffraction, scanning electron microscopy, and mechanical profilometery methods have been performed. Hall probe measurements were performed for estimation of the electrical transport characteristics (carrier density, mobility of charge carriers) of the WO3 films. The interface characteristics (depletion layer width, flat band potential) of the WO3 film-electrolyte were determined from the Mott-Schottky plot. The thickness dependence of the photo-current was explained on the basis of the Butler’s model [1] for semiconductor-electrolyte interfaces under illumination. [1] M.A. Butler, "Photoelectrolysis and physical properties of the semiconducting electrode WO3", J. Appl. Phys. 48 (1977) 1914.
9:00 PM - Y6.39
Graphene Based Miniaturized Enzymatic Biofuel Cell.
Subbiah Alwarappan 1 , Farah Alvi 1 , Ashok Kumar 1
1 Nanomaterials Research and Education Center, University of South Florida, Tampa, Florida, United States
Show AbstractIn this work, we report the design and performance of a novel 2D graphene based miniaturized enzymatic biofuel cell. Initially, graphene nanosheets were obtained by microwave plasma enhanced chemical vapor deposition method and chemical route. Later, the surface properties of these graphene nanosheets were probed using a variety of techniques such as Raman Spectroscopy, FT-IR, SEM, TEM and voltammetry. Following this, the surface of graphene was modified with the enzyme models glucose oxidase (GOX) and laccase. Of these, GOX function as the anodic catalyst and laccase serve as the cathodic catalyst. Furthermore, we employ dicarboxy ferrocene as a mediator for both anode and cathode. Finally, the performance of the enzymatic biofuel cell was assessed based on the output power density and stability. The enzymatic biofuel cell reported in this work is robust, stable and cost-effective.
9:00 PM - Y6.4
Solvothermal Synthesis and Characterization of Zn2SnO4 Nanoparticles for Efficient Dye-sensitized Solar Cell.
Dong Wook Kim 1 , In-Sun Cho 2 , Sangwook Lee 2 , Seong Sik Shin 1 , Dong Hoe Kim 1 , Hyun Suk Jung 3 , Kug Sun Hong 1 2
1 Department of Materials Science & Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Research Institute of Advanced Materials, Seoul National University, Seoul Korea (the Republic of), 3 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of)
Show AbstractZinc stannate, Zn2SnO4, was synthesized using a solvothermal method with water/ethanol mixed solvent and the size and morphology of nanoparticles were optimized by controlling the reaction conditions such as precipitant, mineralizer, reaction temperature and time. The formation mechanism of Zn2SnO4 nanoparticles was also demonstrated by the observation of real time phase and morphology evolution. The crystal structure and morphology of the prepared powders were characterized by XRD, FESEM and HRTEM. The optical property and surface structure were evaluated using UV-vis spectroscopy and XPS, respectively. Furthermore, dye-sensitized solar cells (DSSCs) employing the synthesized nanoparticles were fabricated and their performances were evaluated by the current-voltage (I-V) characteristics. On the basis of the additional photoelectrochemical analysis such as open circuit voltage (VOC) decay, electrochemical impedance spectra (EIS), and stepped light-induced transient measurements of photocurrent and voltage (SLIM-PCV), it was found that Zn2SnO4-based DSSC showed a higher electron transport property while a lower electron lifetime. Additionally, its DSSC performance was optimized by controlling the fabrication conditions. From these results, Zn2SnO4-based DSSC exhibited higher photon energy conversion efficiency than a conventional TiO2-based DSSC when the film thickness was below ~12 μm.
9:00 PM - Y6.40
Carbon Nanotube Composites for Photovoltaic Devices.
Alina Chanaewa 1 , Beatriz Juarez 2 , Christian Klinke 1 , Horst Weller 1
1 Institute of Physical Chemistry, University of Hamburg, Hamburg Germany, 2 , IMDEA Nanociencia, Madrid Spain
Show AbstractThe dynamic progress in the field of nanotechnology affords an approach to several physical and chemical challenges from the very bottom. Effective charge separation and transport in organic solar cells provide such problems rendering purely organic devices uncompetitive compared to conventional silicon solar cells. The integration of novel semiconductor nanoparticle-nanotube composites into organic structures is expected to significantly improve the device performance. In contrast to the common methods of nanoparticle (NP) attachment to carbon nanotubes (CNTs) which degrade their outstanding electrical, mechanical, and optical properties, we present a "one pot" synthesis for ZnO-CNT composites under benign conditions. Assuming close interaction of the CNT π-system with the individual zinc oxide particle, a promising charge separation site is created. Additionally, CNT can serve as an excellent transport medium due to its one dimensional character. The investigations of optoelectronic properties were carried out on a single ZnO-CNT in a FET-type device. The doping behaviour of NPs could be revealed assuming effective negative charge transfer from the particle to the tube. This result indicates high potential of proposed structures. The attachment mechanism of NPs to CNTs as well as the composite integration into an optoelectronic device will be discussed. This will be supported by microscopical and spectroscopical characterizations, transport, and photoconductivity measurements.The presented noncovalent attachment of NPs to CNTs combines the unique possibility of band gap tuning of semiconducting quantum dots with the excellent conductivity of one dimensional systems such as carbon nanotubes. Therefore, we expect a large impact of these materials in optoelectronics and especially in photovoltaics.
9:00 PM - Y6.41
Double-heterostructured TiO2 Nanobelts and Their Novel Properties.
Hong Liu 1 , Weijia Zhou 1 , Guojun Du 1 , Jingjie Cui 1 , Duo Liu 1 , Jiyang Wang 1
1 State Key Laboratory of Crystal Materials, Shandong University, Jinan China
Show Abstract TiO2 nanobelt has attracted much attention because of its great potential applications in photo-catalysis, solar cell, and chemical/biosensor, etc. However, the intrinsic properties of TiO2 nanobelts is not as good as people expected, for example, the photocatalytic activity of TiO2 nanobelts is relatively low due to the presence of fewer active surface sites and recombination of photogenerated electron−hole (h+@e-) pairs; the chemical sensing property is too low to be practical because of lack of defect of the surface of nanobelt for catching the target gas molecules. Therefore, improvement of the some physical and chemical properties of TiO2 nanobelts become the key problem before applications can be considered in the some potential important fields. Our recent work has proved that the special designed heterostructures on TiO2 nanobelt can improve the relative properties of TiO2 nanobelt dramatically, which open a new way to promote the applications of TiO2 nanobelts. In our work, TiO2 nanobelts are synthesized through a hydrothermal method. Single heterostructure, TiO2 nanoparticle/TiO2 nanobelt (T TiO2NP/TiO2NB) was synthesized by performing acid-etching process on the surface of TiO2 nanobelt. The TiO2NP/TiO2NB heterosctructure can improve the photocatalysic, chemical sensor, and electro-chemical based biosensor properties. TiO2NP/TiO2NB based double heterostructures, such as, AgNP/TiO2NP/TiO2NB, AuNP/TiO2NP/TiO2NB, AgO NP/TiO2NP/TiO2NB, and CdSNP/TiO2NP/TiO2NB was synthesized through in situ photo-chemical, precipitation, or chemical reaction method. Some novel physical and chemical properties have been demonstrated on the double heterostructured TiO2 nanobelts. These special nanostructure and synthesis methods will bring great progress in improvement of device properties of photo-catalysis, chemical sensor, biosensor, solar cell of TiO2 nanobelts.
9:00 PM - Y6.42
Highly Efficient Quantum Dot Sensitized Solar Cell Based on the ZnO Nanowire Array.
Minsu Seol 1 , Heejin Kim 1 , Kijung Yong 1
1 Chemical engineering, POSTECH, Pohang, Kyungbuk, Korea (the Republic of)
Show AbstractFor efficient quantum dot sensitized solar cells (QDSSCs), CdSe nanoparticle deposited ZnO/CdS core/shell nanowire array photoanodes were fabricated by a facile three-step solution-based method. Well-defined electrical pathways in 1-dimensional nanowire structures allowed efficient charge carrier collection, and the CdSe/CdS quantum dot sensitization enabled utilization of the visible region in the solar spectrum. Vertically aligned ZnO nanowire arrays were grown on a substrate by an ammonia solution method. A CdS shell layer was deposited onto the nanowire array via successive ion layer absorption and reaction (SILAR). Finally, CdSe nanoparticles were coated onto the array via chemical bath deposition (CBD). The morphology and crystal structure of prepared arrays were observed using the scanning electron microscopy and the transmission electron microscopy. The optical absorption spectra clearly showed that the deposition of CdS and CdSe quantum dots on the ZnO nanowire arrays extended the absorption range to the visible spectrum region. A sandwich structure cell was prepared using the Au coated FTO glass as a counterelectrode and S2-/Sx2- redox couple as an electrolyte. The photovoltaic property of prepared solar cells were measured under AM 1.5 G simulated light (100 mW/cm2) and cells based on CdSe/CdS/ZnO nanowire arrays exhibited considerably improved cell performances, with 4.15 % of power conversion efficiency and about 70 % of incident photon to current conversion efficiency (IPCE) at its maximum, compared to other cells.
9:00 PM - Y6.43
Photocatalytic Activity of Anatase Fiber-mats.
Annelise Alves 1 , Felipe Berutti 2 , Carlos Bergmann 1
1 Materials Department, UFRGS, Porto Alegre, RS, Brazil, 2 , UNIPAMPA, Bagé Brazil
Show AbstractNanostructured fiber-mats have large surface area, high reactivity, low weight and low agglomeration tendency. These are advantages if compared with nanoparticles for photocatalytic application. Fiber-mats can be used not only as a photocatalytic material on their own, but also incorporated in different surfaces or fabrics and as well as a filtration membrane.In this work, high temperature stable anatase titanium dioxide fiber-mats doped with silica (0.5 to 30%) or doped with tin (0.5 to 15%) were produced by electrospinning technology. The precursors used were titanium propoxide (TiP), tetrapropoxysilane (TPS) and Tin 2-ethylhexanoate. They were hydrolyzed in acetic acid and mixed with an alcoholic solution of 10wt% polyvinylpirrolidone. The effect of heat treatment on the microstructure characteristics and the photocatalytic activity of the fiber-mats in comparison with a commercial TiO2 powder (Degussa P-25) were studied.After the electrospinning process, a thin, porous fiber-mat was obtained. This material was dried in air at room temperature for 24h. These fibers were then heat treated from 500 to 800°C for 3 hours at a heating rate of 1.4°C/min. The fiber-mats were then, characterized using N2 adsorption - BET surface area, X-ray diffraction for phase, SEM and TEM analyses for morphological characterization. The photocatalytic activity under acid and basic pH was studied using as model system the degradation of methyl orange in water (20ppm) under UV-A and visible light. Raw fibers are amorphous but become crystalline after heat treatment. As the heat treatment temperature increases the surface area decreases significantly. Quite the opposite happens with the rutile to anatase ratio and the anatase and rutile crystallite sizes, which increase with higher heat treatment temperatures. The photoactivity increases with the increment in heat treatment temperature until 650°C, when the fibers start to become densified and the surface area drops significantly due to sintering. Fibers produced at higher temperatures and with higher amounts of silica are predominantly anatase and are generally more photoactive under UV-A radiation.
9:00 PM - Y6.44
Application of SnO2 Nanopowders for Dye Sensitized Solar Cells.
Mustafa Burak Cosar 1 , Halil Ibrahim Yavuz 1 , Ahmet Ozenbas 1
1 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey
Show AbstractTin oxide is a n-type semiconductor material with a high covalent behavior. Tin oxide thin films have various applications due to their large band gap (3.6–4.1 eV) and interesting optical and electrical properties. This material is transparent in the visible and near infrared spectrum; its electrical properties depend on the vacant oxygen sites or the existence of tin interstitial atoms. Because of the low-cost and high-efficiency, Grätzel-type photoelectrochemical cell is considered as a possible alternative to present p–n junction photovoltaic devices. This kind of cell consists of three components: the nanocrystalline semiconductor electrode covered with a monomolecular layer of dye (dye-sensitized nanoporous semiconductor photoelectrode), electrolyte (I−/I3−) and the counter electrode. Most dye-sensitized solar cells utilize nanoporous electrodes made from TiO2. However, some applications require that the conduction band potential of the nanoporous electrode is more positive than that of TiO2. SnO2 is one of the few wide band gap semiconductors that possess this property. In this work, SnO2 films were produced on ITO glass substrates by sol-gel technique and these were used for the production of nano-crystalline dye-sensitized solar cells (nc-DSSC), Structural, topographical and chemical analysis were made using XRD, SEM and EDS. SEM images showed that SnO2 particle size was approximately 6 nm. BET analysis of the same SnO2 particles yielded surface areas as 80 m2/g. The efficiency of the SnO2 cell was found as 0.22 % using I-V analysis.
9:00 PM - Y6.46
Single Step Synthesis of Linker-free Quantum Dot-sensitized TiO2 for Solar Cell Applications.
C. Nethravathi 1 , B. Mukherjee 1 , Ahin Roy 1 , N. Ravishankar 1
1 Materials Research Centre, Indian Institute of Science, Bangalore India
Show AbstractQuantum dot (QD)sensitized solar cells have made steady progress in recent years based on the improvement of the several interfaces existing in the photovoltaic structure such as the FTO (fluorine-doped tin oxide)-TiO2 interface, TiO2-QD interface and the redox couple – TiO2/ FTO interface. In the present work, a single step sol-gel combustion route has been followed to accomplish direct attachment of CdO/PbO nanocrystals on TiO2. This composite powder is then made into a robust photoanode with uniform loading through heat treatment at 400oC. A final selective ion exchange step converts the CdO/PbO to CdS/PbS. This method overcomes the shortcoming associated with other direct attachment methods like SILAR (successive ion layer absorption and reaction) such as non uniformity of loading over the thickness of the film and thermal oxidation associated with the heat treatment required for toughening of the QD-TiO2 interface . Systematic study on the effect of blocking layer, electrolyte and counter electrode and comparison with SILAR based method has been performed by photoelectrochemical measurement. X-Ray powder diffraction(XRD), Transmission Electron Microscopy (TEM), Scanning Electron Microscopy (SEM), X-ray Photoelectron Spectroscopy (XPS) , UV-Visible absorption spectroscopy has been done for phase, microstructural and optical characterization of the composite.
9:00 PM - Y6.47
A New Synthetic Route for Zinc Oxide Nanospheres via Zn-diethyleneglycol Precursors and Their Photovoltaic Properties.
Yu-Ju Shin 1 , Su-Yeon Moon 1
1 Chemistry, the Catholic University of Korea, Bucheon Korea (the Republic of)
Show AbstractZnO nanospheres has been successfully prepared via Zn-DEG nanosphere precursors, which had been obtained by heating the mixture of Zn-nitrate and DEG at the temperature range of 400K-430K for 15-30h under inert atmosphere. Physico-chemical characterization on the precursors using Elemental analysis, IR-spectroscopy, X-ray diffraction and SEM/TEM has revealed that they exhibit amorphous, but well-defined spherical shape with monodispersed size around 400-500nm and are composed of approximately Zn(DEG), in which slight portion of DEG was thought to converted alkoxide to form a strong bond with Zn2+, resulting in the precipitate from DEG media. Heating the precursors at 573K-673K converted them into the ZnO nanospheres of significantly decreased size 350nm due to the decompostion of organic component. At higher temperatures, the ZnO nanospheres could not be seen anymore and the bunches of Nanorods were produced instead. The primary paricle size could be controlled from 15nm to 60nm by changing the heating ambient as well as the temperature. As prepared ZnO nanospheres were applied to photoelectrode for dye-sensitized solar cell which showed arond solar energy conversion efficiency around 2.5%. Details on this synthetic route for ZnO nanospheres will be discussed along with their photovoltaic properties investigated by I-V measurement, IPCE and AC-impedence spectroscopy.
9:00 PM - Y6.48
Effect of InAs Coverage on Optical and Mechanical Properties of InAs/GaAs Solar Cell Test Structures.
Chris Bailey 1 , Dave Forbes 1 , Ryne Raffaelle 2 1 , Seth Hubbard 1
1 NanoPower Research Labs, Rochester Institute of Technology, Rochester, New York, United States, 2 National Center for Photovoltaics, National Renewable Energy Laboratories, Golden, Colorado, United States
Show AbstractThe InAs/GaAs quantum dot (QD) system has been shown to improve device performance when included in single junction GaAs solar cells. InAs coverage ranges from 1ML to 3ML in typical InAs/GaAs systems. It was studied and shown that lower InAs effective coverage, Θc < 2ML, shows a propensity for mono-modal distributions. This can be beneficial to device performance in regards to its direct optoelectronic enhancements. The improved uniformity also allows for more accurate strain balancing techniques to be performed on such complex, non-homogeneously strained layers. Optoelectronic improvements such as low non-radiative/radiative recombination ratio and narrower photoluminescence full-width at half-maximum indicate these QD structures should allow for much more effective absorption due to the lack of a bimodal QD distribution. The added accuracy of the strain balancing technique should improve the ability to include higher numbers of QD layers in a QD solar cell improving overall absorption of the solar spectrum. This same effect should also improve the material quality of the emitter region grown subsequently above the QD region. 10x, 20x, and 40x strain compensated InAs/GaAs superlattice test structures will be grown and results of atomic force microscopy, photoluminescence and high resolution x-ray diffractometry will be shown. Also presented will be the effect of degree offcut of the substrate surface.
9:00 PM - Y6.49
Synthesis Procedure of ZnO and/or Zn-ZnO-ZnS Materials with Specific Morphology.
Shota Yamanishi 1 , Tsugumi Hayashi 1 , Hideyuki Takahashi 1 , Kazuyuki Tohji 1
1 Graduated school of Environmental studies, Tohoku University, Sendai Japan
Show AbstractIt is well known that zinc and/or cadmium sulfide has the ability for photocatalytic hydrogen generation from H2S splitting. Among the various sulfide photocatalyst, ZnS and/or CdS with specific morphology which has nano-sized and capsule-like formed structure, called as “stratified type structure”, showed remarkably high photocatalytic reactivity for the decomposition of H2S. Thus, morphology of the particles seriously affected to the catalytic activity, as same as the size, condition and variety of element. Stratified structure was formed by utilizing the chemical reaction from metal oxide/hydroxide to metal sulfide. Thus, this structure could be provided only for the sulfide materials until now. Needless to say, catalyst with metal or oxide composition was widely used than sulfide. So, if metal or oxide stratified catalyst can successfully synthesize, catalytic performanceswill dramatically enhance because of specific chemical/physical properties of stratified structure. Therefore, in this study, synthesis method of meta-oxide-sulfide catalyst with the stratified morphology was tried to develop. Stratified ZnO catalyst was tried to synthesize by using two routes; one was chemical reaction route from sulfide to oxide, and other was covering the ZnO on the surface of template materials. Former was classified into three types of method. The formation of Zn and ZnS in stratified ZnO was achieved through the photochemical reduction and sulfurization of ZnO, respectively. In the case of photo-dissolution of ZnS, sulfide was reduced and oxidized to Zn metal and SO4-, respectively, and formed ZnSO3 when water was used as medium, while ZnCO3 was synthesized in 10vol% methanol medium. On the other hand, ZnO was successfully synthesized with the heat treatment of ZnO from 350 to 500 degree C, while no change was observed when heat treatment temperature was below 300 degree C. In case of H2O2 treatment, ZnS was completely oxidized to ZnO2. These results suggested that oxidation by calcinations method has possibilities for the synthesizing of stratified type ZnO photocatalyst; nevertheless it has the tendency that capsule form become destroyed. Diameter of ZnO covered with the surface of sulfonated and/or non treated polystyrene particles was 1-5 micron meter. Core of the particles were successfully removed in the case of 90vol% DMF solution, while the morphology of core (polystyrene) – shell (ZnO) structure was decomposed or core was not removed if other condition was used. Photo-chemical reduction of Zn was successfully progressed in the Na2S solution. As the results, the Zn-ZnO-ZnS catalyst with the stratified morphology was successfully synthesized obeyed to later method.
9:00 PM - Y6.5
Silicon Nanocrystals Composite Material for Third Generation Photovoltaic Applications.
Nathalie Herlin Boime 1 , Xavier Paquez 1 , S. Berny 2 , Yann Leconte 1 , Olivier Sublemontier 1 , Ludovic Tortech 2 , Philippe Thony 3 , Cecile Reynaud 1
1 Service des Photons Atomes et Molécules, Laboratoire Francis Perrin , CEA, Gif/Yvette cedex France, 2 Service de Physique et de Chimie des Surfaces et Interfaces, , CEA, Gif/Yvette cedex France, 3 Laboratoire des Composants Solaires, CEA, Le Bourget du Lac France
Show AbstractThe efficiency of amorphous Si-based tandem solar cells is limited by their poor conduction properties, and the time stability of hydrogenated layers constitutes another drawback. In this context, replacing the amorphous layer by a nanocomposite film of silicon quantum dots (Si-QD) could help overcoming these problems. Transport properties can indeed be increased while keeping the bandgap of the layer close to 1.7 eV thanks to the efficient quantum confinement that appears when the QD size is decreased under 5 nm. Finally, such a nanostructured tandem cell (Si-QD cell on single-crystalline cell) could reach a theoretical 42% efficiency.Silicon nanoparticles were produced from silane decompositon by laser pyrolysis and collected as powders. A mean crystallite size as low as 3 nm can be obtained with a narrow size distribution (10 % around the mean value) was obtained. Nanostructured layers were elaborated from these nanopowders by a sol-gel route. Our approach considers a deposition by spin coating of silica sol-gel precursor in which the dots are dispersed. The obtained layers result in a composite silica matrix containing a high concentration of well dispersed Si-QD (up to few 1012 cm-2). In order to achieve the doping of the nanostructured layers, phosphorus and boron precursors are separately added in the sol-gel mixture. Activation of the doping elements was studied by electrical measurements after different annealing treatments. Doped-nanocrystalline composites films were deposited on a silicon wafer doped from the other type in order to build a heterojunction. After annealing, nanocrystalline films possess good transport properties as proved by 4-probe resistivity measurements. We present here the characterization of layers as a function of elaboration process and discuss the electrical conductivity which increases with the annealing temperature and doping atoms concentration. The obtained p-n junctions exhibit diode-like characteristic as shown by I(V) measurements, which is a first essential step requirement for a nanostructured tandem solar cell. First photocurrent measurements will also be presented..
9:00 PM - Y6.6
Direct Water Oxidation into Oxygen Using Mn-oxo-complex/Semiconductor Catalyst.
Rui Liu 1 , Lien-Yang Chou 2 , Yongjing Lin 1 , Fan Zhang 2 , Harvey J.M. Hou 2 , Dunwei Wang 1
1 Chemistry, Boston College, Chestnut Hill, Massachusetts, United States, 2 Chemistry and Biochemistry Department, University of Massachusetts Dartmouth, Dartmouth, Massachusetts, United States
Show AbstractWe fabricated and tested a novel Mn-oxo complex-coated semiconductor (WO3) photoelectrode for complete water photo-oxidation. The design takes advantage of the high catalytic activity of the unique Mn-oxo complex and the efficient holes production by n-type semiconductors. The resulting photoelectrode was shown to be able to harvest light and to generate oxygen. The introduction of the catalyst compensates the drawbacks of poor catalytic activities that many semiconductors exhibit when H2O splitting is concerned. The improved H2O oxidation exhibited a positive impact on the long-term stability of WO3 in an aqueous solution. We also demonstrated that stoichiometric H2 and O2 are produced when a supplementary voltage is provided, and that the O2 is the product of H2O splitting. Given the wealth of knowledge of the water splitting catalysts and semiconductors and the ease to interface these two, this approach has the potential to be readily extended to other semiconductor/catalyst combinations. The resulting materials will serve as effective photoelectrodes for solar energy harvesting to produce H2 as an energy carrier.
9:00 PM - Y6.8
Interfacial Charge Transport in Lead-salt Nanocrystal Excitonic Solar Cells.
Kevin Whitham 1 , Joshua Choi 2 , Tobias Hanrath 3
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 3 Chemical and Biomolecular Engineering, Cornell University, Ithaca, New York, United States
Show AbstractAdvances in the synthetic control over nanomaterials with predefined size, shape and composition have generated grand expectations to turn their promise into a technological reality. The applications of these materials in their isolated state are limited; instead, most of the proposed technologies are based on structures in which the nanomaterials are integrated, across multiple length scales, with each other and their surrounding environment. A new urgency for resolving this integration challenge has emerged from the recognized potential of semiconductor nanocrystals (NCs) in the development of next-generation energy technologies. Photovoltaic energy conversion is arguably the most prominent examples of energy technologies in which nanomaterials with size-tuned properties are expected to have a transformative impact. However, to date, the performance of prototype devices has fallen short of expectations primarily due to the lack of control over NC interface properties and the related energy and charge transfer across the NC boundaries. Solutions to this fundamental challenge demand improved understanding of how the NC absorbers can be integrated into robust device structures that meet the interrelated energetic, kinetic, and structural constraints required to extract photogenerated charges from the NC into an external circuit.We investigated the complex interface charge transfer processes governing the performance of lead-salt NC excitonic solar cells. We present intensity-dependent photocurrent and photovoltage measurements of prototype solar cells and illustrate the relative performance limitations set by electron- and hole-transport. Our results show that the short circuit current in bilayer devices with a ZnO electron conducting layer is limited by carrier recombination. Impedance measurements and Mott-Schottky analysis were applied to investigate the role of electronic defects on charge separation at the ZnO/PbS interface. Our results illustrate the limitations encountered in the application of the continuum Mott-Schottky analysis to describe the behavior of composite NC active layers characterized by complex interface transfer processes.
9:00 PM - Y6.9
Pt/TiO2/Ti Metal-insulator-metal Tunnel Diodes for Rectification in an Energy Harvesting System.
Matthew Chin 1 , Stephen Kilpatrick 1 , Barbara Nichols 1 , Madan Dubey 1 , Richard Osgood 2 , Nibir Dhar 3
1 Micro/Nano Devices and Materials, US Army Research Laboratory, Adelphi, Maryland, United States, 2 Nanomaterials Science Team, Natick Soldier Center, Natick, Massachusetts, United States, 3 MTO, DARPA, Arlington, Virginia, United States
Show AbstractMethods for extracting or harvesting energy from the surrounding battlefield environment are of great importance to the United States Army. Scavenging energy from local environments reduces the required energy and weight transported to the theater. Micro- and nano-scale metal-insulator-metal (MIM) tunnel diodes are being developed to provide half-wave rectification as part of a “rectenna” energy harvesting system, which includes a radiation-collecting antenna, a rectifying MIM tunnel diode, and a storage capacitor. High-frequency MIM tunnel diodes for power rectification were designed, fabricated and characterized. Planar Pt/TiO2/Ti stacks are being fabricated to create a diode with highly asymmetric I-V characteristics that has a very low threshold voltage. The metals were chosen for their high work function difference, and the insulator was chosen for its barrier height, its compatibility with Ti, and its availability. The energy band diagram and the I-V characteristics were modeled to determine the feasibility of the Pt/TiO2/Ti material system for use as a rectifier diode in a rectenna system. Metals and insulator thin films were deposited onto silicon dioxide/silicon substrates. Pillars with lateral dimensions ranging from 1 µm x 1 µm up to 200 µm x 200 µm were fabricated. The dielectric thickness of the MIM diode was varied from 2 nm up to 50 nm to determine the optimal thickness for quantum tunneling. I-V measurements were taken using an electrical characterization system to confirm a non-linear, asymmetric response on a survey of devices fabricated with varying areas. Preliminary results exhibit asymmetric I-V characteristics with threshold voltages of less than 700 mV. Current fabrication techniques are being improved and alternative processes are being investigated to improve device yield, quality, and performance.
Symposium Organizers
S. Thomas Picraux Los Alamos National Laboratory
James B. Hannon IBM T. J. Watson Research Center
Mark Reed Yale University
Wim C. Sinke ECN Solar Energy
Y7: Electronics & Devices
Session Chairs
Wednesday AM, December 01, 2010
Ballroom B, 3rd floor (Hynes)
9:00 AM - **Y7.1
Materials Challenge of Integration of Nanoelectronic Devices and Circuits.
Yoshio Nishi 1
1 Electrical Engineering, Stanford University, Stanford, California, United States
Show AbstractIt is well accepted fact that most of nanoelectronic devices and technologies, so far, seem basing upon rather aggressive introduction of new materials. Even existing materials have been nowadays modified at nanogeometry with quantum effects playing major role, while most of quantum effects in the earlier era were considered as minor perturbations. Two most distinct areas of research activities have been seen in the area of mobility enhancement for high performance CMOS logic devices, and ultra high density memory devices. The former started with application of mechanical strain to silicon which changes the conductivity effective masses of electrons and holes, resulting in enhanced mobility of carriers, and is now expanded the horizon toward investigation of new channel materials such as germanium and III-V semiconductors. The latter may be characterized by clear departure from the traditional semiconductor memory devices, and introduces new functional materials exhibiting data storage capability, such as phase change materials, metal oxide/metal sulfide resistance change materials and magnetic spin based materials. Another area which has been moving forward in parallel with those area of active devices is on-chip interconnect in which integration of optical wave guides with on-chip transmitter and receiver have been pursued. It is certainly critical to have tangible breakthrough in such on-chip interconnect technology, as the traditional electrical interconnect technology is about running out of steam due to increasing cross talks and power consumption. Increasing trend toward 3-dimentional integration at package-level, die-level, wafer-level and even monolithic structural level would further challenges technologies not only process/device level but also circuits/system and design/test areas. It is critically important for us to carefully assess potential of individual new materials/devices and technologies with respect to functional integration and manufacturability. This talk will review progress made by now in the area of new channel materials and resistance switching memory which is now considered as a successor of conventional memory devices.
9:30 AM - **Y7.2
Top-down Silicon Nanowires: Shape and Dimensional Control at the Sub-10-nm Scale.
Tymon Barwicz 1 , Kathleen Reuter 1 , Lynne Gignac 1 , Guy Cohen 1 , Sarunya Bangsaruntip 1 , Jeffrey Sleight 1 , Supratik Guha 1
1 , IBM T.J.Watson Research Center, Yorktown Heights, New York, United States
Show AbstractLithographically defined silicon nanowires are attractive candidates for future metal-oxide-semiconductor field emission transistors (MOSFETs). Nanowire channels in a gate all-around configuration provide a significant improvement of deleterious short channel effects over their planar counterparts. For best performance, a nanowire cross-section in the sub-10-nm scale is desired. Fabrication control at such dimensional scale is a central challenge to nanowire MOSFET technology. In this presentation, we will review our computational and empirical work towards exact control of nanowire shape and dimensions at the sub-10-nm scale. Top-down nanowires are generally fabricated by defining a rectangular channel in a single-crystal silicon layer and thinning it to the desired cross-section. The thinning method is central to fabrication control. One must achieve exact final nanowire shape and dimensions despite unavoidable fabrication errors on the starting nanowire cross-section. Our work shows that the best approach to thinning is low-temperature oxidation. As the nanowire is oxidized, the compressive stress developed slows the reaction. This deceleration enables demagnification of initial dimensional errors. We have created an error model to predict the shape and dimensional control achievable at manufacturing. Our main finding is that symmetrization of the nanowire cross-section prior to low-temperature oxidation is central to achieving the required shape and dimensional control. This approach was experimentally confirmed. In short, the starting rectangular cross-section needs to be converted into a circular one before thinning. This can be accomplished via hydrogen annealing, which has previously been reported for rounding and smoothing of silicon nanostructures. Extending this approach to full symmetrization of the nanowire cross-section, however, presents challenges such as agglomeration and Rayleigh instability. Interestingly, we found Rayleigh instability to be highly dependent on the crystallographic orientation of nanowires. As a final note, an extensive part of our work was improving the computational accuracy of low-temperature oxidation of silicon nanostructures. Accurate computational prediction of low-temperature oxidation of silicon nanostructure is central to our modeling. Surprisingly, the current state-of-the-art was found unacceptably inaccurate at this task and extensive work was required to achieve the desired accuracy.
10:00 AM - Y7.3
Three Dimensional Carbon Nanotube Field Effect Transistors.
Selvapraba Selvarasah 1 2 , Khushal Chandan 1 , Ahmed Busnaina 2 , Mehmet Dokmeci 1 2
1 Electrical and Computer Engineering, Northeastern University, Boston, Massachusetts, United States, 2 Center for High Rate Nanomanufacturing, Northeastern University, Boston, Massachusetts, United States
Show AbstractWe present a high density three dimensional single walled carbon nanotube (SWNT) field effect transistor (FET) utilizing a hybrid technique combining top-down microfabrication and bottom up dielectrophoretic assembly. The Moore’s Law (doubling the number of transistors on a piece of silicon every two years) has been quite accurate in predicting the growth of the semiconductor industry, yet the road map for the future (for nodes beyond 22nm) does not have a clear path. SWNTs, due to their miniature size (1-2nm in diameter) and their superior electrical, mechanical and thermal properties, are one of the potential building blocks for gigascale integrated circuits. Numerous electronic applications of CNTs have been demonstrated, including field effect transistors (FETs), logic gates, memory devices and interconnects. Furthermore, we believe that by realizing three dimensional (3D) nanotube based devices will alleviate the pressure on miniaturization through lithography based scaling. Vertical transistors using individually grown CNTs have been demonstrated, which either require high growth temperatures (CVD based) that are not compatible with conventional CMOS manufacturing or are achieved only in small areas (template based) with limited commercial success. In this paper, we introduce a novel three dimensional field effect transistor based on Single-Walled Carbon Nanotubes realized using a CMOS compatible low voltage (< 3V) Dielectrophoretic assembly at room temperature. The process starts by fabricating 3D microelectrodes with submicron gaps, where the SWNTs are placed vertically utilizing a room temperature dielectrophoretic (DEP) assembly technique. After the deposition of the 1µm thick Parylene-C (poly-para-xylylene) gate dielectric, we deposit a non-local top gate electrode (Au). The preliminary results from this 3D-CNTFET exhibit ambipolar behavior with a pronounced p-type behavior. The transfer characteristics display a maximum transconductance of 0.061µs, the highest mobility of 277 cm2/Vs and an on-to-off current ratio of 10^4. This 3D-CNTFET technology can be utilized to realize ultra high density nanotube transistors for large scale nanoelectronic circuits.
10:15 AM - Y7.4
Electrical Characterization of Silicon and Silicon-germanium Nanowires Field Effect Transistors.
Bassem Salem 1 , Guillaume Rosaz 1 2 , Alexis Potie 1 , Nicolas Pauc 2 , Fabrice Oelher 2 , Amit Solanki 2 , Pascal Gentile 2 , Thierry Baron 1
1 , LTM-CNRS, Grenoble France, 2 , CEA-INAC/SiNaPS, Grenoble France
Show AbstractNanowire-based structures of silicon, germanium and III-V semiconductors have interest as innovative building blocks for electronic devices. To benefit of their physical properties, doping and contact are in an important topic. Furthermore for nanoelectronic devices, the control of key field effect transistor (FET) parameters such as p or n type, threshold voltage, contact resistance, on/off ratio, and carriers’ mobility is essential.Most Si NW FETs use metals as source and drain and operate in accumulation mode; a gate bias is required to generate majority carriers [1, 2]. It is well accepted that the characteristics of the latter are controlled by Schottky barriers between metal and conducting channels. In this way silicide formation is one of the mostly effective ways to control and improve the contacts in silicon nanowires.In this context, we present the fabrication and the electrical characterization of Si NWs and Si1-xGex NWs transistors. In fact, undoped, n doped and p doped Si NWs were elaborated by Chemical Vapour Deposition (CVD) via Vapour-Liquid-Solid (VLS) mechanism using Au nucleation catalyst, SiH4 as the silicon source, GeH4 as the germanium source, Phosphine (PH3) and Diborane (B2H6) as n (p)-doping gas, respectively. Si NWs and Si1-xGex NWs field-effect transistors (FETs) were fabricated on thermal SiO2 or on Si3N4 oxide which is used as gate oxide and heavy p-doped Si substrate as back gate electrode. The source/drain electrodes were defined using photolithography, Ni (120 nm)/Al (50nm) metal deposition and lift-off. Through a controlled nickel silicide, the embedded parts of the undoped or doped NWs are tuned into nickel silicide NWs, thus providing a direct metallic nanolead to the semiconducting nanowires and enhance the device performance. As an example, the transistors obtained with Si undoped NWs around 100 nm diameters display p-type behaviour, current densities around 2 kA/cm2 and ION/IOFF ratio up to 107.References: [1] B. Salem, F. Dhalluin, H. Abed, T. Baron, P. Gentile, N. Pauc, P. Ferret, Solid State Comm. 149, 799 (2009).[2] J. Nah, K. Varahramyan, E. –S. Banerjee, E. Tutuc, Appl. Phys. Lett. 93, 203108 (2008).
10:30 AM - Y7.5
Exploring Asynchronous Logic Circuits via 10-nm Wide Silicon Nanowire FETs.
Ruo-Gu Huang 1 , Douglas Tham 2 , Dunwei Wang 3 , James Heath 2
1 Department of Electrical Engineering, California Institute of Technology, Pasadena, California, United States, 2 Division of Chemistry and Chemical Engineering, California Institute of Technology, Pasadena, California, United States, 3 Department of Chemistry, Boston College, Chestnut Hill, Massachusetts, United States
Show AbstractThe speed of synchronous logic circuits, which are the standard of digital circuits, is determined by the worst-case delay of the slowest signal path. Nanoscale synchronous logic circuits could become inefficient because of the long worst-case delay due to the stochastic device variations. Alternatively, asynchronous logic circuits are more robust for nanoelectronics since they are less sensitive to the worst-case delay. We explore silicon nanowire (SiNW) field-effect transistors (FETs) for asynchronous logic applications, via the fabrication and testing of SiNW-based ring oscillators. Arrays of 10-nm wide SiNWs are fabricated by using the superlattice nanowire pattern transfer technique. We report on doping methods, as well as SiNW surface treatments, for producing SiNW FETs that exhibit high performance in terms of large on/off-state current ratios (~108), high carrier mobilities (~269 cm2/V/s), low subthreshold swing (~84 mV/dec), low drain-induced barrier lowering (~30 mV/V) and device-to-device reproducibility. The SiNW inverter demonstrates a sharp transition, high noise margins and the highest voltage gain (~148) reported for a SiNW based NOT gate. The 3-stage SiNW ring oscillator exhibits spontaneous oscillation centered at 13.4 MHz with near rail-to-rail output swing. Both static and dynamic characteristics indicate that these SiNW-based FETs circuits are excellent candidates for asynchronous nanoelectronics.
10:45 AM - Y7.6
Piezopotential Gated Nanowire-nanotube-hybrid Field-effect-transistor.
Minbaek Lee 1 , Weihua Liu 1 , Lei Ding 2 , Jie Liu 2 , Zhong Lin Wang 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Chemistry, Duke University, Durham, North Carolina, United States
Show AbstractWe demonstrate the first piezoelectric-potential gated hybrid field effect transistors based on nanotube and nanowire. The device consists of single-walled carbon nanotubes (SWNTs) on the bottom and the crossed ZnO piezoelectric fine wire (PFW) on the top with insulating layer in between. Here, SWNTs serve as a carrier transport channel and a single-crystal ZnO PFW acts as the power-free and contact-free gate. The piezopotential created by an external force in the ZnO PFW is demonstrated to control the charge transport in the SWNT channel located underneath. This device is a unique coupling between the piezoelectric property of ZnO PFW and the semiconductor performance of SWNT with a full utilization of its mobility. The newly demonstrated device has potential applications as stain sensor, force/pressure monitor, security trigger and analog-signal touch screen. Furthermore, piezoelectric-potential gated hybrid FET could have a potential to be multifunctional device system for a logic circuit, nanorobotics, NMES/MEMS and self-energy generation.
11:00 AM - Y7: Electronic
BREAK
Y8: Organic PV
Session Chairs
Wednesday PM, December 01, 2010
Ballroom B, 3rd floor (Hynes)
11:30 AM - Y8.1
Enhanced Charge Preservation in Highly Enriched Semiconducting SWNT:P3HT Composites.
Josh Holt 1 , Andrew Ferguson 1 , Nikos Kopidakis 1 , Garry Rumbles 1 , Jeffrey Blackburn 1
1 Chemical & Material Science Center, National Renewable Energy Lab, Golden, Colorado, United States
Show AbstractSeveral unique properties of single-walled carbon nanotubes (SWNTs) have motivated their investigation as potential replacements for fullerene derivatives as the acceptor phase of bulk heterojunction (BHJ) organic photovoltaic (OPV) devices. Although replacement of the ubiquitous fullerene acceptors by SWNTs in OPV devices has shown limited success thus far, the number of fundamental investigations of charge transfer between SWNTs and conjugated polymers is rather low. A consideration of the continuous density of states (lack of a true gap) for m-SWNTs suggests these species should act as recombination centers when interfaced with conducting polymers. Furthermore, some theoretical treatments predict that electron transfer from P3HT to m-SWNTs should establish a dipole at this interface that opposes the desired electron transfer step. We show the first experimental evidence that m-SWNTs indeed limit the generation efficiency and lifetime of the charge-separated state in these composites. We first demonstrate effective re-dispersion of isolated, highly enriched semiconducting and metallic SWNTs into the conjugated polymer P3HT, a critical step for forming a well-dispersed BHJ that optimizes interfacial area for charge transfer. Time-resolved microwave conductivity (TRMC) is utilized to probe the generation efficiency and lifetime of charge separation due to its inherent sensitivity to free and mobile charge carriers. TRMC results on BHJs containing varying proportions of s- and m-SWNTs demonstrate that the proportion of long-lived carriers produced by charge separation can be at least tripled by eliminating the metallic species. The negative impact of metallic nanotubes, which act as fast charge recombination centers, is discussed and encourages future implementation of SWNTs in photovoltaic active layers based on semiconducting-rich processing.
11:45 AM - Y8.2
Nano-rods of Poly(3-hexylthiophene) for Photo-voltaic Applications.
Abay Dinku 1 2 , John Tumbleston 2 , Doo-Hyun Ko 1 , Rene Lopez 2 , Edward Samulski 1
1 Department of Chemistry, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States, 2 Department of Physics and Astronomy, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States
Show AbstractIdeal organic donor/acceptor bulk heterojunction solar cells should comprise films with interpenetrating networks of the donor and acceptor materials wherein both exciton dissociation and charge transport are highly efficient. Such perfect morphology is, however, difficult to obtain due to inherent phase separation of the donor and acceptor materials during material processing. To circumvent the phase separation process, patterning of one or both of the materials into nano-rods has been considered as a route for formation of an ideal morphology. The latter has been demonstrated by few research groups, primarily through patterning the metal oxide into an array of nano-rods and infiltrating with organic absorbers. We hereby demonstrate solar cells that comprise [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) acceptor molecules infiltrated into nano-rods of poly(3-hexylthiophene) (P3HT). The PCBM is spin-coated from an orthogonal solvent that does not dissolve P3HT. The nano-rods are fabricated using a materials-agnostic process called PRINT [1] wherein highly ordered arrays of nanoscale features are readily made in a single processing step over wide areas. These types of cells also act as a photonic crystal thereby changing the optical pathways of the absorbed light. The optical and electrical properties of the nano-rods, in particular the charge transport through individual nano-rods, will also be discussed. 1.Doo-H. Ko, J. R. Tumbleston, L. Zhang, S. Williams, J. M. DeSimone, R. Lopez, and E. T. Samulski, Nano Lett. 9 (2009) 2742.# This work is supported by the NSF SOLAR Grant (DMR-0934433).
12:00 PM - Y8.3
Two-dimensional Nanobowl Array Polymer Photovoltaic Devices.
Szu-Ying Chen 1 , Yu-Lun Chueh 1 , Lih-Juann Chen 1
1 , Department of Materials Science and Engineering, National Tsing-Hua University,, Hsinchu Taiwan
Show AbstractDue the emergence of fuel crisis in this decade, people are eager to look for alternative energy. The most popular energy is solar energy, one of abundant and renewable sources. Device, which converts solar energy into electricity is called photovoltaic (PV). Among PV devices, organic photovoltaic (OPV) devices are attracting much attention due to the advantages of mechanical flexibility, light weight, low cost, and low temperature processes. In recent years, PV devices associated with particular nanostructure are a promising way to effectively enhance absorption, enabling high efficiency. In this regard, organic solar cell with two-dimensional nanobowl array was demonstrated. The two-dimensional nanobowl array was created by directly depositing transparent conducting oxide layer (TCO) upon polystyrene (PS) balls with honeycomb nanostructure on glass substrate, followed by lift-off processes. The periodic array of nanobowl can be controlled by utilizing diameters of polystyrene (PS) balls from 250, 500, and 870 nm, respectively. The P3HT/PCBM bulkheterojunction layer was spin-coated on two-dimensional nanobowl array TCO layer as a photoactive layer. The surface morphologies of P3HT/PCBM bulkheterojunction layer were analyzed by scanning electron microscopy (SEM) and atomic force microscopy (AFM). The power conversion efficiency (PCE) was measured under illumination of AM 1.5 G with incident energy of 100 mW/cm2 to be 2.8 % for device without two-dimensional nanobowl array, while the PCE can be effectively increased into 4.2 % for device with the two-dimensional nanobowl array, revealing the significantly enhanced efficiency of 50 % due to enhancement of absorption by nanostructure. In addition, the external quantum efficiency (EQE) and transmittance properties at different periodic array were investigated.
12:15 PM - Y8.4
Nanowire Enhanced Multifunctional Antireflection Coatings for Organic Solar Cells.
Pritesh Hiralal 2 , Husnu Unalan 1 , Daniel Kuo 2 , Hang Zhou 2 , Gehan Amaratunga 2
2 Engineering Department, Electrical Engineering Division, University of Cambridge, Cambridge United Kingdom, 1 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey
Show AbstractWe present a multifunctional antireflection coating consisting of aligned, transparent zinc oxide (ZnO) nanowire arrays. The nanowire arrays are grown by a low cost, low temperature hydrothermal method and act simultaneously as antireflection, ultraviolet (UV) protection and self cleaning coatings. Organic solar cells coated with ZnO nanowire arrays show an enhanced absorption, and improved photocurrent. The coating is demonstrated in an organic solar cell consisting of a blend of poly(3-hexylthiophene) and phenyl-C61-butyric acid methyl ester. Enhanced efficiency is shown on this system with the coating. Secondly, ZnO with a bandgap of 3.3 eV is a good UV absorber. UV radiation in combination with oxygen and moisture is known to degrade organic solar cells. We demonstrate that the ZnO coating contributes to reduced exposure to UV leading to a longer cell lifetime without encapsulation. Finally, the photocatalytic properties of the nanowires are studied together with their superhydrophilic/superhydrophobic tunability. The self cleaning effect is quantified through methylene blue degradation and contact angle measurements, and is demonstrated to be superior compared to a thin film counterpart.
12:30 PM - Y8.5
Photoelectrochemical Complexes for Solar Energy Conversion that Chemically and Autonomously Regenerate.
Ardemis Boghossian 1 , Moon-Ho Ham 1 , Jong Hyun Choi 2 , Esther Jeng 1 , Rachel Graff 1 , Daniel Heller 1 , Alice Chang 1 , Aidas Mattis 3 , Timothy Bayburt 3 , Yelena Grinkova 3 , Adam Zeiger 4 , Krystyn Van Vliet 4 , Erik Hobbie 5 , Stephen Sligar 3 , Colin Wright 3 , Michael Strano 1
1 Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 School of Mechanical Engineering, Purdue University, West Lafayette, Indiana, United States, 3 Department of Biochemistry, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 4 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 5 Polymers Division, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractNaturally occurring photosynthetic systems in plants are supported by elaborate pathways of self-repair that limit the impact of photo-damage and degradation. Despite advantages in stability and fault tolerance, synthetic photoelectrochemical systems have to date been invariably static. In this study, we develop the first synthetic photoelectrochemical complex capable of mimicking key elements of the self-repair cycle. We demonstrate a complex consisting of two recombinant proteins, phospholipids and a carbon nanotube that reversibly assembles into a particular configuration, forming an array of 4 nm lipid bilayers housing light-converting proteins orientated perpendicular such that the hole conducting site is in close proximity to the nanotube conductor. The system can reversibly self-assemble into this configuration, and disassemble upon the addition of sodium cholate, over an indefinite number of cycles. The assembly is thermodynamically meta-stable and can only transition reversibly between free components and assembled state if the rate of surfactant removal exceeds about 10^-5 sec^-1. Only in the assembled state, do the complexes exhibit photoelectrochemical activity. We demonstrate a regeneration cycle that utilizes only surfactant to signal between assembly and disassembly with the result that photo-conversion efficiency is increased more than 300% over 168 hours using 785 nm excitation, and the useable lifetime extended indefinitely.
12:45 PM - Y8.6
Organic Photovoltaic Devices Utilizing Self Assembled Monolayer and Double Layer Charge Injection Enhanced Carbon Nanotubes.
Alexander Cook 1 2 , Bumsu Lee 3 , Kamil Mielczarek 1 2 , Alexander Kuznetsov 2 , Anvar Zakhidov 1 2 , Vitaly Podzorov 3
1 Physics, University of Texas at Dallas, Richardson, Texas, United States, 2 , University of Texas at Dallas Nanotech Institute, Richardson, Texas, United States, 3 Physics, Rutgers University, Piscataway, New Jersey, United States
Show AbstractSelection of electrodes with proper work functions, high conductivity and high transparency is a common challenge in the field of organic optoelectronics. Electrodes formed from carbon nanotubes (CNTs) have the potential to become low cost, mass producible electrodes [1]; however higher transparency and conductivity is necessary to make marketable devices. First we have developed a process of double layer charge injection (DLCI) doping of CNTs [2], which can tune their sheet resistance and also to change their work function [3]. The ability to freely tune the work function of the material allows one to adjust the field emission properties of a material as well which has applications in organic light emitting diode technologies.However such DLCI doping needs liquid processing in electrolytes, and electrochemical charging, which might not be done on semi-finished OPV. Therefore we have also created a vapor based process of tuning T-CNTs conductivity with self-assembled monolayers (SAM) doping [4]. This process creates a layer of fluoroalkyl trichlorosilanes (FTS) on the surface of organic semiconductors. The strong built in dipole moment induces a large charge concentration in CNTs. In this case the range of conductivity modulation is smaller, but the vapor process allows easier processing.As the charge carrier concentration is much higher in metals than in semiconductors, the change in conductivity of primarily semiconducting singled walled carbon nanotubes is significantly larger than in metallic, multiwalled carbon nanotubes. We will show how enhancing the properties of transparent carbon nanotubes with these two post-production doping processes can improve the performance of polymeric and small molecular organic solar cells.This work is supported by STTR DOE grant DE-SC0003664, the CONTACT consortium of Texas/AFRL and The Welch Foundation Grant AT 1617. Thanks to Nanocomp Technologies, CANATU, and NanoIntegris companies for providing SWCNTs as well as to Solarno Inc for MWCNTs sheets.[1] Ulbricht R, Jiang X, Lee S, Inoue K, Zhang M, Fang S, Baughman R, Zakhidov A Physica Status Solidi B 2006; 243(13): 3528–3532. [2] Suh, Dong-Seok; Baughman, Ray Herny; Zakhidov, Anvar Abdulahadovic. PCT Int. Appl. (2005),152 [3] Al. A. Zakhidov, D. Suh, A. A. Kuznetsov, J. N. Barisci, Edgar Muñoz, Alan B. Dalton, Steve Collins, Von H. Ebron, Mei Zhang, John P. Ferraris, Anvar A. Zakhidov and Ray H. Baughman, Adv. Func. Mat., Vol. 19, 14, pp 2266-2272, (2009)[4]M. F. Calhoun, J. Sanchez, D. Olaya, M. E. Gershenson & V. Podzorov.; Nature Materials 7, 84 - 89 (2008).
Y9: Sensing with Integrated Nanomaterials
Session Chairs
Wednesday PM, December 01, 2010
Ballroom B, 3rd floor (Hynes)
2:30 PM - **Y9.1
Large-scale Assembly of Ordered Semiconductor Nanostructures for Electronic, Sensing and Energy Applications.
Ali Javey 1
1 EECS, UC Berkeley, Berkeley, California, United States
Show AbstractControlled 2-D and 3-D assembly of highly ordered arrays of semiconductor nanowires (NWs) is demonstrated over large-areas. The enabled NW arrays are then utilized for various device applications. In one specific platform, a contact printing technique is used to assemble highly aligned NW arrays on flexible substrates by a simple contact printing process. The versatility of this technique is demonstrated by the integration of truly macro-scale (~7x7 cm2) NW electronics as the active matrix backplane of a flexible pressure sensor array (18×19 pixels). The integrated sensor array effectively functions as an artificial electronic skin, capable of monitoring applied pressure profiles with high spatial resolution. The NW-array devices operate at a low operating voltage of < 5 V and exhibit superb mechanical robustness and reliability. Furthermore, the mechanically flexible devices with a channel length of ~1.5 µm exhibit an impressive maximum frequency of oscillation, fmax ~ 1.8 GHz and a cutoff frequency, ft ~ 1 GHz, demonstrating their potential use for bendable UHF electronics. In another direction, the use of 3D structures for efficient and cost effective PVs is explored. In this regard, we have reported the direct growth of highly regular, single-crystalline nanopillar (NPL) arrays of optically active semiconductors on aluminum substrates by a template-assisted VLS process. The NPL arrays with tunable shapes and dimensions are then configured as solar cell modules. Through experiments and modeling, we demonstrate the potency of this approach for enabling high efficiency solar modules on both rigid and flexible substrates with enhanced carrier collection efficiency and broad band photo absorption arising from the geometric configuration of the nanopillars.
3:00 PM - Y9.2
Multi-walled Carbon Nanotube Based Sensors for Detection of Chemical Pollutants.
Irene Lopes 1 , Aurelien Gohier 1 , Dominique Porterat 1 , Pascale Chenevier 2 , Guy Deniau 3 , Palacin Serge 3 , Martine Mayne-L'hermite 1 , Cecile Reynaud 1
1 , CEA, IRAMIS, SPAM, Laboratoire Francis Perrin (CEA-CNRS URA 2453), Gif sur Yvette cedex France, 2 , CEA, IRAMIS, SPEC, Laboratoire d'Electronique Moléculaire, Gif sur Yvette cedex France, 3 , CEA, IRAMIS, SPCSI, Laboratoire de Chimie des Surfaces et Interfaces, Gif sur Yvette cedex France
Show AbstractOver the last decade, great efforts have been undertaken to exploit carbon nanotubes (CNTs) as new gas sensing materials. Since they offer a high specific surface area and then a large gas adsorption capacity, CNT-based sensors are expected to reach high sensitivity with fast response. In this way, several groups have already demonstrated such outstanding abilities by studying the changes of CNT electrical properties upon gas exposure [1]. This work is focused on the design of a resistive gas sensor based on multi-walled carbon nanotubes (MWNTs), which present the benefit to be a simple and low cost technology. MWNTs are synthesized using an aerosol assisted chemical vapour deposition process, as described in detail in reference [2]. The sensing platform is an interdigitated electrode over which MWNT are drop-deposited from a dispersion. The loaded MWCNTs act as resistors and the conductance changes are measured upon gas exposure. Among the gas targeted by CNT-based sensors, few attentions have been paid to toxic industrial chemicals (TIC) like chlorine, hydrogen chloride, and ammonia. These gases are widely used in many industrial processes and are known to be very damaging when emitted into the environment. Therefore, the demand is high for compact solid-state and low cost gas sensors to detect them in the sub-ppm range. Besides, with the aim to enhance the sensor sensitivity and selectivity, for detection of several gases, MWCNTs were functionalized with poly(phenylene)-like or vinyl polymers using a process based on the diazonium chemistry [3]. Note that contrary to single walled CNTs, MWCNTs can be covalently functionalized on their sidewall, while preserving their intrinsic electrical properties through their inner walls. In this paper, we will mention the preparation of such sensors and we will demonstrate that the optimized devices are operating at room temperature or at moderated temperatures (less than 60°C), for the detection of pollutants such as chlorine, hydrogen chloride and ammonia. Such sensors are able to detect down to 30 ppb of pollutant, in particular for chlorine.
3:15 PM - Y9.3
Multifunctional, Ultrasensitive, FET based Chem-bio Sensor from Large-scale Thermal CVD Derived Graphene.
Xiaojuan Fu 1 , Brent Wagner 1 , Zhitao Kang 1
1 Georgia Tech Research Insititute, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractWhether to detect a chemical/biological leak that is hazardous to personnel or to environment, or to detect trace explosive vapor that pose a major threat in a world of terrorism and asymmetric warfare, or to detect bio-molecular, antigens and cancer cells for disease diagnostic, effective detection systems or sensors are in demand. One of the best sensors would be the one that is able to detect one molecule/atom of the chemical and bio-molecular that needs to be detected. Recent discovery of graphene has opened a new area that promises ultra-sensitive electronic sensor due to its unique structure and electrical properties. Here, we report a graphene sensor based on a field-effect transistor (FET) like structure, in which both the drain-source voltage and back-gate voltage can be controlled to monitor the sensing behavior, because the field effect also allows great control on the electronic property in addition to the surface chemistry. A reliable and repeatable thermal Chemical Vapor Deposition (CVD) technique was used to grow large scale graphene sheet on a thin nickel film coated substrate. Then a wet chemical etching process was used to transfer the graphene sheet onto a SiO2 coated substrate to fabricate the sensor structure. Characterization of the device channel resistivity was conducted as a function of back gate voltage, analyte concentration, and surface modification of the graphene layer using various chemical interactions or biological bindings. The detection of NO2, NH3 and other hazardous gases was investigated. Preliminary results on bio-molecule sensing will also be reported.
3:30 PM - Y9.4
Single-molecule Detection of Nitroaromatic Compounds via Photoluminescence Modulation of Carbon Nanotubes by Peptide Secondary Structure.
Daniel Heller 1 , Michael Strano 1
1 Department of Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractPhotoluminescent single walled carbon nanotubes (SWNT) transduce specific changes in peptide secondary structure, resulting in single-molecule detection of nitroaromatic compounds. Peptide-nanotube complexes report modulation of peptide conformation upon analyte binding via changes in SWNT photoluminescence wavelength. A novel split-channel microscope constructed to image quantized spectral wavelength shifts in real-time, in response to nitroaromatic adsorption, results in single-molecule stochastic imaging of solvatochromic events. The indirect detection mechanism demonstrates that functionalization of the carbon nanotube surface can result in unique sites for molecular recognition, resolvable at the single molecule level.
3:45 PM - Y9.5
SS-DNA Decorated SWNT Sensors Integrated on CMOS Circuitry.
Mehmet Dokmeci 1 , Chia-Ling Chen 1 , Michelle Chen 3 , Sameer Sonkusale 2 , Vinay Agarwal 2 , Chih-Feng Yang 1
1 Electrical and Computer Engineering, Northeastern University, Boston, Massachusetts, United States, 3 Physics, Simmons College, Boston, Massachusetts, United States, 2 Electrical and Computer Engineering, Tufts University, Medford, Massachusetts, United States
Show AbstractWe demonstrate integration of single-stranded DNA (ss-DNA)-decorated single-walled carbon nanotubes (SWNT) onto functional CMOS circuitry for a highly sensitive gas sensor. Utilizing a low voltage dielectrophoretic assembly, the SWNTs were assembled onto CMOS chip. SWNTs are reported to be very sensitive to numerous odors and could serve as the next generation of miniature gas sensors. In addition, SWNTs functionalized with biomolecular complexes hold great promise as high sensitivity sensors. In this work, SWNT based miniature gas sensors have been demonstrated with both bare SWNTs and ss-DNA-decorated SWNTs on a foundry made CMOS chip using microelectrodes and on-chip amplifiers. After decorating ss-DNA on to SWNTs, the sensing response of the gas sensors was enhanced up to 300% for methanol vapor and 250% for isopropanol alcohol vapor compared with response obtained from bare SWNTs. This work demonstrates a significant step towards monolithic integration of nanomaterials on CMOS circuitry for ultra-sensitive electronic nose type of applications.
4:00 PM - Y9: Sensing
BREAK
4:30 PM - Y9.6
Quantitative Studies of Long-term Stable, Top-down Fabricated Silicon Nanowire pH Sensors.
Sun Choi 1 , Tatsuhito Arimura 2
1 Berkeley Sensor and Actuator Center (BSAC), UC Berkeley, Berkeley, California, United States, 2 Corporate Research and Development Group, Sharp Corporation, Nara Japan
Show Abstract We report simple and effective methods to develop long-term, stable silicon nanowire-based pH sensors and systematic studies of the performance of the developed sensors. Silicon Nanowires are good sensing materials for detecting Bio-chemicals such as pH level and concentration of DNA, protein because highly sensitive detection of analytes can be achieved thanks to its high surface to volume ratio. Mainly, silicon nanowires are synthesized chemically by Vaper-Liquid-Solid (VLS) methods and integrated with pre-patterned micro-scale electrodes in order to form sensor structures. This Bottom-Up approach may be able to take advantage of superb chemical properties of nanowires, however, there exists a fundamental limitation in the integration of high-density array nanowires onto a single chip because it is hard to manipulate single nanowires and pattern in desirable area. Recently, top-down fabricated silicon nanowire sensors have been developed by the combination of E-beam lithography and conventional photolithography and these sensors are highly favored because the dimensions and the electrical properties of wires can be tuned with high control and the entire fabrication processes are CMOS-compatible. Previous works on Top-down fabricated silicon nanowire sensors are primarily focused on the studies on the sensitivity, response time of sensors or computational modeling of surface charge effect on the sensors. Although an issue of stability of silicon nanowire sensors has been briefly discussed in the previous work, solutions have not been explored so far. In order for silicon nanowire sensors to be used in industrial applications or in long-term bio-monitoring, silicon nanowire sensors need to have good electrical insulation and ion-diffusion barrier properties from sample liquid to device for the sake of long-term, stable pH sensing of target liquid. In this work, we have fabricated long-term, stable silicon nanowire pH sensors with an additional insulation and ion diffusion barrier layer (PECVD-based silicon nitride, ALD-based aluminum oxide). The stability of the sensors with silicon nitride and aluminum oxide additional layers has been systematically analyzed by the calculation of long-term drift and average change of sensor response. Thin insulation layer (silicon nitride: 10 nm) may not be able to prevent proton diffusion from sample liquid to device, however, thicker insulation layers (silicon nitride: 20 nm, 40 nm; Aluminum oxide: 10 nm, 20nm, 40 nm) show enhanced performance by decreasing long-term drift. Also, the pH sensitivity of the sensor response has been measured and the repeatability of the sensor response has been critically studied. The detection of pH level with silicon nanowire sensors is not repeatable without proper rinsing of sensor surfaces and the pH sensitivity of the sensor is also degraded after rinsing. Also, there exists trade-off between long-term stability and the pH sensitivity of the sensors.
4:45 PM - Y9.7
Highly Sensitive Schottky-gate Nanosensors.
Ping-Hung Yeh 1 2 , Hsien-Chin Tung 1 , Zhong Lin Wang 2
1 Physics, Tamkung University, Taipei county Taiwan, 2 Materials Science and Engineering, Gerorgia Institute of Technology, Atlanta,, Georgia, United States
Show AbstractA novel nanowire-based nanosensors was demonstrated, and the core of this device relies on the non-symmetrical Schottky contact under reverse bias. The Schottky–gated devices(SGD) have great performance than the conventional Ohmic contacted devices(OCD) in UV, biologically-charged molecules and gas detection. First, using as UV nanosensors, the sensitivity of the SGD has been improved by four orders of magnitude than the OCD, and the reset time has been drastically reduced from ~417 to ~0.8 s. Second, using as biologically-charged molecules nanosensors, a low detection limit of 2 fg/ml has been demonstrated. Third, using as gas nanosensors, an ultrahigh sensitivity of 32,000% was achieved at 275 oC for detection of 400 ppm CO, which is four orders of magnitude higher than that obtained using Ohmic contact device under the same conditions. The Schottky-gate nanodevice presents a new sensing mechanism that can be readily and extensively applied to other sensing systems.
5:00 PM - Y9.8
Three Dimensional Nanowire Array for Highly Sensitive and Selective Gas Detection.
Jiajun Chen 1 , Haiqiao Su 1 , Kai Wang 1 , Weilie Zhou 1
1 AMRI, University of New Orleans, New Orleans, Louisiana, United States
Show AbstractVertically aligned nanowire arrays provide several key features, including large surface area, high aspect ratio and three-dimensional (3D) profile for efficient gas molecule absorption and desorption, making them extremely useful in creating highly sensitive gas sensors. In this paper, we present 3D gas sensors based on nanocrystal-coated well-aligned nanowire arrays. The gas sensors showed room-temperature responses to some environmental toxic gases, such as NO2 and H2S, down to sub-ppm level. Different noble metal coatings were used to engineer the cross-reactive behaviors of the gas sensors. A device prototype that consists of three gas sensors demonstrated selective detection of NO2, H2S, NH3, CO and H2 by using principle component analysis (PCA). The high sensitivity and selectivity are ascribed to the synergic effects of 3D device profiles, and large surfaces of nanocrystals. The 3D gas sensors have great potential in gas detection and discrimination.
5:15 PM - Y9.9
Surface Ionization Gas Sensors Based on Individual Metal Oxide Nanowires.
Francisco Hernandez-Ramirez 1 2 , Juan Daniel Prades 2 , Angelika Hackner 3 , Joan Ramon Morante 1 2 , Gerhard Mueller 3 , Sanjay Mathur 4
1 , Catalonia Institute for Energy Research, Barcelona Spain, 2 , University of Barcelona, Barcelona Spain, 3 , EADS, Munich Germany, 4 , University of Cologne, Cologne Germany
Show AbstractSince Seiyama et al. discovered that the electrical conductivity of metal oxides is dramatically changed by the presence of reactive gases in air; these materials have been intensively used as gas sensors due to their low cost and high compatibility with microelectronic processing [1]. Commonly, the detection mechanism of these devices is based on resistive measurements (RES) that are explained in terms of surface combustion processes, in which ionosorbed oxygen is removed from the metal oxide surface and in which electrons, initially trapped on surface oxygen ions, are re-emitted into the conduction band thus generating a sensor signal [2]. Nevertheless, this detection method provides poor selectivity since the surface combustion process happens with a whole variety of potential analyte gases. On the other hand, surface ionization (SI) gas detection is an alternative technique that involves ions formed by the adsorption of analyte molecules on heated solid surfaces, ion emission into the adjacent gas ambient and ion collection at a counter electrode positioned opposite to the emitter surface6. The foundations of this technology were studied in the past by Zandberg, Rasulev and Morrison [3], and recently it was demonstrated that their principles could be applied to SnO2 thin-films (emitter surface), which is the most widely employed material in the fabrication of resistive metal oxide gas sensors [4].In this work, gas detection experiments were performed with individual tin dioxide (SnO2) nanowires specifically configured to observe surface ion emission (SI) response towards representative analyte species. These devices were found to work at much lower temperatures and bias voltages than their micro-counterparts, thereby provided a direct evidence of inherent advantages of working with one dimensional nanomaterials as building-blocks of SI sensors. By employing this detection principle together with the more familiar resistive measurements (RES), higher selectivity than the observed with standard metal oxide sensors can be achieved, providing a technological alternative to one of the major drawbacks of solid state sensor technologies. The here-presented prototypes are an important step forward toward the development of circuits at the nanoscale with potential applications in sensing processes as well as providing a valuable platform to study SI mechanisms at the surface of different nanomaterials of interest. [1] Comini et al. Progress in Materials Science 2009, 1, 1-67[2] Barsan et al. Sensors and Actuators B: Chem. 2007, 1, 18-35[3] Rasulev et al. Journal of Chromatography A 2000, 1-2, 3-18[4] Hackner et al. IEEE Sensors Journal 2009, 12, 1727-1733
5:30 PM - Y9.10
Thermally Stable, Silica-Doped ε-WO3 for Sensing of Acetone in the Human Breath.
Marco Righettoni 1 , Antonio Tricoli 1 , Sotiris Pratsinis 1
1 Department of Mechanical and Process Engineering, ETH Zurich, Zurich, Zurich, Switzerland
Show AbstractHuman breath analysis is an emerging field of medical diagnostics that promises rapid, non-invasive monitoring and even detection of illnesses. Accurate and rapid detection of small concentration differences in the breath requires highly sensitive and selective analysis methods. Chemo-resistive gas sensors made of nanostructured metal-oxide semiconductors offer a promising alternative to more sophisticated systems (e.g., PTR-MS, SIFT-MS). Such sensors offer a lower limit of detection in parts-per-billion (ppb) concentrations to most reducing or oxidizing analytes and can be miniaturized and integrated in micro gas sensor systems at low cost. Major shortcomings, however, are their poor selectivity and long-term stability. Lately, the latter has been improved by co-synthesis with other oxides, leading to thermally stable and highly sensitive nanoparticle layers (1). For some analytes the selectivity is improved by formation of specific crystal planes and phases that greatly facilitate the reliable detection of certain breath markers. Recently, the ferroelectric epsilon-phase of WO3 captured during its flame synthesis has shown promising results for the selective and quantitative detection of acetone in ppb concentrations that is of critical importance in non-invasive diagnosis of diabetes by human breath analysis (2,3). The spontaneous electric dipole moment of ε-WO3 leads to enhanced interaction with analyte having high dipole moment (e.g. acetone).Here, sensing films of pure and SiO2-doped WO3 nanoparticles have been made, directly deposited and in situ annealed onto interdigitated electrodes by scalable flame aerosol technology. A unique innovation here is that these films consist of ε-WO3, a metastable phase that has a high selectivity to acetone. Acetone in the human breath is a key marker for noninvasive diagnosis of diabetes (4). The effect of non-toxic Si doping on the ε-phase content and crystal and grain sizes was investigated and correlated to the acetone sensing performance of these films. The thermal stability of these materials was characterized as well, revealing a unique opportunity for reliable sensing of acetone and noninvasive diagnostics of diabetes. An optimal doping level with 10 mol% SiO2 resulted in highly sensitive and highly selective acetone sensors down to 20 ppb (5). Furthermore, the integration of so-called nanoelectrodes (6) has increased further the sensitivity and additionally decreased the film resistance.References:(1) Tricoli, A.; Graf, M.; Pratsinis, S. E. Adv. Funct. Mater. 2008, 18, 1969-1976.(2) Wang, L.; Teleki, A.; Pratsinis, S. E.; Gouma, P. I. Chem. Mater. 2008, 20, 4794-4796.(3) Righettoni, M.; Tricoli, A.; Pratsinis, S. E. Anal. Chem. 2010, 82, 3581-3587.(4) Cao, W. Q.; Duan, Y. X. Clin. Chem. 2006, 52, 800-811.(5) Righettoni, M.; Tricoli, A.; Pratsinis, S. E. Chem. Mater. 2010, 22, 3152-3157.(6) Tricoli, A.; Pratsinis, S. E. Nature Nanotech. 2010, 5, 54-60.
5:45 PM - Y9.11
Coherence Resonance in a Single Walled Carbon Nanotube Ion Channel.
Wonjoon Choi 1 2 , Changyoung Lee 1 , Jaehee Han 1 , Michael Strano 1
1 , MIT, Cambridge, Massachusetts, United States, 2 Department of Mechanical engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractBiological signaling networks are able to utilize coherent and oscillatory signals from intrinsically noisy and stochastic components for ultrasensitive discrimination using stochastic resonance, a concept not yet demonstrated in man-made analogs. We show that the longest, highest aspect ratio, and smallest diameter synthetic nanopore examined to date, a 500 μm single walled carbon nanotube (SWNT), approximately 1.5 nm in diameter, demonstrates oscillations in electro-osmotic current at specific ranges of electric field, that are the signatures of coherence resonance, a form of stochastic resonance, yielding self-generated rhythmic and frequency locked signals. SWNT were grown on a SiO2 wafer and connected between two bonded, aqueous reservoirs at their plasma-etched and open ends. Stochastic pore blocking is observed when individual cations (Na+, Li+, K+, 1 M) partition into the nanotube during electro-osmosis, partially obstructing an otherwise stable proton current.The observed oscillations in the current occur due to a coupling between stochastic pore blocking and a diffusion limitation that develops at the pore mouth during proton transport. This is the first example of resonant transport in a synthetic nanopore, and illustrates how simple ionic transport can generate coherent waveforms within an inherently noisy environment, and points to new types of nano-reactors, sensors, and nanofluidic channels based on this platform.
Y10: Poster Session: Electronic & Sensor Integration
Session Chairs
Thursday AM, December 02, 2010
Exhibition Hall D (Hynes)
9:00 PM - Y10.10
Photoconduction Properties of Low Resistive Individual TiO2 Nanotubes.
Cristian Fabrega 2 , Francisco Hernandez-Ramirez 1 2 , Juan Daniel Prades 2 , Roman Jimenez 2 , Teresa Andreu 1 , Joan Ramon Morante 1 2
2 , University of Barcelona, Barcelona Spain, 1 , Catalonia Institute for Energy Research, Barcelona Spain
Show AbstractTitanium anodization in fluoride electrolytes has undergone a fast development since Gong and co-workers started their research a decade ago [1] and, to date, it has potential applications in gas sensing, photovoltaics, hydrogen generation by photo-electrolysis of water and CO2 photo-reduction. Albeit the first titanium oxide (TiO2) nanotubes synthesized using aqueous electrolytes had disordered walls at best hundreds of nanometers thick, the key innovation introduced by Macak et al. [2] to improve their morphology by using neutral and non-aqueous electrolytes allowed the growth of ordered, thinner and longer one-dimensonal TiO2 nanomaterials. Among all the electrolytes studied so far by different authors, dimethylsulfoxide (DMSO) is considered one of those which give rise to high surface-to-volume ratio nanotubes [3]. Nanotubes and other one-dimensional materials (i.e. nanowires and nanofibers) exhibit very attractive properties and functional performances because of the large active surface area, in which different reactions of interest take place, as well as providing a direct path for electronic conduction along them. This last point differs from randomly-oriented nanoparticle-based systems (i.e. thick film devices), where slow electron diffusion through the grains typically limits their performance [4].In this work, TiO2 nanotubes were synthesized by anodic oxidation of titanium foils using dimethyl sulfoxide and hydrofluoridric acid as electrolyte. The electrical properties of individual nanotube-based devices were evaluated and modeled after exposing some of them to different gas and illumination conditions. Resistivity values fully comparable to those of TiO2 single crystal anatase were found, and their photoconductive characteristics, which were explained in terms of the Shockley-Read-Hall model for non-radiative recombination in semiconductors, were found to be strongly influenced by the applied experimental conditions, such as the surrounding atmosphere. The here-presented prototypes are an important step forward toward the development of circuits at the nanoscale with potential applications in photocatalytic processes. [1] Gong et al. J. Mater. Res. 2001, 16, 3331-3334[2] Macak et al. Angewandte Chemie-International Edition 2005, 44, 7463-7465[3] Shankar et al. Nanotechnology 2007, 18[4] F.Hernandez-Ramirez et al. Phys. Chem. Chem. Phys., 2009, 11, 7105-7110
9:00 PM - Y10.12
Molecular Dynamics Simulation of Thermal Transport across Silicon and PDMS Interface.
Tengfei Luo 1 , Yann Chalopin 1 , Nuo Yang 1 , Zhiting Tian 1 , Asegun Henry 2 , Junichiro Shiomi 1 , Keivan Esfarjani 1 , Gang Chen 1
1 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Georgia Institute of Technology, altlanta, Georgia, United States
Show AbstractHeat dissipation across the thermal interface materials in microelectronics packages is a critical issue which limits the further development of the size-shrinking microchips. Among resistance from different package components, silicon-PDMS interfacial thermal resistances present large barriers for thermal dissipation from the CPU die to the heat sink. In this work, we use molecular dynamics (MD) simulation to study thermal transport across the silicon-PDMS interface. Temperature dependence of the interfacial conductance is studied. The influence of interfacial interaction on the conductance is investigated by changing the interaction strength and changing the interfacial bonding from physical type (van der Waals) to chemical type (covalent). Analyses are performed to explore the mechanism of thermal transport across the silicon-PDMS interfaces.
9:00 PM - Y10.13
Enhancement of Electric Transport in Single Titania Nanotube using Thermal Treatments.
Mohamed AbdElmoula 1 , Latika Menon 1
1 , Northeastern University, Boston, Massachusetts, United States
Show AbstractTitania nanotube arrays fabricated by means of electrochemical anodization has currently become the main interest of several research groups around the world mainly due to its promising applications. Solar energy harvesting is one of the most anticipated applications: the high aspect ratio of nanotubes, its cheap and scalable fabrication technique, durability and low recombination probability of the electron-hole pairs makes titania nanotubes highly attractive materials for efficient light conversion to electricity. In this regard extensive research work is being carried out to increase the aspect ratio of these tubes and to enhance the light absorption. In our previous work we were able to find a mechanism for separating a single titania nanotube from the titania nanotube arrays and to measure its electric transport properties using e-beam lithography technique. In this work we investigated the effect of thermal annealing on the electric transport properties, where we studied the effect of different annealing temperatures, different heating and cooling rates, and different gases. As a result of our work, we were able to find the best annealing conditions to enhance the electric transport properties in titania nanotubes and how to optimize it for efficient solar cell fabrication.
9:00 PM - Y10.15
CNT Based Thermocouples in Comparison to Commercially Available Thermocouples.
Craig Lombard 1 , Brian White 1 , David Lashmore 1 , Benjamin Larson 1
1 Research, Nanocomp Technologies, Inc., Concord, New Hampshire, United States
Show Abstract Thermocouples were made from very thin, flexible, light-weight, metal free, high strength Carbon Nanotube Yarns with good electrical and thermal properties. Two CNT thermocouples were made, used and analyzed. One is a single junction thermocouple made from one p-type and one n-type CNT yarn. The output voltage yielded the temperature at the junction and was mathematically derived with results that closely matched the actual temperatures measured via different means. Cold junction compensation was done by knowing the temperature where the voltage measurement was taken and the Seebeck value of the two yarns. The other is a two junction CNT thermocouple constructed with two p-type yarns and one n-type yarn. The n-type yarn was connected in-between the two p-type yarns allowing the reference junction to be placed in a 0 C environment with the two p-type yarns having Seebeck values that were as close to identical as possible. The mathematically derived function for a two junction thermocouple was used with no assumptions of the reference junction being at 0 C and the two p-type yarns having the same Seebeck values. Using the full function allowed for a more accurate temperature derivation. The measured voltage leading to the calculated temperature was studied over the largest temperature range that yielded accurate results. The results compared to commercially bought thermocouples and to a Wahl thermal imaging device were in close agreement. A comparison between the two and single junction CNT thermocouples shows the two junction thermocouple yields more accurate results due to the greater voltage domain.
9:00 PM - Y10.17
Ultralow Superharmonic Resonance for Functional Nanowires.
David Cohen-Tanugi 1 , Nan Yao 2 , Austin Akey 3
1 Materials Science & Engineering, MIT, Washington, District of Columbia, United States, 2 Institute for the Science and Technology of Materials, Princeton University, Princeton, New Jersey, United States, 3 Applied Physics, Columbia University, New York, New York, United States
Show AbstractFunctional nanowires, made from materials such as zinc oxide, offer the promise of precise sensing due to their vibrational properties, but their high intrinsic resonance frequencies (in the kilohertz to megahertz range) have limited the applications in nanotechnology. We describe here a method for introducing a new type of resonance at ultralow frequencies in ZnO nanowires. By introducing anisotropic electric charge imbalance in a nanowire using in situ ion implantation, nanodevice assembly, electronic signal generation, mechanical measurement, and electron beam characterization, we have achieved resonance at frequencies two orders of magnitude lower than the natural resonance frequency. Through both experimental investigation and theoretical simulation, we show that electric charge imbalance arising from focused ion beam exposure is responsible for the creation of this unprecedented superharmonic resonance behavior in ZnO nanowires.
9:00 PM - Y10.18
Gas Sensing Properties of P-type Hollow NiO Hemispheres Prepared by Polymeric Colloidal Templating Method.
Nam Gyu Cho 1 3 , In-Sung Hwang 2 , Ho-Gi Kim 1 , Jong-Heun Lee 2 , Il-Doo Kim 3
1 Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 3 Optoelectronic Materials Center, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 Materials Science and Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractThis work presents a simple and versatile route to produce macroporous P-type metal oxide thin films. Two-dimensional arrays of P-type NiO films with a hollow hemisphere structure were fabricated by colloidal templating and RF-sputtering followed by a subsequent heat treatment. The diameter and shell thickness of the NiO hemisphere were 800 nm and 20 nm, respectively. X-ray diffraction and high-resolution transmission electron microscopy analysis indicate that the pure NiO phase with grain sizes ranging from 15 nm to 25 nm was obtained at calcination temperatures that exceeded 450 C. These hollow NiO hemispheres showed a monolayer structure of quasi-ordered 2D arrays with a high surface-area-to-volume ratio and high porosity, leading to easy gas penetration into the sensing layers.Close-packed arrays of hollow NiO hemispheres were found to exhibit P-type gas sensing properties against (CO, H2, C3H8, CH4, NO2, and C2H5OH), leading to significantly enhanced responses to C2H5OH (Rgas/Rair = 5.0 at 200 ppm). Thus, gas sensors based on hollow NiO hemispheres with p-type characteristics introduce the potential for novel gas sensor applications for the selective detection of VOCs (volatile organic compounds) among various gases, as compared to conventional N-type gas sensors.
9:00 PM - Y10.19
The Operating Mechanism of Schottky-gate Nanosensors.
Hsien-Chin Tung 1 , Yun-Ju Lee 1 , Ping-Hung Yeh 1 2 , Zhong-Lin Wang 2
1 , Physics, Tamkung University, Taipei county, Taiwan, Taipei County Taiwan, 2 , Materials Science and Engineering, Gerorgia Institute of Technology, Atlanta, Georgia, United States
Show Abstract The probe-free and highly sensitive nanowire-based nanosensors for detecting biologically- and chemically-charged molecules were demonstrated. The Schottky–gated device(SGD) has a few merits in comparison to the conventional Ohmic contacted devices(OCD). First, it does not need a bio-probe to detect molecules; rather, it depends on the attraction of the charged molecules to the junction region. Second, as for the same type of nanowires, such as ZnO, the sensitivity of the SGD is much higher than that of OCD because a few molecules at the junction region can change the “gate” that effectively tunes the conductance. Third, owing to the nature of the charge and potential profile at the junction region, the SGD is likely to have some selectivity in detecting the positively-charged molecules versus the negatively-charged molecules. The approach demonstrated here can serve as a guideline for designing more practical chemical and biochemical sensors.
9:00 PM - Y10.2
Fabrication of a Room-temperature Operation Single Electron Transistor through Self-alignment Process Based on Anodization.
Yasuo Kimura 1 , Shota Kimura 1 , Norio Mizuno 1 , Takami Muto 1 , Michio Niwano 1
1 Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, Sendai Japan
Show AbstractA technology for fabricating nanostructures has been extensively studied in order to develop electronic or photonic devices based on new types of mechanisms such as quantum effects. The development of the electric nanodevices requires technologies for not only fabricating nanostructures but also wiring them. Therefore, it is important to develop selfalignment processes for wiring each nanostructure simultaneously with fabrication of it. There are generally two complementary approaches to fabrication of nanostructures. One is a top-down process and the other is a bottom-up process. It is well-known that porous anodic alumina with nanopores easily forms through anodization of aluminum and the anodization is one of bottom-up processes. In the case of anodization of an aluminum film on a substrate, aluminum nanodots form on the substrate. However, the aluminum nanodots have not been applied at all. Here, we have developed selfalignment process using a hybrid technique of these complementary techniques based on the partial anodization of aluminum microwires to fabricate a single electron transistor by anodizing a part of an aluminum microelectrode. Aluminum microwires which were covered with a protective layer except the anodization region were fabricated by the photolithography technique. The Coulomb staircases and the Coulomb diamonds were clearly observed at room temperature. The partial anodization of a microwire causes inhomogeneous porous anodic alumina formation. The inhomogeneous anodization process is very important for selfalignment of an aluminum nanodot and electrodes. We found that the inhomogeneous anodization process depended strongly on the cross-sectional shape of the microwire before anodization. Our results indicate that anodization process can be controlled by the initial structure of an aluminum microwire and it is a promising method for fabrication of nano-electronic devices which can operate at room temperature.
9:00 PM - Y10.20
H2S Gas Sensing Properties of ZnO Nanostructure/Heterostructures and Their Mechanism Study.
Jaehyun Kim 1 , Kijung Yong 1
1 chemical engineering, POSTECH, Pohang Korea (the Republic of)
Show AbstractThis study reports the H2S gas sensing properties of ZnO nanorods bundle and the investigation of gas sensing mechanism. Also the improvement of sensing properties was also studied through the application of ZnO heterstructured nanorods. The 1-Dimensional ZnO nano-structure was synthesized by hydrothermal method and ZnO nano-heterostructures were prepared by sonochemical reaction. Scanning electron microscopy (SEM) and X-ray diffraction (XRD) spectra confirmed a well-crystalline ZnO of hexagonal structure. The furnace type gas sensing system was used to characterize sensing properties with diluted H2S gas (50ppm) balanced air at various operating temperature up to 500 degree of celcius. The H2S gas response of ZnO nanorods bundle sensor increased with increasing temperature, which is thought to be due to chemical reaction of nanorods with gas molecules. Through analysis of X-ray photoelectron spectroscopy (XPS), the sensing mechanism of ZnO nanorods bundle sensor was explained by well-known surface reaction between ZnO surface atoms and hydrogen sulfide. However at high sensing temperature, chemical conversion of ZnO nanorods becomes a dominant sensing mechanism in current system. In order to improve the H2S gas sensing properties, simple type of gas sensor was fabricated with ZnO nano-heterostructures, which were prepared by deposition of CuO, Au on the ZnO nanorods bundle. This heteronanostructure shows higher gas response than ZnO nanorods bundle. The gas sensing mechanism of the heteronanostructure can be explained by the chemical conversion of sensing material through the reaction with H2S gas.
9:00 PM - Y10.22
Graphene Based Electrochemical Nanobiosensors.
Subbiah Alwarappan 1 , Amrita Kumar 2 , Ashok Kumar 1
1 Nanotechnology Research and Education Center, University of South Florida, Tampa, Florida, United States, 2 Center for Cell and Molecular Signaling, Department of Physiology,Emory University School of Medicine, Atlanta, Georgia, United States
Show AbstractGraphene is a new addition to the family of carbon allotropes. The 2D-graphene received immense attention due to its ballistic electron transport, excellent conductivity, extreme mechanical strength and good electrochemical properties. Further, the graphene surface contains numerous functional groups that can be functionalized for various biosensing applications. Herein, we discuss the methods employed for graphene synthesis, followed by its systematic surface characterization and the suitability of graphene for the electrochemical detection of several important biomolecules. Further, we will also account for the reasons that favor graphene over other carbon materials for a highly sensitive, selective and stable electrochemical biosensing application. In addition, we will address the toxicity of graphenes using mammalian cell cultures.
9:00 PM - Y10.24
Fluid-structure Interactions in Nanoscale-integrated Devices.
Chao Chen 1 , Zhiping Xu 1
1 , Department of Engineering Mechanics, Tsinghua University, Beijing, Beijing, China
Show AbstractLow-dimensional nanostructures, such as nanoscale membranes, wires and tubes, have recently been widely proposed as building blocks in integrated devices for electronics, energy and sensing. Their unique physical properties and intensive responses to external cues enable a new design paradigm towards multifunctional systems. Specifically, the controllable deformation and vibration of one-dimensional nanostructures have been utilized in numerous applications including actuators and sensors. The extremely high stiffness and sensitive mechano-electro-chemical coupling in materials such as carbon nanotubes and ZnO nanowires provide ultrahigh figure of merits (the resonant frequency, quality factor, signal-to-noise ratio, etc.). In many practical applications, these nanostructures are immersed in a humid environment. However, the coupling with the fluid environment, that has strong impacts on the dynamical behavior and performance of devices, remains unclear.In order to obtain a fundamental understanding of the interplay between the structural dynamics of the nanostructure and fluids around, we perform atomistic and continuum mechanics simulations. The resistance-speed relationship is calculated for water flow around carbon nanotubes. We also clarify the effects from boundary slip on the walls of carbon nanotubes, the vibration of carbon nanotubes and heating of water molecules that induces viscosity change. We further show the implications of these understandings in nanoscale-integrated devices through two examples. We firstly look at the viscous damping of carbon nanotube resonators in a humid environment. The condition for a critical damping is determined. Secondly, we study the flow-induced vibration of carbon nanotubes and ZnO nanowires, in the context of mechanical energy harvesting. The efficiency of the system has been discussed in terms of material properties, flow conditions and device setup. The results we present here contribute to not only basic understandings of fluid dynamics at nanoscale, but also concrete design suggestions to multifunctional nanodevices in a realistic environment.
9:00 PM - Y10.25
Enhanced Response Characteristics of SnO2 Thin Film Loaded with Nanoscale Catalytic Clusters for Methane Gas.
Divya Haridas 1 , Arijit Chowdhuri 1 , K. Sreenivas 1 , Vinay Gupta 1
1 Deptt. of Physics and Astrophysics, University of Delhi, India, Delhi India
Show AbstractIn recent years, an increase in usage of methane gas in household and automobile industry has been observed. Methane gas though very useful in domestic and industrial front but has also led to an alarming increase in explosions due to its accidental leakage, as methane is highly explosive even at low concentrations. So detection of methane is always a great cause of concern for safety at home or automobile industries, productions in mines and chemical factories. Although semiconductor gas sensors based on SnO2 have already been in the market, the modifications of the sensing characteristics such as the response and selectivity of the sensor are still under way to meet their ever expanding demands in new applications. In the present work, the response and selectivity of the sensors are improved by integrating various metal catalyst like Palladium, Platinum, Nickel, Gold and Silver metal with SnO2 thin film. All metal catalysts are dispersed in the form of clusters over the surface of sensing SnO2 thin film, and all sensor structures are being studied for the presence of methane in trace level. SnO2 thin film sensor structure with Palladium nano clusters (10 nm thin) as catalyst showed an enhanced response (97.6%) towards 200 ppm of methane gas, and is much higher as that obtained with other sensor structures. The operating temperature of the sensor structure was found to be 220oC, which is relatively low in comparison to the corresponding values ( 300-600oC) reported in the literature. It is identified that both, the Fermi level energy control mechanism and Spill over mechanism plays crucial role for enhanced response with Methane gas. The gas sensing mechanism involves the oxidation reaction of methane with not only the adsorbed oxygen but also with the lattice oxygen especially at higher temperatures, producing CO and H2O via CHn or CHnO intermediates ( 0 < n < 4 ). The response and recovery speed are found to be faster for the sensor structure prepared in the present work. Thus present study dwells into the development of an effective methane sensor with enhanced response characteristics.
9:00 PM - Y10.26
ZnO Nanorod-graphene Hybrid Architectures for High-sensitive Ethanol Gas Sensors.
Jaeseok Yi 1 , Jung Min Lee 1 , Hae Yong Jeong 1 , Won Il Park 1
1 Material Science & Engineering, Hanyang University, Seoul Korea (the Republic of)
Show Abstract The solid state gas sensors based on one-dimensional (1D) metal oxide has attracted a lot of research interests since their large surface to volume ratio can increase the sensitivity of sensors beyond the limitations of planar thin film devices, while high aspect ratio with a single crystal structure provides an efficient pathway for charge carrier transport as the signal collections become more efficient. Here, we present ZnO nanorods and graphene hybrid architectures for high-sensitive gas sensors, where graphene sheet coated with thin metal layers are employed as top electrodes for ZnO vertical-nanorod channels. The ZnO nanorod-graphene hybrid architectures on glass substrates exhibited good optical transmittance larger than ~70% for visible light. On the other hand, we have also fabricated the hybrid architectures on flexible stainless steel (SUS) foils that exhibited the ability to accommodate the flexural deformation 8 cm. In addition, the unique layouts that have pores between the nanorod channels allow for easy and fast gas transport, thereby enabling the ppm level detection of ethanol gas vapor with the sensitivity (resistance in air/resistance in target gas) as high as ~9 to 10 ppm ethanol and ~90 to 50 ppm ethanol. The result suggests that the combination of 1D nanocrystals and 2D graphene improves the performances of the sensors and also imposes additional mechanical and optical functions to the devices.
9:00 PM - Y10.27
Direct Integration of Nanostructured Oxides on Micromachined Platform Batches for Chemoresistive Sensing.
Emanuele Barborini 1 , Matteo Leccardi 1 , Massimiliano Decarli 2 , Leandro Lorenzelli 2 , Paolo Milani 3 4
1 R&D, Tethis, Milan Italy, 2 , Fondazione Bruno Kessler, Trento Italy, 3 Department of Physics, University of Milan, Milan Italy, 4 CIMAINA, University of Milan, Milan Italy
Show AbstractHere we present a method for the direct integration of nanostructured oxide layers on micromachined silicon platform batches for the production of chemical microsensors. The method addresses the demand of production processes, compatible with planar silicon technology, for the parallel functionalization of MEMS batches with nanomaterials.Nanostructured oxide layers were produced by supersonic cluster beam deposition (SCBD), using a pulsed microplasma cluster source (PMCS). A kinetic energy of few tenths of eV per atom preserves cluster original structure, promotes a good adhesion, and avoids any damage or critical heating of the micromachined substrate. Aerodynamic lenses contribute to beam collimation allowing hard mask patterning with sub-micrometric lateral resolution. Process cleanness and delicacy, batch uniformity, lateral resolution, as well as a wide library of synthetizable oxides are the main benefits arising from this approach.Ti, Zr, Hf, Nb, Mo, W, Fe, Pd, Zn and Sn nanostructured oxides were produced and characterized by XPS and AFM. The as-deposited films have generally an amorphous and porous structure at the nanoscales. After annealing at 400°C (beyond the typical operating temperatures of chemoresistive sensors), the amorphous grains rearrange into crystalline, while a limited grain growth preserves the nanostructure and porosity. In order to validate the proposed technological approach, two case-study were investigated: functionalization of standard micro-hotplates, and functionalization of micro-bridges within dual physico-chemical platforms hosting either the transducer for chemical sensing and the transducers for temperature and gas flux measurements.Sensing properties were characterized respect to various oxidizing and reducting species, such as NO2, ethanol, and hydrogen. These measurements suggest a detection limit in the 10-100 ppb range, linearity up to several tens of ppm, and fast response and recovery times. With dual device we simultaneously performed the measurement of air flux and the detection of chemicals. During these measurements, microsensors were operated at temperatures in the range 200-300 °C spending as low as few tens mW of heating power.Due to the general features of the method, new stimulating perspectives in the use of gas-phase cluster beam deposition for the direct and parallel integration of nanomaterials in MEMS can be disclosed: they spread from chemical microsensors as shown, to cantilevers array based biosensors, to microfluidic systems, to microdevices requiring gas gettering parts etc.
9:00 PM - Y10.29
Microfabricated Real Time PCR Chip Integrated with Sample Preparation Functions for the Detection of GMOs.
Hae-Cheon Im 1 , Young-Rok Kim 1
1 Graduate school of Biotechnology, Kyung Hee University, Youngin, Gyeonggi, Korea (the Republic of)
Show AbstractGenetically modified organims (GMOs) have been developed and commercialized in many countries and the use of GMOs as food materials is becoming widespread. GMOs have been characterize by the ability to express an exogenous proteins which confer new properties, such as herbicide tolerance or resistance to viruses, antibiotics and insects. Since many contries have developed laws controlling the marketing of GMOs, there have been increasing needs for an effective analytical method to monitor the presence of GMOs in food and agricultural products. DNA-based detection methods, such as polymerase chain reaction (PCR), have been developed and widely applied for monitoring GMOs in market. GMO detection in plant sample at genetic level requires complicated steps including cell lysis, protein precipitation, RNA elimination, washing and DNA elution. In this study, we report a simple and rapid sample preparation in combination with real time PCR for GMO detection from raw maize sample using microfabricated PCR chip. Micropillar structures fabricated within silicon oxide PCR chip were used for sample preparation followed by real time PCR in the same chamber. DNA purification, concentration and polymerase chain reaction were carried out sequentially in single PCR chamber. Kosmotropic salts, including Na+, Acetate- and SO42-, were employed to grab DNAs in silicone oxide surface. Kosmotropes induced stable water structure on the hydrophillic solid surface and DNAs bound to hydrated surface through hydrophillic interactions while all the other cellular components were washed out. The bound DNAs were released upon the introduction of PCR mixtures and successfully amplified through subsequent thermo cycling. This On-chip-Real-Time PCR system enabled not only qualitative analysis but also quantitative analysis. The performance of this system was tested on cryIAB gene in genetically modified maize, MON 810. This system offers a great means of reducing detection time as well as eliminating the chances of cross contamination since all the procedures inclusing sample preparation and detection are carried out in a single chip with minimum reagents. Moreover nucleic acid enrichment by volume reduction from initial sample to a small single PCR chip led increased detection sensitivity. The microfabricated PCR chip integrated with sample preparation function represents a powerful tool for the detection of specific target genes in plant sample. Furthermore, it offers a useful means for simple, rapid and sensitive detection in Lab-On-a-Chip (LOC) industry.
9:00 PM - Y10.3
Fabrication and Characterization of High Performance Flexible Ferroelectric Devices on Plastic Substrates.
Kwi-Il Park 1 , Keon Jae Lee 1
1 Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon Korea (the Republic of)
Show AbstractFerroelectric thin film materials are being studied great interest for various applications, such as thin film capacitors, piezoelectric microactuators, tunable microwave devices, nonvolatile ferroelectric random access memories (FRAMs), and ferroelectric field effect transistors (FeFETs). Recently research about the printable, flexible, and stretchable technologies are progressing quickly, and there are several reports on flexible ferroelectric devices using polymers, ZnO nanowires on flexible substrates. Thin film materials of perovskite-structure (e.g. BaTiO3, PZT, BST, BiFeO3) directly deposited on plastic substrates are also investigated in the broad areas of ferroelectric devices. However, inherent properties of flexible ferroelectric materials serve to limit commercial applications because the dielectric and piezoelectric properties of these materials were smaller than those of conventional thin film ferroelectric materials on bulk type substrate annealed at high temperature. A primary disadvantage of these ferroelectric materials on plastic substrates resides in the fact that the essential high temperature process for high quality ferroelectric thin film during growth or annealing steps is not available due to mainly the limitation of plastic substrates.Herein, we describe procedure suitable for generating and printing a lead-free microstructured BaTiO3 thin film on plastic substrates. First, BaTiO3 thin film deposited on Pt/Ti/SiO2/(111) Si substrate by RF sputtering was annealed at 700 °C for crystallization and poled at ~ 1kV/cm for 15h. MIM structures were transferred on flexible substrates using a microstructured semiconductor (μs-Sc) technique to overcome limitations appeared in conventional flexible ferroelectric devices. Finally, bendable BaTiO3 powergenerator on plastic substrate was fabricated and the piezoelectric properties and mechanically stability of ferroelectric devices were characterized. From the results, we demonstrate the device on flexible substrates exhibited the considerable and stable piezoelectric properties, and the integration of bio-eco-compatible ferroelectric materials may enable innovative opportunities for artificial skin and energy harvesting system.
9:00 PM - Y10.30
Nanostructured and Flexible Chemical Sensors and Breath Sensors.
Lingyan Wang 1 , Jin Luo 1 , Jun Yin 1 , Jinghu Luo 2 , Peipei Hu 1 , Elizabeth Crew 1 , Susan Lu 2 , Chuan-Jian Zhong 1
1 Department of Chemistry , State University of New York at Binghamton, Binghamton, New York, United States, 2 Systems Science and Industrial Engineering, SUNY at Binghamton, Binghamton, New York, United States
Show AbstractThe thin film assembly of metal nanoparticles on flexible chemiresistor arrays represents an intriguing way to address the versatility of chemical sensor design. Gold nanoparticles in size range of 2–8 nm diameters with high monodispersity (unlinked or linked by molecular mediators) were assembled on a polyethylene terephthalate (PET) substrate to demonstrate the flexible chemiresistor characteristics of the nanostructured materials. The correlation between the relative change in electrical conductivity and the change in dielectric medium constant in response to flexible wrapping of the device demonstrated the viability of manipulating the electrical responses in terms of wrapping direction. The responses of the devices in response to volatile organic compounds (VOCs) were analyzed in terms of particle size, interparticle properties, and substrate–film interactions. The delineation between these factors and the sensing characteristics is useful in enabling a rationale design of the nanostructured and flexible sensors. In addition to detecting mixed VOCs, the preliminary results of the detection of acetone and other VOC biomarks in human breaths related to diabetics will also be discussed.
9:00 PM - Y10.31
Synthesis of Poly (N-methyl aniline) Nanoparticles without Stirring Reaction Medium and Its Application as H2S Sensor.
Vasant Chabukswar 1 , Navnath Dhomase 2 , Sanjay Bhavsar 3 , Amit Horne 4 , Bahule Bharat 5
1 Chemistry , , Nowrosjee Wadia College , Pune, Maharashtra, India, 2 Chemistry, Nowrosjee Wadia College , Pune, Maharashtra, India, 3 Chemistry, Nowrosjee Wadia College , Pune India, 4 Chemistry, Nowrosjee Wadia College , Pune, Maharashtra, India, 5 Chemistry, K.T.H.M.College Nashik , Nashik, Maharashtra, India
Show AbstractPolyaniline has elicited the most interest due to its wide range of conductivity, unique redox tunability, good environment stability, low cost, ease of synthesis and promising application in many fields. But intractability i.e. infusibility and insolubility is the main factors that affects the application of polyaniline. Dispersing of polyaniline is the most effective approach to solve this problem. We have studied and worked on synthesis of dispersible polyaniline and its results by some novel methods which are described here. Poly (N-methyl aniline) nanoparticles with better morphology prepared without stirring reaction mass and using acrylic acid as a soft template. Chemical oxidative polymerization of N-methyl aniline was carried out in two different reaction condition ‘with and without stirring’ using an organic acid (DL-tartaric Acid) as dopant, ammonium per sulphate (APS) as oxidant and acrylic acid as soft template. The effect of stirring on morphology of polymer has been studied. It is found that polymer formed in non stirring experiment predominantly produce highly dispersible, smooth nanoparticles with controllable morphology. These nanoparticles are having large surface area and thereby high conductivity of polymer. Mechanism for generation of well dispersed and smooth nanoparticles is supported by homogeneous nucleation of polymer nanoparticles in non stirred experiment and role of acrylic acid as soft template. In case of experiment where reaction mixture was stirred at 1000 RPM exactly opposite results were obtained. In this case comparatively coral-like, granular and uncontrolled polymer particles were formed. Mechanical stirring induces heterogeneous nucleation and forced newly formed particles to collide on each other and triggers aggregation of particles. These coral like granular particles are having comparatively small surface area and less conductivity. Conductivity measurement, UV- visible, XRD, FTIR spectroscopy and SEM were performed to characterize the product. The synthesized product was used as sensor for H2S. It is found that this newly developed polymer prepared without stirring reaction medium can be used effectively as a sensor for H2S.
9:00 PM - Y10.32
Study of Synthesis and Characterization of Poly(N-Methylaniline) Nanoparticles and Application as Sensor for H2S Gas.
Vasant Chabukswar 1
1 Chemistry, Nowrosjee Wadia college , Pune, Maharashtra, India
Show AbstractOrganic acid doped poly(N-methylaniline) PNMA were synthesized by chemical oxidative polymerization. This is new chemical polymerization method developed for the direct synthesis of emeraldine salt form of poly(N-methylaniline) which exhibit remarkably improved solubility in common organic solvents such as NMP and m-cresol. This synthetic route is facile and can be carried out on a large scale easily. The synthesized material was used as sensors for H2S gas. The results are discussed with DL-(±)-Tartaric acid doped poly(N-methylaniline) and after exposing to H2S gas. These polymers have been characterized by analytical techniques such as UV-Visible, FTIR, XRD, SEM and conductivity measurement. The UV-visible spectra of DL-(±)-Tartaric acid doped PNMA in m-cresol showed a free carrier tail commencing at 980nm which may be attributed to secondary doping due to extended coil confirmation. The peak 310-325 nm corresponds to the π-π* transition of the benzenoid ring. The FTIR spectra showed two bands appearing at ~1580-1590 cm-1 and ~1495-1504 cm-1 can be ascribed to stretching vibration of quinoid and benzenoid ring of emeralding conductive forms of PNMA respectively and also shows a broad and intense band at ~3734 and 1157-1089 cm-1 in DL-(±)-Tartaric acid doped PNMA account for higher doping level. This is further manifested by the X-ray studies and conductivity measurements. X-ray studies shows that poly(N-methylaniline) doped with tartaric acid exhibits higher crystallinity. It was found that the polymer synthesized using DL-(±)-Tartaric acid in presence of tartaric acid is formed in conducting emeraldine oxidation state and displayed higher doping level and crystallinity. The SEM study shows that the fibrillar material obtained with diameters of 1 to 3μm. The particles were spherically shaped with comparatively smoother particle surface, but their high tendency to aggregate hindered the recording of SEM images with perfectly spherical particle. However, their distribution of diameters was uniform, and the surface of fibrillary poly(N-methylaniline) was smooth. This suggested that the secondary growth could be suppressed due to the shorter time of polymerization. The synthesized polymer nanoparticles were used as sensor for H2S gas which exhibits higher sensitivity and reversibility. The results were explained in terms of the differences in the chemical interactions with the H2S gas. The conductivity of Poly(N-methylaniline) (0.6 x 10-2 Scm-1)is seen to decrease on exposure to H2S gas (0.4 x 10-1 Scm-1) this is because H2S gas molecule interact with the polymer chains.
9:00 PM - Y10.33
Integration of Nanowires in Novel Sensors: Demonstration Prototypes and Future Devices.
Roman Jimenez-Diaz 1 , J.Daniel Prades 1 , Francisco Hernandez-Ramirez 2 3 , Albert Romano-Rodriguez 1
1 Department of Electronics, University of Barcelona, Barcelona Spain, 2 IREC, Catalonia Institute for Energy Research, Barcelona Spain, 3 , Electronic Nanosystems S.L., Barcelona Spain
Show AbstractThe exclusive properties of nanoestructured materials in respect to bulk materials have attracted research efforts because of their potential applications in electronic devices [1]. Although great advance has been produced in synthesis and characterization of the fundamental properties and sensing mechanism of the materials, the fabrication of reliable and reproducible sensors based on these structures is still scarce due to the difficulties of the manipulation and characterization of the sensors [2].One of the most successful approaches has been the use of Focused Ion Beam nanolithography for the fabrication of electrical contacts to individual nanowires. Contacts are fabricated with a FEI Dual-Beam Strata 235 FIB instrument with a trimethyl - methylcyclopentadienyl – platinum injector to deposit platinum. To guarantee that the contact process doesn’t alter the properties of the nanowire, the deposition of platinum stripes over the nanowire are performed with electron beam, which is known to be less destructive than the ion beam, while stripes deposited with ion beam are used far from the nanowire to extent the contacts if necessary [3]. These contacts enabled the study of intrinsic properties of the nanowires and the application of these nanowires to sensors as a proof-of-concept prototype. Demonstration of the gas sensing properties of these nanowires has been possible by measuring the DC electrical response of the nanowire to changes of the gas components in the surrounding atmosphere. Metal oxide nanowires (SnO2, ZnO, In2O3, etc.) showed enhanced response to reducing and oxidizing gases with respect to bulk materials thanks to the high surface-to-volume ratio of these nanostructures. Moreover, compared to sensors based no nanoparticles or nanostructured layers, faster responses were obtained due to the lack of parasitic effect like gas diffusion among nanograins. Since metal oxides’ gas sensing response strongly depends on the temperature, nanowires can be integrated in MEMS structures consisting of a hotplate with integrated microheaters, enabling the resulting devices for high temperature and fast operation.Finally the photoconductivity properties inherent to metal oxides can be exploited in the form of nanowires by the use of these devices also as photodetectors. UV light pulses can be clearly detected by these sensors, and moreover, light can be used instead of temperature to enhance the gas sensing response.The potential of metal oxide nanowires for their integration in sensing devices and the possibility of developing highly efficient future sensors will be discussed.1. Xing-Jiu Huang et al, Sensors Actuators B 2007 122 659.2. Y. Chen, et al, Nanotenology 2007, 18, 285502.3. F. Hernandez-Ramirez, et al, Sensors and Actuators B 2006 118 198.
9:00 PM - Y10.34
Noise Mitigation Techniques for Carbon Nanotube-based Piezoresistive Sensor Systems.
Michael Cullinan 1 , Martin Culpepper 1
1 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractCarbon nanotube-based piezoresistive strain sensors have the potential to outperform traditional silicon-based piezoresistors in MEMS devices due to their significantly greater strain sensitivity. However, the resolution of CNT-based piezoresistive sensors is currently limited by excessive 1/f or flicker noise. In this presentation we will demonstrate several noise mitigation techniques that can be used to decrease noise in the CNT-based sensor system without reducing the sensor’s strain sensitivity. Flicker noise is believed to be caused by the capture and release of charge carriers in localized trap states in the CNT. As sensor systems are scaled down to the nanoscale, as with carbon nanotube-based sensors, the number of charge carriers is reduced and flicker noise increases. In order to decrease flicker noise without reducing the sensitivity of the sensor system, several noise mitigation techniques were employed in the fabrication of the CNT-based piezoresistive sensors. First, the CNTs were placed in a parallel resistor network to increase the total number of charge carriers in the sensor system. By carefully selecting the types of CNTs used in the sensor system and by correctly designing the system it is possible to reduce the noise in the sensor system without reducing sensitivity. The CNTs were also coated with aluminum oxide to help protect the CNTs from environmental variations. Finally, the CNTs were annealed to improve contact resistance and to remove adsorbates from the CNT sidewall. Overall, using these noise mitigation techniques it is possible to reduce the total noise in the sensor system by several orders of magnitude while maintaining the high strain sensitivity of the single CNT-based piezoresistive sensor system. Therefore, these noise mitigation techniques can be used to significantly improve the resolution of state-of-the-art piezoresistive sensor systems.
9:00 PM - Y10.35
Differential Charge Transfer Characteristics of Graphene for Impedance-based Biosensing.
Yun Xing 1 , Hong Huang 2 , Yan Zhuang 1
1 Electrical Engineering, Wright State University, Dayton, Ohio, United States, 2 Mechanical & Materials Science Engineering, Wright State University, Dayton, Ohio, United States
Show AbstractIntroduction: Graphene has attracted a lot attention during the past few years because of its unique structural and electronic properties. The special electrical and mechanical characteristics of graphene have been broadly studied and used in quantum electrical devices, nanocomposites, electromechanical resonators, and ultrasensitive sensor with exceptionally low noise. Despite growing use of graphene in the fields of physics, nanomechanics and nanoelectronics, only a limited number of applications to biological systems have been explored. Reports on graphene and graphene derivatives- based impedance biosensors have been scarce. In this paper, we have studied the charge transfer characteristics of graphene-coated electrodes and discovered distinct difference in the electrochemical impedance spectra of graphene versus multi-wall carbon nanotube under otherwise identical conditions. We believe this type of information is critical in developing graphene based EIS biosensors. Materials and Methods: Nano-graphene-platelets (NGPs) (~ 1 nm thick) were obtained from Angstron Materials, and muti-wall carbon nanotubes are purchased from Sigma. A certain amount of graphene (or MWNT) was mixed with 5% PVDF to form a homogenous paste. The paste was then applied onto a carbon electrode and heated-dry for around 30 min. K4Fe(CN)6 in 1 M KCl was used as the redoc marker for impedance measurements. As a comparison, electrodes without any coating were also included in the measurements. The impedance spectra were collected using a Gamry electrochemical station at the equilibrium potential of the redox reaction and the frequency ranges from 0.1 Hz to 500 KHz. Results: Among the three electrode conditions, both coatings (graphene and MWNT) seemed to accelerate the electrochemical reaction. Impedance spectra of graphene-coated electrodes clearly demonstrated a smaller RCT than both the un-coated and MWNT-coated electrodes. This suggests the surface charge transfer characteristics of the graphene-coated electrodes are different from the MWCT-coated electrodes. It is likely that surface charge transfer resistance of the graphene-coated electrodes is significantly lower than the un-coated or MWNT-coated electrodes; making graphene a suitable coating material for EIS based biosensing.
9:00 PM - Y10.36
Resonantly Enhanced Infrared Absorption with Tunable Compliant Metamaterials.
Imogen Pryce 1 , Koray Aydin 1 , Yousif Kelaita 1 , Ryan Briggs 1 , Harry Atwater 1 2
1 Thomas J. Watson Laboratories of Applied Physics, California Institute of Technology, Pasadena, California, United States, 2 Kavli Nanoscience Institute, California Institute of Technology, Pasadena, California, United States
Show AbstractSurface enhanced spectroscopic techniques such as SERS and SEIRA are widely used for sensing biological and chemical agents, and the sensitivity of such sensors depends on the high electric field intensities of nanostructured surfaces. Typically, these sensors are limited by the constituent materials at the time of fabrication. Ideally, however, they would be tunable in-situ in order to operate over a broader bandwidth. We propose an approach to designing tunable, highly sensitive sensors using metamaterials, composites made up of subwavelength elements. We use strongly coupled split-ring resonator (SRR) based metamaterials as resonantly enhanced sensors, given the high electric fields attainable in the nanoscale gap regions of these designs. We also draw from lessons learned in the flexible electronics world and exploit the elastic and plastic deformation properties of polydimethylsiloxane (PDMS) to design frequency tunable metamaterials where greater than linewidth tunability can be achieved.In this work, we use coupled SRRs in order to demonstrate precise control over frequency tuning and electric field enhancement. Arrays of 100 nm thick Au resonators are patterned over a 100 μm region via e-beam lithography on Si. The Au is functionalized using 3-mercaptopropyl trimethoxysilane in order to improve adhesion to the PDMS. PDMS is then cured on the patterns, and the Si is etched leaving a free-standing PDMS substrate patterned with SRR arrays. Reflection spectra of the arrays are measured using FTIR spectroscopy between 2 and 6 µm. A custom-built stage is used to stretch the resonator arrays inducing a shift in the resonant peak position.Both experimental and simulated results for the tunable SRR arrays will be presented. Our results show that the resonant peak position can be shifted by up to 400 nm, a full linewidth shift for two asymmetrically coupled SRRs spaced 60 nm apart. Full field electromagnetic simulation is used to corroborate the experiments and guide the design of more complex resonator elements. Finite element method modeling of the mechanical deformation will also be discussed with a view to understanding the plastic deformation of different resonator designs.These compliant metamaterials have important implications for a broad range of applications. We show that the mechanical tunability can be used to optimize alignment with the IR vibrational mode for the C-H stretch bond. A signal enhancement of almost 180 is observed when the resonance of the metamaterial coincides with that of the vibrational mode. This suggests that this compliant platform could have important implications for in-situ tunable IR sensing. In addition to engineering the resonance frequency, we demonstrate that by enhancing the electric field in the gap regions of the resonators, we can greatly increase the IR signal, enabling sensing of low-concentration solutions. These and other novel applications of stretchable, tunable metamaterials will be discussed.
9:00 PM - Y10.37
Study of Carbon Nanostructures by FePc-assisted Chemical Vapor Deposition Growth.
Dionne Hernandez 3 4 , Frank Mendoza 1 2 , Gerardo Morell 1 2
3 Center for Advance Nanoscale Materials, University of Puerto Rico, San Juan, Puerto Rico, United States, 4 Department of Chemistry, University of Puerto Rico, San Juan, Puerto Rico, United States, 1 Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, Puerto Rico, United States, 2 Department of Physics, University of Puerto Rico, San Juan, Puerto Rico, United States
Show AbstractSynthesis of carbon-based nanostructures were obtained using Iron(II)Phthalocianine (FePc) powder as carbon source. Our structures were obtained with a controlled direction on a silicon substrate and Au pattern. The synthesis was performed using Fe nanoparticles on different metallic substrates, copper and molybdenum, like the catalyst using hot filament CVD process before the pyrolisis of FePc using thermal CVD treatment, which was carried out using under an atmosphere of argon/ethanol. Structural analysis were performed on the carbon nanostructures such as SEM, TEM, XRD, Raman and Field Electric Emission as well as some preliminary characterizations for applications as possible anode materials for rechargeable batteries.
9:00 PM - Y10.38
Effects of Adsorption on Contacts and Substrate on the Performance of Nanoribbon-based Gas Sensors.
Amir Farajian 1
1 Mechanical and Materials Engineering, Wright State University, Dayton, Ohio, United States
Show AbstractNanosensors based on graphene nanoribbons can be used to detect and distinguish different gas molecules, based on the changes induced in electronic transport characteristics. One of the important issues in assessing the sensitivity of such nanosensors is their response to gas molecules adsorption on contacts and substrate, compared to their response to gas molecules adsorption on the nanoribbons themselves. We study detection of CO, CO2, and NO2 by nanoribbon-based gas sensors. By considering gas molecules adsorption on typical contacts and substrates, the effects of these secondary adsorption mechanisms are compared with those of the primary adsorption on the nanoribbons themselves. The electronic transport characteristics are calculated based on ab initio electronic properties. The changes induced in transport characteristics are results of mutual interactions of the adsorbed molecules and the surface atoms of the contacts or substrate. Implications of the results on the performance of nanoribbon-based gas sensors are discussed.This work is supported by the National Science Foundation grant ECCS-0925939
9:00 PM - Y10.39
A New Mechanism of Nanoscale Chemical Sensing: Reversible and Catalytic Multi-gas Detection Using Semiconducting Binary ZnO and SnO2 and Ternary Zn2SnO4 Single Crystalline Nanowires Arrays.
Andy Cai 1 , Puxian Gao 1
1 , University of Connecticut, Storrs, Connecticut, United States
Show AbstractBoth binary ZnO and ternary Zn2SnO4 nanowire arrays were found sensitive to ethanol at ~150 oC in both close and open environments upon ~150 ppm ethanol pulses, resulting in a sensitivity of 10 and 28, respectively. Positive and negative responses were observed in these two sensors, respectively. The increased conductivity in ZnO nanowire sensor is attributed to the direct sensing of ethanol molecules as a result of surface donation of electrons from ethanol reduction. The reduced conductivity in Zn2SnO4 sensor might be due to the catalytic activation of ambient oxygen detection triggered by the ethanol pulses associated with residual Ag2O nanoparticles decorated around the nanowire surfaces and electrodes-nanowire film interfaces. In order to verify the theory, Ar plasma treatment was utilized in Zn2SnO4 sensor and a reversed sensing trend was achieved, leading to resistance drop upon ethanol pulses. SnO2 single crystal nanowire arrays made from CVD and hydrothermal methods were also studied to benchmark to the sensing performance of binary ZnO and ternary Zn2SnO4 single crystalline nanowire arrays. Binary oxides nanowires can acheive high sensitivity on dedicated gas environment. And the ternary oxides nanowires have been demonstrated to catalytically detect several different gases given the right catalyst, i.e, Ag2O. The semiconducting oxide nanowires decorated with Ag2O nanoparticles presented here could bring up new nanoscale chemical sensor design through a unique reversible catalytic oxidation/redox chemical detection mechanism. They may find potential applications in vehicle and petrochemical environmental monitoring and control.References[1] W.J. Cai, P. Shimpi, D.L. Jian, and P.-X.Gao, "Oxide-catalyzed growth of Ag2O/Zn2SnO4 hybrid nanowires and their reversible catalytic ambient ethanol/oxygen detection," J. Mater. Chem., 2010, 20, 5265-5270. (Front Cover)[2] W.J. Cai, and P.-X. Gao, “Catalytically enhanced nanowire sensors for reversible and ultrasensitive detection of reductive and oxidative dual atmospheres,” to be submitted, 2010.[3] W.J. Cai, P.Shimpi, P.-X.Gao, “Chemical and thermal stability of ZnO/(La,Sr)CoO3 composite nanowire arrays” .to be submitted, 2010[4] H. Gao, W.J.Cai, P Shimpi, H.-J Lin and P.-X Gao, “(La,Sr)CoO3/ZnO nanofilm–nanorod diode arrays for photo-responsive moisture and humidity detection”, J. Phys. D: Appl. Phys. 43 (2010)
9:00 PM - Y10.4
Synthesis and Rectifying Characteristics of of ZnO/(La,Sr)CoO3 Nanodiode Arrays.
Haiyong Gao 1 , Wenjie Cai 1 , Hui-jan Lin 1 , Gang Liu 1 , Paresh Shimpi 1 , Pu-Xian Gao 1
1 Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States
Show AbstractLarge scale ZnO/(La,Sr)CoO3 (LSCO) nano diode arrays have been successfully fabricated with well controlled dimensionality, crystal structures, and device structures. ZnO nanorod films have been fabricated on the sintered SiO2/Si(100) substrates by a hydrothermal method. And LSCO composite films have been deposited on ZnO nanorod arrays by a colloidal deposition process. The morphologies and crystal structures of the ZnO/LSCO composite nanorod arrays were characterized with field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), and X-ray diffraction (XRD). The I-V characteristics of the diode arrays have been investigated. The heterostructure diode of the LSCO thin film coated on ZnO nanorod arrays and ZnO nanorod arrays exhibited an excellent rectifying behavior. The UV and different humidity conditions were introduced during the measurements of I-V property. The unique ZnO/LSCO nano diode arrays have been found to be sensitive to UV illumination and different relative humidity at room temperature. A negative photoconductivity response is detected upon UV illumination on the diode arrays, as a result of a detection process of desorption of surface absorbed water moisture. And the forward current of the ZnO/LSCO nanofilm-nanorod diode increases significantly with increasing relative humidity. This unique nanostructured diode arrays could be useful as photo-responsive moisture and humidity detectors.
9:00 PM - Y10.40
Development of Multifunctional Chemical Sensor Based on Highly Organized Non-covalently Functionalized SWNT Networks.
Aniket Datar 1 , Young-Lae Kim 1 , Jun Huang 1 , Sivasubramanian Somu 1 , Yung-Joon Jung 1 , Ahmed Busnaina 1
1 Mechanical Engg., Northeastern University, Boston, MA, Massachusetts, United States
Show AbstractInteractions between the single wall carbon nanotube (SWNTs) and its environment is advantageous for developing SWNT based sensors and actuators. SWNTs alone with their intrinsic electronic properties will not work as a Universal Gas Sensor but on the other hand due to their high thermal and mechanical stability, they provide an opportunity to develop a gas sensor that can work under robust environmental conditions. In order to achieve such a task, changes in the surrounding environment will have to be detected selectively by employing devices with decorated/ functionalized SWNTs with different sensory molecules. Molecules attached to SWNT walls i.e. on exterior can alter their properties by formation of charge transfer complex or redox reactions occurring in the close proximity. In CHN, we have already demonstrated our expertise in assembling SWNTs by different assembly techniques i.e. fluidic assembly, electrophoretic assembly, dielectrophoretic assembly and have successfully assembled them across the electrodes of different dimensions and geometries. SWNT based sensors have been demonstrated for sensing gases like alcohols, hydrogen sulfide, ammonia etc. Owing to different redox properties of these gasses it is difficult to understand the exact sensing mechanism that leads to the changes in conduction characteristics of SWNTs. So here we demonstrate our current approach to incorporate these assembled SWNT that are decorated by different sensory molecules for developing functional devices as highly specific gas sensors. Resistance based measurements (non FET) so far have shown that the sensors can detect the gases as low as 10 ppm and FET based sensor will have a detection limit down to ppb level. Sensing mechanism is investigated by cyclic voltametry, Uv-Vis spectroscopy whereas different techniques for the non-covalent functionalization are investigated using FTIR and TGA analysis.
9:00 PM - Y10.42
Developement of ZnO-SWNT Hybrid Structures for Gas Sensing Applications.
Sandra Hernandez 1 , James Kakoullis 1 , Jae Hong Lim 1 , Sayeed Mubeen 1 , Ashok Mulchandani 1 , Nosang Myung 1
1 Chemical Engineering, University of California Riverside, Riverside, California, United States
Show AbstractSensors are existing and emerging components in a spectrum of fields ensuring emission compliance of greenhouse gases in the industrial sector as well as worker safety, and on the homeland security front gas sensors can provide an early warning to vaporized or aerosoled toxins. There are also applications in space exploration, validating proper operation of space shuttles as well as the safety and well being of its crew members. In the aerospace industry, sensors are critical for spacecraft to function. The utilization of stable, selective and compact sensors have direct applications in atmospheric profiling, by continuously monitoring in a quantifiable manner the presence or absence of emissions in the atmosphere such as aerosol toxins, hazardous volatile organic compounds and green house gasses. Such information inquiry could increase our understanding and predicting power of fate and transport by making these sensors ubiquitous components. The overall objective of this work is to develop a high density sensor array using SWNT hybrid structures as the platform material for the realization of highly sensitive, selective and discriminative gas sensors. To conceive such desired sensor characteristics, SWNT sensors are being developed and characterized by diverse routes. On the sensor development side, SWNTs are surface functionalized with active materials such as metal oxides. Further in-depth assay is performed by detailed exploration of material engineering and addition of metal catalysts. On the characterization side, examination of various measuring modes is investigated to evaluate enhanced sensor read out as well as use of pattern recognition and principle component analysis to finger-print sensor response. Detailed investigation on a large pool of analytes is being performed ranging from environmental relevant analytes such as low molecular weight molecules (NO2, NH3, H2, CH4, CO, SO2, H2S, O2), aromatic compounds (benzene, ethyl benzene, toluene, xylene) and volatile organic compounds produced by industrial emissions (alcohols, ketones, hydrocarbons, aldehydes). Overall, the sensors showed limited interference by humidity and have longer life times compared to its polymer adversaries. My current ongoing research has demonstrated the ZnO-SWNT hybrid structures to exhibit characteristic selectivity towards H2S, with enhanced sensitivity, response and recovery time contingent of crystal orientation; additionally as prepared ZnO-SWNT hybrid structures disclosed conductance cognizance of xylene isomers (ortho, para, meta). Furthermore, at the hand of sequential incorporation of metal catalysts (Au, Ag, Pt, Pd, Fe) the ZnO-SWNT system showed distinct charge dependant pattern recognition towards less detectable analytes such as CO2, SO2 and NOx.
9:00 PM - Y10.43
Nanofabrication of Conductive PEDOT Nanofin with a High Aspect Ratio and Its Chemical Sensor Ability.
Sota Funamoto 1 3 , Masashi Kunitake 3 , Shyh-Chyang Luo 4 , Hsiao-Hua Yu 4 , Shigenori Fujikawa 1 2
1 Interfacial Nanostructure Research Lab., RIKEN, Wako, Saitama, Japan, 3 Department of Applied Chemistry & Biochemistry, Faculty of Engneering , Kumamoto Univ., Kumamoto, Kumamoto, Japan, 4 RIKEN, Yu Initiative Research Unit, Wako, Saitama, Japan, 2 CREST, JST, Wako, Saitama, Japan
Show AbstractConductive polymer such as PEDOT is promissing next generation material toward flexible electric deveices. Nanofabrication of conductive polymer attracts much attentions because of its unique properies based on its nanometer size effect. In this report, we newly developed the facile fabrication of nanofin structure of PEDOT with high aspect ratio. The electric properties of single PEDOT nanofin with different aspect ratio at nanometer size region were examined. And their electric responce against chemical vapors were also investigated. PEDOT nanofin shows vapor specific electric responce and is applicable for chemical sensors.
9:00 PM - Y10.44
Carbon Nanotubes and Graphene Micro-assemblies for Gas Sensing.
Sam MacNaughton 1 , Sumedh Surwale 2 , Sanjeev Manohar 2 , Sameer Sonkusale 1
1 Department of Electrical and Computer Engineering, Tufts University, Medford, Massachusetts, United States, 2 Department of Chemical Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractWe present a single chip integrated with carbon nanotube (CNT) and reduced graphene oxide micro-assemblies for gas sensing. Dielectrophoretic assembly was utilized to create micro-assemblies between gold electrodes from dispersions of carbon nanotubes and rGO platelets. The resultant assemblies show a change in resistance upon the interaction with certain gases. The assemblies were exposed to various volatile organic compounds and the ensuing resistance step was tabulated. The responses are consistent and reversible. Little variation is observed between assemblies of the same type (CNT or rGO) on chip. Furthermore, due to the extreme dimensionalities of these materials, these resistance changes can be much greater than those observed during chemisorption in bulk materials. Fractional changes in resistance exceeding forty percent were observed. The resistance of the assemblies can be tuned by adjusting the assembly voltage, frequency, and dispersion concentration, and optimal parameters for creating gas detecting devices are presented. The sensing platform is CMOS-compatible and extensible to other gas sensitive nanomaterials.
9:00 PM - Y10.45
Nanowire Integration and Contact Resistance Reduction via Soldering on CMOS.
Sam MacNaughton 1 , Xiaopeng Li 2 , Zhiyong Gu 2 , Sameer Sonkusale 1
1 Department of Electrical and Computer Engineering, Tufts University, Medford, Massachusetts, United States, 2 Department of Chemical Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractDue to their exceptional surface to volume ratios, nanowires have great potential in the realm of sensors. However, contact formation of the nanowires with underlying substrate has remained a great challenge. Especially, for sensor application, high contact resistance can dwarf the nanowires actual sensor response. Solder reflow and annealing are promising but cannot be implemented locally. We present a method of soldering nanowires through controlled resistive self-heating. Indium-Tin alloy nanowires were fabricated by electrochemical deposition through an anodized aluminum oxide template. These nanowires were assembled by dielectrophoresis between tin electrodes on silicon and CMOS substrates. Exposed aluminum electrodes in the topmost metal of CMOS circuitry were selectively coated with tin via an electroless tin plating process to create a solderable surface. The nanowire assemblies generally contained one to ten nanowires.
Solder connections between the nanowire and the electrode were attained by joule heating. Because the resistance of the assembly is dominated by contact resistance, joule heating predominately occurs at the ends of the nanowire. A burst of constant voltage microsecond pulses were applied to the electrodes to achieve soldering action. This process was repeated iteratively while increasing the duty cycle, amplitude and duration of the pulse train until the resistance was observed to settle at a constant value. Typically, a tenfold drop in resistance was observed, and calculated contact resistances were on the order of 1x10-7 Ω-cm2.
9:00 PM - Y10.5
Investigations of Structure and Surface Termination on the Electronic Structure of V2O3 Nanowires.
Amanda Tiano 1 , Stanislaus Wong 1 2 , Maria Fernandez-Serra 3
1 Chemistry, Stony Brook University, Stony Brook, New York, United States, 2 Condensed Matter Physics and Materials Science, Brookhaven National Laboratory, Upton, New York, United States, 3 Physics and Astronomy, Stony Brook University, Stony Brook, New York, United States
Show AbstractWe report a two-fold approach investigating the electronic structure of V2O3 nanowires by 1) a theoretical study in both bulk and nanowire form by using density functional theory (DFT) calculations employing spin and non spin-polarization and 2) surface specific nano-Auger electron spectroscopy (AES) on as-synthesized V2O3 nanowires. As expected for a mean-field approach as DFT, our optimized bulk V2O3 structure is shown to be metallic in nature. The bulk of this study focuses on the analysis of structural changes and possible surface terminations of V2O3 nanowires. Our results show that <001> grown nanowires are metallic in nature and are indeed spin-polarized systems. Furthermore, we find that a nanowire model with closed-shell oxygen surface termination is semiconducting, very close to being semimetallic, with a small bandgap of about 13 meV. This is consistent with the experimentally observed gap recently reported in the literature for similar wires. Complementary studies employing nano-AES on V2O3 nanowires showed a higher O: V peak ratio (1.93: 1) than expected for pure V2O3, suggesting a higher oxygen content at the surface of the nanowires. From our results, we conclude that oxygen termination is likely the termination for the as-synthesized V2O3 nanowires.
9:00 PM - Y10.7
Quantum Conductance of Atomic and Molecular Bridges by Using First-principles Calculations and Nonequilibrium Green’s Function Formalism.
Hiroshi Mizuseki 1 , Sang Uck Lee 1 , Rodion Belosludov 1 , Yoshiyuki Kawazoe 1
1 , Institute for Materials Research, Tohoku Univ., Sendai, Miyagi, Japan
Show AbstractMolecular devices are potential candidates for the next step towards nanoelectronic technology. The main challenge in molecular devices is to establish that nanoscale materials are capable of performing all of the basic functions of conventional electronic components such as wires, diodes and transistors. Our group has covered a wide range of nanoscale materials by theoretical approach [1]. In this presentation, we will present the transport properties of molecule wires using the nonequilibrium Green’s function formalism for quantum transport and the density functional theory (DFT) of electronic structures using local orbital basis sets. We investigate the coupling effects between the sulfur atom and the metal surface by adjusting their distance in a very small range, and calculate the I-V behaviors of nitrogen-doped capped carbon nanotubes [2], fused porphyrin [3], metallocene, fused thiophene wires, and so on.[1] http://www-lab.imr.edu/~mizuseki/nanowire.html[2] S.-U. Lee, et al., Small 5 (2009) 1769.[3] S.-U. Lee, et al., Small 4 (2008) 962.
9:00 PM - Y10.8
Ferroelectric LiNbO3 Nanocubes: From Synthesis to Nanodevices.
Xiangyang Kong 1
1 School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai China
Show AbstractFerroelectric materials exhibit a wide spectrum of functional properties which are ideal for use in electronic devices such as sensors, actuators, transducers, and nonvolatile memories, etc.. However, the ferroelectric nanocrystals perform the distinct behaviors from bulk ceramics. Here, we report ferroelectric LiNbO3 nanocubes syntehsized by hydrothermal method. The ferroelectric LiNbO3 nanocubes were synthesized under control and performed the uniformed and unisized cubic shape with the side length typically in 200 nm. With respect to nanoscale ferroelectric behaviors, we managed to assemble the unisized nanocubes into order array on conducting substrate, and employed the piezoresponse force microscopy to image the domain structures in these ferroelectric nanocrystals with high resolution as well as to examine the dynamic switching behavior and ferroelectric relaxation at the nanoscale. The assembly of nanocubes into monolayer array can be tailored into the desired electrical and mechanical properties by controlling the low-dimensional ferroelectric structures and the coupling between the ferroelectric domains for prototype nanodevices.
9:00 PM - Y10.9
Location Controlled Single-grains Formed by Excimer Laser Crystallization of Sub-50 nm Silicon Thin Film Stripes.
Wenxu Xianyu 1 , Yeonhee Kim 1 , Junho Lee 1 , Yongyoung Park 1 , Wooyoung Yang 1 , Changyoul Moon 1
1 , Samsung Electronics, Yongin-si Korea (the Republic of)
Show Abstract In most poly-Si thin film transistor (TFT) applications, high-quality thin films with well-aligned grains boundary on the insulator substrate are required. Especially, for future various functional devices integrated advanced System-on-Glass (SOG) and for high-density, high-performance 3-dimensional (3-D) stacked ICs, the single-crystal-like Si are required in the TFTs active area. Therefore, fabrication of grain boundary location-controlled single-grain Si on insulator substrate at low process temperature is a key technology in the future wide poly-Si TFTs application. It is well known that highly crystalline poly-Si thin film can be obtained by advanced pulse excimer laser annealing (ELA) method on insulator substrates at low temperature without the substrates thermal damage. On the other hand, pulse excimer laser irradiate on micronscale pre-patterned a-Si thin films were reported. In this technique, the surface-tension effect on pre-patterned a-Si stripes during melting can be improving crystallization quality. The detailed crystallization mechanism of pre-patterned Si stripes is not yet clear. However, studies involving the effect of scaling down the width of pre-patterned stripes to nanoscale on the mechanism of ELA crystallization have not been given much attention. In this talk, we demonstrate a method for fabricate the location-controlled single-grain Si accurately in nanoscale pre-patterned a-Si stripes on insulator by pulse excimer laser induced lateral crystallization. Various pattern widths of a-Si stripes from 30 nm up to 2 μm were prepared by standard photolithographic and spacer pattering lithography, respectively. The a-Si stripes with SiO2 sidewall was locally covered by Al/SiO2 layer that is for laser reflector and heat sink. A single pulse excimer laser irradiation was then performed used to melt the exposed a-Si stripes. We find that, when the width of the a-Si stripes was down to nanoscale, lateral grown single-grain Si can be induced from the unmolten seeds region under the Al/SiO2 layer.
Symposium Organizers
S. Thomas Picraux Los Alamos National Laboratory
James B. Hannon IBM T. J. Watson Research Center
Mark Reed Yale University
Wim C. Sinke ECN Solar Energy
Y13: Poster Session: Novel Synthesis, Assembly, Nanocomposites & Supercapacitors
Session Chairs
Thursday PM, December 02, 2010
Exhibition Hall D (Hynes)
1:00 AM - Y13: Novel Matl
Y13.27 Transferred to Y14.6
Show AbstractY11: Novel Synthesis & Assembly for Integration
Session Chairs
Julio Martinez
John Sullivan
Thursday PM, December 02, 2010
Ballroom B, 3rd floor (Hynes)
9:00 AM - **Y11.1
Adding New Capabilities to Silicon CMOS Integrated Circuits via Deterministic Programmed Assembly.
Theresa Mayer 1 2 , Jaekyun Kim 1 , Thomas Morrow 3 , Boone Won 1 , Wenchong Hu 1 , Xiahua Zhong 1 , Stacey Dean 3 , Kaige Sun 1 , Christine Keating 3
1 Electrical Engineering, Penn State University , University Park, Pennsylvania, United States, 2 Materials Science and Engineering, Penn State University , University Park, Pennsylvania, United States, 3 Chemistry, Penn State University , University Park, Pennsylvania, United States
Show AbstractIntegrating functionalized nanowires directly onto Si CMOS chips has the potential to combine highly selective and sensitive chemical and/or biological sensing capabilities with electronic signal processing in a single ultra compact, low power platform. Conventional integrated circuit manufacturing methods place considerable limits on the range of and number of different materials and molecules that can be incorporated onto Si chips, making it difficult to realize this goal.This talk provide an overview a new deterministic assembly approach that uses electric field forces to direct many different types of bioprobe-coated nanowires to specific regions of the chip and to provide accurate registration between each individual nanowire and a specific transistor on the chip. This is achieved by synchronizing sequential injections of nanowires carrying different bioprobe molecules with a programmed spatially-confined electric field profile that directs nanowire assembly. Subsequent back-end lithographic and metal deposition processes are then used to electrically and mechanically connect all of the nanowire devices to the Si chip at the same time. Using this technique, we have demonstrated individual nanowire device integration yields exceeding 90% with a less than 1% mismatch across three populations of DNA-coated nanowires for arrays with densities of 106 cm-2. The nanowire-bound DNA retained its ability to selectively bind complementary target strands following assembly and device fabrication showing that this process is compatible with these back-end manufacturing steps. The uniformity in the electrical properties of nanowire device arrays that were fabricated using this hybrid integration strategy will also be discussed.
9:30 AM - Y11.2
Design and Fabrication of 3D Carbon Nanotube Microarchitectures by Self-directed Capillary Action.
Sameh Tawfick 1 , Michael De Volder 1 , Sei Jin Park 1 , Zhouzhou Zhao 1 , Wei Lu 1 , A. John Hart 1
1 Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractMany established techniques for fabricating three-dimensional (3D) features at the microscale suffer from tradeoffs between heterogeneity and production throughput, and do not afford efficient large-scale integration of nanostructures. We present a new methodology for design and construction of heterogeneous and robust 3D microarchitectures of carbon nanotubes (CNTs), whereby lithographically defined patterns of vertically aligned CNTs are transformed into 3D geometries by self-directed capillary action initiated by liquid condensation [1]. We have fabricated a diverse library of CNT structures having intricate bends and twists, re-entrant curves, and multi-directional textures, with critical dimensions spanning from the sub-micron to millimeter scale. Further, we use our understanding of the distribution of capillary forces within each individual structure to establish precise tunability of the feature geometries in a site-specific fashion. These unique CNT shapes are preserved after subsequent polymer infiltration, creating 3D composite structures having elastic moduli 10-fold higher than PMMA and SU-8. Finally, multi-directional actuators and force sensors are made by fabrication of 3D CNT structures on micro-patterned electrodes, followed by self-directed infiltration with hydrogels. This approach may be generalized to many synthetic and natural nanoscale filaments, and thereby facilitates spatial programming of 3D surface geometries and related properties, while only requiring only standard two dimensional patterning and thermal processing.1. M. De Volder, S. Tawfick, S.J. Park, D. Copic, Z. Zhao, W. Lu, A.J. Hart. Advanced Materials (in press), 2010.
9:45 AM - Y11.3
Fracture Transfer of Vertical Semiconductor Pillar Arrays to Low Cost Arbitrary Substrates for Flexible Energy Device Applications.
Logeeswaran Vj 1 , Matthew Ombaba 1 , M. Saif Islam 1
1 Electrical & Computer Engineering, University of California-Davis, Davis, California, United States
Show AbstractRecent trends in the semiconductor-based electronics and photonics industry cast new challenges in device performance and provide motivation for monolithic integration of multifunctional semiconductor materials and devices for electronics, photonics, sensing, energy storage, and energy conversion. Several techniques, including epitaxial liftoff, wafer bonding and heteroepitaxy, promised disruptive technology solutions for these exciting array of applications. However, these techniques of combining different semiconductor materials on a single substrate have been limited by technological challenges, low throughput, and high manufacturing cost despite significant progress in material synthesis and many promising research device demonstrations.We demonstrate a three-dimensional heterogeneous integration technique to harvest and transfer vertically aligned single-crystal semiconductor micro- and nanopillars from a single crystal substrate to a low-cost carrier substrate while simultaneously preserving the integrity, order, shape, and fidelity of the transferred pillar arrays. The transfer technique facilitates multilayer process integration by exploiting a vertical embossing and lateral fracturing method using a spin-coated polymer layer on a carrier substrate. The use of a water soluble sacrificial layer further expands the versatility of this approach. Arrays of photoconductors (5mm x 5mm) in the form of vertically oriented micro/nano-pillars with diverse physical properties are fabricated via transformative top-down approaches (DRIE) on a single crystal surface and then transferred to a different target surface using a polymer assisted shear-fracturing process. The original wafers are used repeatedly for generating more devices and are minimally consumed. Ohmic contacts with low contact resistance are formed for individual electrical addressing using metals and conducting thermoplastics of Ag particles. The transferred pillars had a 20% decrease in their resistance upon optical illumination. This heterogeneous integration technique potentially offers devices with low physical fill factor contributing to lower leakage current and noise, reduced parasitic capacitance, and enhanced photon–semiconductor interactions, and enables heterogeneous multimaterial integration such as silicon with compound semiconductors for rapidly expanding large-scale applications, including low-cost and flexible electronics, displays, tactile sensors, and energy conversion systems.
10:00 AM - Y11.4
Vertially Aligned Nanorod Arrays: Large Area Assembly over Centimeter Scale Areas and Localised Assembly in Lithographic Channels Using Electrophoresis.
Kevin Ryan 1 2 , Ajay Singh 1 2 , Christopher Barrett 1 , Catriona O'Sullivan 1 , Robert Gunning 1 2 , Edric Gill 1 , Hugh Geaney 1 , Emma Mullane 1 , Dervla Kelly 1
1 Chemical and Environmental Sciences and Materials and Surface Science Institute , University of Limerick, Limerick Ireland, 2 SFI-Strategic Research Cluster in Solar Energy Research , University of Limerick, Limerick Ireland
Show AbstractThe organisation of semiconductor nanorods into orthogonal and close packed arrays is of significant interest in a range of applications where discrete properties of individual nanorods are needed in high density. In nanorods, length dependent properties such as total absorption and conductivity can be independently tuned from size dependent properties such as band gap. Their collective assembly is widely sought for photovolatics, nanoelectronics and nanophotonics. Here we describe the use of electrophoresis to assemble nanorods from a toluene solution onto a substrate where each rod is axially oriented and close packed. Monolayer formation of areas as large as one centimeter squared are shown as evidenced by HRSEM cross section. This assembly mechanism is independent of rod aspect ratio and can allow deposition of up to three conformal layers without loss of perpendicular order. The importance of nanorod zeta potential, nanorod dipole and strength of DC electric field to the formation of the assemblies is discussed. Results on the co-electrophoretic deposition of nanorod and conducting polymer for photovoltaic devices are presented. We also show that this electrophoretic route can be further tuned to localise the nanorod assemblies to lithographic trench features on a semiconductor wafer. The trenches are back etched from a 60 nm oxide on a silicon wafer and the greater conductivity of the trench base allows corralling of the rods to the trench with complete selectivity and with a high degree of order. The I-V characteristics measured across nanorod assemblies using ohmic indium contacts shows mostly ohmic or leaky Schottky behaviour although when plotted with high resolution, a Coulomb staircase is observed suggesting the possibility of single electron charging.
10:15 AM - Y11.5
Langmuir-Blodgett Films of Chemically-modified Fullerene Dimers for Quantum Computing Applications.
Katie Campbell 1 , Martyn Jevric 2 , Ikjun Choi 1 , Candice Halbert 3 , Katie Browning 3 , Vladimir Tsukruk 1 , Yonathan Thio 1 , Kyriakos Porfyrakis 2 , David Bucknall 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Department of Materials, University of Oxford, Oxford United Kingdom, 3 Spallation Neutron Source, Oak Ridge National Lab, Oak Ridge, Tennessee, United States
Show AbstractAlthough a working practical quantum computer does not yet exist, its development is being actively studied worldwide, not least because of the immense implications such computation capability would have to defense applications including cryptography. Of the candidate approaches being explored as the basis for a quantum computer, we are exploring the concept of global control. In this approach, the qubit (analogous to a classical bit) is in the form of electron and/or nuclear spin, and control over qubit interactions is achieved by switching many qubit interactions on or off using global fields. Quantum computing using global control requires large, organized arrays of the spins, and we are exploring fullerenes as ideal hosts for encapsulating and arranging spin-active atoms. We are exploring various approaches of directed molecular self-assembly to form these ordered molecular arrays in which we need to control both the spacing and geometry of the fullerenes. The Langmuir-Blodgett (LB) approach to monolayer and formation shows particular promise for the arrangement of fullerenes into ordered molecular arrays. In this method, monolayer films are transferred from a water surface to a solid substrate when the molecules are close-packed. Materials used in this approach tend to be amphiphilic in nature, allowing for monolayer formation on the water surface. Previous work on LB monolayers of C60 fullerenes have indicated mixed results regarding the successful formation of monolayers and film stability as fullerenes are atypical LB materials. We have focused on fullerene dimers consisting of two covalently linked C60 cages where the bridge between cages can be chemically modified for a specific application. We have synthesized dimers where the linker group has two ligands that are either both hydrophilic (AA) or contain one that is hydrophobic and the other hydrophilic (AB). The addition of hydrophilic tails to the fullerene dimer results in a molecule that more closely resembles typical LB materials. Using these materials, we have investigated both monolayer and multilayer structure and stability using LB deposition. Pressure-area isotherms and area per molecule information collected during film compression on water as well as ellipsometry measurements on dipped monolayers provide information about dimer orientation relative to a hydrophilic surface. Results indicate the AA dimer long-axis lies parallel to the water/silicon surface while the AB dimer long-axis is oriented at an angle relative to the water surface to minimize contact of hydrophobic elements with hydrophilic surfaces. AFM imaging of monolayers indicates uniform coverage of LB films of both dimers transferred to a silicon substrate. Using the LB technique, we have thus demonstrated long-range order of fullerene dimers and will continue with further investigation of filled, spin-active dimers to determine if the alignment is such that the spin is detectable in these systems.
10:30 AM - Y11: Synthesis
BREAK
11:00 AM - **Y11.6
Silicon and Gallium Arsenide Nanomaterials for Electronics Integrated on Plastic.
John Rogers 1
1 , University of Illinois, Urbana, Illinois, United States
Show AbstractSemiconducting nanomaterials can be integrated on plastic substrates to achieve electronics with excellent levels of mechanical flexibility, when appropriate mechanical designs are used. This talk summarizes some new methods for creating such materials from bulk and layered wafers. Systematic theoretical and experimental studies of bending mechanics reveal the key physics, and illuminate strategies to optimized configurations. Demonstrations of digital CMOS and analog RF circuits on thin plastic sheets and rubber slabs illustrate some capabilities.
11:30 AM - Y11.7
Flexible Hybrid Architecture of a Piezoelectric Touch Sensor and an Organic Solar Cell.
Dukhyun Choi 1 , Keun-Young Lee 2 , Sang-Woo Kim 2
1 Mechanical Engineering, Kyung Hee University, Yongin, Gyeonggi, Korea (the Republic of), 2 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractHere, we report a flexible hybrid nanoarchitecture that can be utilized as both an energy harvester and a touch sensor on a single platform without any cross-talk problems. Based on the electron transporting and piezoelectric properties of a zinc oxide (ZnO) nanostructured thin film, a hybrid cell was designed and the total thickness was below 500 nm on a plastic substrate. Piezoelectric touch signals were demonstrated under independent and simultaneous operations with respect to photo-induced charges. Different levels of piezoelectric output signals from different magnitude of touching pressures suggest new user-interface (UI) functions from our hybrid cell. From a signal controller, the decoupled performance of a hybrid cell as an energy harvester and a touch sensor was confirmed. Our hybrid approach does not require additional assembling processes for such multiplex systems of an energy harvester and a touch sensor since we utilize the coupled material properties of ZnO and output signal processing. Furthermore, the hybrid cell can provide a multi-type energy harvester by both solar and mechanical touching energies.
11:45 AM - Y11.8
Thickness Effect of Sputtered ZnO Seed Layer on the Fabrication of ZnO Nanorods on Flexible Polyimide Films and Their Gas Sensing Properties.
Hosang Ahn 1 , Seon-Bae Kim 1 , Dong-Joo Kim 1
1 Materials Eng., Auburn Univ., Auburn, Alabama, United States
Show AbstractNanostructured ZnO with good semiconducting and electronic properties has drawn a lot of interests in microdevices such as thin film transistors, gas sensor applications, and spintronics. Although ZnO nanorods were explored as a gas sensing material, few studies were reported to integrate controlled ZnO nanostructures on a flexible substrate for the future development of smart sensing tags. In this study, thermolysis-assisted chemical solution deposition was used to grow ZnO nanorods at 85°C from 0.01mol of Zinc nitrate hexahydrate and HMT (Hexamethyltetramine) solution. To promote and modulate the ZnO nanorods, R.F. sputtered ZnO seed layers were deposited on polyimide substrates at various film thickness in the range of 8 to 160 nm. The optimum processing conditions to fabricate ZnO nanostructures have been investigated to examine the growth behaviors and to correlate the process parameters with the morphological characteristics. The thickness effects of the ZnO seed layer on the ethanol gas sensing property of ZnO nanorods grown on polyimide films were also focused to understand the sensing mechanisms of ZnO nanostructures on seed layers and to provide the optimal design of the structure to achieve the highest gas sensitivity. When the gas sensitivities were measured at different thickness of ZnO seed layers before growing ZnO nanorods, the highest sensitivity was obtained at 40 nm thick ZnO film at 300 oC where the film thickness is similar to the Debye length. When ZnO nanorods were grown on such a ZnO seed layer, the sensitivities were more heavily influenced by the ZnO nanostructures rather than the thickness of the seed layer probably due to the dominant proportion of carrier density involved with the gas absorption. A quantitative equation to explain the relationship between the seed layer thickness and sensing properties of ZnO nanorods on flexible films is proposed.
12:00 PM - Y11.9
Asymmetric Dumbbells from Selective Deposition of Metals on Seeded Semiconductor Nanorods.
Sabyasachi Chakrabortty 1 , Nimai Mishra 1 , Jie Lian 1 , Yinthai Chan 1 2
1 Chemistry, National University of Singapore, Singapore Singapore, 2 , Institute of Materials Research & Engineering, A*STAR, Singapore Singapore
Show AbstractExquisite control over the growth of gold nanoparticles at distinct positions on CdSe seeded CdS heterostructured nanorods is achieved by appropriately adjusting the concentration of Au precursors due to the anisotropic reactivity of the facets at the tips and sides of the nanorod. This selective Au growth was found to be further enhanced by the use of ligands that bind selectively to different facets of the nanorod. We demonstrate that the ability to direct growth on different facets of these nanorods can lead to novel “Janus-type” dumbbell structures where Au is located at one end of the nanorod while Ag2S is located at the other end. Additionally, the controlled, uniform deposition of Au at the ends of the nanorods facilitated the surprising observation of significant fluorescence emission from nanorods with Au at their tips. We show that the resulting fluorescence quantum yields are dependent on the size of the CdSe core as well as its distance from the Au tip. We then demonstrate an application of these fluorescent metal-semiconductor nanorod composites to directed assembly on a patterned surface.
12:15 PM - Y11.10
Ferritin Protein-base Versatile Encapsulation/Transport System for Selective Nanoscale Positioning of Targeted Plasmonic Au Nanoparticles.
Tatsuya Hashimoto 1 , Nobuyuki Zettsu 1 3 , Bin Zheng 2 3 , Megumi Fukuta 1 3 , Kentaro Gamo 1 , Ichiro Yamashita 2 3 , Yukiharu Uraoka 2 3 , Heiji Watanabe 1 3
1 Graduate School of Engineering, Osaka University, Suita, Osaka, Japan, 3 CREST, Japan Science and Technology, Kawaguchi, Saitama, Japan, 2 Graduate School of Materials Science, Nara Institute of Science and Technology, Ikoma, Nara, Japan
Show AbstractThe fabrication of a single-layer discrete array of Au nanoparticles (NPs) onto solid substrates is integral to LSPR-related applications, such as biosensors, biochips, colloidal substrates for SERS, and surface plasmon-enhanced fluorescence in OLED, because the Au NP monolayer can directly reflects the intrinsic shape-dependent plasmonic properties in the device performances. Colloidal films generated for these purposes, composed of randomly oriented and/or three-dimensionally aggregated structures, exhibit specific functions of Au NPs, but with low regularity and without the possibility of optimizing the observed response owing to the unpredictable inhomogeneous plasmonic-coupling between neighboring NPs. As a reault, precise controlled positioning as well as densely disturbed assembly of NPs are highly desirable, particularly for the large-scale fabrication of parallel device arrays.Recently, one of the current authors, B. Zheng, has proposed a versatile method to selectively nanoscale positioned various targeted NPs without any distinction of their shapes and sizes by a bifunctional porter protein-based encapsulation/delivery system [1]. This method utilized bifunctional horse L-ferritin mutant, TFG, which composes of 9-175 amino acids of L-chain ferritin from horse liver, a gold-binding peptide (GBP) at the C-terminus, and a Ti/Si-binding peptide (TBP) at the N-terminus. It was proven that TFG ferritin dissociates into subunit-dimers under an acidic condition (pH<2), and the subunit-dimers is able to reassemble into the 24-merized ferritins when the pH is increased to greater 7 again. In this work, we made use of the newly designed recombinant TFG, porter protein, which encapsulated 15-nm-diameter Au NPs in a pH-controllable reversible reassembly process and selectively transported the internalized NPs to Ti patterns without overshooting. The obtained single layer of a closely packed TFG-Au NP array exhibited a distinctive sharp and intense surface plasmon band without exhibiting the broadened optical characteristics typically found in the aggregates. We concluded that the outer shell comprised of a monolayer of TFG subunit-dimers optically insulated a pair of neighboring Au NPs to prevent coupled plasmons. Moreover, the TFG subunit-dimer could also internalized nonspherical Au NPs, which indicates that the encapsulation by the bifunctional protein shows the ability to enable selective nanoscale positioning of targeted variously shaped NPs onto the specific substrate on top of which the device will be built. [1] B. Zheng et al., Nanotechnology 21 (2010) 045305.
12:30 PM - Y11.11
Electrokinetic Framework for Dielectrophoretic Deposition Devices.
Brian Burg 1 , Vincenzo Bianco 2 , Julian Schneider 1 , Dimos Poulikakos 1
1 Department of Mechanical and Process Engineering, ETH Zurich, Zurich Switzerland, 2 Dipartimento di Ingegneria Aerospaziale e Meccanica, Seconda Università degli Studi di Napoli, Napoli Italy
Show AbstractNumerical modeling and experiments are performed investigating the properties of a dielectrophoresis-based deposition device, in order to establish the electrokinetic framework required to understand the effects of applied inhomogeneous electric fields while moving particles to desired locations. By capacitively coupling electrodes to a conductive substrate, the controlled large-scale parallel dielectrophoretic assembly of nanostructures in individually accessible devices at a high integration density is accomplished. Thermal gradients in the solution, which give rise to local permittivity and conductivity changes, and velocity fields are solved by coupling electric, thermal and fluid-mechanical equations. The induced electrothermal flow causes vortices above the electrode gap, attracting particles, such as single walled carbon nanotubes (SWNTs), before they are trapped by the dielectrophoretic force and deposit across the electrodes. Long-range carbon nanotube transport is governed by hydrodynamic effects, while local trapping is dominated by dielectrophoretic forces in low concentration SWNT dispersions. Results show that by decreasing the ac frequency ac electroosmosis on the metallic electrodes occurs due to the emergence of an electric double layer, disturbing the initial flow pattern of the system. By superimposing a dc potential offset, a generated tangential electroosmotic fluid flow in the dielectric electrode gap also disrupts the electrothermal flow. Capacitive coupling is most efficient in the high frequency regime where it is the dominating impedance contribution. Understanding the occurrence and interaction of these different effects, including a self-limiting integration mechanism for individual nanostructures, allows an increased deposition yield at overall lower electric field strengths through a prudent choice of electric field parameters. The findings provide important avenues toward gentler particle handling, without direct current throughput, a relevant aspect for limiting process effects during device fabrication, such as piezoresistive SWNT based pressure sensors, all while increasing dielectrophoretic deposition efficiency in nanostructured networks.Reference: Burg, B. R.; Bianco, V.; Schneider, J.; Poulikakos, D. J. Appl. Phys. 2010, 107, 124308.
12:45 PM - Y11.12
Si-nanoparticles and Their Potential for Photovoltaic Devices.
Niels Benson 1 , Martin Meseth 1 , Pawel Ziolkowski 2 , Ralf Theissman 1 , Gabi Schierning 1 , Hartmut Wiggers 1 , Roland Schmechel 1
1 Faculty of Engineering, University of Duisburg-Essen, Duisburg, North Rhine Wesphalia, Germany, 2 Institute of Materials Research, German Aerospace Center, Cologne, North Rhine Westphalia, Germany
Show AbstractIn order to investigate alternative processing technologies or to enhance the functionality of a variety of energy harvesting concepts, such as thermoelectric or photovoltaic technologies, semiconductor nanoparticles are considered as nanoscopic building blocks. Here we report on the potential of Si-nanoparticles for the realization of low cost photovoltaic devices by demonstrating, according to the knowledge of the authors, the world’s first pn-diode using Si-nanoparticles. Highly doped n-- and p++ Si-nanoparticles are utilized to manufacture a two layer pn homojunction device by a spark plasma sintering process. For this technique pressures of up to 11 kN are applied to the nanoparticulate material while at the same time a high current of up to 600 A is driven through the sample. The sintering occurs due to significant joule heating at the nanoparticle boundaries. A SEM/EDX analysis is used to investigate the structure of the sample as well as the doping profile of the device. The EDX analysis reveals separate p- and n-doped regions, which could be confirmed using microscopic Seebeck measurements. The SEM analysis implies a nanocrystalline Si structure of the sample, exhibiting SiO2 precipitates. This indicates a phase separation between native SiO2 enclosing the nanoparticles prior to processing and Si during the sintering process. Such a phase separation is suggested as one of the prerequisites for the successful electrical demonstration of a pn-diode out of Si-nanoparticles. Our electrical DC measurements show a clear current rectifying behavior of the device. Complemented by impedance measurements at 1 MHz, which demonstrate a bias dependant capacitance, the validity of the pn-diode interpretation is supported. The device exhibits a photovoltaic effect when illuminated using a 1000 W Tungsten Lamp. However, the conversion efficiency is low for this proof of principle experiment. This is ascribed to a spatially small space charge region, low exciton diffusion lengths as well as ohmic losses in the non ideal device design.
Y12: Electrical & Thermal Integration
Session Chairs
Tymon Barwicz
Yoshio Nishi
Thursday PM, December 02, 2010
Ballroom B, 3rd floor (Hynes)
2:30 PM - **Y12.1
Integrating and Characterizing Nanoscale Materials Using Discovery Platforms.
John Sullivan 1 , A. Subramanian 1 , M. Shaw 1 , J. Huang 1 , C. Harris 1 , N. Hudak 1
1 , Sandia National Labs, Albuquerque, New Mexico, United States
Show AbstractNanoscale materials, such as nanowires, nanotubes, nanoparticles, etc., may be synthesized or engineered to exhibit stellar properties in one or more specific areas, such as their mechanical, thermal, electrical, optical, or chemical performance. We face a challenge, however, in integrating these materials into larger-scale structures and in measuring their properties. In the approach we take in this work, we focus on integrating individual nanoscale structures with chip-based testing platforms, and then we use these platforms to characterize the nanostructure’s properties. A suite of these testing platforms, called “Discovery Platforms” have been developed for the mechanical, thermal, electrical, and electrochemical characterization of nanoscale materials. We utilize both top-down and directed assembly approaches for nanostructure integration. Top-down approaches include nanoscale lithography and etching or focused-ion-beam machining. Directed-assembly approaches include dielectrophoretic assembly from solution or direct placement of nanostructures using nanomanipulators. We will describe the development of micro-scale tensile test structures for measuring the stress-strain behavior of metallic nanowires, thermal platforms for measuring thermal conductivity and phonon surface scattering in semiconducting nanowires and nanoligaments, and in situ transmission electron microscopy (TEM) platforms for measuring the electrochemical behavior of nanowire anode and cathode materials for use in Li-ion batteries. The goal of this work is to determine structure-property or size-property relationships that are derived from studies of well-characterized isolated structures. In this regard, this work is complementary to alternative integration and characterization approaches that focus on arrays or ensembles of mono-disperse nanostructures. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE, Office of Basic Energy Sciences user facility. Portions of this work were also supported by a Sandia National Labs LDRD project. Sandia is a multi-program laboratory operated by Sandia Corp., a wholly-owned subsidiary of Lockheed Martin Co., for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL85000.
3:00 PM - Y12.2
A Nanostructure Thermal Property Measurement Platform.
Tom Harris 1 , Julio Martinez 1 , Eric Shaner 1 , Jianyu Huang 1 , John Sullivan 1 , Gang Chen 2
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractMeasurements of the electrical and thermal transport properties of one-dimensional nanostructures (e.g., nanotubes and nanowires) typically are obtained without detailed knowledge of the specimen’s atomic-scale structure or defects. To address this deficiency we have developed a microfabricated, chip-based characterization platform that enables both transmission electron microscopy (TEM) of atomic structure and defects as well as measurement of the thermal transport properties of individual nanostructures. The platform features a suspended heater line that contacts the center of a suspended nanostructure/nanowire that was placed using in-situ scanning electron microscope nanomanipulators. One key advantage of this platform is that it is possible to measure the thermal conductivity of both halves of the nanostructure (on each side of the central heater), and this feature permits identification of possible changes in thermal conductance along the wire and measurement of the thermal contact resistance. Suspension of the nanostructure across a through-hole enables TEM characterization of the atomic and defect structure (dislocations, stacking faults, etc.) of the test sample. As a model study, we report the use of this platform to measure the thermal conductivity and defect structure of GaN nanowires. The utilization of this platform for the measurements of other nanostructures will also be discussed.This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE, Office of Basic Energy Sciences user facility. Portions of this work were also supported by a Sandia National Labs LDRD project. Sandia is a multi-program laboratory operated by Sandia Corp., a wholly-owned subsidiary of Lockheed Martin Co., for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL85000.
3:15 PM - Y12.3
Robust SERS Substrates Generated by Coupling a Bottom-up Approach and Atomic Layer Deposition, and Their Utilization Towards In-situ Raman Studies at High Temperatures.
Eric Formo 1 , Shannon Mahurin 2 , Zili Wu 1 , Sheng Dai 1 2
1 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Chemical Sciences Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractWe will discuss the development of Surface Enhanced Raman Spectroscopy (SERS) substrates that can withstand high temperatures in air for an extended period of time without the loss of their enhancement capabilities. To accomplish this, a bottom-up approach was utilized, in which the polyol reduction process was used to synthesize silver nanowires (NW) that were roughly 90 nm wide to act as the SERS active moiety. Subsequently, the NW were deposited onto a glass or silicon substrate and then coated with a thin protective layer of Al2O3 via Atomic Layer Deposition (ALD). After heating these SERS substrates at 400oC for 24h in air it was found that the coated samples maintained a significant enhancement of the Raman signal, with further heating resulting in effectively no change in the SERS spectrum. The stability imbued by the ALD coating stems from limiting surface oxidation along with impeding aggregation that occurs at the higher temperature which would otherwise lead to the destruction of the nanomorphology and complete loss of the SERS capabilities. These highly stable SERS substrates highlight the potential application of SERS in the investigation of high-temperature chemical reactions and catalytic processes. Specifically, we employed these substrates to probe polyoxometalates, with particular interest being paid to the effects of heating the Phosphotungstic acid (PTA) on an alumina surface in both oxidizing and reductive environments. Acknowledgements:This research was conducted at the Center for Nanophase Materials Sciences.
3:30 PM - Y12.4
Wave Front Velocity Oscillations of Carbon Nanotube-guided Thermopower Waves: Nanoscale Alternating Current Sources.
Joel Abrahamson 1 , Wonjoon Choi 2 , Nicole Schonenbach 3 1 , Jungsik Park 4 1 , Jae-Hee Han 1 , Kourosh Kalantar-zadeh 5 , Michael Strano 1
1 Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Chemical Engineering, Montana State University, Bozeman, Montana, United States, 4 , Columbia University, New York, New York, United States, 5 School of Electrical and Computer Engineering, RMIT University, Melbourne, Victoria, Australia
Show AbstractThe nonlinear coupling between exothermic chemical reactions and a nanowire or nanotube with large axial heat conduction results in a self-propagating thermal wave guided along the nano-conduit. The resulting reaction wave induces a concomitant thermopower wave of high power density (> 7 kW/kg) resulting in an electrical current along the same direction. We develop the theory of such waves and analyze them experimentally, showing that for certain values of the chemical reaction kinetics and thermal parameters, oscillating wave front velocities are possible. We demonstrate such oscillations experimentally using a cyclotrimethylene-trinitramine/multiwalled carbon nanotube system, which produces frequencies in the range of 400 to 5000 Hz. The propagation velocity oscillations and the frequency dispersion are well described by our theoretical calculations and are linked to oscillations in the voltage generated by the reaction. These thermopower oscillations may enable new types of nanoscale power and signal processing sources.
3:45 PM - Y12: Elec amp; Ther
BREAK
4:15 PM - **Y12.5
Advances in Nanosystems VLSI
Michael Roukes 1
1 Kavli Nanoscience Institute, California Institute of Technology, Pasadena, California, United States
Show AbstractTransitioning nanoscale devices from one-of-a-kind feats into robust and reproducible nanosystems is a monumental challenge that transcends the capabilities of any one lab. Yet this evolution is essential for realizing a next generation of tools that can begin to address medical and biological research challenges in their full complexity. I will describe progress we’ve made in the Alliance for Nanosystems VLSI* toward the requisite scale-up to complex, “active” (powered-up) nanosystems. Advanced tools of semiconductor manufacturing enable this approach. To illustrate its potential, I will describe advances in nanoelectromechanical systems (NEMS) that now allow us to envisage massively parallel measurements at the level of single cells and molecules. Among examples of such opportunities are: mapping the forces generated by living cells in real time, ultimately with piconewton-scale, single-molecule resolution; performing mass spectrometry on all of the proteins within an individual cell; and monitoring the metabolic processes of individual cells in real time.
*A collaborative research venture founded in 2007 by researchers at CEA/LETI-MINATEC, Grenoble, and Caltech’s Kavli Nanoscience Institute, Pasadena. (www.nanovlsi.org)
4:45 PM - Y12.6
Coupled Ionic and Electronic Heat Transport at the Nanoscale.
N. Modine 1 , R. Jones 1 , D. Olmsted 1 , J. Templeton 1 , G. Wagner 1 , R. Hatcher 2 , M. Beck 3
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 , Lockheed Martin Advanced Technology Laboratories, Cherry Hill, New Jersey, United States, 3 , University of Kentucky, Lexington, Kentucky, United States
Show AbstractIn modeling thermal transport in nanoscale systems, classical molecular dynamics (MD) explicitly represents phonon modes and scattering mechanisms, but electrons and their role in energy transport are missing. Furthermore, the assumption of local equilibrium between ions and electrons often fails at the nanoscale. We have coupled MD with a partial differential equation based representation of the electrons. The coupling between the subsystems occurs via a local version of the two-temperature model. Key parameters of the model are calculated using the Time Dependent Density Functional Theory. We will discuss application of this work in the context of the US DOE Center for Integrated Nanotechnologies (CINT).This work was performed in part at the US Department of Energy, Center for Integrated Nanotechnologies, at Los Alamos National Laboratory (Contract DE-AC52-06NA25396) and Sandia National Laboratories (Contract DE-AC04-94AL85000).
5:00 PM - Y12.7
Thermoelectric Study of Individual Silicon-germanium Alloy Nanowires.
Julio Martinez 1 , John Sullivan 1 , Samuel Picraux 2 , Brian Swartzentruber 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractThermoelectric power generation is presently receiving increasing interest as an approach to waste-heat recovery and energy generation as one part of a comprehensive plan for a clean-energy based economy. Nano-engineered materials, such as nanowires, are ideal candidates for the new generation of high figure of merit (ZT) thermoelectrics due to the possibility of increasing phonon boundary scattering in small diameter nanowires, thus increasing the thermal resistivity while not appreciably affecting the electrical conductivity and Seebeck coefficient. One of the important scientific questions to address is whether the suppression in thermal conductivity due to phonon boundary scattering still occurs for alloy materials that already show reduced thermal conductivity due to alloy scattering. For the first time, we report the thermoelectric characteristics of boron-doped SiGe alloy (Si:Ge; 60:40) nanowires. We developed a novel technique that allows for electrical and thermal characterization on the same nanowire. We employ nanomanipulation to place SiGe nanowires on predefined surface structures and carry out in-situ contact-annealing to achieve negligible electrical contact resistance. The measured thermal conductivity of SiGe alloy nanowires is 3 W/m-°K at 300 °K about a factor of 2 smaller than its bulk counterpart1 indicating that phonon boundary scattering plays an important role in reducing thermal conductivity in this alloy system.1.Dismukes J.P. et al. JAP, 1964.This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE, Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Company, for the U.S. department of Energy’s National Nuclear Security Administration under Contract DE-AC04-94AL85000.
5:15 PM - Y12.8
Resistive Switching Characteristics of TiO2 Nanotubes Synthesized by using Nanoporous Alumina Templates.
Si-Hoon Lyu 1 , Young-Su Park 1 , Soo-jin Kim 1 , Jang-Sik Lee 1
1 , Kookmin University, Seoul Korea (the Republic of)
Show AbstractTubular nanostructures have stimulated extensive research efforts in recent years because of their technological importance in advanced electronic devices and prospective applications in catalysis, sensors, and biological separation/transport. Among the many types of tubular nanomaterials, nanotubes have a high specific surface area that is expected to have many applications. The fabrication of nanotubes using anodic aluminum oxide (AAO) membrane templates has advantages in controlling the length and/or diameter of the nanotube to the desired dimension. The various research of the resistive switching phenomenon in metal-insulator-metal (MIM) structures has been done intensively based on the thin-film structured devices, but there is a need to investigate the resistive switching behavior in 1-dimensional structures for ultimate device scaling. We have observed the resistive switching phenomenon of nanotubular TiO2. The AAO membrane template was fabricated by using 2-step anodization. After 2nd anodization, the pore size was controlled by using widening process. The wall thickness of the TiO2 nanotubes is controllable by varying the deposition conditions, and the length and diameter can be tailored in accordance with the templates used. After removing the AAO template, TiO2 nanotube arrays were synthesized on the substrates. By using focused ion-beam deposition Pt metal electrodes were precisely located on TiO2 nanotubes to form MIM structure. The fabricated memory devices showed resistive switching characteristics according to the set and reset processes, showing the programmable memory properties. The reported approach offers new opportunities to prepare non-volatile memory devices base on the based on the 1-dimensional nanostructures.
5:30 PM - Y12.9
Metal Oxide Nanowire Networks for Transistors and Photovoltaics.
Nripan Mathews 1 , Thirumal Krishnamoorthy 1 , Natalia Yantara 1 , Dharani Sabba 1 , Sun Cheng 1 , Subodh Mhaisalkar 1
1 Division of Materials Technology, Nanyang Technological University, Singapore Singapore
Show AbstractOne-dimensional nanostructures including nanowires, nanotubes and nanorods have attracted rapidly growing interest due to their fascinating properties and unique applications in areas ranging from physics, chemistry, life sciences and materials science. An emerging area of research is the characterization of nanowire networks and their application in macroelectronics and energy harvesting. These applications require relatively large areas and depend on the optoelectronic properties of ensembles of these 1-D nanostructures. An additional factor driving interest in this area is the relatively low lithographical constraints required for fabricating these devices and the cost benefits thus associated with them. High performance at large area scales would also put these nanowire networks in competition with organic thin films for applications in printed electronics. Metal oxide nanowire networks are an attractive alternative due to ease of growth of nanowires (grown through techniques not accessible to silicon nanowires and carbon nanotubes) while still providing appealing electrical properties. Other advantages of these nanowire networks include access to a large range of bandgaps (Eg of CuO=1.2eV;Eg of ZnO=3.4eV) which enables their utilization in photovoltaics as well as for transparent conducting electrodes, while doping allows the modulation of both optical and electrical properties.We will present our work on the formation of nanowire networks formed through contact printing of VLS grown oxide nanowires and through electrospinning. The properties of these aligned networks in forming thin film transistors and phototransistors are described. The focus would be mainly on networks with typical dimensions much greater than the length of individual nanowires. The electrical properties of oxide nanowire networks are discussed- including the impact of the nanowire-nanowire junction on charge transport. The influence of adsorbed oxygen on the properties of these networks will be explained. The material sets explored include SnO2, ZnO, TiO2 and copper oxides. The integration of these nanowire networks in dye sensitized solar cells will also be presented.1. C. Sun, N. Mathews, M. Zheng, C. H. Sow, L. H. Wong and S. G. Mhaisalkar, J. Phys. Chem. C, 2010, 114, 1331-1336.
5:45 PM - Y12.10
Nanocrystals for Thermal History Recording.
Nitin Shukla 1 , Gang Chen 1 , Zeng Taofang 1 , Yucheng Lan 2 , Zhifeng Ren 2
1 , MIT, Cambridge, Massachusetts, United States, 2 Physics Department, Boston College, Chestnut Hill, Massachusetts, United States
Show AbstractDuring extreme thermal events such as explosion, use of conventional thermal sensors that record temperature in real time, becomes impractical. In such scenarios, it is desirable to use sensors that record temperature history that could be read out subsequent to the event. Here, we investigate the potential of nanocrystals as a sensing tool to record temperature history. Colloidal nanocrystals have received considerable attention due to their high quantum yield, ease of synthesis and broad emission range spanning the entire visible spectrum. To record temperature history, we take advantage of the fact that nanocrysal size decreases due to temperature-induced mass diffusion across core-shell interface. This reduction in size causes a change in the potential barrier for excitons, hence a blue-shift in the photoluminescence (PL) spectrum from the quantum dots. Therefore, by measuring the change in the PL spectrum of nanocrystals that have undergone a thermal event, we can extract the thermal history of that thermal event.As a first step towards the development of such quantum dot thermal sensors, we study annealing temperature and time dependence of PL spectrum from CdSe/ZnS core/shell type nanocrystals. These nanocrystals are ~5 nm in size with peak emission wavelength of ~630 nm. Nanocrystals are placed on the surface of thin platinum wires, and single pulses of electric currents are passed to heat these nanocrystals to high temperatures. PL spectrum shows a clear blue-shifting in peak wavelength with temperature, indicating a reduction in core size caused by temperature. The PL energy and intensity shift follows Arrhenius type of kinetic process. We use transmission electron microscopy (TEM) to measure the average size of these nanocrystals. We further establish correlations between the PL spectra of nanocrystals, and temperature history and nanocrystals size. We also characterize the nanocrystals structure and chemical compositions using XRD and XPS, and correlate them to the PL spectra.
Y13: Poster Session: Novel Synthesis, Assembly, Nanocomposites & Supercapacitors
Session Chairs
Friday AM, December 03, 2010
Exhibition Hall D (Hynes)
9:00 PM - Y13.1
Binding of Dinuclear Ruthenium Complexes, SWNTs and Nanoparticles for Hybrid Nanocomposite Materials.
Jeffrey Alston 1 , Jordan Poler 2
1 Nanoscale Ph.D. Program, University of North Carolina at Charlotte, Charlotte, North Carolina, United States, 2 Chemistry, University of North Carolina at Charlotte, Charlotte, North Carolina, United States
Show AbstractIntegration of nanomaterials into composite systems is the next evolutionary step in nanoscale science. To date nanomaterial components are formed into composites by embedding them in matrices, through chemical bonding or with various wrapping agents. Through directed self assembly nanomaterials can be mechanically coupled with light sensitizing ruthenium complexes, avoiding chemical augmentation and effects from polymer, surfactant or DNA wrapping. We have synthesized a library of dinuclear ruthenium complexes (dimers) possessing rigid conjugated π-electron systems that form a nanoscale pocket. The pocket is dimensionally suited to interact strongly with nanomaterials forming an architecture that could facilitate photon collection and energy transfer. The complexes have the same rigid structure but vary in formal charges, ranging from +4, +3 to +2. Understanding the binding between the dimers and materials is crucial to further control and develop the architecture of nanocomposite materials. This study shows that the binding of the ruthenium dimers to SWNTs varies in strength relative to the magnitude of formal charge. These results correlate with DFT simulations that predict interactions within the nanoscale π-pocket. Binding is not exclusive to SWNTs and binding with metal nanoparticles is also measured. The interactions can be observed using UV-visible spectroscopy and isothermal titration calorimetry (ITC). UV-vis measurements are characterized using Langmuir and Freundlich isotherms and correlated with ITC which directly measures binding enthalpy and stoichiometry. By exploring single-site and multiple-site binding models we show the relationship of electrostatics, binding stoichiometry and surface area coverage.
9:00 PM - Y13.10
Controlling the Dispersion of Ultrafine Metal Nanoparticles on Graphene: Nanoscale Hybrids with Excellent Electrocatalytic Activity.
C. Nethravathi 1 , Paromita Kundu 1 , E. Anumol 1 , N. Ravishankar 1
1 Materials Research Centre, Indian Institute of Science, Bangalore India
Show AbstractGraphene, owing to its excellent physical and chemical properties, finds applications in nanoelectronics, photovoltaics and as supports for metals and semiconductors in catalysis applications. It has already been explored as a carbon-based support for Pd, Au and Pt nanoparticles to obtain high efficiency in hydrogen storage, CO oxidation and fuel cells applications. However, the method of synthesizing these hybrids remains a challenge in terms of controlling the particle size, distribution of the noble metals nanoparticles on the graphene sheets and the stability of the catalyst under different conditions. Typically, the existing methods employ surfactants to control the size of the nanoparticles and then disperse them over the support material which ensures size control but not a desirable distribution and interaction between the support and the noble metal catalyst. We describe a single step route to graphene-Pt nanohybrids, starting from graphitic oxide (GO) and metal salt to form uniformly dispersed ultrafine nanoparticles on graphene. Defect sites on GO facilitates the heterogeneous nucleation of the metal nanoparticles and thereby anchors the nanoparticles directly to the graphene sheets. Detailed characterization of the composite has been carried out using high resolution transmission electron microscopy, x-ray photoelectron spectroscopy, infrared spectroscopy and x-ray diffraction analysis. The electrocatalytic activity has been investigated for methanol oxidation using cyclic voltammetry which shows that these composites have exceptional activity for methanol oxidation with good long term stability. Our approach is general and can be applied for other graphene-metal composite systems for a variety of applications.
9:00 PM - Y13.11
Fabrication and Characterisation of Nanowire/Polymer Composite Arrays.
Audrey Ng 1 , Chuanbo Li 1 , Kristel Fobelets 1 , Zahid Durrani 1 , Mino Green 1
1 Electrical Engineering, Imperial College London, London United Kingdom
Show AbstractArrays of Si nanowires have been fabricated via electroless plating and wet etching. The diameter of the nanowires in the array ranges from 60 nm to 200 nm and the length of the wires is approximately 60 μm. Different approaches were used to cover the surface of the individual nanowires in the arrays with non-conducting polymers. It was found that diffusion of the polymer in solution, into the voids between the nanowires, with the array in an H2O environment, was successful in covering the surface of the nanowires. PAA (poly acrylic acid) and PEO (polyethylene oxide) are both readily soluble in H2O and as a consequence lend themselves perfectly for this approach. The success of the polymer filling is also dependent on the viscosity of the polymer in solution. The application of a salt to the PAA solution reduces its viscosity and improves the nanowire coverage with the polymer greatly. In order to apply the technique to non-polar polymers such as PMMA (poly methyl methacrylate), the principle of co-solvency was applied in order to allow PMMA to dissolve in H2O. This technique was used to cover the nanowires in the array successfully with PMMA. The nanowire-polymer composite material has been characterised using SEM and EDX. RIE (reactive ion etching) techniques, using an oxygen plasma, were developed to remove the top polymer layer from the nanowire array in order to be able to define metal contacts. Different polymers require different RIE settings for successful removal of the top polymer layer only. Cr/Au metallisation on the p-type Si nanowire array-polymer composites was used and annealing was performed to improve the contact characteristics. Current-voltage measurements illustrate how the conductivity of the nanowire arrays changes as a result of the polymer coverage. These nanowire-polymer composites are interesting for future thermo-electric device fabrication.
9:00 PM - Y13.12
WITHDRAWN 12/21/10 Incorporation of Copper Nanoparticles into Porous Silicon.
Hanna Bandarenka 1 , Aliaksandr Shapel 1 , Marco Balucani 2 , Paolo Nenzi 2
1 , Belarusian State University of Informatics and Radioelectronics , Minsk Belarus, 2 , Universita 'La Sapienza' di Roma , Roma Italy
Show AbstractPorous silicon (PS) is one of representatives of nanoscale materials exhibiting such attractive properties as significant photoluminescence, biocompatibility, variable mechanical strength, etc. PS is known more than a half of century and nowadays has wide application in different areas of nano- and microelectronics, biomedicine and sensing technologies. However an interest to PS hasn’t been lost and to realize PS potential in full measure many of researches directed their attention to introduction of different materials into PS. In the present work we demonstrate displacement deposition technique as method for the integration of copper nanoparticles (NPs) into PS. The basic reaction of this process is the substitution of silicon atoms by copper atoms. The fundamental advantage of displacement deposition with respect to other deposition methods is that it can provide deep penetration of metals inside pore channels in PS. PS films were fabricated by electrochemical anodization in HF of Czochralski Si wafers of 0.01 Ω*cm resistivity. (100) and (111) oriented wafers doped with Sb were used to investigate an effect of crystallographic orientation of silicon on copper NPs growth process. Self-organization into an array of a regular cylindrical pores with different diameter and 1000 nm depth was achieved under 10-150 mA/cm2 current density. After anodization, the HF electrolyte was replaced by a CuSO4 + HF solution and Cu was deposited into porous silicon. SEM and X-ray microanalysis techniques were used to investigate the structure and elemental composition of the PS samples. Copper NPs onto the walls of partially dissolved pore channels and well faced copper NPs onto an external surface of PS deposition are demonstrated. An important aim of the present work was to obtain crystallographic information about the upper copper NPs for its further application. Using different compositions of plating solution and varying time periods and temperature regimes of displacement deposition we have fabricated copper NPs of wide dimensional range from 5 to 250 nm. It was shown, that copper NPs are crystalline in nature and have cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were determined. For the first time the orientation of separate copper NPs was discovered to be determined by the PS substrate, i.e. epitaxial growth of Cu takes place. Discussing of such copper NPs growth behavior and its probably applications are presented. Finally the distribution of the deposited metal, the electrical and chemical features of Cu NPs/PS are described. It was found that variation of PS porosity and chemical displacement deposition regimes strongly affect the Cu NPs/PS properties. The potential application fields of the obtained structures are the subject of speculation of our work.
9:00 PM - Y13.13
ZnO Nanowire Arrays Functionalized with CdTe Quantum Dots using Glancing Angle Deposition for Photovoltaics.
Anthony Mayo 1 , Richard Mu 1 , Roberto Aga 1
1 Physics, Fisk University, Nashville, Tennessee, United States
Show AbstractWhile solar cell efficiency has improved, there are still the main problems of charge transport and efficient spectrum absorption lowering their potential. ZnO is a versatile semiconducting oxide with a wide direct band gap (3.37 eV) which can be grown as nanowires (NWs) having excellent optical and electrical properties. These NWs serve as heterointerfaces for the quantum dots and direct conduction pathways to the collection electrodes. Quantum dots (QDs) have the potential to greatly increase the quantum efficiency of solar cells due to their tunable band gaps. By changing the size of the quantum dots, different energy levels can be achieved along with changing their effective band gaps to absorb different portions of the solar spectrum. In this research, we grow high quality vertically aligned ZnO nanowires using a catalyst free thermal evaporation process onto indium tin oxide (ITO), a transparent electrode. In past studies, QDs have been deposited onto the tops of ZnO NWs to provide interfaces to optically absorb more of the solar spectrum. We use glancing angle deposition (GLAD) to take advantage of the high surface area of the NWs by decorating both the sides and the tops of the NWs with multiple layers of CdTe quantum dots. By directly depositing the quantum dots onto the ZnO NWs, we eliminate any surface contaminants found in solution synthesized solar cells. A comparative study is performed on the ZnO NWs and decorated NWs by characterizing their efficiencies and the photosensitization effect of CdTe, which enables the absorption of wavelengths of up to 820 nm. The ZnO NWs with QDs show an increase of solar efficiency due to the absorption of underutilized portions of the spectrum.
9:00 PM - Y13.14
Growth Mechanism of Oriented Metal Organic Framework (MOF) Films on Modified Silicon Substrates.
Nour Nijem 1 , Damien Aureau 1 , Oliver Seitz 1 , Yves Chabal 1
1 Material Science and Engineering, University of Texas at Dallas, Richardson, Texas, United States
Show AbstractSelf assembly of nanostructures such as Metal Organic Frameworks (MOFs) on surfaces is of great interest for nanotechnological devices such as smart membranes, catalytic coating and chemical sensing. These applications depend greatly on the fabrication of thin oriented films of defined porosity and tunable chemical functionality. MOFs are hybrid inorganic-organic 3 D microporous material with accessible and functional pores that are interesting for many applications including energy storage and sensing.This work investigates the growth mechanism of MOFs forming membranes and oriented films by bottom-up approaches on various substrates. We focus on the growth of Ni(bdc)(ted)0.5 MOF [bdc= 1,4 benzenedicarboxylate, ted=triethylenediamine] membranes by means of the “twin nickel source” technique. The grown membranes show no oriented growth and nucleation appears to originate in random locations as determined by X-ray diffraction and scanning electron microscopy techniques. However when the growth is performed on chemically functionalized surfaces, a specific orientation of the MOFs on these surfaces is apparent. The oriented growth covers ~100 μm surfaces that appear as a microcrystal. We show that the origin of this orientation is mostly due to a chemical bond between the carboxylic group of the MOFs ligands and the chemical groups on the surface. An orientation dependence on the starting substrate chemical functionality is observed. Oriented membranes using the functionality of the surfaces are fabricated and the integrity and homogeneity of the membranes are assessed by x-ray diffraction and scanning electron microscopy techniques. Infrared spectroscopy and isotherm measurements of adsorbant interactions in these membranes and films are performed and show an increase in uptake and therefore IR integrated areas as compared to bulk samples. This effect is attributed to the better organization and orientation of these materials compared to bulk samples.
9:00 PM - Y13.15
Templated Growth of Silver Nanowires in Metal-organic Frameworks.
Benjamin Jacobs 1 , Ronald Houk 1 , Stephen House 2 , Ian Robertson 2 , Alec Talin 3 , Mark Allendorf 1
1 , Sandia National Labs, Livermore, California, United States, 2 , University of Illinois, Urbana, Illinois, United States, 3 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractThe unique, size-dependent properties of metallic nanowires make these materials attractive for a variety of applications. The fabrication of metal nanowires has been widely explored and there exist many growth techniques that allow varying degrees of control over their size and shape. However, creating ordered assemblies is much more challenging, especially when spatial dimensions are < 10 nm, and presents a barrier to further development of devices based on these materials. Metal-organic frameworks (MOFs), which are highly crystalline nanoporous materials, offer new opportunities for templating nanostructures. Non-native materials can be infused into the accessible pore volume, and the long range crystallinity of the MOF creates a stabilizing environment for the infused material. When exposed to a TEM electron beam, the MOF breaks down and the infused material becomes free to coalesce into crystalline nanostructures. In this work, we demonstrate that MOF templates can be used to synthesize silver nanowires, which are ~10 nm in diameter, into predetermined patterns. The pattern is based on the pore structure of the MOF and the amount of metal loading. We show that steric and chemical constraints in the MOF pores play an important role in nanowire formation, and can be tailored to achieve specific patterns. Extension of this method to other metals should be straightforward using previously reported infiltration techniques.
9:00 PM - Y13.16
Nanorods of Dy Modified BiFeO3 for Multifunctional Device Applications.
Mrinmoy Mandal 1 , Siddhartha Duttagupta 1 , Vaijayanti Palkar 1
1 Electrical Engineering, Indian Institute of Technology Bombay, Mumbai, Maharashtra, India
Show AbstractWe have been successful in developing material (Bi0.7Dy0.3FeO3)(BDFO) which exhibits the multiferroic behavior at room temperature with significant coupling in bulk as well as thin films [1]. If these properties could be fashioned in nano rods, implementation in devices could be certainly more prominent and straight forward. We have therefore used vertically aligned arrays of silicon rods (~5 µm in length and ~ 500 nm in diameter) [2] as template to direct the growth of a uniform layer of Bi0.7Dy0.3FeO3 (BDFO) on the surface of the Si rods by using pulse laser deposition technique. These BDFO/Si rods are then separated from the support, dispersed into propanol and transferred onto SiO2/Si substrates. XRD results indicate presence of phase pure BDFO layer on Si rods. Saturation observed at room temperature in magnetic and ferroelectric hysteresis loops confirm the coexistence of ferromagnetic and ferroelectric order parameters. Change in ferroelectric polarization of a single rod in the presence of applied magnetic field suggests the coupling behavior between two order parameters. Moreover, change in magneic domain pattern of BDFO rods associated with applied electric field further supports the presence of coupling behavior. The vertical and lateral displacement occurring in BDFO/Si rod with applied electric field helps to confirm their piezoresponce behavior.These BDFO/Si nanorods could find variety of novel device applications with flexibility and simplicity in operation. It may include single rod power generation by means of applied stress or magnetic field.References:[1] V. R.Palkar et al. Applied Phys. Letter. 93(13), 132906, 2008.[2] A. V. Govindarajan et al. Transducers 2009, Denver, CO, USA, June 21-25, 2009.
9:00 PM - Y13.17
Three-dimensional Patterning of Micro/Nano-particle Assembly with a Single Droplet of Suspension.
Sun Choi 1 2 , Albert Pisano 1 2 , Tarek Zohdi 2
1 Berkeley Sensor and Actuator Center (BSAC), UC Berkeley, Berkeley, California, United States, 2 Mechanical Engineering, UC Berkeley, Berkeley, California, United States
Show Abstract Creating regular, repetitive and well-defined three-dimensional patterns of particle assembly in targeted area is a major bottleneck in various applications such as the fabrication of three-dimensional photonic crystals, printed electronics on flexible substrates, colloidal quantum-dot based devices for display, plasmonics and etc. Previous approaches to pattern particle assembly, however, are required to use entire substrates without selective positioning of particle assembly, chemically pre-patterned substrates, or use soft-lithographic methods of which applicable particles are largely constrained by molds and substrate. Moreover, most of the previous techniques are grounded on the two-dimensional interaction between the substrate and particle assembly, thus, structuring three-dimensional particle assembly has been a huge challenge with those approaches. Evaporative self-assembly of meso, micro and nano-scale particles is well-known, interesting physical phenomenon at three-phase boundary (particle-medium-air), however, its applications have been mainly confined to fabricate planar structures since technical difficulty lies in controlling interaction between medium and particles in three-dimension. In this work, we propose simple and straight-forward idea that the patterns of three-dimensional particle assembly are generated by evaporative self-assembly being assisted by photographically defined templates. The patterns of the three-dimensional assembly of various sizes of micro-particles (Silica), metal oxide nano-particles (TiO2, ZnO) and metallic nano-particles (Ag) have been successfully generated by mainly two approaches: (a) ultra-fast microfluidic approach to self-assemble micro particles in three-dimension by using micro open-channel flow (b) Confinement/release of micro/nano particles assembly based on the coffee-ring effect of evaporating suspension. The geometry of patterns was finely controlled by adjusting parameters of process and brief theoretical validation of this process has been also included. We demonstrate that photo-patterns with proper surface treatment can be used as an effective scaffold to structure particle assembly. Thanks to great simplicity, wide applicability to various materials and compatibility with existing IC(Integrated Circuit) processes, we anticipate that this simple technique will be widely used in the fabrication of three-dimensional photonic crystal, key-components in low-cost electronics/MEMS(Micro Electromechanical Systems) and will also provide an effective platform to study interesting electrical, optical properties of particle assembly with micro-size apparatus on-chip.
9:00 PM - Y13.18
The Nobel Nano-patterning Technology for High Resolution Pattern(sub 20nm) by Secondary Sputtering Phenomenon over Large Area.
Hwan-Jin Jeon 1 , Kyung hwan Kim 1 , Youn Kyoung Baek 1 , Dae Woo Kim 1 , Hee-Tae Jung 1
1 , Korea Advanced Institute of Sceince and Technology, Daejeon Korea (the Republic of)
Show AbstractThe development of large-area nano-patterning with high resolution, high aspect ratio and simple process scheme/cost is a challenging work for realizing their potential applications in opto-electronics and nano-biotechnology such as nanoelectronics, optics, optical sensing, light-emitting devices and bio-sensing devices. Several approaches towards nanostructure fabrication have been exploited without resorting to expensive tools such as those used in deep-UV projection lithography and electron-beam lithography. Here, we demonstrate a novel patterning technology enabling to fabricate the high resolution of complex nanoscale patterns with simple process. The idea arises from the angular distribution of target particles by ion-beam bombardment, which use the ultra-thin nano structure in consistent with side shape of polymer patterns by attaching target materials to the polymer surfaces during accelerated ion-assisted bombardment. In other words, target materials are physically etched by Ar+ ion and re-sputtered on the patterned polymer surface during ion-milling process and then after removal of the polymer pattern, only pattern of target materials are fabricated. Unlike previous nano-fabrication techniques reported thus far, our method has several powerful advantages such as high resolution(~10nm) and high aspect ratio(~15), easy fabrication process over large area, wide range of materials available, 3-dimensional complex nano-structure and easy controlling of pattern feature. Thus, our novel patterning technology will be able to introduce new concepts for fabricating high performance of future nanoscale devices.
9:00 PM - Y13.19
Directional Photofluidization Lithography for Advanced Nanophotonics and Energy Devices.
Seungwoo Lee 1 , Jonghwa Shin 2 , Hong Suk Kang 1 , Yong-Hee Lee 2 , Shanhui Fan 3 , Jung-Ki Park 1
1 Chemical and Biomolecular Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 2 Physics, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 3 Electrical engineering, Stanford University, Stanford, California, United States
Show AbstractDirectional photofluidization lithography (DPL) allows one to fabricate a variety of nanostructural motifs with precisely controlled structural features (e.g., sizes, shapes, and orientations) in a very unique manner. The success of DPL stems from the use of photo-reconfigurable polymer arrays instead of a conventional colloidal nanosphere, photoresist arrays, and polydimethylsiloxane (PDMS) arrays. This photo-reconfiguration can be achieved by the directional photofluidization, that is a unique feature of azobenzene polymer (abbreviated as azopolymer). Particularly, different from the thermal-induced isotropic melting of thermoplastic polymer, the photofluidization of azopolymer takes place in the direction of the light polarization, and consequently, the structural features of pristine azopolymer arrays can be arbitrarily reconfigured in a scalable manner. This nature of azopolymer is caused by the repeated photoisomerization of azobenzene molecules attached to the main chain of polymer and their resulting anisotropic aligment in the direction perpendicular to the light polarization. Therefore, its greater flexibility in control over the structural features allows one to fabricate the unique structures, which are very diffcult to fabricate via any other conventional nanofabrication method. This ability of unprecendented control of the structural features enables the exploration of a variety of chemical and physical phenomena, for example, plasmonics and light trapping in solar cells. Here, we demonstrate the principle of DPL and also their applications to nanophotonics and energy devices.Reference1.Lee, S.; Shin, J.; Lee, Y.-H.; Fan, S.; Park, J.-K. Nano Lett. 2010, 10, 296.
9:00 PM - Y13.20
Autonomous Liquid-phase Nanoscale Processing for the Large-area Fabrication of Nanoparticle-based Parallel Device Arrays.
Nobuyuki Zettsu 1 , Takuji Hosoi 1 , Shin Matsuura 1 , Akira Watanabe 1 , Heiji Watanabe 1
1 Graduate School of Engineering, Osaka University, Suita, Osaka, Japan
Show AbstractNanometer-sized inorganic particles (NPs) play important roles in modern nanotechnology owing to their superior characteristics that can lead to fascinating functions that are difficult to be realized using conventional bulk materials. The electronic structures, and optical and magnetic properties of NPs can be tuned by varying their size and shape, leading to brand new properties such as superparamagnetism of magnetic NPs, size-dependent band gap of semiconductor NPs, and localized surface plasmon resonance in Au and Ag nanoparticles. These NPs are expected to lead to dramatic improvements in performance in many applications. A fundamental step in the fabrication of NP-based devices is the transfer of the NPs from their stock solution to the substrate, on the top of which the device will be built. Although it is possible to integrate NPs directly into some specific device platforms during synthesis using hard and soft templates, it is more common to make NP-based devices starting from NP suspension because synthesis of versatile shape-controlled NPs is normally processed in solutions. A few methods have been developed to make a single layer of NPs on a substrate, for example, Langmuir-Blodgett and evaporation-based approaches, however, these approaches enable ordering only in a small area. In this presentation, we propose a versatile method for the fabrication of self-assembled metallic NP array onto a substrate with dimension of 50 x 50 cm2 by using a newly developed autonomous liquid-phase processing. The de-wetting process of the aqueous dispersion of the shape-controlled metallic NPs were precisely controlled automatically. By autonomous control of the velocity of the leading edge of a liquid slug, the volume ratio of the particles, and the deposition rate, we were able to reproducibly form an array consisting of a single layer of NPs with density of more than 1010 particles/cm2 without any distinction of their shapes and sizes. We further demonstrated the charge injection characteristics of metal NP arrays for floating gate memories using a MOS capacitor having density and shape-controlled arrays of homogeneous NPs in SiO2 layer. The C-V characteristics of the MOS capacitors showed that charge injected into the NP array depends on the both dot density and dot shape with a program voltage.
9:00 PM - Y13.21
Highly Oriented 3D Crystalline Nanorod Arrays of Hematite Synthesized by Purpose-built Materials: Photoelectrochemical Properties.
Vitor N. de Carvalho 1 , Lohaine de Souza 1 , Flavio de Souza 1
1 Centro de Ciência Naturais e Humanas, Universidade Federal do ABC, Santo André, São paulo, Brazil
Show AbstractWe report two novel aspects relating to the growth of oriented 3D arrays of alpha-Fe2O3 nanorods, based on the "purpose-built materials" (PBM) strategy proposed by Vayssieres*. This strategy allows one to obtain 3D arrays of several semiconducting metal oxides using controlled aqueous chemical processing at low temperatures (usually in the range of 90-95 °C) and inexpensive precursors (* Chem. Mat. 13 (2) (2001 ), 233-235 ). Under controlled conditions, the substrate acted as a topographic template and the growth direction of the 3D arrays of alpha-Fe2O3 nanorods was unrelated to the crystallographic characteristic of the substrate. The photoelectrochemical response was measured using a standard three electrode configuration cell and the maximum value observed was 3 mA/cm2 at 0.6 V (was used as reference, Ag/AgCl electrode in KCl satured solution). The crystallographic orientation degree was found to be dominant factor in the control of the photoelectrochemical response in our system. A growth process based on the oriented attachment mechanism was proposed to explain this behavior, and this finding, reported here for alpha-Fe2O, was extended by different authors to explain other metal oxides. Based on this result and in the literature where the best result found was 2.3 mA/cm2 at 0.23 V, our nanorods films are good candidate to be applied as photoanode to generate hydrogen by water splitting.
9:00 PM - Y13.22
Interfacial Functionalization at the Nanoscale: Ion Beam Sputtering of Polycrystalline Coatings.
Daniele Chiappe 1 , Andrea Toma 1 , Zhiqiang Zhang 1 2 , Corrado Boragno 1 , Francesco Buatier de Mongeot 1
1 Dipartimento di Fisica, università di genova, Genova Italy, 2 Institute of Physics, Chinese Academy of Sciences, Beijing China
Show AbstractWe report on the self-organized patterning of polycrystalline gold films supported on dielectric/semiconductor substrates using defocused Ar+ ion-beam sputtering at glancing incidence [1,2].The data demonstrate that a non-flat initial surface morphology does plays a critical role in triggering self-organization during the early stages, where the distribution of polycrystalline grains initially imposes a non-stochastic spatial modulation of the ion impact sites. Following ion irradiation, the polycrystalline gold film self-organises into a disconnected array of laterally ordered nanowires which exhibit plasmonic absorption in the visible range (localized plasmon resonances) [2]. This novel approach is potentially attractivefor the development of plasmon enhanced solar cell devices [3]; additionally, the patterned Au films show a good conductivity in the longitudinal direction [4] a feature which renders the nanostructured metal overlayers a good alternative to the conventionally employed transparent conductive oxides (TCO).Furthermore we demonstrate that the gold decomposition into a disconnected nanowires array, exposes the substrate to a selective ion etching, giving rise to a pattern transfer process [5]. A wavelength selective vertical amplification of the surface features is observed during the pattern transfer stage; this phenomena appears to be determined by the interplay of interfacial smoothing mechanisms and the erosive instability related to the different sputtering yields of the materials involved. The results evidence that the technique is highly effective for the nanostructuring of surfaces which do not show a natural tendency to generate a self-organized pattern during ion beam irradiation or that evolve with a very slow dynamic.Last we show that the subwavelength anisotropic patterns exhibit anti-reflective properties in the visible range.[1] A. Toma, D. Chiappe, B. Šetina Batič, M. Godec, M. Jenko, and F. Buatier de Mongeot, Phys. Rev. B 78, 153406 (2008)[2] A. Toma, D. Chiappe, C. Boragno, and F. Buatier de Mongeot, Phys. Rev. B 81, 165436 (2010)[3] S. Pillai, K. R. Catchpole, T. Trupke, and M. A. Green, J. Appl. Phys. 101, 093105 (2007)[4] F. Buatier de Mongeot, et al. International Patent PCT Pub. No.:WO/2009/109939[5] D. Chiappe, A. Toma, Z. Zhang, C. Boragno, F. Buatier de Mongeot, submitted (2010)
9:00 PM - Y13.23
Size-dependent Diffraction Efficiency of High Quality CdSe Nanocrystal Diffraction Gratings Created by a Facile Microcontact Molding Process.
R. Shallcross 1 2 , Gulraj Chawla 1 , Jeffrey Pyun 1 , Neal Armstrong 1
1 Chemistry, University of Arizona, Tucson, Arizona, United States, 2 Physical Chemistry, University of Cologne, Cologne Germany
Show AbstractHere, we describe the formation of efficient transmission diffraction gratings created from patterned high quality ligand-capped CdSe nanocrystals (NCs) using a facile microcontact molding procedure. Soft polymer replicas of commercially available master gratings were “inked” with solvated NCs and the resulting pattern transferred to a variety of substrates after drying. Large-area (>0.5 cm2), defect free nanocrystal diffraction gratings were prepared with a variety of submicrometer line spacings and feature sizes down to ca. 160 nm (ca. 300 nm grating period). The morphology of the resulting pattern was tuned by controlling the concentration of the NC-based ink. Optimized gratings (833 nm period) showed an increase in transmission diffraction efficiency (DE) with increasing nanocrystal diameter (i.e. the diffraction efficiency effectively doubled when systematically changing the nanocrystal diameter from 2.5 to 7.3 nm). These increases in DE are ascribed to changes in both the real (n) and imaginary (k) components of the complex index of refraction as NC diameter increases. We demonstrate the ability to in- and out-couple incident laser radiation into internal reflection elements using these stamped NC gratings, including single-mode waveguides, offering a novel application of ordered nanocrystal thin films.
9:00 PM - Y13.26
Anisotropic Conducting Film (ACF) of Ag Nanoparticles as Transfer Polymer and Electrical Interface for Silicon Micro- and Nano- Pillars.
Matthew Ombaba 1 , Logeeswaran Vj 1 , Saif Islam 1
1 electrical and computer engineering, UC davis, Davis, California, United States
Show AbstractWe report a novel application of Anisotropic Conductive Films (ACFs) technology to provide electrical contact and mechanical anchor between fracture transfer-printed 1-dimensional (1-D) single crystal semiconductor micro- and nano- pillars and a bottom metal film coated on a flexible low cost substrate. This fracture-transfer method enables highly crystalline micro- and nano- pillars of different materials with diverse bandgaps and physical properties to be fabricated on appropriate mother substrates and transferred to form multilayered 3D stacks for multifunctional devices. In this instance, ACFs are commonly used in the fine pitch flip-chip technologies because they offer many distinct advantages such as extreme fine pitch capability, uniquely conductive in a single Z-axis, lead free and environmentally friendly. The proposed protocol incorporates silver (Ag) nanoparticles into thermoplastic polymers exploitable in transfer-printed semiconductor devices and circuits with low contact resistance that is compatible with current IC processing methods. The conductive particle currently used as the filler material is a polymer coated Ag particle with a diameter of ~2.0 um as compared to conventional Ag-flakes based epoxy matrix. Briefly, insulated silver particles mixed with either a thermosetting or thermoplastic polymer are coated onto a conductive substrate. The vertical micropillars arrays are then embossed onto the polymer at its rubbery state by applying a vertical force leading to particle trapping between the bottom electrode and the micropillars. The insulator coating on the Ag particle breaks down thus enabling the particle to be in direct contact with the bottom electrode and the micropillars, providing a continuous ohmic conduction path between them. The polymer is then hardened while retaining the applied vertical force. By applying a lateral force on the mother substrate, the firmly cemented pillars are fractured off thereby allowing the mother substrate to be reused.
9:00 PM - Y13.28
Design and Controlled Surface Placement of DNA Origami Circuit Templates.
Elisabeth Pound 1 , Jeffrey Ashton 1 , James Havican 3 , Anthony Pearson 2 , Yanli Geng 1 , Robert Davis 2 , John Harb 3 , Adam Woolley 1
1 Chemistry and Biochemistry, Brigham Young University, Provo, Utah, United States, 3 Chemical Engineering, Brigham Young University, Provo, Utah, United States, 2 Physics and Astronomy, Brigham Young University, Provo, Utah, United States
Show AbstractDNA has become a versatile building block in the self-assembly of nanostructures. We are developing a bottom-up fabrication method for nanoscale electronic circuits using DNA origami templates. DNA origami
1 is a particularly useful method for the design of arbitrary, asymmetric structures. Using this technique, in combination with a scaffold strand generation procedure, we have assembled several thin, branched nanostructures with varying scaffold lengths.
2 Among these structures, we have assembled a branched “T” shape, a “U”, an uppercase “B”, and an electronic circuit shape. As a step towards selective surface placement, we have attached DNA origami between gold nanospheres assembled into two-dimensional surface arrays. Current work involves optimizing the assembly of complex DNA origami circuit templates, selective metallization of the DNA templates, and improving the attachment of DNA origami to surface assembled gold nanospheres. Metallization of DNA origami templates and selective placement of semiconductor nanostructures, along with controlled surface localization, will provide narrow branching wires connected to active elements, an ideal architecture for nanocircuits.
1. Rothemund, P. W. K. Nature 2006, 440, 297–302.
2. Pound, E.; Ashton, J. R.; Becerril, H. A.; Woolley, A. T. Nano Lett. 2009, 9, 4302-4305.
9:00 PM - Y13.29
Syntheses of Position-specific Gold-based Hybrid Nanocrystals.
Jie Zeng 1 , Xiaoping Wang 2 , Jianguo Hou 2 , Younan Xia 1
1 Department of Biomedical Engineering, Washington University in St Louis, St Louis, Missouri, United States, 2 Hefei National Laboratory for Physical Sciences at the Microscale, University of Science and Technology of China, Hefei, Anhui, China
Show AbstractWe have developed a facile method for the synthesis of Au-based hybrid nanocrystals with a variety of compositions, including CdSe-Au, PbSe-Au, FePt-Au, Cu2O-AuAg, and FePt-CdS-Au, by employing pre-synthesized nanocrystals as seeds for heterogeneous nucleation and growth of Au. Two points should be addressed for the successful syntheses. The first is on the use of a AuI instead of AuIII organometallic complex as a precursor to elemental Au. The second point is on the role played by the surface of seed NCs. Most importantly, the sites of heterogeneous nucleation could also be accurately controlled for nanocrystal seeds with a non-spherical shape, for example, selectively at the corners of a Cu2O nanocube rather than on the side faces. This approach provides a versatile route to Au-based hybrid nanomaterials with tunable sizes, shapes, and compositions. These kinds of hybrid NCs not only provide a natural vehicle for integrating multiple functions, but also may lead to the development of new properties when there is a strong coupling between different components of the hybrid structure.
9:00 PM - Y13.3
Magnetic and Morphological Properties of Iron-incorporated Titania Nanotubes Arrays.
Pegah M. Hosseinpour 1 , Eugen Panaitescu 2 , Latika Menon 2 , Laura Lewis 1 , Donald Heiman 2
1 Chemical Eng., Northeastern University, Boston, Massachusetts, United States, 2 Physics, Northeastern University, Boston, Massachusetts, United States
Show AbstractModification of the titania nanotubes lattice with magnetic transition metal dopants is anticipated to provide the opportunity to create a novel multifunctional nanostructured material with magnetic, semiconducting and catalytic properties. Eventual device applications are postulated to be in sensing, catalysis and spintronics to enable advances in alternative energy and data processing technologies. In order to investigate this possibility, the interactions between the band structure, crystal structure, dopant concentration and materials attributes must be clarified. To this end, iron has been chosen to be introduced into the titania nanotube structure due to its strong ferromagnetic nature as well as to a possible enhancement of titania photocatalytic character and functionality. Iron-doped titania films have been deposited via a thermal vaporization method for subsequent anodization to create titania nanotube arrays. It is noted that the thermal vaporization method concentrates the inherent ferromagnetic impurities in nominally-pure titanium, enabling the dopant level to remain below the solid solubility limit of ~ 1 wt% in titania. In this manner, the clustering of ferromagnetic dopants that may interfere with the desired multifunctional character of the resultant nanotubes is avoided. These films have been electrochemically anodized for synthesis of titania nanotube arrays, and their structural, morphological and magnetic properties are examined.This material is based upon work supported by the National Science Foundation under Grant No. DMR-0906608.
9:00 PM - Y13.30
Synthesis of Nano Dot Gold Arrays Using Mesoporous Silica Thin Film as a Template and Their Application to Surface Enhanced Raman Spectroscopy.
Min-Hye Kim 1 , Ki-Rim Lee 2 , Young-Seon Ko 2 , Jeong-Gyu Park 2 , Young-Uk Kwon 1 2
1 Center for Human Interface Nanotechnology, Sungkyunkwan University, Suwon Korea (the Republic of), 2 Chemistry, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractSince the surface-enhanced Raman spectroscopy (SERS) has been introduced, various metal nanoparticles such as silver (Ag), gold (Au) and platinum (Pt) have been studied for the detection of molecules, cancer cells and proteins. Recently, the syntheses of nanoparticle arrays for SERS have attracted attention because some theoretical studies have predicted that the localized surface plasmon is stronger when particles are almost touching than when the particles seperated from one another. Various methods such as electron beam lithography, Langmuir-Blodgett technique of colloidal nanoparticles have been used for the formation of arrayed nanoparticles, but with several drawbacks. For example, in case of the electron beam lithography, the smallest dimension attainable is about 100nm which is not suitable for SERS application and, in case of Langmuir-Blodgett technique, it is difficult to make film with high density. Mesoporous materials with 2 to 50 nm sized controllable pores and metal oxide walls have been used as templates in the syntheses of nanomaterials in high densities. The metal oxide walls can be removed after filling the pores to reveal the nanostructures of pore-filling materials. In this study, we prepared arrays of nanoparticles and nanowires of gold using mesoporous silica thin films as templates by electrodeposition method and studied their SERS properties. We expected that the size of pores and the wall thickness were close to the ideal value suggested in the literature when replicated with metal so the replicas might be appropriate for SERS substrates. Mesoporous silica thin films were prepared by spin-coating of precursor solutions containing a silica precursor and a block copolymer (F127, F68 or Brij-58) on conducting substrates followed by calcination to remove the block copolymer. The structures of mesoporous films were analyzed by X-ray diffraction and transmission electron microscopy. Gold is electrodeposited from HAuCl4 aqueous solution and, then, SiO2 is removed by using hydrofluoric acid. The surface morphologies of the gold replicas were observed with scanning electron microscopy. The SERS effects of these gold substrates were measured for 6G and 4-mercaptobenzoic acid as analytes. Gold substrates with various nanostructures were obtained by using mesoporous silica thin films with various pore sizes and by controlling the amount of charge passed during the electrochemical deposition. The details of the effects of the morphologies of the gold substrate to the SERS effect will be presented.
9:00 PM - Y13.31
Large Scale Nanorods Nanomanufacturing by Electric Field Directed Assembly for Nanoscale Device Applications.
Cihan Yilmaz 1 2 , Jun Huang 1 2 , Tea-hoon Kim 1 2 , Georgia Goutzamanidis 3 2 , Sivasubramanian Somu 1 2 , Ahmed Busnaina 1 2
1 Mechanical Engineering, Northeastern University, Boston, Massachusetts, United States, 2 NSF Center for High Rate Nanomanufacturing, Northeastern University, Boston, Massachusetts, United States, 3 Chemical Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractHigh-density arrays of one-dimensional (1D) nanostructures such as nanowires and nanorods have attracted a great deal of interest due to their unique electrical and optical properties. For example, metallic nanowires has been integrated into CMOS circuitry to produce in-plane (2-D) and intra-plane (3-D) interconnections. Recently, an improvement in biosensing technology using surface plasmons of metallic nanorods array has been demonstrated[1]. Periodic arrays of metallic nanorods with uniform diameter, height and spacing has been also utilized in surface enhanced Raman spectroscopy (SERS) for very accurate chemical and biomolecular species detection[2]. However, one major challenge for practical use of produced nanostructures is often large-scale integration and control of their arrangement on surfaces in a device. Directed-assembly methods have been envisioned to provide solutions for effective organization of nanoelements on devices because of their speed and amenability to nanomanufacturing. In this paper, a fast, highly scalable, room temperature and environmentally friendly nanomanufacturing process for fabricating gold (Au) nanorods from nanoparticles for applications such as CMOS interconnects and sensors is presented. Au nanoparticles are precisely assembled into prefabricated vias by applying a controlled dynamic electric field between the electrodes at the bottom of the vias and a reference electrode placed far away from the vias. The nanoscale vias are fabricated employing conventional e-beam nanolithography. The dimensions of the fabricated nanorods is controlled by the dimensions of the vias and assembly parameters such as the amplitude and frequency of the applied electric field. The mechanism of the assembly process is discussed by examining the effect of various components of the applied voltages on the assembly process to provide a fundamental understanding for scaling down to nanoscale dimensions. The results show that using 5nm Au nanoparticles, Au nanorods (down to 50nm diameter) array has been fabricated over 40x40 µm area. Various aspect ratio nanorods have been made and imaged using high resolution scanning electron microscopy (SEM) as well as atomic force microscopy (AFM). For comparison, electroplated Au nanorods with the same dimensions has been fabricated and tested. Electrical measurements (I-V) on the fabricated nanoparticle based nanorods as well as electroplated nanorods have been conducted using Zyvex in-situ nanomanipulator. Resistivity values an order of magnitude higher than gold bulk resistivity has been obtained for both nanoparticle based and electroplated nanorods. The experimental observations are further discussed with transition microscope images (TEM) of the nanorods and their possible use in optics as sensors.[1]A. V. Kabashin et al., Nature Materials, vol. 8, pp. 867-871, 2009.[2]J.-G. Fan and Y.-P. Zhao Langmuir, vol 24, pp. 14172-14175, 2008.
9:00 PM - Y13.33
Iron Decorated Titanium Oxide Nanotubes Arrays Synthesized by Electrochemical Anodization/Deposition.
Eugen Panaitescu 1 2 , James Maniscalco 1 , Pegah Hosseinpour 2 , Laura Lewis 2 , Latika Menon 1
1 Physics, Northeastern University, Boston , Massachusetts, United States, 2 Chemical Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractOrdered titania nanotubes arrays produced by electrochemical anodization offer unique opportunities regarding photocatalytic, solar energy conversion, or biomedical applications due to a combination of attributes such as controlled morphology, high surface area with the bio-inert and semiconductor properties of the material. The addition of a second material with magnetic properties such as iron could add new functionality to these structures. We are reporting on the synthesis of hybrid structures involving titanium oxide nanotubes arrays and iron particles subsequently attached by electrochemical deposition from a solution of iron sulfate. SEM and TEM imaging were used for morphological characterization of the material, helping to identify optimal parameters such as voltage, deposition time, and iron ions concentration for efficient deposition. Preliminary magnetic measurements indicate increased ferromagnetic behavior of the nanotubes arrays after the addition of iron, and other investigations regarding the catalytic properties of the hybrid material are envisioned.
9:00 PM - Y13.34
Simulations and Experimental Investigations on Controlling the Bimodal Length Distributions Observed During the Electrochemical Growth of Galfenol Alloy Nanowire Arrays.
Madhukar Reddy 1 , Bethanie J. H. Stadler 2 1
1 Chemical Engg. and Materials Science, University of Minnesota-Twin Cities, Minneapolis, Minnesota, United States, 2 Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractMagnetostrictive Galfenol (FexGa1-x) nanowires are of immense interest due to potential applications in nanowire array-based sensors. Electrochemical deposition is simple yet powerful tool to fabricate a large density of such nanowires. A thorough understanding of the complex interplay between various parameters such as solution pH, electrode overpotential, and solution concentration in the electrodeposition of Galfenol alloys has only been recently understood. However, one major roadblock has remained that is unique to electrochemical growth of non-ideal metal nanowires such as Galfenol alloys is the phenomenon of bimodal distribution in the lengths. In order to gain insight into plausible reasons for this unique phenomenon, two approaches were undertaken: simulations and experimental. Simulation studies pointed towards the important role played by various parameters like hydrodynamic conditions, nano-pore length and inter-pore spacing on the diffusion layers. They showed that a diffusion cloud from the beginning stages of nanowire deposition grows until it overlaps with the adjacent ones resulting in a non-uniform concentration distribution just outside the pores leading to a multimodal nanowire growth. Furthermore, deposition under limiting current conditions exacerbates this uneven growth. The key insights gained from simulations were then employed successfully in experiments to eliminate the bimodal distribution. Experiments involved samples that were designed in such a way that a variation in hydrodynamic conditions existed from one region to another, that is, from regions where the solution was well-stirred to regions where the solution was stagnant. As predicted, Scanning Electron Microscopy (SEM) studies of the samples showed a clear transition from a bimodal nanowire distribution at well-stirred regions to monomodal nanowire lengths at unstirred regions. These results were further confirmed by samples which were grown under solely either of the hydrodynamic conditions. Thus, this study threw light upon a technique to manipulate the shape and size of diffusion layers during electrodeposition that allows for a controlled and reproducible growth of multifunctional nanowires made of Galfenol and other alloys containing non-ideal metals.
9:00 PM - Y13.35
The Development of Anodic Aluminum Oxide Based Micro-channel Plate for Large-area Photo-detector.
Seon Woo Lee 1 , Qing Peng 4 , Anil Mane 4 , Jeffrey Elam 4 , Karen Byrum 1 , Henry Frisch 2 , Hsien-Hau Wang 3
1 High Energy Physics Division, Argonne National Laboratory, Argonne, Illinois, United States, 4 Energy Systems Division, Argonne National Laboratory, Argonne, Illinois, United States, 2 Enrico Fermi Institute, University of Chicago, Chicago, Illinois, United States, 3 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractMicro-Channel Plate (MCP) is a detection device that consists of arrays of channels arranged in a hexagonally closed packed (hcp) structure for the amplification of photo-electron, radiation, and/or other particles. The advantage of MCP is the array of micro-channels to cover large area instead of building discrete dynodes for electron multiplication. Aluminum is inexpensive, therefore, AAO can be grown cost-effectively. The 2D array of micro-channel structure also provides flexibility to adjust spatial or temporal resolution of detectors. Anodic aluminum oxide (AAO) is an unique material that consists of intrinsic hcp nano-scale pores with periodic structure. These intrinsic nanopores help to create nearly vertically straight micro-machined channels through chemical etching process to achieve the desired high aspect ratio (micro-channel length to diameter L/D ~40 to 100). Commercially available MCPs reached the limitation with channel diameter down to 10 um and aspect ratio 40 to 100. Smaller diameter channels are desired in order to produce excellent space and fast time resolution. AAO based MCP is a good candidate to fabricate smaller channel diameters (0.5 to 10 um) by taking advantage of nano-scale intrinsic pores during etching process. Various AAO based MCPs with different channel diameters are fabricated through the use of lithographic and etching techniques to optimize the open area, aspect ratio, etc. for maximum amplification. The micro-fabricated channels are characterized with use of AFM and SEM. Our preliminary results will be presented here. The submitted manuscript has been created by UChicago Argonne, LLC, Operator of Argonne National Laboratory (“Argonne”). Argonne, a U.S. Department of Energy Office of Science laboratory, is operated under Contract No. DE-AC02-06CH11357.
9:00 PM - Y13.36
Synthesis of SnO2 Nanostructures from SnO Branched Nanostructures and Their Electrochemical Properties.
Shin Jeong Ho 1 , Song Jae Yong 1 2 , Kim Young Heon 2 , Park Hyun Min 1 2
1 , University of Science and Technology, Daejeon Korea (the Republic of), 2 , Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of)
Show AbstractElectrochemical capacitors (ECs) have attracted much interest with the increasing demand of high-power. Metallic oxides such as RuO2, MnO2, WO3 and IrO2 have been extensively studied as electrode materials of ECs. Among these, even though RuO2 has the highest electrochemical capacitance, it is too expensive and toxic to be commercialized. Recently, SnO2 has been investigated as one of the most promising candidates due to its low cost and excellent capacitive behaviors. Until now, there have been some efforts of synthesizing the SnO2 nanowires in order to utilize the higher surface-to-volume ratio, e.g. carbothermal method, hydrothermal method, electrochemical method, sol-gel method and etc. In this work, we present the synthesis of the tetragonal SnO branched nanostructures with a high surface-to-volume ratio on Si/Cr/Au substrate using a combinatorial system of the vapor transport method and resistance heating technique. The as-prepared SnO nanostructures were oxidized to form SnO2 nanostructures in the ambient atmosphere varying the temperatures (230 ~ 600 oC) and the oxidation times. The phase transformations of SnO(tetragonal)-to-SnO2 (tetragonal) and SnO2 (tetragonal)-to-SnO2 (orthorhombic) in nanostructures are discussed with the aids of FESEM, XRD, FETEM analyses. The electrochemical behaviors of the branched nanostructures were measured in 1 M Na2SO4 aqueous solution. It was found that the electrochemical capacitances were significantly affected by the crystal structures of the nanostructured tin oxides. The maximum capacitance of about 1.2 mF/cm2 was obtained for tetragonal SnO2 nanostructure and maintained up to 1000 cycles.
9:00 PM - Y13.37
A Simple Platform for Controllable Synthesis of Nickel Hydroxide Nanostructures with High-performance Supercapacitors.
Hao Jiang 1 2 3 , Ting Zhao 2 , Jan Ma 1 2 , Chunzhong Li 3
1 , Temasek Laboratories, Nanyang Technological University , Singapore Singapore, 2 , School of Materials Science and Engineering, Nanyang Technological University , Singapore Singapore, 3 , Key Laboratory for Ultrafine Materials of Ministry of Education, School of Materials Science and Engineering, East China University of Science & Technology, Shanghai China
Show AbstractAs we know, ultrathin nanostructures with sizes of less than 10 nm are potentially considered to be good candidates for the next generation high-performance supercapacitors. Herein, a simple hydrothermal route has been developed to synthesize uniform Ni(OH)2 hierarchical nanostructures, including flowerlike nanostructures, stacked nanoplatelets and hexagonal nanosheets without any catalysis or template at low temperature. Among them, the flowerlike Ni(OH)2 nanostructures assembly from ultrathin nanoflakes with diameters of ~7.4 nm shows the maximum specific capacitance of 1902 F g–1 within the potential range of 0.6 V compared with the stacked nanoplatelets (1751 F g–1) and hexagonal nanosheets (1279 F g–1). All of the hierarchical Ni(OH)2 nanostructures show good rate capabilities and cycle properties. After 2000 cycles, there are only 22.7% specific capacitance loss for the flowerlike Ni(OH)2 nanostructures while 29.7% and 30.0% specific capacitance loss for the stacked nanoplatelets and hexagonal nanosheets, respectively. In a word, the as-synthersized hierarchical Ni(OH)2 nanostructures demonstrate superior electrochemical properties, especially the flowerlike nanostructures assembled from ultrathin nanoflakes, making them promising electrode materials for practical applications.
9:00 PM - Y13.38
Low Leakage Current of Metal-insulator-metal PVDF-based Thin Film Capacitors on Flexible Substrates.
O. Iyore 1 , B. Gnade 1
1 Materials Science and Engineering, University of Texas at Dallas, Richardson , Texas, United States
Show AbstractPolymer nanocomposites are a leading candidate class of materials for high performance dielectrics. The properties of the polymer matrix have a strong influence on the resulting nanocomposite properties, so it is useful to select and optimize the appropriate matrix material for maximum benefit. We report the fabrication of polyvinylidene fluoride-based metal-insulator-metal capacitors on flexible substrates by a photolithography process. The sub-micron film capacitors have an average leakage current density of 4.7(±1.3)nA/cm^2 measured at a field of c.a. 50MV/m. Capacitance density of 0.12(±0.02)fF/μm^2 and estimated maximum energy density of 0.23(±0.04)mJ/cm^2 were also determined for these capacitors. The dielectric material was characterized using ultraviolet-visible spectroscopy, photoluminescence excitation, x-ray diffraction and polarized Fourier transform infrared spectroscopy to investigate any correlation between electronic structure, crystallinity, molecular bonding and electrical properties. Capacitors fabricated on the flexible polyethylene naphthalate substrates showed leakage current density up to 10X lower than similar devices made on silcon nitride-insulated silicon substrates. This work could be important for improving reliability in energy storage and memory applications.
9:00 PM - Y13.39
High Pseudocapacitor Performance from Ultra-thin Film Coated V2O5 on the PAN-based Nanofiber Paper Electrode by Electro-deposition Technique.
Arunabha Ghosh 1 , Meihua Jin 2 , Young Hee Lee 3
1 Sungkyunkwan Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of), 2 Department of Physics, Subgkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of), 3 Department of Energy Science, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of)
Show AbstractVery high value of capacitance is obtained by ultra-thin film coating of vanadium oxide on the polyacrylonitrile (PAN)-based carbon nanofiber paper. The nanofiber paper is coated with very thin film (~3nm) of vanadium oxide by electro-deposition process. Highly porous structure of fibers results in very high surface area to volume ratio for the very thin layer of vanadium oxide which is uniformly electro-deposited throughout these nanofiber surfaces. Most significantly because of ultra thin metal oxide layer and high porosity of fiber very fast rate of charge transfer is obtained, resulting very high pseudocapacitance of metal oxide combined with electric double layer capacitance. These self supported robust fibers are acting as excellent host material for coating and very good electrical conducting path for charge transfer resulting in very high value of capacitance as high as 3300 F/g when well decorated vanadium oxide is considered only and its value is more than 360 F/g considering the total mass of whole electrode material including fiber and oxide. A very high specific energy density value more than 80 Wh/Kg was obtained considering loaded vanadium oxide mass contribution. Different weight percentages of vanadium oxide were loaded and their electrochemical performance was observed, noticing the fact that higher weight percentage of vanadium oxide lowers the value of specific capacitance. This phenomenon indicates the blocking of porous structure with excessive vanadium and also reduction in conductivity of the electrode because of poor conductivity of Vanadium oxide material.
9:00 PM - Y13.4
Optical Properties of Colloidal CdSe Quantum Dots and Photoconductivity of ZnO Nanowire-CdSe Compound System.
Tae Soo Kim 1 , Syamanta Kumar Goswami 1 , Eunsoon Oh 1 , Eui Tae Kim 2 , Kiran Kumar Challa 2
1 Department of Physics, Chungnam National University, Daejeon Korea (the Republic of), 2 Materials Science Engineering, Chungnam National University, Daejeon Korea (the Republic of)
Show AbstractColloidal semiconductor quantum dots have been attracting a great deal of attention in LEDs, photovoltaic cells, fluorescent biological imaging, and bio-labelling applications because of its size-tunable band gaps and high photoluminescence quantum efficiency. We synthesized colloidal core-shell CdSe/ZnS quantum dots (QDs) with trioctylphosphine oxide (TOPO) ligand via pyrolysis. Then the TOPO ligands were replaced with 3-mercaptopropionic acid (MPA) under Ar environment. ZnO nanowires were fabricated by sonochemical method on pre-patterned alumina electrodes and MPA QDs were deposited on the nanowires for photoconductivity measurements. The temperature dependent optical properties of the MPA QDs were found to be quite different from those of the TOPO QDs, indicating the importance of the ligands. From the I-V characteristics of the ZnO nanorods, the conductivity was found to be increased with the deposition of the QDs, which was further enhanced by the exposure of light. This increase in the conductivity with and without light (520 nm) can be explained by the photo-carrier transport and surface modification effect, respectively. The photo-generated electrons in the CdSe QDs will tend to move toward the ZnO nanowires due to the conduction band alignment, resulting the enhancement of photo-conductivity in the ZnO nanowires. When exposed to a 350 nm uv light, the unpaired electrons accumulate gradually with time until the desorption and readsorption of O2 reach an equilibrium state, resulting in a slow rise in current until it reaches the saturated value.
9:00 PM - Y13.40
Designing Nanoscale Functionality into a Macroscopic Gas-diffusion Electrode for Metal–air Battery Applications.
Natalie Brandell 1 , Christopher Chervin 1 , Jeffrey Long 1 , Jean Wallace 2 , Debra Rolison 1
1 , U.S. Naval Research Laboratory, Washington, District of Columbia, United States, 2 , Nova Research, Inc., Alexandria, Virginia, United States
Show AbstractMacroscopic, monolithic gas-diffusion electrodes are prepared from fiber-paper-supported carbon nanofoams in which the electronically conductive nanofoams serve as ultraporous scaffoldings for subsequent incorporation of oxygen reduction electrocatalysts such as nanoscale manganese oxide (MnOx) or Pd nanoparticles. For example, the exterior and interior walls of the porous carbon nanofoam papers can be “painted” with conformal, nanoscopic coatings of MnOx via an electroless redox reaction with aqueous permanganate. The resulting functionalized carbon nanofoam papers combine the desirable structural characteristics of aerogel-like carbons (through-connected and size-tunable pore structures, high specific surface areas, and good electrical conductivity) with the catalytic activity of selected metal oxides or noble metal nanoparticles to produce a scalable and device-ready high-performance air-breathing cathode for metal–air batteries. Here we report the fabrication and nanoscale functionalization of macroscopic gas-diffusion electrodes and the electrochemical characterization of this new type of air-cathode in conditions that are relevant for metal–air batteries.
9:00 PM - Y13.41
High Integration Density Thin Film Embedded Capacitors for Printed Circuit Boards.
Dan Taroata 1 2 , Tarik Cheema 3 , Guenter Schmid 1
1 Corporate Technology - Global Technology Field Organic Electronics, Siemens AG, Erlangen Germany, 2 Institute for Semiconductor and Microsystems Technology, Technical University Dresden, Dresden Germany, 3 Institute of Particle Technology, Technical University Braunschweig, Braunschweig Germany
Show AbstractThe integration of passive components is an important goal in the field of packaging and assembling electronic components, in order to achieve a size and weight miniaturization of electronic devices. Capacitive elements are currently surface mounted (SMD) on printed circuit boards (PCB), which results in a high area demand and prevents further miniaturization. Adequate strategies for the full integration of capacitors into the middle layers of a PCB that are compatible to current PCB production are required. Previously reported integration strategies show a low integration density of less than 0.1 nF/mm2, which is insufficient to cover the full range of capacitance values needed in a PCB or poor electrical properties.In contrast, the capacitive integration density of the dielectric films realized by the reported method amounts to up to 10 nF/mm2 and is over 100 times higher than the state of the art. Thus, it facilitates a further miniaturization of electronic devices through a true 3D integration of capacitors in multilayer PCBs and an effective power management due to less parasitic effects compared to the SMD capacitors. For the realization of the embedded capacitors, we made use of a double layer dielectric, consisting of a 2.5 nm self assembled monolayer and BaTiO3 or ZrO2 films with a thickness of a few hundred nm. In contrast to previously reported SAM coating processes on copper, which require a Ti or Au adhesion layer, we introduce the phosphonic acid as an anchor group to enable a direct deposition on copper surfaces. The BaTiO3 and ZrO2 films are prepared through low-temperature processing from dispersions of crystalline nanoparticles rather than precursor solutions, in contrast to previously reported sol-gel or hydrothermal techniques. By employing these stable colloidal dispersions, highly homogeneous and dense films were obtained through standard processing such as spin coating and ink-jet printing, offering the possibility of a parallel fabrication of embedded capacitors in PCB. Densification and mechanical stabilization of the films was achieved through a low-temperature treatment at 160 °C.A complete electrical characterization of the capacitors integrated in PCB was performed. The revealed relative dielectric constant of the BaTiO3 film of up to 486 is much higher than reported for systems only treated at low temperatures less than 200 °C. The leakage current density of <50 nA/cm2 measured for an applied electrical field of 1 MV/cm and high breakdown voltage (4.5 MV/cm) and energy density (>70 J/cm3), as well as the dielectric loss characteristics of the capacitors are superior to the state of the art embedded capacitors and at least comparable to the SMD ceramic capacitors. The achieved device yield of >90% for all processed embedded capacitors on PCB, realized under research environment, proves the maturity of both the used dielectric material synthesis process and the proposed integration technology for embedded capacitors.
9:00 PM - Y13.42
Binder–free Porous Core–shell Structured Ni/NiO Configuration for Application of High Performance Lithium Ion Batteries.
Xifei Li 1 , Abirami Dhanabalan 1 , Kevin Bechtold 1 , Chunlei Wang 1
1 , Florida International University, Miami, Florida, United States
Show AbstractThe graphite with the theoretical capacity of 372 mAh g-1 has already been commercialized as the first generation anode for lithium ion batteries. However, its limited capacity cannot meet the requirement of the high energy density and the high power density lithium ion batteries. Transition metal oxides with higher capacities have become an important category of anode materials for lithium ion batteries since their remarkable discovery by Tarascon’s group in 2000 [1]. However, the implementation of transition–metal oxides is beset by formidable technical difficulties such as charge transfer limitations associated with poor kinetics that results mainly from interfacial phenomena between active materials and current collectors [2]. The poor kinetic process and electrical conductivity can affect conversion reactions of transition–metal oxides with lithium resulting in the large polarization, the low energy efficiency and the poor cycle performance [3]. Therefore, it is crucial to create an advanced electrode design/configuration to fully utilize the concept of conversion reactions for the manufacture of high performance lithium ion batteries. In this research, an interwoven cubic NiO was formed on the Ni foam directly through a gas–solid oxidation reaction. All NiO materials were derived from Ni foam; therefore, there is the good adhesion and the small electrical contact between NiO and Ni substrate. NiO cannot exfoliate from the closely connected Ni substrate, which ensures that the electrodes retain a stable structure during charge/discharge. Moreover, this close contact between NiO and Ni substrate is beneficial to improve the kinetics of NiO anode during cycling. The 3D porous nature also buffers the stress induced by volume change during the Li+ insertion and extraction reactions with NiO. Therefore, this Ni/NiO configuration can be employed beneficially during the conversion reaction with lithium, exhibiting the high discharge capacity, the stable cycle performance and the good rate capability. Cyclic voltammetry, electrochemical impedance spectroscopy, and battery performance of Ni/NiO anode were tested. The experimental results will be discussed in detail.This work was supported by AFOSR (No. FA9550-05-1-0232), USA.References[1]. P. Poizot, S. Laruelle, S. Grugeon, L. Dupont, J. M. Tarascon, Nature 407 (2000) 496.[2]. P. L. Taberna, S. Mitra, P. P. P. L. Taberna, S. Mitra, P. Poizot, P. Simon, J. M. Tarascon, Nat. Mater. 5 (2006) 567.[3]. F. Gillot, S. Boyanov, L. Dupont, M. L. Doublet, M. Morcrette, L. Monconduit, J. M. Tarascon, Chem. Mater. 17 (2005) 6327.
9:00 PM - Y13.43
Fabrication of High Aspect Ratio TiO2 Structure for Solar Devices by Nanoimprint.
Norito Hoto 1 , Souichrou Ariyoshi 2 , Minoru Watanabe 2 3 , Hiroaki Kawata 1 , Yoshihiko Hirai 1
1 , Osaka Pref. Univ., Sakai Japan, 2 , Rhom Co., Kyoto Japan, 3 , OKI Semiconductor Co., Hachioji Japan
Show AbstractTitanium dioxide (TiO2) is one of the promising materials for solar devices. Micro structure having high aspect ratio is demanded to improve device efficiency. To achieve the fine pattern fabrication, some reports using Sol-Gel processing in combination with nanoimprint have been published. In this paper, sub micron pattern transfer is demonstrated on thick TiO2 layer by room temparatuer nanoimprint process using TiO2 paste.To investigate the process conditions such as imprinting pressure and temperature, visco-elastic characteristic of the TiO2 paste has been evaluated using rheology meter. Based on the inspection of the material mechanical characteristics, process conditions are designed. As a result, high aspect ratio hole array pattern with 5.0 micron in diameter and 10.0 micron in height is obtained by room temperature nanoimprint. Using the TiO2 layer with high aspect ratio deep holes, dye sensitized solar cell is assembled and the electrical characteristics is evaluated.
9:00 PM - Y13.44
Tailored 3D CuO Nanogrid Formation.
Perena Gouma 1 , Jusang Lee 1
1 Material Science and Engineering, SUNY Stony Brook, Stony Brook, New York, United States
Show AbstractThis paper reports on the controlled synthesis of 3D networks of CuO interconnected nanoparticles by the combined use of electrospinning and thermal oxidation of a composite metal mesh/polymer mat architecture. The obtained nanogrids result from three steps encompassing: i) Cu atom clusters diffusing into the nanofibers producing polymer-metal “core-shell”-type fibers, ii) decomposition of the polymeric shell; and iii) oxidation of the metallic core of the nanofibers to form self-supported, interconnected, porous CuO nanoparticle grids. The average diameter of the CuO nanoparticles in the grid is 20nm and the calculated band gap energy of the nanogrid is 1.32eV thus may be used as key components of 3D nanobatteries, photocatalysts and p-type chemosensors.
9:00 PM - Y13.45
Kinetics Control of Semiconductor Nanocrystals by Controlling the Temperature Profile Using Microreactor.
Chan-Gi Lee 1 , Hiroyuki Nakamura 1 , Masato Uehara 1 , Hideaki Maeda 1 2
1 Micro- & Nano-space Chemistry Solution team, National Institute Advanced Industrial Science and Technology, Saga Japan, 2 CREST, JST, Saitama Japan
Show AbstractSemiconductor nanocrystals (NCs) are the fundamental materials for nano-integrated electronics, energy and sensing materials. Their physical and chemical properties depend on the structure (e.g. defects, composite structures, and crystal phases) and morphology (e.g. size and shapes of particles). Therefore, many scientists made efforts on the development of synthesis obtain the well-controlled nanocrystals with size distribution, shape/phase and structure of NCs. In recent years, some studies mentioned new concept underpinning kinetics control and extended synthesis regime from thermodynamic regime to kinetically regime for controlling the size, shape and phase such as CdSe, ZnS, ZnSe and metal oxide NCs. Although many of them reported kinetics control by chemical reagents (ex. ligand) and/or their concentration, another important parameter “temperature” has not been well explored. This is because reaction temperature profile is not easy to control in short time scale of milli-second (ms) or second (s) order of timescale with a precise and reproducible manner. From this view point, we employed the microreactor that can be a suitable tool in control of temperature profile, and successfully achieved precise and reproducible kinetics to control their crystal phase and morphology (ZnSe) and doping contents (Al-ZnO). For ZnSe nanocrystal synthesis, zinc acetate and selenourea was dissolved into oleylamine-octadecence solution, and the identical raw materials were heated up to the identical reaction temperature (180oC) with various heating rate. The heating rate was controlled using temperature gradient heater from 120 to 180 oC and varied from 1000 oC/s to 0.2 oC/s. The product NCs were washed and redispersed into toluene and characterized morphology (SEM) and crystal phase(XRD). As the results, spherical ZnSe NCs with zinc blend (ZB) phase were synthesized in high heating rate (over 60oC/sec), whereas nanorod(NR) or nanowire (NW) with wurtzite (WZ) phase could be obtained in low heating rate (under 12oC/sec). The aspect ratio of the product ZnSe NR increased with decreasing heating rate. The high heating rate obtained ZB phase NCs in nucleation and isotropical growth nearly spherical NCs and the low heating rate, ZnSe NCs grew anisotropically due to the unique c axis of the WZ structure. Furthermore, we also applied the similar method to control Al doping content into ZnO nanocrystals. In the synthesis of Al doped ZnO NCs, heating rate was controlled from 1000 oC/s to 1 oC/s from 200 to 300 oC in nucleation stage. Al3+ content of ZnO NCs were conformed with ESR. Al content in several ZnO NCs increased with decreasing heating rate from the result. Finally, we found two step heating that was to say the nucleation (kinetics control) and the growth steps of ZnO NCs using the difference of reaction temperature to synthesize the highly-dispersive 15 nm of Al-doping ZnO NCs.
9:00 PM - Y13.5
Aggregation-enhanced Emission in Gold Nanoparticles Protected by Tetradentate Perylene Derivative.
Yongjun Li 1 , Jing Lv 1 , Huibiao Liu 1 , Yuliang Li 1
1 , Institute of Chemistry,Chinese Academy of Sciences, Beijing China
Show AbstractThree novel gold nanoparticle (AuNPs) composites protected by perylene bisimide derivatives have been designed and synthesized. Thanks to the rational molecular design, AuNPs capped by N,N0-2,6-bis(4-aminomethylpyridine)-1,6,7,13-tert-[4-(hydroxymethyl) phenoxy-5-(1,2-dithiolan-3-yl)pentanoate]-3,4,9,10- tetracarboxylic acid bisimide (8SP-AuNPs) exhibited unusual enhancement in fluorescence intensity, while the other two nanoparticle composites showed slight enhancement or quench in emission spectra. The structural effect of ligands on aggregation enhanced emission had been studied, and temperature dependence experiments had been conducted. Deductive explanation had been made to elucidate the special spectral behavior of 8SP-AuNPs led by restricted motion of perylene chromophores in the packed nanoculsters. Figure 1. 1 Molecular structure of 4SP, 4SCP, and 8SP. 1)He, X. R.; Liu, H. B.; Li, Y. L.; Wang, S.; Li, Y. J.; Wang, N.; Xiao, J. C.; Xu, X. H.; Zhu, D. B. Adv. Mater. 2005, 17, 2811–2815.2)Li, C. H.; Liu, X. F.; Yuan, M. J.; Li, J. B.; Guo, Y. B.; Xu, J. L.; Zhu, M.; Lv, J.; Liu, H. B.; Li, Y. L. Langmuir 2007, 23, 6754–6760. 3)Lv, J.; Jiang, L.; Li., C. H.; Liu, X. F.; Yuan, M. J.; Xu, J. L.; Zhou, W. D.; Song, Y. L.; Liu, H. B.; Li, Y. L.; Zhu, D. B. Langmuir 2008, 24, 8297–8302.4)Lv, J.; Zhao, Y. J.; Li, G. X.; Li, Y. J.; Liu, H. B.; Li, Y. L.; Zhu, D. B.; Wang, S. Langmuir 2009, 25,11351-11357.
9:00 PM - Y13.7
Growth of Dense MOF [Cu(bdc)(ted)0.5] Coatings on Porous Silicon Substrates.
Kui Tan 1 , Katy Roodenko 1 , Nour Nijem 1 , Oliver Seitz 1 , Yves Chabal 1
1 Materials Science and Engineering, The University of Texas at Dallas, Plano, Texas, United States
Show AbstractMetal-organic frameworks (MOFs) are a relatively new class of hybrid organic-inorganic nanoporous materials, which exhibits attractive properties for gas adsorption and gas separation applications. Synthesis of MOF in the past decade has been mainly focused on the powder shape, while relatively few attempts were undertaken by exploring the possibilities of MOF growth on surfaces in the form of thin film for integration with nanotechnology. In this work, we grow MOF [Cu(bdc)(ted)0.5●2DMF●0.2H2O], (H2bdc = 1,4-benzenedicarboxylic acid; ted = triethylenediamine; DMF:N,N-dimethylformamide) on porous silicon substrate in a continuous fashion from solvothermal mother solution. Dense, granular coatings were achieved in this study, evidenced from SEM imaging and X-ray diffraction (XRD). Nucleation and interface formation mechanism for MOF on porous Si were also investigated by studying the interaction between the three precursors and the substrate. We find that the metal precursor (Cu(NO3)2●3H2O) is the key factor to control MOF nucleation on silicon substrate. This is the first attempt to grow MOF on porous silicon substrate, which offers new possibility of future integration of MOF onto silicon-based nanotechnology such as membrane or sensor.
9:00 PM - Y13.8
Preparation of Black Oil for Electrowetting Display.
Yu-Ying Hsu 1 , Chin-Cheng Weng 1 , Yu-Chin Lin 1
1 Dept. of Photosensitive Materials and Applications Research, Industrial Technology Research Institute, Hsinchu Taiwan
Show AbstractIn this few years, a novel display technology, Electrowetting display (EWD), is attractive in electronic book and paper applications because of its high speed response. EWD constructed of a reflecting electrode, a hydrophobic insulator, a colored oil layer and water. It doesn’t need a backlight or color filter to produce color images. The applied voltage could make the oil droplet spread or contract due to the wettability of the surface change strongly. The light will absorb or pass through the pixel depends on the state of the oil inks. The advantages of EWD are wide view angle, fast response time (color-change time can reach 10ms) and less process. Now, the oil inks are fabricated by dye molecules and non-polar organic solvent. However, the light absorption efficacy of dye molecules is not good so that the optical density of the oil layer is lower and the image contrast is not good enough for information display application like e-paper. Furthermore, the thermal stability of dye molecules is not better than pigment particles. In this research, we used pigment to replace the traditional dye molecule, and prepared a pigment dispersed oil ink that could be applied in EWD. In this research, we will introduce a process with grafted polymer on the surface of the carbon black. To graft the hydrophobic parts onto carbon black surface was achieved by free-radical polymerization. The grafted hydrophobic parts could stabilize the carbon black in non-polar solvent since the carbon black with a very high surface energy. Additionally, from designing the conformation of the polymer tail, we could further control the viscosity of the carbon black oil ink. In our study, the average particle size of the grafted carbon black is 160 nm in non-polar solvent and the black oil reveals good optical density (O.D.>1@4um oil layer) with lower solid content (<10% v.s >20%) as it compared with dye molecules oil ink.
9:00 PM - Y13.9
Development of YSZ-Perovskite Based Ceramic Hollow Fibre Membrane Material for Oxidative-dry Reforming of Methane.
Yasotha Kathiraser 1 , Tay Ai Yun 1 , Lew Li Fang 1 , Sibudjing Kawi 1
1 Department of Chemical and Biomolecular Engineering, National University of Singapore, Singapore Singapore
Show AbstractTransformation of methane and carbon dioxide, the cheapest carbon-containing materials and the most problematic greenhouse gases, into more valuable compounds such as syngas is widely investigated. Coupling of oxygen for oxidative-dry reforming of methane offers the benefit of an energy efficient process, as well as reduced coke deposition. Supply of pure oxygen via mixed ionic-electronic conducting (MIEC) ceramic-based membranes offers substantial advantages as the process is effectively clean and flexible. The aim of this research work is to investigate the use of a dual-phase perovskite membrane in performing oxygen separation from air. Yttrium-stabilized-zirconia (YSZ) exhibits acceptable oxygen ionic conductivity and good stability at high temperatures. Perovskite based materials, on the other hand, have promising properties to conduct oxygen ion and electron simultaneously. Therefore, by utilizing these two materials to form a dual phase membrane material, a ceramic hollow fibre membrane with sufficient permeation characteristics as well as mechanical strength is developed. YSZ is employed as the core material for mechanical stability while a doped perovskite with the formula, LaxSr1-x(AlyGa1-y)1-zNizO3-δ (LSAGN), facilitates oxygen separation and induces catalytic properties for reactions. The perovskite material was optimized by variation of doping ratios between A-site and B-site metal ions. La and Sr doping ratio was fixed at 0.6 and 0.4 respectively initially and as Ni acts as a catalytic precursor, different degree of Ni substitution was primarily investigated. Substitution degrees of La-Sr and Al-Ga were then varied to obtain the best ratio that exhibits the best oxygen transport properties. The preliminary screening for optimizing composition of the materials were carried out via characterization techniques such as XRD, Oxygen-TPD and XPS. Catalytic and permeation testings were also carried out to ascertain the catalytic properties of the optimized perovskite composition, and they were found to correlate with the oxygen transport properties of the developed material.
Symposium Organizers
S. Thomas Picraux Los Alamos National Laboratory
James B. Hannon IBM T. J. Watson Research Center
Mark Reed Yale University
Wim C. Sinke ECN Solar Energy
Y14: Supercapacitors & Energy Storage
Session Chairs
Friday AM, December 03, 2010
Back Bay C (Sheraton)
9:15 AM - Y14.2
Synthesis of CNT -Metal Oxide Nano-composite Electrode Materials for Supercapacitor by Low-pressure MOCVD.
Pallavi Arod 1 , Shivashankar Ajjampur 1
1 Materials Research Centre, Indian Institute of Science, Bangalore, Karnataka, India
Show AbstractThe integration of carbon nanotubes and nanocrystals into a single material for various device architectures has attracted much attention for potential applications in luminescent, magnetic, energy storage devices. Hybrid material consisting of iron oxide carbon nanotubes is being studied as an electrode material for lithium ion batteries and supercapacitors.In the present work, homogeneous composite thin films of Fe2O3-carbon nanotube were synthesized in a novel, single-step process by metalorganic chemical vapor deposition (MOCVD) using ferric acetyl acetonate as precursor. While the formation of metal oxide from such a metal complex, with direct metal-oxygen bonds, is to be expected, the simultaneous formation of elemental carbon from its hydrocarbon moieties can occur only under certain conditions. This range of conditions can be deduced from equilibrium thermodynamic analysis of the CVD process, revealing that simultaneous formation of Fe2O3 and carbon can occur when CVD is carried out in inert ambient, in the absence of oxygen, over a certain range of temperatures and pressures. The deposition of carbon in the form of multi-walled nanotubes in copious amounts is a surprise, and occurs in a narrow range of conditions in the CVD process carried out in a reactor built in house, using the iron acetyl acetonate complex synthesized, purified, and characterized in house. The resulting material can be termed a nanocomposite of CNT and ferric oxide. The depositions were carried out in the range of temperature from 5000C-7000C, over the pressure range 3-50 torr, while the flow rate of the carrier gas (argon). The deposition was done on various substrates including stainless steel (SS 316), graphite, and alumina. No previous report could be found for either the formation of CNTs from a co-ordination complex or of a single-step formation of CNT-oxide composite.The crystallinity of iron oxide was confirmed by X-ray diffraction, and the morphology of the composite materials was analysed by transmission electron microscopy and scanning electron microscopy. The presence of multi-walled carbon nanotubes were confirmed by Raman spectroscopy. The relative proportion of the oxide and CNT in the composite can be varied by altering the CVD conditions. Specifically, the films grown at 7000C in a pressure range of 5-20 torr form a homogeneous composite of crystalline Fe2O3 and multi-walled CNT. At lower temperatures, CNTs are not formed. At pressures higher than 20 torr, only multi-walled CNTs are formed, but no oxide is.The electrochemical characteristics of the as-deposited composite electrode were determined using a three-electrode assembly using Na2SO4, Na2SO3, and KOH (separately, at 0.1 M) as the electrolyte. The ferric oxide-CNT nanocomposite formed in a single step is a promising electrode material for supercapacitors, with preliminary measurements showing specific capacitance of up to 60 F/g, and excellent cyclability.
9:30 AM - Y14.3
High Performance Solid-state Supercapacitors Fabricated from Vertically Aligned Carbon Nanotube Array Templates.
Cary Pint 1 2 , Nolan Nicholas 1 , Sheng Xu 3 , Zhengzong Sun 2 , James Tour 2 , Howard Schmidt 2 , Roy Gordon 3 , Robert Hauge 2
1 Physics, Rice University, Houston, Texas, United States, 2 Chemistry, Rice University, Houston, Texas, United States, 3 Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States
Show AbstractWe demonstrate the fabrication of solid-state supercapacitors from self-assembled, aligned single-walled carbon nanotube arrays (VA-SWNTs). The arrays are transferred as in-tact structures to a conductive surface and the nanotubes are conformally coated with a thin metal-oxide dielectric and a conductive counter-electrode layer using atomic layer deposition. This device design benefits from the high current capacity and conductive nature of the VA-SWNTs and effectively provides conversion of high surface area available in such self-assembled CNT structures to space for efficient energy storage.
9:45 AM - Y14.4
Nano-flowers MnO2 Coated Graphene Composite Electrodes for Energy Storage Devices.
Qian Cheng 1 2 , Jun Ma 1 , Han Zhang 1 , Norio Shinya 1 , Lu-Chang Qin 3 , Jie Tang 1 2
1 , National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 Doctoral Program in Materials Science and Engineering, University of Tsukuba, Tsukuba, Ibaraki, Japan, 3 , University of North Carolina at Chapel Hill, Chapel Hill, North Carolina, United States
Show AbstractGraphene based composites are promising materials for electrodes of supercapacitors, owing to their unique two-dimensional structure, high surface area, remarkable chemical stability, and enhanced electrical conductivity. In this paper, graphene is explored as a platform for energy storage devices by decorated with MnO2 nanoflowers. The few layers graphene was firstly synthesized by chemical method, and then we prepared the graphene/ MnO2 composite electrode by in-situ anodic electrodeposition of MnO2 on the graphene electrode with a nano structure. The nanostructure of the as-prepared graphene and MnO2 were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). They were assembled in asymmetric supercapacitors. The specific capacitance of the graphene electrode reached 245 F/g at a charging current of 1 mA after electro-activation. This value is 63% larger than the one before electro-activation. The MnO2 nano-flowers, consisted of nanowires with thickness of less than 10 nm, were coated on the graphene electrodes by using electrodeposition. The specific capacitance after the MnO2 deposition is 329 F/g. Furthermore, the high value of power density of both electrodes is well suited for high power applications. In addition, the surface decoration of graphene increases greatly the energy density of the supercapacitor, which makes the supercapacitor possible for uses in hybrid vehicles or pure electrical vehicles. We also found that electro-activation is effective to activate the potential of graphene based electrodes.
10:00 AM - Y14.5
Low-temperature Fabrication of Thin Film Capacitors from Dispersions of Ultra-small Binary and Ternary Metal Oxide Nanoparticles.
Tarik Cheema 1 , Dan Taroata 2 , Georg Garnweitner 1
1 Institut of Particle Technology, TU Braunschweig, Braunschweig Germany, 2 Corporate Technology, Siemens AG, Erlangen Germany
Show AbstractThe integration of passive components such as capacitors and resistors into printed circuit boards (PCBs) as well as in their manufacturing process is a topic of the current interests in the field of electronics and miniaturization. In existing electronic device circuits these components take up to 60 % of the total area, in the form of surface mount devices (SMDs). Therefore they represent a limiting factor in the existing trend of miniaturization which led to different approaches to enable the low-temperature fabrication and parallel integration of dielectric thin films (such as BaTiO3) in PCBs. Approaches such as the hydrothermal growth or the atomic layer deposition of dielectric thin films on PCBs have successfully been applied yielding thin film capacitors with good dielectric properties. These approaches are however, rather complex and thus the parallelization of such techniques into the PCB manufacture appears challenging. Alternatively, polymer as well as polymer based nanocomposite thin films have been utilized for integration into the PCBs as dielectrics, as they can easily be processed at low temperature. However, such thin film dielectrics result in capacitors with low integration densities (< 0.86 nF/mm2), making them unsuitable for the production of high-capacitance capacitors as required for most current devices.In this contribution we present a novel method for the low-temperature fabrication of reliable dielectric thin film capacitors using highly stable dispersions of crystalline nano-sized metal oxide ceramic materials such as ZrO2, BaZrO3 and BaTiO3, having high integration densities (≤ 10 nF/mm2). The nanoparticles are synthesized through non-aqueous approaches, where we found that by the variation of the used precursors and solvents as well as the reaction parameters like the temperature, different morphologies of the synthesized nanoparticles can be realized. To ensure the fabrication of dense and homogeneous thin films, the obtained nanoparticles are then chemically modified employing different small-chain organic molecules (≤ 10 carbon atoms) and thus dispersed to obtain highly stable colloidal dispersions with an inorganic solid content of up to 100 – 150 mg/mL. We then successfully employed these dispersions to prepare dielectric thin films directly onto chemically pre-treated PCBs via spin or dip coating as well as inkjet printing, followed by a drying step at 160 °C (compatible with PCBs) to evaporate any solvent residues. Thin film capacitors where then realized by evaporation of a counter Al electrode on the dried films. The obtained good dielectric properties of the fabricated capacitors, such as their high dielectric constants, low loss factors as well as high breakdown voltages and high integration densities, are presented. Additionally, the dependence of the dielectric properties on the type of material the morphology as well as the chemical composition in the thin films shall be discussed.
10:15 AM - Y14.6
Nanostructured Composite Fiber Array as Optoelectronic Nose using Binary Logic.
Mehmet Bayindir 1 2 , Adem Yildirim 2 , Mert Vural 2 , Mecit Yaman 2
1 Physics, Bilkent University, Ankara Turkey, 2 UNAM, Bilkent University, ANKARA Turkey
Show Abstract Electronic nose systems are designed for odour detection through use of an array of cross responsive sensors in conjunction with pattern recognition methods. However, current artificial nose technologies are only partially successful in one or two performance metrics such as the high selectivity and sensitivity, reusability, response time, interference from environment, simultaneous identification of multiple analytes, measured signal processing and interpretation. Here we present a novel optoelectronic nose concept based on spectroscopic detection of odorous volatile organics using a hollow core nano structured composite photonic bandgap fibre (PBG) array. The PBG fibre array is composed of specific infrared transmitting fibres selected according to the infrared absorption bands of a wide range of chemical groups. A unique binary chemical code can be assigned for each odorant and mixtures, by observing fibre transmission signal quenching due to odorant absorption, facilitating rapid and computerized odorant identification. Total number of chemical binary codes that can be addressed using N-fibre array is 2^N making it possible to address tens of thousands of chemicals using just 25 fibres. We expect that our high performance portable photonic nose with binary odour identification system can be used in real time environmental monitoring of toxic gases and explosives, food and beverage nature and quality inspection and disease diagnostics.
10:30 AM - Y14.7
Integrating the Multifunction Necessary for Electrochemical Power into Energy- and Size-scalable Ultraporous Nanoarchitectures.
Megan Sassin 1 , Jeffrey Long 1 , Debra Rolison 1
1 Surface Chemistry Branch, Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractEnergy-storage devices (e.g., batteries and capacitors) serve as key components of any plausible scenario for implementing renewable energy strategies. Consumer demand for the convenience of long-lived portable power further drives the need to push these old devices onto a new performance curve. For high performance, these devices require high mobility in long-range electron conduction (i.e., well-connected domains of ordered solid) and in ionic and molecular transport (processes best optimized with some degree of structural disorder). Rethinking the intersection of the fundamental transport and reaction processes that produce or store energy can now be done in light of architectural nanoscience, i.e., the design and fabrication of three-dimensional multifunctional architectures from the appropriate nanoscale building blocks, including the use of “nothing” (void space) and deliberate disorder as design components [1]. Fiber-paper-supported carbon nanofoams are an ideal base platform for 3-D energy-storage architectures because of the inherent attributes of the easily scaled fiber-paper-supported architecture: high surface area, through-connected network of porosity, a 3-D massively parallel conductive carbon framework, and the mechanical flexibility of the paper mold in which the carbon nanofoam is synthesized. These attributes also enable the carbon nanofoam to be easily functionalized with relevant charge-storage materials, such as metal oxides and conducting polymers. For example, we have developed a self-limiting electroless deposition method to incorporate a conformal nanoscale (~10 nm) coating of birnessite MnO2 or FeOOH throughout the macroscopic thickness (70 to 500 μm) of the carbon nanofoam, while still retaining the through-connected porosity of the base nanofoam [2,3]. The MnOx-carbon nanofoam can serve as the Li-ion cathode in 3-D battery configuration, as the catalyst for oxygen reduction in an air cathode, or as a 7–10× boost of pulse-power capacitance in electrochemical capacitors in which we have demonstrated energy metrics of 7.5 F cm2 that can be completely charged and discharged in under 10 seconds. These macroscaled objects (as large as 10 cm × 10 cm and 0.5-mm-thick) in which all components are controlled on the nanoscale challenge the standard ways in which multifunctional energy-storage strutures are conceived, studied, and used.[1] D.R. Rolison, Science 2003, 299, 1698.[2] A.E. Fischer, K.A. Pettigrew, R.M. Stroud, D.R. Rolison, and J.W. Long, Nano Lett., 2007, 7, 281 (2007).[3] M.B Sassin, A.N. Mansour, K.A. Pettigrew, D.R. Rolison, and J.W. Long, ACS Nano, in the press.
10:45 AM - Y14: Energy Stor
BREAK
Y15: Nanocomposites
Session Chairs
Friday PM, December 03, 2010
Back Bay C (Sheraton)
11:15 AM - Y15.1
Preparation and Device Integration of Modular Inorganic Nanocomposites.
Ravisubhash Tangirala 1 , Robert Wang 1 , Guillermo Garcia 1 , Raffaella Buonsanti 1 , Delia Milliron 1
1 , Lawrence Berkeley Natl Lab, Berkeley, California, United States
Show AbstractInorganic nanocomposites are an emerging means of controlling material functionality through morphology as well as composition, to give rise to combinations of properties not generally found in homogeneous single-phase materials. We have recently developed a novel solution-based method for preparing inorganic nanocomposites, by the post-assembly replacement of organic ligands in nanoparticle superlattices with inorganic chalcogenidometallate clusters (ChaMs). Separate synthesis and processing of the nanoparticle and matrix phases enables complete compositional modularity, while allowing retention of the original superlattice morphology. Recently, we have expanded the material compositions accessible through this method to include metal oxide matrix phases. Using this family of materials integration strategies we have prepared nanocomposites of interest for photovoltaic, data storage, and electrochromic window applications. Here, we present results on the incorporation of these nanocomposites into electronic devices. For example, we report on the transport properties of silver chalcogenide/germanium chalcogenide mixed ionic and electronic conducting nanocomposites in which the nanoparticle size is systematically varied. These materials are directly relevant to data storage devices and provide a platform for understanding transport through battery and electrochromic electrodes. We also report the preparation of metal oxide nanocomposites of direct relevance to electrochromic windows and demonstrate how morphology can be used to tune their spectroelectrochemical response.
11:30 AM - Y15.2
Selective-area Growth and Characterization of MnAs Nanocluster Composites for Lateral Magneto-resistive Device Applications.
Keita Komagata 1 , Shinjiro Hara 1 2 , Shingo Ito 1 , Takashi Fukui 1
1 , Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo Japan, 2 , PRESTO, JST, Kawaguchi Japan
Show AbstractGranular hybrid structures, which consist of ferromagnetic nanoclusters (NCs) embedded in semiconductor layers, have much attention as an interesting candidate for magneto-nanoelectronic devivces because they show huge magnetoresistance (MR) effects. Such hybrid structures are mainly restricted to macroscopic devices because of random distribution of the NCs in the host materials which possibly leads to statistical fluctuations in device characteristics. Our approach to overcome such potential problems in the future is selective-area metal-organic vapor phase epitaxy (SA-MOVPE) of ferromagnetic MnAs NCs, which allows us to control size, shape, number and position of the NCs. Using our technique, we have reported that it is possible to actively tune magnetic properties of the NC arrays by designing the NC arrangements on semiconductor matrices. In the present work, we fabricate MnAs NC composites for MR devices with magnetic tunnel junctions or magnetic nano-point-contacts in a lateral direction. This paper also describes growth condition dependences of the NC composite formation, and their structural and magnetic characterization results. We used GaAs (111)B wafers covered with SiO2 mask opening patterns for the selective-area growth of the NCs on AlGaAs buffer layers [1]. The NC composites were grown under the growth temperature, Tg, = 825 oC and the source gas ratio, V/Mn, = 1125 conditions, which are the key parameters for the NC growth. Our MnAs NCs have a hexagon-shape with well-defined crystal facets originated from the NiAs-type crystal structure. First, by designing the initial mask patterns, we formed NC composites in the close proximity to each other. The composites consist of three MnAs NCs, i.e. a symmetric-shaped NC (230 nm in diameter) located in the middle between two elongated ones (700 nm long and 420 nm wide). The spatial gaps between the three NCs were estimated to be less than 5 nm. Magnetic force microscopy at room temperature revealed that the magnetized directions in each of the NC composites were rotated by around 180o with tuning the applied external magnetic fields around in the opposite direction. Using our SA-MOVPE technique, for the MR device structures, the MnAs NC composites connected with ferromagnetic MnAs NC electrodes are realized simply by designing the initial mask patterns. The fabrication of relatively large MnAs NC electrodes is required in the MR device processes to obtain electric contacts to the NC composites. Next, therefore, we characterized growth condition dependences of the NC formation for the electrodes. We found that the growth conditions of Tg = 800 oC and V/Mn = 1125 are required to form the relatively large MnAs NC electrodes with a high degree of uniformity, and that the optimum distance between the initial mask openings was about 6 um under these growth conditions. Detailed experimental results will be shown and discussed in the presentation. [1] T. Wakatsuki et al., JJAP, 48, 04C137 (2009)
11:45 AM - Y15.3
Integration and Development of Solution-phase Techniques for Fabrication of Novel Heterostructured Nanowires.
Rawiwan Laocharoensuk 1 , Nickolaus Smith 1 , Kumaranand Palaniappan 1 , Jon Baldwin 1 , Jennifer Hollingsworth 1
1 , Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractNanowires are considered as building blocks for next-generation nanoscale electronic and photovoltaic devices. To fabricate novel, functional heterostructured nanowires, new synthetic approaches that provide high quality materials and precise control over chemical compositions and physical dimensions are required. Here, we report our efforts to (1) advance the state-of-the-art in the Solution-Liquid-Solid (SLS) growth of high-quality semiconductor nanowires (SC-NWs) by establishing the novel “Flow-SLS” method and (2) couple two solution-phase growth methods for fabrication of metal/SC heterostructures. In general, the conventional SLS growth method provides exceptionally high-quality single-crystalline SC-NWs for a range of compositions, including II-VI, III-V, IV-VI and even ternary compound semiconductors. Unfortunately, due to the nature of the approach, which relies on a “single-pot” reaction and results in extremely fast growth rates, length control is not precise and fabrication of axial heterostructures (alternating composition along the NW length, with nanoscale junctions) are cumbersome and, to date, limited to 2-3 interfaces. To overcome these limitations, we transform “conventional-SLS,” a one-pot synthetic method, to a flow-based system called “Flow-SLS”. Our new approach allows for continuous and sequential feeding of precursors to arrays of catalyst particles for controlled single- and multi-segmented SLS SC-NW growth. In contrast to prior studies, nanowires grown via the flow-SLS approach demonstrate significant improvement over length control, vertical NW assembly, and, importantly, axial heterostructuring. Secondly, to address the issue of how to fabricate nanoscale metal contacts on SC-NWs, without losing the nanosize-specific properties of the semiconductor component, we couple two solution-phase synthesis approaches. Specifically, we utilize template-based electrochemical fabrication to synthesize ordered arrays of metal nanowires for precise control over metal NW lengths and diameters. We then apply SLS catalyst particles to the metal NW arrays, and, subsequently, grow SC-NWs directly onto the metal NWs. In this way, we prepare novel high-quality metal/SC interfaces and heterostructured NWs, e.g., Au/CdSe.
12:00 PM - Y15.4
Conformal Coating of Size-controlled Lead Sulfide Quantum Dots by Atomic Layer Deposition and Irradiation.
Hee Joon Jung 1 , Neil Dasgupta 2 , Orlando Trejo 2 , Matthew McDowell 1 , Aaron Hryciw 1 , Robert Sinclair 1 , Fritz Prinz 1 2
1 Materials Science & Engineering, Stanford University, Stanford, California, United States, 2 Mechanical Engineering, Stanford University, Stanford, California, United States
Show AbstractThe capability to tune the bandgap of semiconductors using quantum confinement structures (size control of nano-meter scale) enables the fabrication of several novel devices including lasers, modulators, and solar cells. Lead Sulfide (PbS) which has low bandgap (0.41 eV) is a strong candidate for a quantum confining medium in photovoltaics field. Our previous study demonstrated a thickness-dependence of the bandgap of PbS thin films fabricated by atomic layer deposition (ALD); the bandgap of PbS can be tuned up to almost 2.0 eV, significantly beyond its bulk bandgap (0.41 eV). It is of great interest to explore an even lower dimensional structure below 20nm size such as QDs for bandgap tuning, and this clearly requires high resolution electron microscopy analysis. Our group has been studying deposition of PbS QDs for high-efficiency solar cells due to the fact that they have demonstrated highly efficient multiple exciton generation (MEG), suggesting the ability to create solar cells with >50% efficiency. We have been studying nucleation of QDs by ALD as a route for high-aspect ratio coverage of solar cell architectures with QDs. The ability to measure the structural and electronic properties of individual QDs is crucial to gaining a deeper understanding of their potential application to next-generation solar cellsQuantum dots (QDs) are classically produced by either colloidal synthesis or strained-epitaxial growth modes. Here we show a new technique for deposition of quantum dots during the initial nucleation cycles of atomic layer deposition (ALD), as well as e-beam irradiation annealing and coalescence of smaller dots into larger, isolated dots during in-situ transmission electron microscope (TEM) observations. Especially, coalescence of QDs based on surface grain movement and morphology evolution of QDs from a flat island to a dome shape and sublimation with an increasing exposure time give the new method of size and shape control of QDs. This allows for fabrication of QDs which are chemically bonded to any types of surface using ALD by combining nucleation-limited growth with the option of annealing, enabling the fabrication of unique device architectures benefiting from the high aspect-ratio coating ability of ALD. To demonstrate this ability, SiNWs were conformally coated with monolayer films of PbS quantum dots, and observed by TEM. Photoluminescence (PL) measurements on these QD-coated SiNWs showed a clear blue shift with decreasing number of ALD cycles, suggesting the ability to create novel optoelectronic devices which take advantage of the unique properties of nanowires and the ability to engineer the bandgap of PbS by simply controlling the number of ALD cycles.
12:15 PM - Y15.5
In-situ Neutron Scattering Determination of 3D Phase-morphology Correlations in Fullerene - Block Copolymer Systems.
David Bucknall 1 , Dharmara Raghavan 3 , Scott Sides 5 , Bobby Sumpter 4 , Alamgir Karim 2
1 Materials Science and Engineering, [email protected], Atlanta , Georgia, United States, 3 , Howard University, Washington, District of Columbia, United States, 5 , Tech-X Corporation, Boulder, Colorado, United States, 4 CNMS, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Department of Polymer Engineering, University of Akron, Atlanta, Ohio, United States
Show AbstractOrganic or polymer based photovoltaic devices promise solar technologies that are inexpensive enough to be widely exploited and therefore provide a significant fraction of the Nation’s future energy needs. Nanoscale heterojunction systems consisting of fullerenes dispersed in conjugated block copolymers are promising materials candidates for achieving high performance devices. The fullerenes can be functionalized to change their electronic properties or to alter their interactions with the different components of the block copolymer. The block copolymer ratio or composition may be varied to produce different microphase morphologies and these morphologies may be further altered or oriented by the choice of processing conditions or substrates. This versatility is also a challenge to the rational exploration of these materials because the number and types of possible variations are far too large to investigate without predictive models or well-established data and guidelines to expected behaviour. In order to guide the use of electron acceptor fullerenes in these systems we are using multi-scale molecular modelling coupled with neutron and X-ray scattering to determine the structure behavior of model block copolymer-fullerene mixtures. Neutron scattering is particularly useful for these types of studies since the fullerene generally have a high scattering contrast with respect to most polymers. This natural contrast, enhanced by careful selective deuteration allows us to carefully probe the atomic and molecular interactions in these complex systems. We are studying model systems based on vinyl polymer-poly(3 hexyl thiophene) (P3HT) such as PS-P3HT. To establish how fullerene addition changes polymer phase behavior, we have used in-situ measurements to determine film morphology during the operation of model photovoltaic systems using a combination of neutron reflectivity and in-plane scattering techniques. This demanding combination of techniques allows us to detect changes in structure both normal to and parallel to the film surface. Control of morphology development is being examined via effects of solvent vapor annealing as well as cold zone annealing processes, coupled with careful control of substrate surface energy.
12:30 PM - Y15.6
In-situ Synthesis and Electrochemical Characterization of PtRu Nanoparticles on PEI Functionalized CNTs for DMFC.
Xi Geng 1 , Ravindra Datta 2 , Jianyu Liang 1
1 Department of Mechanical Engineering, Worcester Polytechnic Institute, Worcester, Massachusetts, United States, 2 Department of Chemical Engineering, Worcester Polytechnic Institute, Worcester, Massachusetts, United States
Show AbstractIn the present work, Polyethyleneimine (PEI) was adopted to wrap the oxidized multi-walled carbon nanotubes (MWNTs) through electrostatic interactions. Pt and PtRu nanoparticles have been successfully assembled on PEI functionalized MWNTs via a facile and effective in-situ polyol reduction approach. During the synthesis process, amine or imine groups in PEI allow the formation of PEI-Ru3+ complexes and meanwhile provide anchor sites for PtCl62− anions, and thus facilitate the homogeneous dispersion of the nanoparticles. Subsequently, the structural and morphological characterizations were carried out using transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffraction (XRD), respectively. The loading of PtRu nanoparticles on PEI-MWNT was confirmed by energy-dispersive X-ray spectroscopy (EDX). Morphology study demonstrated that Pt-Ru nanoparticles with narrow particle size distribution are uniformly decorated onto the sidewalls of MWNTs. In addition, the electrocatalysis properties were investigated by cyclic voltammetry and direct methanol fuel cell (DMFC) test. It was observed that the PEI modified hybrid material exhibited superior electrocatalytic activity towards methanol oxidation reaction compared to unfunctionalized MWNTs and XC-72 carbon as catalyst support. This difference in catalytic performance can be attributed to a high dispersion of PtRu nanoparticles as well as the enhanced electron transfer interactions in the presence of PEI. These results may shed some light on the development of polyelectrolyte modified carbon nanotubes(CNTs) as Pt-based electrocatalyst support for high-performance fuel cell applications.
12:45 PM - Y15.7
Water Repellent Periodic Mesoporous Organosilica.
Wendong Wang 1 , Daniel Grozea 2 , Sandeep Kohli 3 , Douglas Perovic 2 , Geoffrey Ozin 1
1 Chemistry, University of Toronto, Toronto, Ontario, Canada, 2 Materials Science and Engineering, University of Toronto, Toronto, Ontario, Canada, 3 Chemistry, Colorado State University, Fort Collins, Colorado, United States
Show AbstractPeriodic mesoporous organosilicas (PMOs) is a class of materials that possess an ordered porous structure, uniform pore size and organic-inorganic hybrid pore walls. The organic components give rise to a more hydrophobic environment inside the pores of PMOs than their pristine silica counterparts. This increased hydrophobicity benefits applications such as catalysis, drug delivery, protein refolding, and separation, for it provides fine tuning of the host-guest interactions. In addition, it renders PMOs humidity resistant, giving these materials an advantage for potential applications in nanoelectronics, solar cells, displays and lighting. Our group has reported the synthesis of PMO thin films for use as low-dielectric-constant (low-k) materials in microprocessors through spin-coating9 and vacuum-assisted aerosol-deposition, and have showed that humidity resistance is one of many attributes of PMOs that must be controlled and quantified for the development of a practical low-k material. Here for the first time we report how the gradual hydrophobization of PMO thin films can be commanded synthetically and defined conveniently by ellipsometric porosimetry, a method that can be readily extended to other porous thin film materials, where assessing the hydrophobicity is critical for their respective application.