Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

fall 1997 logo1997 MRS Fall Meeting & Exhibit

December 1 - 5, 1997 | Boston
Meeting Chairs:
 Harry A. Atwater, Peter F. Green, Dean W. Face, A. Lindsay Greer 
 

Symposium II—In Situ Process Diagnostics and Intelligent Materials Processing

-MRS-

Chairs

Walter Duncan Robert Hammond, Texas Instruments Inc Stanford Univ
Hideomi Koinuma Horst Rogalla, Tokyo Inst of Technology Univ of Twente
Peter Rosenthal John Woollam, Semiconductor Products Group Univ of Nebraska

Proceedings published as Volume 502 
of the Materials Research Society 
Symposium Proceedings Series.

* Invited paper

SESSION II1: SENSOR TECHNOLOGIES FOR 
IN SITU DIAGNOSITCS 
Chair: Robert W. Collins 
Tuesday Morning, December 2, 1997 
Cape Cod/Hyannis (M)

8:30 AM *II1.1 
HOLLOW CATHODE LAMP BASED ATOMIC ABSORPTION MONITORS FOR CONTROLLING PVD PROCESSES. Chih-shun Lu, Intelligent Sensor Technology, Inc., Mountain View, CA.

Recent interest in using atomic absorption spectroscopy to monitor deposition rate and control film composition has spurred a number of new developments in the field of related instrumentation. Tunable diode lasers are attractive radiation sources but their utility in atomic absorption monitors is somewhat limited at the present time by the inaccessibility into the deep UV region and the complexity of supporting optics and electronics. Atomic absorption monitors employing conventional hollow cathode lamps have been successfully used in controlling many types of PVD processes. Major issues in using atomic absorption monitors to control PVD processes include long-term stability detection limit, dynamic range, and sensor installation. Recent developments to address these issues will be discussed. The detection limit of atomic absorption monitor for certain elements can be improved by using the boosted-discharge hollow cathode lamp, which generates increased light output without serious self-absorption. Optimal performance of atomic absorption monitors in certain applications can be achieved with specific sensor designs. The performance data of using hollow cathode lamp based atomic absorption monitors to control certain thermal evaporation and sputtering processes will be presented.

9:00 AM *II1.2 
EVAPORATION RATE AND COMPOSITION MONITORING OF ELECTRON BEAM PVD PROCESSES. Thomas M. Anklam, Lockheed Martin Utility Services, Livermore, CA; Jerry J. Benterou, Leon V. Berzius, Christopher A. Haynam, Glenn M. Heestand, Lawrence Livermore National Laboratory, Livermore, CA; Jonathan Storer, 3M Corporation, St. Paul, MN; Mike Bortz, Focused Research Inc., Palo Alto, CA.

Primary limits to the full exploitation of electron beam evaporation are control of deposition rate and preferential vaporization of volatile components. Practical applications where better control is needed include titanium alloy deposition, thermal barrier coatings and manufacture of high temperature superconductors by electron beam evaporation. A major obstacle to better process control has been the lack of an accurate and practical vapor density and composition sensor. Laser based vapor density and composition monitors have the potential to fill this important niche. This paper reports on two such systems that are being jointly developed under DARPA sponsorship by Lawrence Livermore National Laboratory, 3M Corporation and Focused Research Inc. The first and most mature system, employs laser absorption spectroscopy to monitor high rate evaporation of titanium. A diode laser is scanned over a resonant absorption line in atomic titanium. The logarithm of the ratio of the transmitted to input light intensity is integrated over the scan width of the laser. This integral is proportional to the line density of titanium atoms along the path of the laser beam. Line density, when combined with information about vapor flow speed and spatial distribution, can be used to determine operational parameters such as vaporization rate and vapor flux at specific locations in the plume. Sampling rates of up to 4 Hz have been routinely achieved. The titanium system has now been developed to the point where it is being routinely being used as a process diagnostic in a research coater at 3M. Future plans call for the sensor to be fielded as a process control sensor in a production facility that is manufacturing metal matrix composites. The second vapor diagnostic system addresses the problem of monitoring highly opaque vapor plumes. At deposition rates of more than about 10 A/s, materials such as copper and aluminum are too strongly absorbing to utilize absorption spectroscopy. Lawrence Livermore has developed a fundamentally new sensor that exploits group-velocity delay of laser light propagating near atomic resonances. The new sensor is being used for process control of aluminum evaporation at deposition rates where absorption spectroscopy is unusable. Data from these tests are presented as well as a status report on the current state of the technology.

9:30 AM *II1.3 
REAL-TIME MONITORING OF SEMICONDUCTOR GROWTH BY SPECTROSCOPIC ELLIPSOMETRY. B. Johs, J. Hale, C. Herzinger, J.A. Woollam Co., Lincoln, NE; D. Doctor, K. Elliott, G. Olson, D. Chow, J. Roth, Hughes Research Labs, Malibu, CA; I. Ferguson, M. Pelczynski, EMCORE Corp., Somerset, NJ; C.H. Kuo, S. Johnson, Arizona State University, Tempe, AZ.

In situ Spectroscopic Ellipsometry (SE) is an optical technique which is well suited for the monitoring of epitaxial semiconductor growth, due to its high surface sensitivity and non-invasive nature. In this work, SE systems were adapted to both MBE and MOVPE deposition systems to monitor the epitaxial growth of InGaAs and InAlAs compounds on InP substrates. The device structures grown included lattice matched HBT and HEMT structures, and strained RTD structures. SE was used to monitor in real-time the substrate temperature before growth, and the layer thickness and surface composition during growth. The within run precision, run-to-run precision, and long term accuracy of the monitored parameters will be reported. To enhance the precision and accuracy, it was necessary to optimize the SE data analysis strategy. A methodology to determine the best spectral region for the SE data analysis in the presence of noise and systematic effects (such as angle of incidence uncertainty, detector wavelength shifts, surface roughness, uncertainty in surface temperature, etc.) will be presented.

10:30 AM *II1.4 
IN-SITU FOURIER TRANSFORM INFRARED SPECTROSCOPY FOR REAL-TIME DIAGNOSTICS OF THIN FILM PROCESSES. P. Solomon, S. Charpenay, W. Zhang, A. Bonanno, P. Rosenthal, On-Line Technologies, Inc. East Hartford, CT; J. Cosgrove, K. Kinsella, P. J. Kung, Advanced Fuel Research, Inc. East Hartford, CT.

Infrared spectroscopy is a versatile tool that is well adapted to in-situ diagnostics of many thin film properties and processes. We will describe applications of Fourier transform infrared (FT-IR) instrumentation for real-time in-situ measurements of film temperature, emissivity, thickness, free carriers, and optical constants using model based spectral analysis. We will illustrate the use of FT-IR emission and reflection spectroscopy to monitor the fabrication of stacks of ferroelectric and conductive oxides on silicon substrates during pulsed laser deposition. The ability to measure the infrared optical constants of dielectrics such as silicon dioxide, including the detailed spectral dependence of the vibrational absorption bands at high temperatures, will be presented. Finally, we will illustrate the suitability of the technique to rapid thermal processing using the example of a real-time diagnostic of carrier activation during a shallow-junction rapid thermal anneal.

11:00 AM *II1.5 
REAL TIME IN SITU SURFACE COMPOSITION ANALYSIS WITH PARALLEL REFLECTION ELECTRON ENERGY LOSS SPECTROSCOPY. Harry A. Atwater and Channing C. Ahn, Thomas J. Watson Laboratory of Applied Physics, California Institute of Technology, Pasadena CA.

The ability to directly measure and control all of the chemical constituents during growth of advanced thin films and coatings (including contaminants) is motivated by the goals of rapid development and optimum quality in sophisticated and often complex new thin film materials. For vapor deposition in vacuum environments, an ideal diagnostic would directly measure the concentration of all elements present on the growing film surface with high chemical sensitivity, operate in real time (measurement time 1 sec), exhibit surface sensitivity and would not otherwise compromise the thin film deposition environment. Reflection electron energy loss spectroscopy (REELS), which we have developed over the last several years, is a method which fulfills most of these criteria. This method consists essentially of spectral analysis of inelastically scattered electrons from a reflection high energy electron diffraction (RHEED) source, which is already part of many advanced thin film deposition systems. Recently, we have developed a parallel-detection REELS instrument which enables very high speed data acquisition, with low-loss spectrum acquisition in < 500 sec and core loss chemical information acquisition in less than < 1 sec. In this talk, I will compare REELS with other promising diagnostic techniques, such as spectroellipsometry, for use with semiconductor, superconductor, dielectric and metallic films. Remaining issues that need to be addressed to achieve robust real-time control will be discussed.

11:30 AM *II1.6 
SECOND HARMONIC SPECTROSCOPY AND PROCESS MONITORING OF Si(001) INTERFACES. M. C. Downer, University of Texas at Austin, Department of Physics, Austin, TX.

Tunable solid-state femtosecond lasers enable unusually sensitive nonlinear spectroscopy and process monitoring in Si(001) MOS device structures and at Si(001) epitaxial growth surfaces. Electric-field-induced second harmonic (EFISH) generation is important in both contexts, and will be reviewed in depth. Second harmonic diagnostics of interface microughness, band-bending, strain, and oxidation stoichiometry at buried interfaces, and of hydrogen coverage and surface chemical kinetics at chemical vapor deposition growth surfaces will be reviewed.

SESSION II2: SEMICONDUCTOR DIAGNOSTICS 
Chair: Blaine D. Johs 
Tuesday Afternoon, December 2, 1997 
Cape Cod/Hyannis (M)

1:30 PM *II2.1 
MULTICHANNEL SPECTROSCOPIC ELLIPSOMETRY: APPLIATIONS IN PROCESS DEVELOPMENT FOR NANOSTRUCTURED THIN FILMS. R.W. Collins, H. Fujiwara, Joohyun Koh, Joungchel Lee, and P.I. Rovira, Materials Research Laboratory and Department of Physics, The Pennsylvania State University, University Park, PA.

Since the first reports in 1990 that detailed the development, performance, and applications of multichannel real time spectroscopic ellipsometry (RTSE) for characterizing thin film growth [1,2], this technique has now become quite popular with the availability of several commercial instruments. Many recent users of these instruments have focused on materials systems for which surface and interfacial roughness (or chemical interactions) can be neglected and the optical properties can be assumed to be independent of thickness. Under these conditions, computational demands are minimal and real time feedback and control is possible. In this presentation, we focus on situations in which film growth is inhomogeneous, surface and interfacial roughness is important, and film optical properties evolve with thickness due to the developing nanostructure. Although computation is often too time-consuming for real time control in this case, multichannel RTSE is very effective for process development. We will discuss several applications in which multichannel RTSE has led to improved materials or processes, for example: (i) bandgap and nanostructure engineering for high-performance amorphous semiconductor solar cells, (ii) development of low-temperature, high rate growth processes for diamond films, and (iii) analysis and optimization of thin films with chiral nanostructures. Finally, we described advances in multiparameter, multichannel approaches in which the reflectance and degree of polarization is obtained in addition to ellipsometric data.

2:00 PM *II2.2 
SPA MONITORING OF GaN MOVPE SURFACE. Naoki Kobayashi and Yasuyuki Kobayashi, NTT Basic Research Laboratories, Kanagawa, JAPAN.

To clarify the mechanism of GaN metal-organic vapor phase epitaxy (MOVPE) and to control its growth process, the surfaces during GaN MOVPE were monitored in-situ by surface photo-absorption method. The problem of a large black-body radiation from the substrate heated at high temperatures above 1000C was solved by using the ultra-violet 325 nm light from He-Cd laser, and the undesirable contribution of GaN bunk in total reflection was also reduced by using an incidence of linearly p-polarized light. As a result, the reflectivity becomes highly sensitive to a change in surface stoichiometry during GaN MOVPE. From the reflectivity change under a constant flow of NH3, it was found that, in H2 carrier gas, a Ga-rich surface was formed above 850C due to a spontaneous N desorption, whereas in N2 carrier gas, a N-rich surface was maintained up to 1030C due to the suppression of the N desorption. From the on/off experiments of NH3 flow, the rates of N desorption and NH3 decomposition were also measured, indicating a stronger Ga-N bond and a thermally stable NW molecule compared to the cases of GaAs and InP MOVPE using AsH3 and PH3 as source gases.

2:30 PM *II2.3 
LOW ENERGY ELECTRON MICROSCOPY STUDIES OF SURFACE AND INTERFACE DYNAMICS. Rudd M. Tromp, IBM T.J. Watson Research Center, Yorktown Heights, NY.

The strength of Low Energy Electron Microscope (LEEM) lies in its ability to image surfaces with high surface sensitivity, over a relatively large field of view (2-100 micrometer), at elevated temperatures, during processing (growth, exposure to gases), and with videorate time resolution. LEEM has been used to study a wide range of surface and interface dynamical processes, including surface phase transitions, chemisorption, epitaxial growth, interface formation, strain relaxation, surface morphological processing, as well as electrical breakdown of thin dielectrics. At sufficiently high temperatures artifically made gratings on Si decay due to surface diffusion. From detailed studies of the time-dependent step morphologies on the surface one obtains information on the role of steps in the equilibration process. However, one may also employ surface diffusion and sublimation to create surface morphologies that are far out of equilibrium. We have fabricated periodic arrays of step-free Si regions as large as 20 micrometers by careful in-situ processing inside the electron microscope. Electrical breakdown of thin oxide films on Si is a completely different phenomenon that can be studied with high spatial and time resolution. I will discuss how this is done and present some recent results.

3:00 PM II2.4 
INFRA-RED SPECTROSCOPIC ELLIPSOMETRY OF VERY THIN SILICON EPITAXIAL FILMS FOR IN-SITU AND IN-LINE APPLICATIONS. A.Cherkassky, P.Solomon, P.Rosenthal, W.Zhang, S.Charpenay, On-Line Technologies, East Hartford, CT; R.Reif, Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, MA.

Infra-Red Spectroscopic Ellipsometry (IRSE) is a powerful and sensitive tool especially well suited to non-destructive characterization of very thin (500 nm and below) silicon epitaxial films on silicon substrates. IRSE can provide information on variety of parameters of interest incuding film thickness, substrate dopant concentration and transition layer profile. In addition to exhibiting very high sensitivity compared to other spectroscopic techniques (mono-layer films are detectable in a number of cases), the method offers additional advantages with respect to In-Line and In-Situ applications, and can be adapted to a variety of materials and structures in IC fabrication of today and tomorrow.

3:15 PM II2.5 
IN-SITU MASS SPECTROMETRY FOR REAL-TIME UNIFORMITY SENSING IN ECR SILICON DIOXIDE ETCHING. J.J. Chambers*, K. Min, J.R. Hauser and G.N. Parsons*, Engineering Research Center for Advanced Electronic Materials Processing, and *Department of Chemical Engineering, North Carolina State University, Raleigh, NC.

As wafer diameters increase, monitor wafers become much more costly for process characterization, and real-time sensors become more attractive. Process-state sensors are generally more simple to implement than direct wafer-state sensors, but process-state sensors are usually thought not to be applicable to wafer-scale analysis. We used a two stage differentially pumped mass spectrometer system sampling directly from the process chamber, and optical emission spectroscopy to sense the process state and monitor end-points during ECR silicon dioxide etch. The mass spectrometer system sampling rate is approximately 1.5 seconds per 8-point data set. Etch rates and uniformity were measured on 4 inch blanket oxide wafers using optical interferometry. By analysis of the shape of the end-point data, we find that etch uniformity information can be directly obtained in real-time. Specifically, using He/CF4/D2 etchant gases, we find that the CO+ signal (corresponding to CO2 etch product) measured in the mass spectrometer decays at the oxide etch end-point, and the SiF3+ signal (due to SiF4)increases, then rapidly decreases at the same end-point. To test sensitivity to uniformity, the etch conditions were modified so that the etch rate deviation over the wafer area was controlled at 5%, 8% and 14%. At each uniformity, the end-point signal was clearly visible, but the rate at which the signal changed near the end-point was smaller for the more non-uniform etch process, and a unique correlation between uniformity and end-point slope was determined. Moreover, the end-point slope could be determined as the data is collected, allowing mass spectroscopy to be used as a real-time etch uniformity sensor. We also demonstrate that the uniformity can be calibrated by sensing the end-point using wafers with predetermined non-uniform oxide coatings.

3:30 PM II2.6 
REFLECTION HIGH-ENERGY ELECTRON DIFFRACTION AS AN INTRINSIC MATERIAL PROPERTY SENSOR FOR MACHINE CONDITION TRANSFER FUNCTION IN MOLECULAR BEAM EPITAXIAL GROWTH OF III-V COMPOUND SEMICONDUCTORS. P. Chen*, C. Wang, A. Madhukar*, T. Khan, A. Small, Z. Yan, and R. Viswanathan*, Center for Intelligent Manufacturing of Semiconductors (CIMOS), *Materials Science & Engineering Department, Mathematics Department, Physics Department, University of Southern California, Los Angeles, CA.

A concept of machine condition transfer function (MCTF) based on intrinsic material property sensor is proposed to meet the ever-increasing demand in control and reproducibility of optimized growth conditions for III-V compound semiconductor molecular beam epitaxy. Reproducing the optimal combination of growth parameters from run-to-run (R-t-R) or from machine-to-machine (M-t-M) based on conventional instrument sensors is recognized to be generally not sufficiently reliable for the growth of a variety of advanced device structures. The MCTF concept utilizes the unique response behavior of intrinsic material property sensor to the growth parameters to correlate the machine parameters from R-t-R or M-t-M. The intrinsic material property being exploited is the surface reconstruction phase diagram which is characterized by static specular beam intensity of reflection high-energy electron diffraction. Mathematical methodology for realizing this concept, including response surface reconstruction and optimal minimization in combination with composite statistical design for initial estimation, has been developed. In generating the system response surface, a special and novel family of two-dimensional piecewise polynomial spline on adaptive non-uniform grids is built in order to accommodate special features of the RHEED specular beam intensity behavior. For the same purpose an appropriate optimization criterion is formulated for establishing MCTF. Numerical evaluation of the developed methodology demonstrates satisfactory accuracy in identifying the MCTF and its robustness against random experimental fluctuations in the data. The composite design for choosing initial estimation is introduced for validating MCTF. Implications and the potential promise of using this method in practice will be discussed. Work supported by AFOSR/DARPA under the MURI program.

3:45 PM II2.7 
IN-SITU PHOTOREFLECTANCE DIAGNOSTICS OF THE ELECTRONIC PROPERTIES OF GaAs SURFACES ETCHED USING AN ELECTRON CYCLOTRON RESONANCE SOURCE. O.J. Glembocki, R.T. Holm, C.R. Eddy and D. Leonhardt, Naval Research Laboratory, Washington, DC.

The modulated optical technique of photoreflectance (PR) is applied to the characterization of the electronic properties of GaAs surfaces etched in a chlorine/Ar plasma generated by an electron cyclotron resonance source (ECR). Our measurements allow us to monitor in-situ the evolution of the Fermi-level pinning position at various stages of ECR processing. This allows us to identify the etch induced surface defects as Ga vacancies. By monitoring both the in-phase and quadrature components of the PR signal, we have been able to characterize the frequency response of the surface defects. We show that etch induced surface damage occurs at DC biases as low as 100V. By using a low energy chlorine plasma, we are able to heal the ion-induced damage for samples etched at ion energies below 250V, Above this, we observe subsurface damage that can extend as far as 100nm below the surface. In this case, healing the damage involves significant removal of material. This process coupled with PR allows us to obtain the spatial distribution of the subsurface damage. We will also discuss the nature of processed surfaces exposed to the ambient after removal from the etching system.

SESSION II3: IN-ROOM POSTER SESSION 
SEMICONDUCTOR DIAGNOSTICS 
Chair: John C. Bean 
Tuesday Afternoon, December 2, 1997 
4:00 P.M. 
Yarmouth (M)

II3.1 
IN-SITU RF MONITORING FOR PECVD PROCESS CONTROL. Sebastien Raoux, Kevin Liu, Xin Guo and Dave Silvetti, Applied Materials, Inc., Santa Clara, CA.

The fabrication of advanced integrated circuits requires increased process monitoring and control. As higher production yields are required, the technology is moving from statistical process control to (economically-sound) in-situ monitoring techniques. A set of experiments was conducted to explore the feasibility of using RF impedance probes to detect deviation of electrical characteristics of process chambers during wafer fabrication. A probe was integrated on a plasma-enhanced CVD chamber (Si3N4 deposition) to explore the sensitivity of the reactor electrical characteristics on the events of process drift. We measured RF voltage, current, phase angle and reactor impedance magnitude for both a single frequency (13.56MHz) and a dual frequency process (13.56MHz+350kHz). We investigated the interdependence of the RF signature and process parameters such as RF power, pressure, gas flow and electrode spacing. We observed that there is a correlation between film properties (especially stress and refractive index) and phase angle in the case of a dual frequency Si3N4 film deposition process. In addition, we explored the capability of RF probes on detecting chamber clean endpoint, wafer-out-of-pocket event or lost of RF ground. Finally, we conclude on the integration issues related to this in-situ monitoring technique for the next generation of wafer fabrication tools.

II3.2 
IN SITU MONITORING OF ANODIC OXIDE GROWTH ON SI (100) BY INTERFACE SECOND-HARMONIC GENERATION. S. Janz and J.A. Bardwell, Institute for Microstructural Sciences, National Research Council of Canada, Ottawa, Ontario, CANADA.

Thin oxides on Si are used extensively in microelectronics manufacturing. Although thermal oxides are expected to meet the requirements for gate oxides over the next several generations of Si ULSI technology, alternative oxidation techniques for other applications remain of substantial interest. Anodic oxidation offers several advantages. A large matrix of growth conditions is available, and it is possible to tailor oxide growth to obtain very thin oxides with acceptable properties, very good control over oxide thickness, and good lateral uniformity. However, oxide growth is dependent on a number of parameters, many of which are not well understood. Therefore an in situ monitor to probe the oxide during growth is essential for good process control, and also to develop a microscopic understanding of the relevant growth mechanisms. Only interface sensitive optical techniques such as second-harmonic generation (SHG) can monitor the properties of buried Si/oxide interfaces in situ and in real time. In this paper we report on the use of SHG as an in situ monitor of anodic oxide growth on Si. We have demonstrated that the measured SHG signal is dominated by electric field induced SH generation (EFISH) in the space charge region of the Si substrate. From the variation of the SHG signal with oxidation time, the onset of different regimes of oxide growth can be identified. By systematically varying the oxide thickness and the applied voltage, while monitoring the SHG signal, information can also be obtained on the dynamics of charge carriers within the oxide. A simple model of a depletion/accumulation layer in the Si in series with a space charge region in the growing oxide is used to extract the relevant physical parameters from SHG transients. In particular, we find evidence of positive charge transport from the Si into the oxide during growth.

II3.3 
ULTRATHIN MASK LAYERS OF SiO2 AND SiOxNy FOR SELECTIVE-AREA DEPOSITION OF Si: IN LINE AUGER ANALYSES OF MASK FORMATION, PATTERNING, AND NUCLEATION PROCESSES. D.S.Hwang, T.Yasuda, K. Ikuta, S.Yamasaki, K. Tanaka, Joint Research Center for Atom Technology(JRCAT),Tsukuba,JAPAN.

A new processing scheme for nanoscale selective-area formation of Si microstructures is reported. In this scheme all of mask formation, patterning, and selective-area deposition of Si are performed in a multichamber system where in line Auger electron spectroscopy (AES) is available for monitoring each processing step. Ultrathin mask layers of SiO2 and SiOxNy(< 2 nm) were formed by exposing H-terminated Si(001) surfaces to O2/He or N2/He plasma at a room temperature. Their thickness and chemical composition were evaluated in line by AES. Various patterns were directly written on the mask surfaces by taking advantage of the electron-beam-induced desorption of O where changes of the chemical stoichiometry was followed in situ by AES. Selective-area deposition of Si was performed by flow-modulated plasma-enhanced CVD at 473 K, and nucleation on the mask surfaces, which is to be suppressed for better selectivity, was monitored again by AES. Our previous studies identified that ultrathin SiO2 layers exhibit selectivity degradation under prolonged plasma processing [J.W.Park et al, to be published in MRS Symp. Proc.]. In order to enhance deposition selectivity, this study investigates the effects of N incorporation into the SiO2-based mask layers. It has been found so far that SiOxNy layers show deposition selectivity comparable to or slightly better than that of pure SiO2 masks. Introduction of N did not significantly reduce the electron-beam sensitivity for the mask patterning unless the layer is extremely N-rich. At the presentation the results for the thermal CVD as well as for the Si nucleation densities will be reported. This work, partly supported by NEDO, was carried out at JRCAT under the joint research agreement between NAIR and ATP.

II3.4 
CVD GROWTH AND EXCIMER LASER PROCESSING OF Si1-xGex ALLOYS MONITORED BY SINGLE WAVELENGTH ELLIPSOMETRY AND ATOMIC FORCE MICROSCOPY. R. Larciprete, S. Cozzi, S. Pieretti, I. Vianey, ENEA, Dip. INN/FIS, Roma, ITALY; G. Padeletti, CNR-ICMAT, Roma, ITALY; E. Masetti, M. Montecchi, ENEA, Thin Film Optics Division, Rome, ITALY.

Heteroepitaxy of lattice-mismatched structures is characterized by a change from a layer-by-layer to a three-dimensional (3D) growth mode, when, as in the Si1-xGex/Si systems, the film is under compressive strain. Surface smoothing can be obtained by irradiating the Si1-xGex/Si sample with UV laser pulses, as the transition to a liquid state of the near surface region followed by rapid crystallization completely reshapes the surface morphology. In the same time the quenched nature of the process can be exploited to improve the epitaxial quality of the heterostructure. In this work single wavelength clipsometry was used to monitor the growth of Si1-xGex alloys obtained by Ultra-High Vacuum CHemical Vapour Deposition (UHV-CVD) at 570C on Si and to evaluate the effect of sample irradiation of KrF excimer laser pulses, during and after the CVD growth, at energy density above the threshold for surface melting. When the Si1 xGex alloys were grown without laser assistance, the recorded ellipsometric curves indicated the presence of pronounced surface roughness, confirmed by Atomic Force Microscopy (AFM) analysis too. On-line clipsometry during multiple pulse post-growth irradiation attested the smoothing of the surface microroughness induced by the melt-recrystallization cycle. The laser assisted CVD growth of Si1 xGex alloy layers was also followed. By changing the ratio between thermal growth rate and irradiation frequency the kinetics of the surface roughening was studied.

II3.5 
NON-DESTRUCTIVE CHARACTERIZATION OF SILICON EPITAXIAL FILMS AND STRUCTURES BY FOURIER TRANSFORM INFRA-RED SPECTROMETRY FOR IN-SITU AND PROCESS CONTROL APPLICATIONS. A. Cherkassky, R. Reif, Massachusetts Institute of Technology, Cambridge, MA.

Fourier Transform Infra-Red Spectrometry (FT-IR) has traditionally been utilized by the IC industry in the interferogram mode for measurement of relatively thick (1 um and above) silicon epitaxial films on relatively highly doped silicon substrates. Extending the measurements to thinner films presents problems due to the limited bandwidth of the optical contrast between the film and substrate and the uncertainty about frequency responses of the electronic and optical components of FT-IR. We present the application of FT-IR to measurement of thin (sub-1um) Si epi films which overcomes the above limitations through utilization of frequency mode measurements combined with accurate material models. The method extends FT-IR use to measurement of sub-100 nm films with sub-10 nm resolution. In addition, it provides information on substrate dopant concentration and profiles. The method can be be extended to patterned films, and is adaptable to In-Situ and In-Line applications.

II3.6 
SPECULAR REFLECTION OPTICAL BANDGAP THERMOMETRY. R. T. Holm, Naval Research Laboratory, Washington, DC.

This paper describes a new development in the technique referred to as optical bandgap thermometry (OBT). This technique is based upon two facts: 1) semiconductor extinction coefficients increase abruptly as the photon energy varies from below the optical bandgap to above and 2) the bandgap exhibits as strong temperature dependence. Currently there are two major applications of OBT. One is based on either normal incidence transmission or reflection. The other depends on diffuse reflection from the back side on a sample. The new development presented here uses specular reflection at an oblique angle of incidence. The technique is especially useful for in situ temperature measurements while samples are being plasma etched. We present a general optical model, including s and p polarization. The analysis is particularly simple for p polarization at Brewsterís angle when the back side of a sample is coated by a mirror-like metal. OBT is capable of high precision (ca. one half degree centigrade) and, if appropriate calibration curves are available, high accuracy.

II3.7 
MASS SPECTROMETRY OF ETCH PRODUCTS DURING HIGH DENSITY PLASMA ETCHING. C.R. Eddy, Jr., D. Leonhardt, V.A. Shamamian and J.E. Butler, U.S. Naval Research Laboratory, Washington, DC.

As critical device dimensions and active layer thicknesses decrease there develops a significant need for highly sensitive, fast response sensors for etch monitoring or endpoint identification. Traditionally optical emission spectroscopy or remote mass spectrometry have been used to perform these functions, however, these techniques are global in their sampling nature. Consequently, their response times and sensitivities may be inadequate in current and future generations of electronic and optoelectronic device fabrication. We have developed an in-situ, highly surface sensitive and, therefore, fast response method for monitoring etch products evolving from the semiconductor surface during high density plasma etching. This method involves mass spectrometry and sampling through the temperature controlled, biased wafer chuck. Experiments show that sampling in this manner gives a detected species spectrum that is highly correlated to the species evolved from the semiconductor surface. These results are contrasted to experiments conducted in the more traditional line-of-sight sampling method. It is shown that the line-of-sight method samples an etch product flux that is highly convolved with plasma chemistry that occurs on the way to the sampling aperture. Results of measurements in the GaAs/AlGaAs:Cl2/Ar, semiconductor:plasma chemistry, system will be presented, including real-time monitoring of the etching of GaAs/AlGaAs multilayer heterostructures.

II3.8 
A COUPLED SURFACE SCIENCE AND REFLECTANCE-DIFFERENCE SPECTROSCOPY INVESTIGATION OF GaAs SURFACE PHASES DURING MOCVD. J.R. Creighton, H. K. Moffat, and K. C. Baucom, Chemical Processing Sciences Dept., Sandia National Laboratories, Albuquerque, NM.

The structure and stoichiometry of GaAs surfaces during MOCVD is determined by reactor conditions and the complex chemistry of the deposition process. Therefore, examinations of the surface conditions, or phases, during deposition give us insight into the deposition chemistry and provide a critical testbed for realistic reactor-scale models of GaAs MOCVD. One of the most successful techniques for studying GaAs surface phases has been reflectance-difference spectroscopy (RDS), as pioneered by Aspnes. We have implemented RDS on a rotating disk MOCVD reactor and explored the surface phases of GaAs for a wide variety of operating conditions. This portion of our study basically corroborates the earlier work from Richterís group in Berlin. We have also installed RDS on a UHV surface science machine because the structure and stoichiometry of several phases as detected by RDS were not known. The best characterized, and least controversial surface phase, known as Phase I (wow!), occurs at higher temperatures (>900K) and lower TMGa partial pressures. This phase is believed to resemble the super arsenic-rich c(4 X 4) reconstruction with a diminished degree of long-range order. There are other deposition conditions which yield RD spectra that were not observed in the original MBE/RHEED benchmarking experiments by Aspnes, so the atomistic details of these surface phases have remained uncertain. We have therefore measured the RDS of several new surface reconstructions, including some with adsorbates, in an attempt to find a match with the unknown phases seen during MOCVD. One important surface phase (II) often observed at intermediate MOCVD temperatures was found to be a metastable version of the super arsenic-rich surface. During MOCVD at lower temperatures (700-820 K) and/or higher TMGa partial pressures Phase III is formed. Surface science results indicate that this is an arsenic-rich surface decorated with a considerable coverage of methyl groups. This latter observation is consistent with the sublinear growth rate dependence on TMGa partial pressure for this phase.

II3.9 
AlGaAs OXIDATION AND Al-MOLE FRACTION: A REAL-TIME, IN-SITU STUDY. Barbara A. DiCamillo, N.V. Nguyen, Michael E. Tietjen, and Joseph G. Pellegrino, Semiconductor Electronics Division, National Institute of Standards and Technology, Gaithersburg, MD.

An AlGaAs surface is susceptible to oxidation. Even under UHV conditions oxygen can react with the AlGaAs surface. There is evidence that oxygen incorporation during growth of Al-containing epilayers can deleteriously affect the operating parameters of edge-emitters (e.g. threshold current). In this work we examine how oxidation occurs in a set of AlGaAs samples of varying Al concentration. We examined the oxidation of AlGaAs layers of varying Al-mole fractions using an in-situ spectroscopic ellipsometer on a Gen-II type MBE chamber. AlGaAs samples were examined in real-time during growth, after growth within the growth chamber, and subsequently in a N2-O2 controlled environment outside the chamber. The N2-O2 environment was chosen to delay the oxidation process for a better understanding of the oxide formation kinetics, especially at the initial stages of oxide growth upon removal from the MBE chamber of study. An additional analysis step included desorption of the AlGaAs oxide under a positive arsenic flux. Preliminary results show that oxidation initiates at a rapid rate and achieves a terminal oxide of about 10 angstroms on a GaAs film within 300 minutes of exposure to air. This work focuses on establishing correlations between Al-mole fractions and oxide formation kinetics, and includes oxide desorption temperatures. Differences in the pseudo-dielectric function between its onset and termination provide the means for oxidation characterization. These spectroscopic ellipsometry finding will be compared with both RHEED and mass desorption results.

II3.10 
IN SITU REFLECTOMETRY FOR ANALYSIS OF THICKNESS AND COMPOSITION OF MOVPE-GROWN TERNARY ALLOYS. H. Q. Hou, W. G. Breiland, and B. E. Hammons, Sandia National Laboratories, Albuquerque, NM

Metalorganic vapor phase epitaxy (MOVPE) is a powerful and flexible platform for growth of compound semiconductor heterostructures, but has lacked diagnosis tools for growth rate and composition calibrations. Much of the time and expense of MOVPE growth is spent in performing numerous calibration runs to fine-tune the recipe for an actual device structure growth. We have eliminated most of this effort by using a single-wavelength, reflectance monitor coupled with a virtual interface analysis method for use as a pre-growth calibration tool. The growth rate or the thickness of the epitaxial layers can be determined accurately without prior knowledge of materials properties such as composition, temperature, and optical constants. In addition, we have verified that the growth rate of an AlxGa1-xAs alloy is simply the sum of the growth rates of the individual AlAs and GaAs contributions. The alloy composition can be determined following this additive rule, and the results from this method are in excellent agreement with characterizations. This allows us to grow AlxGa1-xAs with precise composition control over the 0 II3.11 
REAL-TIME FEEDBACK CONTROL OF THERMAL Cl2 ETCHING OF GaAs(100) BASED ON IN-SITU SPECTROSCOPIC ELLIPSOMETRY. T. Parent, R. Heitz, P. Chen, and A. Madhukar, Center for Intelligent Manufacturing of Semiconductors (CIMOS), Materials Science and Engineering Department, University of Southern California, Los Angeles, CA.

Real-time feedback control of etching is becoming desirable to achieve the degree of process reproducibility demanded by the increasingly strict process requirements of advanced semiconductor manufacturing. The strength of spectroscopic ellipsometry (SE) as an in-situ sensor for dry etching lies in its sensitivity to film thickness, surface roughness, and substrate temperature. Though real-time SE has been successfully employed for in-situ characterization and control of growth, its use for real-time feedback control of etching remains to be established. In the present work, the feasibility of using SE to achieve real-time feedback control of etching is investigated for the case of thermal Cl2 etching of GaAs(100). A systematic study of the etch rate as a function of Cl2 pressure and substrate temperature is performed with all sample preparation and etch rate measurements made in-situ, thus minimizing surface contamination effects. Based on this systematic study a phenomenological model is derived for the purpose of real-time feedback control. A control algorithm which makes use of the phenomenological model to adjust the Cl2 pressure in real-time is developed. Testing of the control algorithm shows its ability to correct for both temperature measurement errors and shifts in the pressure sensor reading. This work demonstrates the potential of SE for real-time control of etching. Implications of the present work to real-time control of plasma etching will be discussed.

II3.12 
ON-LINE MONITORING OF INDIUM GALLIUM ARSENIDE MOCVD BY INFRARED SPECTROCOPY. M.J. Kappers, M. Begarney, and R.F. Hicks, Chemical Engineering Dept., University of California at Los Angeles, Los Angeles, CA.

The low pressure organometallic chemical vapor deposition (LP-MOCVD) of InGaAs alloys has been studied using on-line infrared spectroscopy and ex-situ x-ray crystallography. The IR data show that ligand exchange reactions between TMIn and TEGa produce more reactive ethylindium compounds and less reactive methylgallium compounds in the feed lines. The organometallic compounds show characteristic CH2 bending and metal-carbon stretching bands between 900 and 450 cm-1. For instance, TEGa, MDEGa, DMEGa, and TMGa have Ga-C stretching bands at respectively 544, 560/569, 565/578, and 570/583 cm-1. The consumption rates of the organometallic compounds under growth conditions are notably different. We found that TMGa does not contribute to alloy growth below 600 C, while ethylindium species start to decompose already at 300 C. The alloy composition changes over the reactor length when the reaction becomes limited by the feed rate of the indium species. The large difference in reactivities of the sources, as a result of ligand exchange reactions, makes it difficult to uniformly deposit the desired alloy composition over the entire area of a heated substrate.

II3.13 
STUDY OF LASER-MATERIAL INTERACTIONS WITH PICOSECOND X-RAY DIFFRACTION. Ting Guo1, Christoph Rose-Petruck1, Ralph Jimenez1, David Fittinghoff1, Jeff Squier2, Barry Walker1, Kent Wilson1, and C.P.J. Barty3, 1Department Of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA; 2, Department of Electric and Computer Engineering, University of California, San Diego, La Jolla, CA; 3, Institute of Nonlinear Science, University of California, San Diego, La Jolla, CA

The peak brightness of x-rays from Laser-Produced-Plasma (LPP) based x-ray sources can be a few orders of magnitude higher than that of synchrotron sources, making it more suitable to monitoring a single event. Furthermore, since the duration of LPP based x-ray pulses can be as short as a few hundred femtoseconds, fast processes in the materials processing can be studied at a very high time resolution. Another advantage of LPP based x-rays sources is their intrinsic small x-ray emitting volumes. The Rocking Curve, therefore the quality, of a crystal can be obtained directly. By combining such kind of x-ray sources with absorption and fluorescence techniques, one can monitor, in situ, certain catalytic processes and those that are usually inaccessible to regular probing approaches. For the purpose of developing a compact, nondestructive, no contact, and highly penetrating probing method to study the materials processing, we present in this report the results of x-ray diffraction of single crystals with a LPP based x-ray source at a picosecond scale. The interactions of a short laser pulse of a few tens of femtoseconds in length with single crystals, such as GaAs, InSb, and Au, were monitored by the x-ray diffraction. The x-ray penetration depth ranged from a few hundred nanometers to a few micrometers. The Bragg diffraction from certain lattice planes was recorded with an x-ray CCD camera. In most cases, x-ray diffraction results revealed that the light energy can be coupled into the lattice in a few picoseconds or shorter. The lattice constant change of less than a few thousandth of an angstrom had also been recorded.

II3.14 
IN-SITU MONITORING AND FEED-BACK CONTROL OF III-V MOVPE GROWTH BY REFLECTANCE ANISOTROPY SPECTROSCOPY AND SPECTROSCOPIC ELLIPSOMETRY. J.-T. Zettler and W. Richter, Institut fuer Festkoerperphysik der Technischen Universitaet Berlin, Berlin, GERMANY.

Analyzing this years main US and European workshops on metal-organic vapor phase epitaxy (MOVPE) the conclusion one has to draw is: in-situ monitoring of MOVPE growth has become another hot topic in this area. Interestingly, the success of MOVPE even without monitoring tools is a major reason for this development: a growing number of researchers with MBE experience is joining the MOVPE side now thus causing an enormous demand for reflection high-energy electron diffraction (RHEED) equivalent monitoring tools also in the gas-phase epitaxial techniques. Among the optical in-situ techniques reflectance anisotropy spectroscopy (RAS) is the one most closely related to RHEED: the spectra of this highly surface sensitive technique are directly caused by the symmetry and chemical nature of the surface bonds and therefore can be used as characteristic fingerprints monitoring layer growth and interface formation. Spectroscopic ellipsometry (SE) has been proven to be an outstanding tool for gaining in-situ information on layer thickness and bulk composition. Moreover, when growth is performed in two dimensional nucleation mode SE - as RHEED and RAS - is also capable of taking monolayer growth oscillations. In this paper we give a survey of recent work done in our group regarding the following subjects: RAS and SE oscillations for growth monitoring, RAS signatures during III-V interface formation, in-situ composition measurement and feed-back controlled growth of lattice matched ternary III-V's (InGaAs/InP and InGaP/GaAs). Finally, specific challenges of production line environments to the design of the in-situ optical systems (rotating and wobbling samples, process light and the effects of remaining strain in the optical view-ports) will be briefly discussed.

II3.15 
INFRARED EMISSION SPECTRA OF INDIUM PHOSPHIDE AT ELEVATED TEMPERATURES. Henrik Rogne, Haroon Ahmed, Microelectronics Research Centre, Cavendish Laboratory, Cambridge University, UNITED KINGDOM; Paul J. Timans, AG Associates, San Jose, CA.

Abstract not available.

8:30 AM *II4.1 
AN OPPORTUNISTIC APPROACH TO IN-SITU PROCESS CONTROL. John C. Bean, University of Virginia, Dept of Electrical Engineering, Charlottesville, VA.

Process control must be based on a fundamental understanding of the materials involved, right? If you plan to use an optical tool, you must start by thoroughly documenting the material's optical properties. Complex indicies of refraction must be measured, temperature dependencies determined, and all of this information must be fed into tools that allow one to simulate the signal expected from the desired layer or morphological structure. Thus strategy makes obvious sense. Unfortunately, it may take years to acquire the required database. And, having acquired all of these data, the real-life material configuration may be so complex (e.g. an integrated circuit), that realistic simulation is impossible. I'd like to advocate a more opportunistic approach that requires only that: 1) One can (at least occasionally) grow the desired material; 2) Good material produces a signal (any signal!) that is different from that produced by bad material; 3) One has the sense to exploit points 1 & 2, no matter how unexpected the results are. I'll describe the successful application of this fingerprinting strategy to growth of SiGe and III-V materials, by MBE and MOCVD, for devices ranging from telecommunication lasers to polycrystalline photodiodes.

9:00 AM *II4.2 
CLOSED-LOOP CONTROL OF MBE USING AN INTEGRATED MULTI-SENSOR SYSTEM. John A. Roth, Hughes Research Laboratories, Malibu, CA.

The control of MBE through the use of in situ sensors is a rapidly evolving technology that promises to revolutionize the growth of complex multi layer device structures by eliminating run-to-run variability, reducing the reliance on pre-growth calibration runs, and increasing the probability of first-pass success. In the present talk we describe the design and operation of an integrated multi-sensor MBE system that includes sensors for several key growth parameters, and which incorporates flexible control software that utilizes the sensor information to achieve precise real-time regulation of the composition, thickness and temperature of growing films. In this system, substrate temperature is sensed by the shift in the substrate absorption edge (bandgap) as determined from optical transmission spectra measured in situ. Fluxes from the effusion cells are sensed through measurements of atomic (optical) absorption using light directed through the source beams transversely. Composition and thickness of the growing epitaxial layer are determined by spectroscopic ellipsometry, and for extremely thin films, thickness is determined by counting oscillations in the current of electrons photoemitted from the surface in response to W illumination. A variety of III-V materials have been grown under sensor-based control using the system described above, and results will be presented showing control and regulation of substrate temperature, film thickness and layer composition for a number of cases of current technological interest. The control algorithms and software used in the system will be discussed and contrasted with conventional approaches to MBE control. Data acquired during the growth of various device structures, including HBTs, RTDs and lasers will be presented, and the benefits of sensor-based control will be illustrated through results on the performance characteristics and yield of devices grown with sensor feedback control.

9:30 AM *II4.3 
STUDIES OF THIN FILM GROWTH AND FILM-BASED DEVICE PROCESSES VIA IN SITU, REAL-TIME ANALYTICAL TECHNIQUES. O. Auciello, A.R. Krauss, J. Im, and D.M. Gruen, Argonne National Laboratory, Materials Science and Chemistry Divisions, Argonne, IL; E.A. Irene, University of North Carolina, Dept of Chemistry, Chapel Hill, NC; R.P.H Chang, Nortwestern University, Dept of Materials Science, Evanston, IL; J.A. Schultz, Ionwerks, Houston, TX; C. Thompson, Northern Illinois University and Argonne National Laboratory, G.B. Stephenson, C. M. Foster, and J.A. Eastman, Argonne National Laboratory, Materials Science Division, Argonne, IL; A. Gruverman and H. Tokumoto, National Institute for Advance Interdisciplinary Research, Tsukuba, JAPAN; S.E. Prakash, S. Aggarwal, and R. Ramesh, University of Maryland, Dept of Materials and Nuclear Engineering, College Park, MD.

Time of flight ion scattering and recoil spectroscopy (TOF-ISARS) has been used to perform in situ, real-time studies of film growth processes. TOF-ISARS involves three distinct but closely related experimental methods, namely: ion scattering spectroscopy (ISS), direct recoil spectroscopy (DRS) and mass spectroscopy of recoiled ions (MSRI). TOF-ISARS is capable of providing monolayer-specific information in the ambient conditions required for the growth of thin films including relatively high pressures as needed for oxide films. As an example, new insights into the growth processes of ferroelectric thin films will be discussed. Recent results will be shown which indicate that layered perovskite SrBi2Ta2O9 films are terminated in an incomplete (Bi2O2)2+ layer, forming an interface layer with metal electrodes, which may contribute to control fatigue in metal/SBT/metal capacitors without the need for oxide electrodes as in PZT capacitors. TOF-ISARS has also been applied to study the growth processes of high temperature superconducting and semiconduting thin films. The polarization state and polarization reversal in ferroelectric thin films are naturally linked to domains arrangements and their transformations. Therefore, direct imaging of domain structures and investigation of their behavior under the applied electric field can provide valuable information for a general understanding of switching phenomena and the role domains play in degradation effects such as polarization fatigue and polarization retention loss in ferroelectric films. We have used scanning force microscopy (SFM) to perform in situ nanoscale imaging of polarization domains in ferroelectric thin films to study polarization reversal and retention loss. Recent results from studies of domain structures at the nanoscale level in ferroelectric films will be discussed in terms of the basic scientific and technological implications.Another powerful technique suitable for in situ, real-time characterization of thin film growth processes and some film-based device operation is based on the use of a synchrotron X-ray beam. We have started a program to study film growth processes using an MOCVD system attached to the Advanced Photon Source at Argonne National Laboratory, and to perform in situ characterization of switching phenomena in ferroelectric thin films. Initial results will be presented.

10:30 AM *II4.4 
SILICON RAPID THERMAL PROCESSING WITH RIPPLE PYROMETRY. A.T. Fiory, Bell Laboratories, Lucent Technologies Inc., Murray Hill, NJ.

Thermal processing in silicon integrated circuit fabrication steps for dopant activation, metal silicides, annealing, and oxidation commonly uses single-wafer furnaces that rapidly heat wafers with incandescent infrared lamps. Radiation pyrometers and thermocouple probes are the principle methods of measuring wafer temperature for closed-loop control of rapid thermal processes. The challenge with thermocouples is in dealing with heat from the lamps and non-ideal thermally resistive wafer contact. The challenge with pyrometry is in compensating for the variable emissivity of wafer surfaces and suppressing interference from the lamps. Typical deposited or grown layers of silicon nitride, silicon dioxide, and polycrystalline silicon can produce dramatic changes in emissivity. Layer thicknesses and composition are generally not known with sufficient accuracy, so a method for real time in situ emissivity compensation is required. Accufiber introduced a ``ripple technique'' to address this issue. The idea is to use two probes, separately sensing radiation from the wafer and the lamps, and extracting AC and ``DC'' parts from each. The AC signals provide a measure of the reflectivity of the wafer, and thence emissivity, as well as the fraction of reflected lamp radiation present in the DC signals. Lucent Technologies introduced a method of using AC lamp ripple to measure wafer temperatures with two radiation probes at a wall in the furnace. One probe views radiation emanating from the wafer through a gap in the lamp array. The other probe has a wide field of view to include lamp radiation. The accuracy of Lucent devices, determined from process results on wafers with various emissivities, is typically in the range of 10C to 15C at three standard deviations.

11:00 AM *II4.5 
INTELLIGENT MONITORING AND REAL-TIME CONTROL FOR SEMICONDUCTOR INTEGRATED CIRCUIT FABRICATION. T.P. Pearsall, Dept. of Materials Science and Engineering, University of Washington, Seattle, WA.

Non-invasive monitoring for real-time control in processing of integrated circuits is concerned primarily with the measurement of wafer temperature, the flux and composition of gas-phase sources, layer thickness and surface roughness. Measurement by optical spectroscopy represents the technique of choice because the optical probe beam can be transmitted to and from the process chamber under a wide variety of process conditions. In addition, all the hardware associated with the measurement can be located outside the process chamber, so that little or no modification to process equipment is required. In particular, non-contact optical measurements can be made: under all conditions of chamber pressure from vacuum to high pressure, in he presence of corrosive or reactive gases, in the presence of intense electromagnetic discharge, such as an ignited plasma, over all ranges of wafer temperature. At the same time, the presence of an optical probe beam in the chamber does not alter the process parameters under almost all conditions. We are developing non-invasive probes and real-time control methods for both temperature and gas-phase flux in Si integrated circuit processing. We have demonstrated a temperature control system with record accuracy and precision in the temperature range from 77K to 800K. We are working on a method to extend this range to 1400K to include conventional and rapid thermal oxidation of silicon. Optical sensing and control of process gas flux present challenges in several areas. We will discuss our results using optical absorption and fluorescence, and compare these results to those obtained by our colleagues using other methods.

11:30 AM *II4.6 
IN-SITU ULTRASONIC MEASUREMENT OF WAFER TEMPERATURE AND FILM THICKNESS. B.T.Khuri-Yakub, F.L.Degertekin, J.Pei, F. Stanke*, E.L.Ginzton Laboratory, Stanford University, Stanford, CA; * Sensys Instruments Inc., Sunnyvale, CA.

Integrated circuit manufacturing in the 21st century requires novel sensors and actuators. The manufacture of devices with line width and spacing of 0.2 um demand real time control of the wafer state. Thus, the need for in-situ sensors and actuators. We present a new type of temperature and film thickness sensor for in-situ monitoring in most processing environments. The sensor consists of two sharp tipped quartz pins that bring in sound onto the wafer. Piezoelectric transducers at one end of the pin are used to generate and detect extensional modes in the quartz pins. The tip of the sharpened pins are brought into contact with the wafer by either supporting the waver in rapid thermal processing environments or via spring laoding when the wafer is held to a support chuck. The contact to the wafer allows the coupling into the waver of Lamb waves which are the vehicle used to determine the temperature of the wafer and the thickness of the thin films deposited on the wafer. We present results of theoretical calculations of the temperature and film thickness sensitivities in anisotropic silicon in the presence of roughness and multiple thin films. Experimental implementation of the measurement system in a number of different processing environments will be presented. Our results confirm the ability to measure temperature to +/-1C in a very wide temperature range, and the ability to measure the thickness of aluminum films to +/100A. As a by-product of the temperature measurement, we show results of the measurement of crystallization of amorphous films in a rapid thermal annealing chamber.

SESSION II5: THIN FILM PROCESSES 
Chair: Peter R. Solomon 
Wednesday Afternoon, December 3, 1997 
Cape Cod/Hyannis (M)

1:30 PM *II5.1 
STATICS AND DYNAMICS OF THIN FILM FERROELECTRIC DOMAINS VIA IN-SITU SWITCHING IN TEM. Vinayak P. Dravid, Department of Materials Science & Engineering, Northwestern University, Evanston, IL.

The statics and dynamics of domains form the heart of numerous phenomena in ferroelectric (FE) materials. In fact the polarization (P) versus field (E) loop hinges on the nucleation, motion and alignment of the FE domains. These phenomena become more complicated in thin film ferroelectrics which experience both dimensional and spatial constraints, and by interactions with thin film defect structure. Thus, both the static configuration of FE domains and their dynamics are rather different from their bulk counterpart.We have been investigating the complex interplay among thin film microstructure, role of interfacial space-charge, static FE domain configurations and the dynamics of FE domain switching via in-situ TEM studies. External microelectrodes were patterned on thin film PZT with variety of bottom electrodes and their configurations. A TEM-based piezo-micromanipulator has been constructed which serves as a mobile second electrode to impose pre-determined field strength across the FE film with variable location selectivity (of better than 50 nm). Significant differences were observed for both statics and dynamics of FE domains - both 90 degree and 180 degree domains behave very different from their bulk form. Several aspects of the domain motion have been recorded, in real-time and analyzed subsequently with the aid of a computer interface. The data provide a unique real-space picture of FE domain dynamics, their interactions with thin film microstructure and the influence of electrode-film space-charge on domain nucleation and growth.

2:00 PM II5.2 
CHARACTERIZATION OF THE INTERFACES BY REMOTE PLASMA-ASSISTED AND RAPID THERMAL OXIDATION PROCESSES. K. Koh and G. Lucovsky, Department of Physics, and Materials Science and Engineering, NC State University, Raleigh, NC.

The incorporation of nitrogen at monolayer concentration levels at Si-SiO2 interface has been demonstrated to improve device reliability, e.g., increased resistance to hot electron damage in short channel NMOS FETs. Interfacial nitrogen corporation has been accomplished in different ways including i) furnace oxidation in N2O, ii) rapid thermal oxidation, RTO, in N2O or NO, and iii) plasma-assisted oxidation in N2O. This paper discusses the plasma-assisted approach for interface nitridation where plasma-assisted oxidation is one of three steps used. These steps are: i) a 300C plasma-assisted oxidation to form a nitrided interface, and 0.6 nm of SiO2, ii) a 300C plasma-assisted deposition to form a reminder of the gate dielectric layer, and iii) a 30 second, 900C rapid thermal anneal, RTA, or equivalent thermal exposure, to provide chemical and structural relaxation of the bulk dielectric and nitrided interface. This paper focuses on the characterization of the interfaces formed by remote plasma-assisted oxidation/nitridation and rapid thermal oxidation in N20 or O2 with in-situ Auger electron spectroscopy (AES) and Secondary ion mass spectrometry (SIMS). The Si LVV peak of AES spectra showed the atomic suboxide layer at the interface and N incorporation effects combined with suboxide layer for the nitrided interface. The peak intensity of suboxide was decreased by rapid thermal anneal at 900C. Metal oxide semiconductor (MOS) capacitors were fabricated to compare the electrical properties of interface formed by combined remote plasma-assisted and rapid thermal processes. The breakdown voltage ware >10MV/cm and the density of interface trap states were 5xl010#/cm2/eV.

2:15 PM II5.3 
SPECTRAL ELLIPSOMETRY OF ULTRA-THIN DIELECTRIC FILMS ON SILICON. W.M. Duncan and R.M. Wallace Components and Materials Research Center, Texas Instruments Incorporated, Dallas, TX.

We have investigated the properties of silicon surfaces and ultra-thin dielectric films on silicon as measured by spectral ellipsometry, scanning tunneling microscopy and photoelectron spectroscopy. Current scaling trends for microelectronic structures indicate that gate oxide thicknesses of approximately 3.5 nanometers will be required for CMOS circuits within about 10 years. On this scale, surface roughness, dielectric function properties and interfacial layers are first order variables that not only limit the accuracy of ellipsometric measurements but also limit the electrical properties of the dielectric films. Hence, we have undertaken this study to determine the dielectric properties of atomically clean and smooth Si surfaces as well as ultra-thin dielectric films (i.e., SiO2) on Si with coverages from submonolayer to tens of atomic layers. Si surfaces studied were prepared chemically and thermally under ultra-high vacuum (UHV) conditions and compared to hydrogen terminated Si as a reference surface. Dielectric layers were formed and studied under UHV conditions by deposition from molecular sources and direct reaction. Si surfaces and ultrathin dielectric layers were characterized in vacuo without atmospheric exposure in this system exhibiting base pressures of less than 10-10 torr. Simulations of ellipsometric properties of ultra-thin films and surfaces show extreme sensitivities to angle-of-incidence and plane-of-incidence. Hence, we have implemented procedures for accurately setting the azimuthal angles of the ellipsometer relative to the sample surface as well as utilizing a counter propagating laser coaxial to the ellipsometer axis for controlling the angle-of incidence to +/-0.02.

3:00 PM II5.4 
X-RAY ABSORPTION SPECTROSCOPY AS AN IN SITU TOOL IN MATERIALS SCIENCE. T. Ressler and Joe Wong, Lawrence Livermore National Laboratory, University of California, Livermore, CA.

X-ray absorption spectroscopy (XAS) has been proven to be a versatile method for structural investigations in materials science. Owing to its element specificity, information on the local coordination geometry and the electronic structure can be obtained selectively for each constituent element in a variety of systems such as amorphous materials, liquids, catalysts etc. Moreover, the advent of realistic theoretical calculation codes makes XAS studies less dependent on reference compounds and even more applicable and reliable. Besides ex situ structural studies, XAS is also a powerful tool for in situ investigations. The latter benefit from the relatively simple instrumental set-up. Laboratory furnaces, cryostats, or catalytic cells can be employed with only minor modifications and, additionally, in situ XAS can be readily combined with other methods such as XRD, TGA/TDA, IR, etc. This paper focuses on Quick-scanning XAS (QEXAFS) and energy-dispersive XAS (DXAS) as in situ methods to monitor various processes in materials chemistry. Due to differences in experimental set-up, both methods possess a number of specific advantages and disadvantages that make them complementary and that will be discussed in detail. DXAS and QEXAFS offer time resolution in the microsecond and second range, respectively, which is appropriate to investigate most temperature or diffusion controlled reactions. In this paper two recent applications of QEXAFS and DXAS for in situ time-resolved experiments will be presented.

3:15 PM II5.5 
POLYCAPILLARY OPTICS FOR IN-SITU PROCESS DIAGNOSTICS. F.A. Hoffman, S.M. Owens, W.M. Gibson, C.A. MacDonald, S.M. Lee, University at Albany, Center for X-ray Optics Albany, NY.

Current in-situ x-ray source intensities limit real time measurement of thin films. Polycapillary x-ray optics overcome these limitations and open new possibilities in in-situ measurements of critical structural and compositional factors. Polycapillary optics, shaped arrays consisting of hundreds of thousands of hollow glass capillary tubes, can be used to redirect, collimate, or focus x-ray beams from conventional laboratory-based sources. Focused spot sizes are as small as 20m, with flux densities two orders of magnitude larger than that produced by pinhole collimation. With such increased flux, real time in-situ x-ray fluorescence (XRF) and diffraction become possible. Compositional changes could be monitored during the growth of thin films such as graded layer materials. Another application of polycapillary optics will be real time lattice constant measurement of individual layers during the growth of superlattice thin films. Presently the weak diffraction signals from the thin layers require measurement times longer than the deposition time of the layer. With 0.5 and 2 focusing polycapillary x-ray optics and a 30 W sealed tube source, diffraction intensity gains of two orders of magnitude were measured allowing 20 second exposure times on 30 m lysozome protein crystals, materials that traditionally require rotating anode intensities and 20 to 30 minute exposure times. Streaking of diffraction spots were observed with the stronger focusing lens, however there was little spot overlap. The size, intensity and location of the streaks have been explained and software is under development to permit quantitative analysis with highly convergent beams. In addition, the optics greatly reduce the background high energy Bremsstrahlung, which permits more accurate measurement of the superlattice peaks. Rapidly improved in-situ process monitoring technology is currently being developed using polycapillary optics.

3:30 PM II5.6 
IN-SITU CHARACTERIZATION OF THE LASER DEPOSITION OF Fe/Ag MULTILAYERS BY A COMBINATION OF TIME-OF-FLIGHT, RHEED AND RESISTANCE MEASUREMENTS. S. Fähler, M. Weisheit and H.U. Krebs, Institut für Metallphysik, Universität Goettingen, Goettingen, GERMANY.

Fe/Ag multilayers, prepared by pulsed laser deposition (PLD) using KrF radiation, were characterized in-situ by a combination of RHEED and resistance measurements during deposition. These investigations clearly indicate an asymmetry of the structural behaviors at the interfaces and mixing effects on a nm scale, especially as soon as Fe is deposited on top of Ag. Time-of-flight (TOF) measurements performed with a Faraday cup show that during PLD in ultrahigh vacuum ions are deposited with kinetic energies of more than 100 eV. The results are modeled and discussed with respect to implantation and mixing effects due to the high kinetic energy of the deposited particles during PLD.

3:45 PM II5.7 
EFFECT OF CURRENT DISTRIBUTION ON QUARTZ CRYSTAL MICROBALANCE MEASUREMENTS. James J. Kelly, Christopher J. Durning, Alan C. West, Columbia University, Dept of Chemical Engineering, Materials Science, and Mining Engineering, New York, NY.

Spatially nonuniform electrodeposition can cause discrepancies between predicted and experimentally measured film thicknesses on an electrochemical quartz crystal microbalance (EQCM) because the sensitivity of the quartz crystal varies significantly from point to point. These discrepancies can be significant even if the current distribution i.e., mass deposition rate distribution) is nearly uniform. These effects were examined experimentally by varying the conductivity of the electrolyte and the current density during the electrodeposition of copper on an EQCM, effecting changes in the spatial, deposited-mass distribution in a controlled manner. The resulting frequency shifts are in agreement with results predicted by current distribution simulations, validated a posteriori with profilometry measurements. Our results permit determination of the spatial variation of the quartz crystal sensitivity factor or of the current distribution on the EQCM.

SESSION II6: IN-ROOM POSTER SESSION 
THIN FILM PROCESSES 
Chair: Darrell G. Schlom 
Wednesday Afternoon, December 3, 1997 
4:00 P.M. 
Yarmouth (M)

II6.1 
CHARACTERIZATION OF FUNCTIONAL ORGANIC THIN FILMS BY ENERGY DISPERSIVE GRAZING INCIDENCE X-RAY DIFFRACTION. Yuji Yoshida, Nobutaka Tanigaki, and Kiyoshi Yase, National Institute of Materials and Chemical Research, Ibaraki, JAPAN.

In order to construct novel electrical and optical devices, it is essential to establish the method to control the molecular orientations and crystal structures in organic thin films. We are investigating the well-defined highly crystalline thin films by using an organic molecular beam deposition (OMBD) technique since it is important to control the formation mechanism at the initial process. Then, in situ characterization should be necessary to confirm the formation mechanism of organic thin films. We developed a new technique of energy dispersive grazing incidence X ray diffraction (EGID) combined with ultrahigh vacuum system 1) This technique makes it possible to examine the cohesive structure of organic molecules in ultrathin films during deposition without any damages. Fullerene(C60), metal phthalocyanines(Pb-Pc), and oligophenylene (6P) are novel functional organic dyes. Ultrathin films were prepared on various substrates of SiO2, alkali halides, and oriented polymers by OMBD. The molecular orientations, crystal structures, and morphology were evaluated by EGID. Further, these in situ measurements were performed during the deposition in order to confirm the epitaxial growth mechanism of various organic thin films and the dependence on the substrate temperature, deposition rate, and kinds of substrates1-3). In this study, we summarized the various application for nondestructive characterization of organic thin films by using in situ EGID and confirmed the growth mechanism in thin films of typical organic dyes.

II6.2 
X-RAY IN SITU MONITORING SYSTEM FOR THIN FILM PARAMETERS. Alexander Baranov, Research Institute of Vacuum Technique, Moscow, RUSSIA; Pavel Kondrashov, Igor Smirnov, Moscow State Institute of Electronics and Mathematics, Moscow, RUSSIA.

The X-ray optical system of in-situ monitoring of reflectivity in the short range 0.5-2.2 A is proposed. X-ray monitoring is based on periodical alternations of Fresnel`s reflectivity of ``film-on-substrate'' system following by film thickness increase or decrease. The system consists of X-ray tube, monochromator block, collimating system and X-ray detector. The X-ray tube and X-ray detector are situated outside of vacuum chamber. This method have some considerable advantages in comparison with ellipsometry, optical interference and measurements in the soft X-ray range. It is direct method of measuring the layer thickness, growth or etching rates, a simple method of measuring roughness and measuring layer thickness beginning with several angstrems. As compared to other methods, the system is a versatile one. It is not sensitive to vacuum technology parameters (deposition method, pressure, working gas composition, plasma parameters, etc.) and the type of substrate and deposited material (metals, semiconductors, dielectrics). At the same time the system has no effect on the manufacturing process. Monitoring parameters are layer thickness and growth rate, layer density, roughness of the layer surface, roughness of the substrate surface. Measurement Range: thickness - 10-2000 A; growth rate <20 A/sec; rms roughness 0-25 A; density -arbitrary. The objects of in-situ investigations were metal (Cu, Ti, Ta and other) films obtained by magnetron sputtering, diamond-like carbon and amorphous silicon films deposited by rf-CVD. The obtained results are presented. It is shown that X-ray monitoring system permits to measure the layers thickness with precision up to 1 A.

II6.3 
IN SITU OPTICAL DIAGNOSTICS OF SURFACE PLASMONS OF THIN FILMS WITH A DOUBLE PRISM TECHNIQUE. Kensuke Murai, Yoshiyuki Sato, Shigeharu Tamura, Osaka National Research Institute, AIST, Dept. of Material Physics, Osaka, JAPAN.

The attenuated total reflection (ATR) method have been used for the Fourier Transform Infrared (FT-IR) and surface enhanced Raman scattering (SERS) measurements, because it is sensitive to the process near the material surface. Optical diagnostics methods including this method have some advantages compared to other methods using x-ray, electron, ion beams. For example, it can be useful to a process in gas or liquids. Surface plasmons (SPs) changes their dispersion properties with the material kinds of conductive thin films. Also they are very sensitive to their electric properties related to the roughness and composition of films. Here we will report an in situ optical diagnostics of thin films diagnosing surface plasmons excited by the ATR method. The double pnsm technique which we use a pair of pnsms, can be easily installed normal spectrophotometers. Therefore this technique can be also useful for diagnose fabrications of thin films and electrochemical process at their surfaces.

II6.4 
MONITORING OF SIDEWALL SPACER ETCH BY OPTICAL EMISSION SPECTROSCOPY AND MASS SPECTROSCOPY. Kyungjin Min*, Jim Chambers**, Greg Parsons**, John Hauser*, *NC State Univ, Dept of Electrical and Computer Engineering, Raleigh, NC; **NC State Univ, Dept of Chemical Engineering, Raleigh, NC.

SiO2 sidewall spacer etching in a high density CF4+D2 plasma generated by ECR (Elctron Cyclotron Resonance) has been monitored using optical emission spectroscopy and mass spectroscopy. The correlation between the sectrum intensities of particular species and the selectivity of SiO2 over Si to diverse etching parameters has been studied for the possible use of selectivity monitoring. Mass 23, 35, 69 and 85 showed some interesting behavior under different selectivity and different etching conditions, such as, changes in residence times, microwave power, CF4 flow rates and RF bias to the wafer stage. The selectivity was higher at shorter residence time, higher microwave power, lower CF4 flows and lower RF bias to the wafer. Comparisons of the intensities of OES and mass spectroscopy spectrum during SiO2 and Si etching showed that mass spectroscopy had advantages over OES for end point detection, thus mass spectroscopy was used to trace CO and SiF4 for end point detection. SEM cross section analysis showed good SiO2 sidewall spacer formation. Extended study in end point detection and process intergration are under investigation.

II6.5 
REAL TIME OBSERVATION OF MATERIALS DEFORMATION PROCESSES BY SYNCHROTRON WHITE BEAM X-RAY TOPOGRAPHY. Z.B. Zhao(a), J. Hershberger(a), Z.U. Rek(b), J.C. Bilello(a); (a) Department of Materials Science& Engineering, University of Michigan, Ann Arbor, MI, (b)Stanford Synchrotron Radiation Laboratory, Stanford University, CA.

In this study, we have reported an experimental apparatus which consists of a fully automated and remotely controlled mini-tensile device and a state of the art high resolution X-ray imaging detector with associated video system. The device is developed for real time in situ transmission X-ray topography using synchrotron white beam, and has been used to investigate the deformation processes of materials and to examine the effect of high strength and toughness coatings. Mini-tensile specimens of nearly perfect single crystals and bicrystals (with low angle grain boundaries) of refractory metals W and Mo are delicately prepared by electropolishing strips of crystals to 100 m thick and then gluing them onto metal fixtures. The deformation processes of these crystals have been studied by monitoring a selected transmission Laue spot while the specimen is under the mechanical cycling (loading and unloading). The images have been both captured by Frame Grabber instantaneously and recorded via a VCR. The crystals of W show reversible striations, presumably indicating the occurrence of anelasticity. Stress relaxations have been observed, which are well correlated to microstructural variations shown by X-ray topography. Furthermore, the stability of low angle grain boundaries in the bicrystals has been examined.

II6.6 
PARTICLE AND UV-IMAGING WITH POSITION SENSITIVE MCP-DETECTORS - THREE-DIMENSIONAL ELECTRON- AND ION-ANALYSERS. V. Mergel, O. Jagutzki, R. Dörner, J. Ullrich, L. Spielberger, K. Ullmann-Pfleger and H. Schmidt-Böcking, Institute für Kernphysik, Johann Wolfang Goethe-Universität, Frankfurt, GERMANY.

We developed position-sensitive multi-channel-plate (MCP) detector systems for various applications in atomic physics experiments. A standardized MCP-holder can be combined with different anode types, e.g one-dimensional resistive anodes, wedge-and-strip anodes (two-dimensional) or one- and two-dimensional delay-line anodes. The readout of MCPs with such ``single event¹¹ anode types in comparison with CCD chips or phosphor screens has the advantage to combine both good position resolution ( <100m) with excellent time resolution (<500 pscc).1 Especially for the coincident detection of particles in scattering experiments such ``digital¹¹ systems are of great benefit. With the delay-line technique it is even possible to detect multi-hit events yielding the position resolution for each particle hit (e.g. for imaging the break-up of atoms, molecules or clusters). Another application is the readout of spectrometers where the time consuming scanning method with fixed aperture can be replaced by a simultaneous and continuous data collection with a position-sensitive detector mounted in the spectrometer's focal plane. We also developed front-end electronics optimized for the use with these detectors and are designing complete acquisition systems that fully exploit the detector performance at reasonable costs. These detectors were also be used for three-dimensional electron and ion-analysers which obtain detection solid angles up to 27 with good angular and energy resolution. These analysers use a combination of electric and magnetic fields to extract all electrons or ions from a target onto such an imaging position- and time sensitive detector (note that this technique detects down to zero energy). With the position and timing information the trajectory of the particle can be detetmined uniquely. This methodod was used very succesfully as Cold Target Recoil Ion Momentum Spectroscopy (COLTRIMS) in various gas target experiments for atomic physics so far, but it can easily extended to solid state physics.

II6.7 
IN SITU AND EX SITU ELLIPSOMETRIC CHARACTERIZATION OF ATOMIC OXYGEN AND VUV LIGHT EFFECTS ON SPACECRAFT MATERIALS*. Corey L. Bungay, Thomas E. Tiwald, and John A. Woollam, Center for Microelectronic and Optical Materials Research, and Dept. of Electrical Engineering, Univ. of Nebraska-Lincoln, Lincoln, NE.

Atomic oxygen (AO) and vacuum ultraviolet (VUV) light both contribute (including synergistically) to degradation of spacecraft materials in low earth orbit (LEO). NASA is therefore interested in studing the effects on all materials used, as well as develop materials that are AO and VUV resistant. The present work involves in situ ellipsometric studies of various polymers, either currently used or proposed for space applications, during exposure to AO and VUV in a ground based LEO environmental simulation chamber. An oxygen plasma, generated with an electron cyclotron resonance (ECR) source produces both AO and VUV and allows us to study the synergistic effects. The effects of VUV alone are studied using a deuterium lamp as the VUV source. The polymers are further studied in-line with ex situ ellipsometers over a large spectral range from 190 nanometers to 14 microns. This provides insight into surface and chemical changes. The polymers studied included: Kapton polyimide, Teflon fluorocarbon, AO resistant silicone (CV-1144-O), PAE-COR and PAE-TOR (AO resistant polymers that are proposed to replace Teflon and Kapton respectively).

II6.8 
GAS-PHASE IMAGING AND SPECTROSCOPIC DIAGNOSTICS FOR CONTROL OF PHOTOLUMINESCENT NANOPARTICLE SYNTHESIS BY LASER ABLATION. D. B. Geohegan, A. A. Puretzky, G. Duscher and S. J. Pennycook, Solid State Division, Oak Ridge National Laboratory, Oak Ridge, TN.

Laser ablation of solid targets into moderate pressure background gases is now an established method to deposit thin films of nanoparticles which exhibit novel visible luminescence. While clusters are known to form during the thermalization of an ablation plume in a background gas, the spatial and temporal dynamics of nanoparticle growth by this method have remained virtually unexplored. Recent diagnostic experiments will be presented which reveal the gas dynamics leading to photoluminescent silicon-rich silicon oxide (SRSO) nanoparticles by laser ablation of c-Si into inert (1-10 Torr) background gases. With a sheet beam of time-delayed excimer-laser excitation and gated-ICCD array photography, silicon nanoparticles formed in the gas-phase were directly imaged by Rayleigh-scattering or alternatively by long-lived ( microsecond) photoluminescence. The particles incorporated oxygen by mixing with the background gas. In situ imaging permitted optimization of the gas flow conditions for nanoparticle formation and maximized photoluminescence intensity, and for direct monitoring of the transport and deposition processes. Moreover, gated optical emission spectroscopy permitted photoluminescence spectra of the SRSO nanoparticles to be measured while isolated in the gas phase enroute to deposition. Compared to the photoluminescence spectra of the deposited nanoparticle films, the gas-phase spectra were highly structured and appeared to exhibit pronounced phonon structure. Particles were unambiguously formed in the gas phase and collected onto TEM grids for ex situ analysis by Z-contrast TEM and HREELS. The gas-phase diagnostic techniques reported here permit in situ optimization of processing conditions for nanoparticle formation and photoluminescence characteristics, prior to deposition.

II6.9 
IN-SITU NEUTRON DIFFRACTION MEASUREMENTS OF ELECTRIC FIELD-INDUCED STRAIN IN MODIFIED LEAD MAGNESIUM NIOBATE RELAXOR FERROELECTRICS. S.T. Misture, S.M. Pilgrim, NYS College of Ceramics at Alfred University, Alfred, NY; J.C. Hicks, C.T. Blue, Materials, Sensors, and Systems Branch, NCCOSC/RDT&E Division, San Diego, CA; E.A. Payzant, C.R. Hubbard, HTML, Oak Ridge National Laboratory, Oak Ridge, TN.

This paper presents the first bulk crystallographic strain data for (PMN-PT-BT) as a function of applied dc electric field. The low absorption of thermal neutrons in the material allows true bulk crystallographic measurement of strain as a function of applied electric field and direction in the ceramic body. The strain response of several diffraction peaks, the (400), (220), (311), and (222), were measured in directions parallel to and normal to the applied field. These data, after fitting to constituitive models, yield the electrostrictive coefficients , , and . Comparisons of the neutron data to strain gauge data leads to recommendations for the ideal microstructure which will maximize the field-induced strain in a polycrystalline material.

II6.10 
IN-SITU CHARACTERIZATION OF PULSED LASER DEPOSITED THIN FILMS. D.T. Venizelos, S. Sengupta, and R.C. Sausa, U.S. Army Research Laboratory, AMSRL-WM-PC, Aberdeen Proving Ground, MD.

Laser-induced fluorescence (LIF) and high speed photography are used for in situ characterization of Barium Strontium Titanium Oxide/Magnesium Oxide composites in order to better understand the pulsed laser deposition (PLD) process and establish a plume chemistry database, which will facilitate the deposition of these thin films with greater reproducibility. A pulsed laser operating at 248 or 266 nm is used to ablate the target of interest and the ejected material is deposited on a heated substrate. The surface ablation, nucleation and growth mechanisms are studied by varying the (1) laser wavelength, (2) pulse energy, (3) ablation spot size,(4) repetition rate, and (5) chamber pressure. A second laser, which is time-delayed from the ablation laser, is then used to probe the important chemical intermediates in the plume by LIF. Species studied include Ba, Sr, Ti, and their oxides. The plasma expansion will also be characterized by colleting the emission at different delay times after the ablation using an intensified CCD camera. Ex situ thin film characterization is performed by profilometry, Atomic Force Microscopy, and X-ray diffraction.

II6.11 
EPITAXIAL GROWTH OF Ba1-XSrXTiO3 WITH SrRuO3 LAYER ON (001) SrTIO3 BY PULSED LASER ABLATION. C. L. Chen, H. H. Feng, B.S. Cao, Z.J. Huang, Q.D. Jiang, A. Brazdeikis, A. Benneker, P. Jing, C.W. Chu, and W.K. Chu.

Ferroelectric Ba1-XSrXTiO3 thin films have been epitaxially grown on c-oriented SrRuO3 films on(001) SrTIO3 by pulsed laser ablation. The SrRuO3 thin films are a c-axis oriented with an in-plane relationship of [110]SrRuO3 // [100]SrTiO3 on the (001) SrTiO3. It is interesting to note that the Ba1-XSrXTiO3 thin films with single crystalline quality also has c-axis oriented normal to the substrate surfaces. Its in-plane relationship has been found to be [110]SrRuO3 // [100]Ba1-xSrxTiO3 by x-ray diffraction, pole-figure study, and cross-section TEM. The RBS studies indicates that the epitaxial films has good single crystalline quality, which can be compared with single crystal silicon. Data will also be presented regarding of its electric properties.

SESSION II7: IN SITU DIAGNOSTICS OF OXIDE FILM PROCESSES 
Chair: Malcolm R. Beasley 
Thursday Morning, December 4, 1997 
Cape Cod/Hyannis (M)

8:30 AM *II7.1 
DIODE-LASER-BASED ATOMIC ABSORPTION MONITORS FOR PHYSICAL VAPOR DEPOSITION PROCESS CONTROL. Weizhi Wang, R. H. Hammond, M. M. Fejer, and M.R. Beasley, Edward L. Ginzton Laboratory, Stanford University, Stanford, CA.

Atomic absorption (AA) monitors using tunable diode-lasers-based system have been employed for physical vapor deposition process control and study of the deposition physics. Direct measurement of atomic flux in e-beam evaporated yttrium (668 nm) and barium (791 nm), which are compositions in YBCO superconducting thin films, have been demonstrated. Atomic number density and velocity were measured through absorption and Doppler shift measurements to provide the atomic flux. In order to measure directly the velocity component normal to the substrate surface, a scheme with two laser beams counterpropagating at an angle to the substrate surface was used. Comparison between the AA-based monitors and the quartz crystal monitors shows agreement between the two methods. Pressure effects due to change of the background gases pressure during the deposition were investigated in both barium and yttrium. In yttrium, which has a significantly populated metastable level, the measurements show a pressure-dependent result. Simultaneous measurements of both the ground state and the metastable levels in yttrium with two lasers is under investigation. In barium which has no low-lying metastable levels, the measurements indicate a pressure-independent result. The measured velocities of the e-beam evaporated atoms at different deposition rates show deviations from the estimation of the thermal equilibrium atoms, which can be explained by the free expansion model, implying that the flux measurement can provide more precise information than a simple density measurement in the deposition process. In addition to the atomic flux measurement, sticking and re-evaporation characteristics of barium was also investigated, showing the feasibility of in-situ measurement of the sticking coefficient using the AA monitors. Efforts have also been made to extend the accessible wavelengths of diode lasers to the UV region by using nonlinear optical frequency doubling techniques. An AA monitor for aluminum at 394 nm has been demonstrated for both e-beam evaporation and sputtering process. This work is supported by ARPA through Focus Research, Inc., and Conductus, Inc.

9:00 AM *II7.2 
ATOMIC ABSORPTION FOR RATE CONTROL OF METAL DEPOSITION DURING REACTIVE EVAPORATION OF YBCO FILMS. Vladimir Matijasevic, Zihong Lu and Todd Kaplan, Conductus, Inc., Sunnyvale, CA.

We have examined various sensor implementations for rate monitoring of Y, Ba, and Cu during deposition of YBCO and other oxides by reactive evaporation. This evaporation process is being developed for high-throughput manufacturing of superconducting films for microwave applications. Quartz-crystal microbalance and mass spectrometry are compared to atomic-absorption spectroscopy for real-time vapor sensing and rate control. Atomic absorption measurements are implemented with either hollow-cathode lamps or external-cavity tunable diode lasers. Sensor choice depends on the particular application and its requirements. In our case, a background chamber pressure of oxygen is present. We believe that present sensor technology is inadequate for achieving the desired 1% rate control accuracy in our application. Therefore, in collaboration with other partners, we set out to monitor deposition rates through atomic spectroscopy of the evaporant beams to obtain density as well as velocity information. We discuss a number of complications including metastable levels and velocity distributions. Data will be presented on YBCO films as a prototype system where careful control of metal stoichiometry is required for optimization of high-frequency performance.

9:30 AM *II7.3 
MBE GROWTH OF OXIDES USING REAL-TIME AA AND RHEED CONTROL. Darrell G. Schlom, Penn State University, Dept of Materials Science and Engineering, University Park, PA.

Atomic absorption spectroscopy (AA) and reflection high-energy electron diffraction (RHEED) have been used for real-time closed-loop control of the growth of oxides by molecular beam epitaxy (MBE). The depositing fluxes of all the elemental sources (up to eight different elements simultaneously) are monitored during growth by AA. The AA signals are calibrated prior to growth using a retractable quartz crystal microbalance (QCM) to provide an absolute flux measurement at the position of the wafer. The measured AA signal is fed into the MBE computer control system, which integrates the AA fluxes and closes the appropriate shutters after the desired dose has been delivered to the substrate. The importance of monitoring the actual flux incident upon the substrate, during growth in a high oxidant pressure, will be illustrated. In addition to AA, intensity oscillations at selected places in the RHEED pattern are also used by the MBE computer control system for closed-loop control, i.e., phase-locked epitaxy. These monitors are sometimes used at the same time to control different shutters and allow for an extremely flexible growth environment. Purified ozone is used to provide sufficient oxidation, while maintaining the long mean free path necessary for MBE. Examples involving the growth of the oxide ferroelectrics PbTiO3, Bi4Ti3O12, and ferroelectric superlattices, and the oxide superconductor YBa2Cu3O7- by MBE with AA and/or RHEED control will be described.

10:30 AM *II7.4 
TUNABLE LASER-BASED ATOMIC ABSORPTION SPECTROSCOPY FOR HIGH RATE ELECTRON BEAM EVAPORATION. Jonathan Storer, C.E. Shelton, 3M Company, Mendota Heights, MN.

3M has been partnering in the development of a tunable laser-based atomic absorption spectrometer for use in high rate electron beam manufacturing processes. Excellent results have been obtained using laser-based atomic absorption to sense titanium vapor density during the manufacture of titanium metal matrix composites. A few features of these developments will be presented along with some suggestions for further efforts.

11:00 AM II7.5 
GROWTH OF La2-xSrxCuO4 AND La2CuO THIN FILMS BY REACTIVE COEVAPORATION. H. Sato, H. Yamamoto, M. Naito, NTT Basic Research Labs, Atsugi-shi, JAPAN.

Reactive coevaporation is utilized to grow thin films of La2-xSrxCuO4 (LSCO) and La2CuO (LCO). The key requirements for the growth are (1) precise control of the stoichiometry of the evaporation beam fluxes, (2) effective oxidation, and (3) an appropriate substrate material. In this study, we used an evaporator with feedback control of the electron guns and a reaction gas containing ozone, and tried various substrate materials, including insulating 214-type oxides such as LaSrAlO4 (LSAO). We obtained LSCO thin films on (001) LSAO substrates with Tc (R=0) = 44 K, which is higher than that for bulk samples. A structural analysis indicated that the increase in Tc is due to strain generated by the lattice mismatch; compressive strain is produced in the LSCO films due to a small and negative lattice mismatch between LSCO, whose in-plane lattice parameter is 3.777 and (001) LSAO substrates, whose is 3.756 . A similar strain effect was observed in superconducting LCO thin films with excess oxygen ( > 0) obtained by cooling in ozone, for which Tc (R=0) reached 50 K on (001) LSAO substrates. On (001) LSAO substrates, we also succeeded in obtaining high-quality LSCO ultrathin films without any buffer or cap layers. LSCO ultrathin films including 0.5-unit-cells-thick (0.5-UCT) films are well crystallized and have an electronic structure with finite DOS(EF). We observed superconductivity in the films with thicknesses of 2 unit cells or more. The 4-UCT films exhibited almost bulk-like transport properties and Tc (R=0) of about 38 K. Based on these results, we conclude that reactive coevaporation is effective for the growth of high-quality LSCO and LCO thin films and that the film properties are very sensitive to the lattice mismatch with the substrates.

11:15 AM II7.6 
DETECTION BY OPTICAL SCATTERING AND FEED-BACK CONTROL OF SURFACE ROUGHNESS DURING PLD OF YBCO. Naoki Kanda, Masashi Kawasaki, Hideomi Koinuma, Tokyo Institute of Technology, Ceramics Materials and Structures Laboratory, Yokohama, JAPAN.

Precipitate formation on high Tc superconducing YBa2Cu3O, (YBCO) thin films have been serious problem for the application into such devices as Josephson junctions. Even small offstoichiometry (1 %) causes the segregation of compounds which can thermodynamically coexist with YBCO. We obliquely impinged a p-polarized Ar ion laser beam on the growing film surface and in-situ monitored the intensity of the light scattered to a non-specular direction during standard pulsed laser deposition of c-axis oriented YBCO. Quantitative comparison of the signal with AFM images of the resulting films revealed that the Raleigh mode dominates light scattering to enable us to monitor the total volume of the precipitates. RHEED and micro-Auger observation revealed that the precipitates were BaCuO2 and CuO. By successively supplying the precursors with Y rich concentration, we could observe the reduction of scattered light intensity as well as smaller amount of precipitates on the resulting film. Thus, the surface roughness of YBCO can be controlled in situ by the optical diagnostic method.

11:30 AM II7.7 
IN SITU MONITORING DURING PLD OF COMPLEX OXIDES USING RHEED AT HIGH OXYGEN PRESSURE. Guus J.H.M. Rijnders, Gertjan Koster, Dave H.A. Blank, Horst Rogalla, Department of Applied Physics, University of Twente, Enschede, THE NETHERLANDS.

(In situ) growth monitoring of complex oxides using RHEED has been reported by several groups. In order to utilize RHEED during growth, films are deposited at low background oxygen pressures. Because of the low oxidation power at low pressures, low substrate temperatures have to be used. This hampers, in general, the film crystallinity. Furthermore, the background pressure in Pulsed Laser Deposition (PLD) is an important parameter, because it influences the shape and size of the plasma and, therefore, the deposition rate and homogeneity of the film. We have developed an RHEED-system, which can be used for growth monitoring during the deposition of complex oxides at standard PLD conditions. We are able to increase the deposition pressure up to 50 Pa using a two-stage differential pumping system. Clear RHEED patterns are observable at these high pressures. Therefore, monitoring and control of thin film growth on a atomic level is feasible, even in quite high background pressures.

11:45 AM II7.8
SPECTRAL-COMPONENT MONITORING OF THE PLUMES GENERATED DURING THE DEPOSITION OF RE(Y, Nd) Ba2Cu3O7-x FILMS BY PULSED LASER ABLATION. R. Biggers, C. Varanasi, I. Maartense, D. Dempsey, T. Peterson, and C.E. Oberly, Air Force Research Laboratory, Materials and Power Directorates, Wright Patterson AFB, OH.

YBa2Cu307x (Y123) and NdBa2Cu307x (Ndl23) thin films were deposited by Pulsed Laser-Deposition (PLD) using a 248 nm wavelength KrF excimer laser. The time-resolved spectral components of the plumes generated from Y123 and Ndl23 targets were compared at similar deposition conditions. In the case of Ndl23, the Cu and Ba species move faster as compared to that of Y123 at similar laser pulse energies and other deposition conditions (150 mTorr oxygen pressure, 760C substrate heater temperatures, etc.) High quality Y123 films (Tc9lK, Jc > 2X106 A/cm2) were grown reproducibly by standardizing deposition conditions with spectral-component monitoring input. The differences seen in the Y123 and Ndl23 time resolved components suggests that the deposition conditions need to be significantly modified to produce high quality Ndl23 films. Thick (> lm) Y123 and Ndl23 films were grown on LaAIO3 substrates. During the depositions, plume spectral components were monitored to compare target aging effects. Microstructure and superconducting properties of the Y123 and Ndl23 films will be presented.

SESSION II8/W11: JOINT SESSION: 
INTELLIGENT PROCESSING OF ELECTRONIC CERAMICS 
Chairs: Thomas F. Kuech and Peter A. Rosenthal 
Thursday Afternoon, December 4, 1997 
Salon C/D (M)

1:30 PM *II8.1/W11.1 
GAS PHASE REACTIONS OF TRIMETHYLGALLIUM, AMMONIA AND TRIMETHYLAMINE: GROWTH CHEMISTRY AND REACTOR MODELS. T. F. Kuech, Nicole Pauly, S.A. Safvi, A. Thon, and D. Saulys, University of Wisconsin, Department of Chemical Engineering, Madison, WI; Joan Redwing and M. Tischler, Epitronics, Phoenix, AZ.

The gas phase reactions between the trimethyl alkyls and ammonia are a defining feature of the MOVPE of GaN and related materials. We have carried out extensive homogeneous gas phase studies of the reaction between trimethylgallium and ammonia. The immediate release of methane and the resultant formation of a new compound at almost collision rates characterize the gas phase reaction. This gas phase reaction is modified through the co-introduction of trimethyl amine. These gas phase reactions are used in the development of a reactor model that allows the impact of this known chemistry on the detailed materials properties. The measured kinetic data and proposed reaction schemes will be presented as well as the use of these measured kinetic parameters in a chemical and transport model of a working MOVPE reactor. Through the comparison of model predictions and the measured growth rate data and uniformity, we both test the proposed reaction scheme as well as utilize this scheme in process optimization.

2:00 PM II8.2/W11.2 
IN SITU MONITORING THE EFFECTS OF GAS MIXTURES ON THE ION BEAM DEPOSITION OF DIAMOND-LIKE CARBON FILMS. Richard L.C. Wu, William C. Lanter and Aaron Dalton, K Systems Corporation, Beavercreek, OH; Peter B. Kosel and Roberto Monreal, Univ. of Cincinnati, Dept. of Electrical and Computer Engineering, Cincinnati, OH.

A quadruple mass spectrometer and a total ion current measuring device have been utilized to monitor the ion compositions of the gas mixtures of CH4/H2,CH4/Ar, CH4/H2/O2 and CH4/H2/N2 during the deposition process for quality control and process optimization. An ultra high vacuum system using a 20 cm diameter RF excited (13.56 MHz) ion gun and a four-axis substrate scanner has been developed for the deposition of diamond-like carbon films for electrical, optical, and tribological applications. At a constant RF power below 500 W for all the different mixtures the mass spectra showed the most abundant ion is CH3+. Addition of Ar, H2, O2 and N2 with CH4 into the ion source was found to affect the adhesions deposition rate, and physical and chemical properties of the DLC films. By use old mass spectrometer with and without the electron beam, the degree of ionization of CH4 can be calculated. As the concentration of Ar increased, the deposition rate of DLC increased. Whereas, as the hydrogen concentration increased, the deposition rate was found to decrease. With no oxygen in the CH4/H2(13.6/34), the mass spectra showed the important ionic species of CH3+, CH4+, CH2+, H2+ H+, and H3+. As the concentration of oxygen increased in the plasma at 179 W, the m/e =19 (H3O+) was found to increase and other hydrocarbon and hydrogen ions decreased resulting in a decrease in the film growth and began to etch the Si and glass substrates. The optical bandgap and electrical properties of these DLC films have been characterized. Thus, in-situ mass spectrometric monitoring of the ion distribution inside the Rf discharge plasma will ensure the quality of the DLC films. The correlation between the ionic distribution and the DLC film growth rate and their properties will be presented and discussed.

2:15 PM II8.3/W11.3 
IN SITU MONITORING AND ANALYSIS OF SiNx/SiC MULTILAYERS GROWN BY PULSED LASER DESPOSITION. E.C. Samano, Gerardo Soto*, Roberto Machorro, and Leonel Cota, Instituto de Fisica-UNAM, Laboratorio de Ensenada, Ensenada, MEXICO; *Also at Programa de Posgrado en Fisica de Materiales, CICESE, Ensenada, MEXICO.

Si3N4 and SiC are two materials with remarkable properties. The good strength, low thermal expansion and good dielectric properties of the nitride are well known, whereas the carbide is a semiconductor with a higher thermal conductivity, a better hardness and oxidation resistance. Hence, the challenge is to combine these complementary characteristics in a same material. Si3N4 and SiC are intrinsically difficult to sinter because of their basically covalent bonding and low diffusion coefficients. Multilayers on SiNx/SiC would be an alternative to fabricate this now material. The in situ monitoring is highly desirable to control the thickness, composition and properties of each layer during the deposition processing. SiNx/SiC multilayers are grown on single crystal silicon substrates by laser ablating Si3N4 and SiC targets in an UHV system using a KrF excimer laser. The growth of each layer is controlled by real time ellipsometry at a fixed wavelength, 496 nm, and incidence angle, 72. After depositing each layer, the optical properties and composition are obtained by performing in situ ellipsometric measurements in the 247 to 823 nm wavelength range. The best curve fitting of the experimental data is used to find the film composition. The ellipsometric data are complemented by in situ characterization using surface analytical techniques, XPS and AES.

3:00 PM II8.4/W11.4 
RHEED INVESTIGATIONS OF CARBON INTERACTION WITH SILICON FOR SILICON CARBIDE FORMATION. Jorg Pezoldt, Volker Cimalla, Thomas Stauden, Gerd Eichhorn, TU Ilmenau, Institut fur Festkorperelektronik, Ilmenau, GERMANY.

The buffer layer formation is a common technique for a successful heteroepitaxial growth. In the case of SiC growth on Si the carbonization of the substrate is the standard method to form the pseudosubstrate. This process was extensively studied for the interaction of different hydrocarbons with Si in CVD and gas source MBD (GSMBE) environments. Comparing this methods with solid source MBE (SSMBE) the latter one offers the possibility to reduce the SiC formation temperature because of the absence of hydrocarbon decomposition and to form structures which were not observed under CVD and GSMBE conditions. However up to now no systematic research for the SiC formation is published. We studied the dynamics of SiC formation under SSMBE conditions with in situ RHEED and ex situ AFM and AES in a temperature range from 600C to 1200C for different carbon fluxes. The temperature and flux dependence of the incubation time of the SiC formation was determined in order to investigate the kinetics of the initial growth. In dependence on the growth conditions we observed a change in the early interaction mechanism leading to layers with changing Si/C ratios. With increasing substrate temperature the roughness of the formed SiC layer increases caused by a changing silicon transport mechanism. The obtained results pointed out the role of a thin single crystalline diffusion barrier for a successful following epitaxial growth.

3:15 PM II8.5/W11.5 
IN SITU FTIR SPECTROSCOPIC DETECTION OF ADSORBED SPECIES ON SAPPHIRE SUBSTRATES IN A DIAMOND ECR-PACVD SYSTEM. F. Shahedipour and H.W. White, Department of Physics and Astronomy, University of Missouri-Columbia, Columbia, MO.

A novel in situ FTIR spectroscopic technique at high grazing angles was used to monitor the diamond film growth on a sapphire substrate. The main focus was on the determination of the chemical nature of the adsorbates. Deposition of diamond on transparent sapphire is confirmed by Raman and SEM characterization methods. In this study an ECR MW PACVD method was used for gas molecular dissociation in order to deposit carbon species from methane. The most abundant carbon containing gaseous species present in most activated systems are methyl radicals and acetylene molecules which are also considered to be predominant growth precursors for diamond growth. In this study it has been shown by real time monitoring of the adsorbed species on the substrate that the dominant growth precursor is the methyl radicals present in the plasma.

3:30 PM II8.6/W11.6 
IN SITU DETERMINATION OF SURFACE ROUGHNESS FROM OPTICAL PYROMETRIC STUDIES OF THE INITIAL GROWTH OF CVD DIAMOND FILMS. Z.L. Akkerman, Y. Song, Z. Yin, and F.W. Smith, Physics Department, City College of the City University of New York, New York, NY; R. Gat, ASTeX, Woburn, MA.

The initial growth of diamond films on Si and Mo substrates in a microwave plasma reactor has been studied using in situ two-color infrared pyrometry. Analysis of the observed temperature oscillations has yielded the substrate temperature, the film growth rate, and the rms surface roughness of of the growing film up to roughnesses 0.4 m. A procedure is described that allows the parameters of the growing film to be extracted in situ. Two distinct regimes of growth have been clearly identified from the dependence of on film thickness: an initial region of rapidly increasing before the diamond nuclei coalesce at a thickness of d0.3m, followed by a slower, quasi-linear increase of as the continuous film grows further. For the growth of diamond of higher optical quality the roughness increases faster, but the transition between the two regimes occurs at the same film thickness. Significant differences have been observed for diamond growth on Si and Mo which are due to the initial roughnesses and optical properties of the two substrates. The initial deposition of diamond on Mo is affected by the nucleation on a rough surface and by the non-isothermal conditions caused by the rapid increase of the emissivity, and therefore by radiational cooling of the surface, after the deposition starts. THe parameters of the growth process obtained from this in situ study are in agreement with ex situ measurements of the final thickness and roughness of the films as determined using IR UV-VIS spectroscopy, and AFM.

3:45 PM II8.7/W11.7 
DETERMINATION OF POSITIVE ION PROFILE IN Ar/CH4 PLASMA USING TOF MASS SPECTROSCOPY*. George Z. Yi, Physics Department, Columbia University, New York, NY; A. Krauss and D. Gruen, Materials Science and Chemistry Divisions, Argonne National Laboratory, Argonne, IL; P.I. Herman, Applied Physics Department, Columbia University, New York, NY.

Nanocrystalline diamond thin films have been grown from Ar/CH4 plasma-assisted chemical vapor deposition. Emission spectroscopy and absorption spectroscopy have been used to diagnose the concentration of neutrals in the plasma. To further understand the gas phase chemistry, we need information about ion concentration in the plasma. A time-of-flight mass spectroscopy (TOFMS) was designed and implemented at ANL to detect the ion species in Ar/CH4 plasma. The plasma was excited by rf or microwave discharge. Positive ion concentration has been estimated. In particular, we have examined the evolution of the profile of positive ions as a function of pressure (20 mTorr to 10 Torr), rf power, and CH4 concentration in gas mixtures. We found the relative concentration of positive ions is very sensitive to the pressure. For example, in a 3% CH4 in Ar plasma, C2+ /CH3+ ratio is almost zero at 20 mTorr, 1:25 at 130 mTorr, 1:16 at 200 mTorr, 1:1 at 1 Torr, when pressure rises to 10 Torr, the ratio is 1.5 to 1. Carbon dimers become the dominant carbon species. This supports the growth mechanism proposed for diamond thin film utilizing C2 as growth specie. Another interesting result is that as pressure rises, Ar+ intensity drops dramatically while ArH+ increases. At 200 mTorr, the ratio of ArH+/Ar+ is already 30:1. This strongly suggests Ar++ is involved in fast ion molecular process in cracking CH4, C2H6. A comparison with ion beam experimental results and electron impact process will be discussed.

4:00 PM II8.8/W11.8 
SPECTROSCOPIC DETERMINATION OF [] IN AR// AND AR// MICROWAVE PLASMAS FOR NANOCRYSTALLINE DIAMOND SYNTHESIS. A.N. Goyette, J.E. Lawler, L.W. Anderson, Department of Physics, University of Wisconsin, Madison, WI; D.M. Gruen, T.G. McCauley, D. Zhou, A.R. Krauss, Chemistry and Materials Science Divisions, Argonne National Laboratory, Argonne, IL.

In contrast with conventional methods of diamond chemical vapor deposition (CVD), nanocrystalline diamond films may be grown in environments where hydrogen comprises only a small percentage of the feed gas mixture. Methyl, which is thought to be critical to diamond formation in conventional hydrogen-rich CVD environments, is produced in very small quantities under these novel chemistries and alternative mechanisms for diamond growth must be considered. The carbon dimer, , is believed to be an important species in these growth chemistries. We have measured the concentration of gas phase and have systematically compared the optical emission intensity of the (0,0) vibrational band of with the absolute concentration in Ar// and Ar// microwave plasmas used in the deposition of nanocrystalline diamond films. High sensitivity white light absorption spectroscopy is used to monitor concentration using the (0,0) Swan band of as chamber pressure, microwave power, substrate temperature and feed gas mixtures are varied in both chemistries. We report the results of these experiments.

4:15 PM II8.9/W11.9 
MICROANALYSIS OF SOOT PARTICULATES USING STEM. David C. Bell*, Lenore C. Rainey** and John Vander-Sande**. *Center for Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA. **Department of Materials Science, Massachusetts Institute of Technology, Cambridge, MA.

Scanning transmission electron microscopy (STEM) coupled with energy dispersive x-ray analysis (EDX) and electron energy-loss spectroscopy (EELS) has been used to characterize the elemental composition and oxidation conditions of various soot samples. The STEM employed in this investigation was the Vacuum Generators HB603-MIT, with a microanalyical resolution approaching 1 nm, that allowed the analysis of individual soot particles and aggregates. The aim of this research is quantification of the EDX spectra which is possible after background and absorption corrections. This information can then be used for comparative studies of different fuels and combustion processes. EELS has been employed to determine the amount of graphitic carbon in a soot particulate, and the detection of trace elements of low atomic number. It has been shown in soot that for Carbon the energy-loss of the p shell electrons increases with the amount of oxidation at high temperatures. Analysis and characterization of gas turbine soot, collected from an engine exhaust duct of a 737-300 aircraft showed an abundance of different elements. Some of these elements originated from the fuel and combustion processes, while other elements were components of the engine itself that that combined with the soot particulates during the combustion process. The study showed that soot impurities were found in all discrete sections of aggregates, and that only one or two small soot particulates were necessary to obtain a chemical fingerprint. Other investigations include; coal soot, diesel soot at different engine operating conditions and soot produced from wood burning. The richness of the spectra obtained and the ability to quantify results represents an opportunity to accomplish source identification in a novel, powerful way.

4:30 PM II8.10/W11.10 
MOCVD OF FIELD EMISSION PHOSPHORS USING A LIQUID DELIVERY SYSTEM. T.S. Moss, B.F. Espinoza, K.V. Salazar, and R.C. Dye, Los Alamos National Laboratory, Los Alamos, NM.

The deposition of thin film field emission phosphors is an important alternative to the traditional powder processing techniques. This advancement is important because thin film phosphors can offer several advantages over powder-based emission systems, such as low outgasing rates and fine element sizes. The process of metal-organic chemical vapor deposition (MOCVD) is uniquely suited to produce high quality, crystalline-as-deposited materials that can be examined under excitation. The MOCVD of several field emission phosphors has been developed using a commercial liquid delivery system instead of using sublimed vapor. This method of reagent delivery was superior in that it allowed for accurate and reliable reagent delivery to the system. In this work, the system used a single source mixture of the starting metal-organic reagents that were dissolved into a common solvent. The phases of interest has been green emitting terbium-doped yttrium silicate (Y2SiO5:Tb) and terbium-doped yttrium aluminate (Y2Al5O12:Tb). These materials have been deposited by MOCVD in a horizontal hot wall deposition system under low pressures; substrates were glass slides with a transparant conductor to allow for emission measurements through the glass. Crystallography and microstructural analysis has come from x-ray diffraction and scanning electron microscopy; chemical anaylsis was done using x-ray fluorescence; and cathodluminescent excitation was used to examine the quality of the emission. This information will be used to optimize the processing conditions, particularly with respect to the precursor mixture ratios and content. Emission measurements taken from an integrating sphere will be compared to those available for similar powders for their efficiency.

4:45 PM II8.11/W11.11 
THE DESIGN OF A METALORGANIC UHV-CVD PROCESS FOR THE GROWTH OF MgAl2O4 THIN FILMS ON SILICON WITH NANOSCALE BARRIER LAYERS OF ALUMINUM OXIDE. Luke Emmert, Center for Materials Science, Los Alamos National Laboratory, Los Alamos, NM; Rishi Raj, Dept. of Mechanical Engineering, University of Colorado, Boulder, CO.

The integration of single crystal ferroelectric thin films with silicon technologies requires processes for the growth of thin single crystal coatings of a cubic oxide, such as magnesia or spinel, onto silicon wafers. These wafers then serve as substrates for the growth of ferroelectric overlayers. A UHV-CVD system equipped with surface science instrumentation (XPS, LEED and Auger Spectroscopy) is being used to design the multilayer architecture that is leading to successful growth of epitaxial spinel on silicon. The steps in this process developement will be described in detail to highlight the importance of the capabilities of the UHV approach. The XPS studies showed how direct deposition of MOCVD spinel on silicon was unsuccessful because of interfacial reactions; the reaction showed depletion of magnesium from the overgrowths. This information led to a two layer design consisting of a ''sub-critical'' epitaxial interlayer of cubic alumina which served as a diffusion barrier as well as a template for the growth of spinel. At the time of writing, the efficacy of the very thin alumina interlayer in preventing a reaction between the spinel overlayer and the silicon substrate has been demonstrated. We have successfully grown subcritical layers of cubic (gamma) alumina on silicon. The growth of ''epitaxial'' spinel on alumina is currently under investigation. The MRS presentation will describe the synergy between in-situ analysis, design and epitaxial growth of oxides on silicon in detail.

SESSION II9: IN SITU DIAGNOSTICS OF OXIDE FILM GROWTH 
Chair: Robert H. Hammond 
Friday Morning, December 5, 1997 
Cape Cod/Hyannis (M)

8:30 AM *II9.1 
RHEED STUDIES OF GROWTH OF COMPLEX OXIDES BY ALL-MBE. Ivan Bozovic and J.N. Eckstein, Varian Reserach Center, Palo Alto, CA.

We have synthesized a number of single-crystal thin films, multilayers and heterostructures comprised of cuprates, titanates, and manganites, using atomic-layer-by-layer molecular beam epitaxy (ALL-MBE). Overall, we have performed well over a thousand synthesis experiments, and in each case film growth was monitored in real time by reflection high-energy electron diffraction (RHEED). We have observed a rich variety of phenomena that are mirrored in the dynamics of RHEED patterns and intensities, including various growth modes (layer-by-layer, step-flow) and phase transitions (roughening, surface reconstruction, other structural changes), and investigated the corresponding phase diagrams. We have found that timing of healing of the surface subject to sequential deposition can be a powerful diagnostic tool for controlling the film quality. More exotic topics to be covered include observation of self-healing at heterointerfaces, and occurrence of a surface phase transition induced by the RHEED beam itself.

9:00 AM *II9.2 
ATOMIC-SCALE ANALYSIS OF LASER MBE GROWTH OF OXIDE THIN FILMS BY IN SITU RHEED AND CAICISS. Mamoru Yoshimoto, Tsuyoshi Ohnishi, Geun-Hyoung Lee, Hideaki Maruta and Hideomi Koinuma, Materials & Structures Lab., Tokyo Inst. of Tech., Yokohama, JAPAN.

Atomic-scale growth analysis of oxide thin films was performed by in situ RHEED and CAICISS (Coaxial Impact Collision Ion Scattering Spectroscopy) combined with laser MBE. CAICISS enables us to determine the terminating atomic species and their arrangements of single crystals. On the single crystal substrates with atomically flat terrace and step structures, the two-dimensional nucleation followed by molecular layer-by-layer growth was verified by in situ monitoring of RHEED intensity oscillation as well as AFM observation for the growth of BaTiO3, Al2O3 and BaO thin films. The c-axis oriented Bi2Sr2CaCu2Ox (Bi2212) superconducting film was subjected to in situ CAICISS measurements. Azimuth-rotational CAICISS spectra showed the four fold symmetry, indicating the square arrangements of surface atoms. The incident angular dependencies of CAICISS signal intensity suggested that (001) plane of co-deposited Bi2212 film was terminated with the oxygen deficient Bi-O layer. The present work also demonstrates the advanced oxide thin film processing based on laser MBE to control the growth and surface of films on an atomic scale.

9:30 AM *II9.3 
IN-SITU DIAGNOSTICS AT PRESSURES UP TO A00 Pa: ELLIPSOMETRIC AND RHEED STUDIES OF THE GROWTH OF YBaCuO. Dave H.A. Blank and Horst Rogalla, Low Temperature Division, Dept. of Applied Physics, University of Twente, Enschede, NETHERLANDS.

Pulsed Laser Deposition and Sputter Deposition are used for the fabrication of complex oxide thin films in relatively high oxygen pressures (up to 100 Pa). This high pressure hampers the application of a number of in-situ diagnostic tools. One of the exceptions is ellipsometry. Using this technique we studied in-situ the growth of off-axis sputtered YBa2Cu3O6+x thin films on (001) SrTiO3 as a function of the deposition parameters. Especially in the very first growth stage (<5nm), we observed that the optical properties of the grown layer differs from the `bulk' optical properties of YBCO and strongly depends on the deposition temperature and the oxygen partial pressure. Both properties are well established to influence the superconducting properties of thin YBCO films. Furthermore, the oxidation process from O(6) to O(7) has been studied by performing spectroscopic ellipsometry during isobaric cooling procedures. Another suitable in-situ monitoring technique for the growth of thin films is RHEED. We have developed a RHEED-system in which we can observe clear RHEED patterns up to a deposition pressure of 50 Pa. We will present a study of the homoepitaxial growth of SrTiO3 as well as the heteroepitaxial growth of YBaCuO, SrO and SrCuOx on SrTiO3. Intensity oscillations of the RHEED reflections are observed up to several tens of nanometers film thickness.

10:00 AM II9.4 
A REAL-TIME SPECTROSCOPIC ELLIPSOMETRIC STUDY ON THE DEPOSITION OF YBa2Cu3O THIN FILMS BY MOLECULAR BEAM EPITAXY. B.J. Gibbons, S. Trolier-McKinstry and D.G. Schlom, The Pennsylvania State University, University Park, PA.

In the ten years since the discovery of YBa2Cu3O (YBCO) there has been significant research on utilizing this material in devices based on Josephson junction technology. One of the major roadblocks to implementing this technology involves obtaining smooth interfaces between YBCO and thin barrier layers with fully-oxygenated YBCO up to the barrier layer interfaces. Consequently, there is a need to understand the evolution of film microstructure and composition as a function of the deposition parameters in order to optimize the films for these devices. In this work, epitaxial, -axis oriented YBCO films were deposited by molecular beam epitaxy (MBE). An rotating-analyzer spectroscopic ellipsometer was initially used to examine the films. It was observed that the ellipsometric data are very sensitive to the presence of surface precipitates on the films, as well as off-stoichiometric phases. This sensitivity was most apparent between 3.3 eV and 5 eV. In addition, the oxidation behavior of YBa2Cu3O6 was studied during heating. It was seen that at temperatures as low as 250 C a surface layer 4 nm thick of YBa2Cu3O7 was formed on a 60 nm thick film during oxidation in air. Based on these data, a real-time spectroscopic ellipsometer (RTSE) has been constructed to observe the deposition of these films. RTSE is employed during the deposition to monitor the composition and microstructure as it evolves so that conditions may be adjusted during deposition to yield the desired smooth interface morphology. In addition, since RTSE is sensitive to the species incorporated in the film during deposition, it complements the atomic absorption measurements of the incident fluxes. Data on the temperature dependence of the YBCO dielectric functions, as well as the degree of surface oxygenation during and subsequent to deposition, will be reported.

10:15 AM II9.5 
RHEED MONITORING OF ROTATING SAMPLES DURING LARGE-AREA HOMOGENEOUS DEPOSITION OF OXIDES. Vladimir Matijasevic, Zihong Lu, Kurt von Dessonneck, Conductus, Inc, Sunnyvale, CA; Charles Taylor and Darryl Barlett, k-Space Associates, Inc, Ann Arbor, MI.

We have successfully implemented RHEED monitoring of growth of complex oxides in a reactive evaporation process with substrate rotation and a partial oxygen environment. A 5-inch rotating substrate heater is used with an enclosure kept at a 10 mTorr oxygen pressure. This heater allows for simultaneous and uniform deposition of three 2-inch wafers. The RHEED beam diffracts from the substrates as they pass through the high-vacuum deposition zone of the heater. A CCD camera is used for RHEED image acquisition and data capture is synchronized to sample rotation. A fast shutter speed allows acquisition of good quality images in less than 10 arcmins of rotation. Images can be acquired at rates above 5 Hz. Real-time software analysis of the data is implemented for eventual control of deposition. We will discuss capabilities achieved, as well as remaining challenges. We use this RHEED diagnostic system for the study of in-situ growth of high-temperature superconducting thin films. In particular, emphasis is places on detection of second phase nucleation during growth to prevent outgrowth formation.

10:30 AM II9.6 
IN SITU INITIAL GROWTH STUDIES OF REBA2CU3O7-D AND (BA,SR,CA)CUOX ON SRTIO3 BY HIGH PRESSURE RHEED. Gertjan Koster, Joost Heutink, Boike L. Kropman, Dave H.A. Blank, Horst Rogalla, Guus Rijnders, Department of Applied Physics, University of Twente, THE NETHERLANDS.

The layered structure of the HTc cuprates in combination with atomically controlled thin film deposition techniques has been topic of research for some years now. Artificial structures, by depositing atomic layers or molecular blocks sequentially, have been fabricated by several groups. Still, improvements have to be made. We developed a Pulsed Laser Deposition (PLD) system with RHEED, with which we can in situ monitor growth at `normal' PLD pressures (e.g., 10-50 Pa). Using oxide materials, the high oxygen pressures and therefore high temperatures at which we are able to grow, improve crystallinity. With this system we studied REBa2Cu3O7-dand (Ba,Sr,Ca)CuOX initial growth on SRTIO3 in order to obtain atomically flat films, a first step towards multi-layer structures. In case of SrCuOX , the termination of the subsrate surface by a SrO plane promotes 2D growth. Layer-by-Layer growth of REBa2Cu3O7-d can be maintained by in situ anneal steps during growth.