Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

2012 MRS Spring Meeting Logo

2012 MRS Spring Meeting & Exhibit

April 9-13, 2012 | San Francisco
Meeting Chairs: Lara A. Estroff, Jun Liu, Kornelius Nielsch, Kazumi Wada

Symposium H : Silicon Carbide-Materials, Processing, and Devices

2012-04-10   Show All Abstracts

Symposium Organizers

Stephen E. Saddow, University of South Florida
Edward Sanchez, Dow Corning Compound Semiconductor
Feng Zhao, Washington State University
Hidekazu Tsuchida, Central Research Institute of Electric Power Industry (CRIEPI)
Roland Rupp, Infineon Technologies AG

Symposium Support

AIXTRON SE
Cree Inc
Dow Corning Corporation
II-VI
Tokyo Electron Ltd
H3: Characterization II
Session Chairs
Edward Sanchez
Tuesday PM, April 10, 2012
Moscone West, Level 2, Room 2006

2:30 AM - *H3.1
Roles of Nitrogen in SiC-SiO2 Interface System Studied by EDMR/ESR and Other Spectroscopic Techniques

Takahide Umeda 1 R. Kosugi 2 Y. Sakuma 2 Y. Satoh 1 M. Okamoto 2 S. Harada 2

1University of Tsukuba Tsukuba Japan2National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan

Show Abstract

3:00 AM - H3.2
Effect of Extended Defects on Carrier Lifetime in Thick SiC Epilayers

Nadeemullah A Mahadik 1 Robert Stahlbush 1 Joshua Caldwell 1 Michael O'Loughlin 2 Albert Burk 2

1Naval Research Laboratory/SSD Washington USA2Cree Inc. Durham USA

Show Abstract

3:15 AM - *H3.3
Synchrotron Topography Studies of Growth and Deformation-Induced Dislocations in 4H-SiC

Mike Dudley 1

1Stony Brook University Stony Brook USA

Show Abstract

3:45 AM - H3
BREAK

4:15 AM - *H3.4
Multifunctional Silicon Carbide Surfaces: From Passivation to Biofunctionalization

Ian D. Sharp 1 2

1Walter Schottky Institut, Technische Universitauml;t Muuml;nchen Garching Germany2Lawrence Berkeley National Laboratory Berkeley USA

Show Abstract

4:45 AM - H3.5
Measurement of Bulk Mobility in 4H-N SiC Substrate and Epilayers up to 900deg;C

Lin Cheng 1 James Richmond 1 Anant Agarwal 1

1Cree, Inc. Durham USA

Show Abstract

5:00 AM - H3.6
Combined Application of Section and Projection Topography to Defects Analysis in PVT-Grown 4H-SiC

Huanhuan Wang 1 Michael Dudley 1 Fangzhen Wu 1 Shayan Byrappa 1 Balaji Raghothamachar 1 Edward K Sanchez 2 Darren Hansen 2 Roman Dravchev 2 Stephan G Mueller 2 Mark J Loboda 2

1Stony Brook University Stony Brook USA2Dow Corning Compound Semiconductor Solutions Midland USA

Show Abstract

5:15 AM - H3.7
Transition Metal Defects in Cubic and Hexagonal Polytypes of SiC: Site Selection and Electronic Structure from Ab-initio Calculations

Adam Gali 1 Viktor Ivady 1 Andreas Gaellstroem 2 Nguyen T Son 2 Erik Janzen 2

1Hungarian Academy of Science Budapest Hungary2Linkouml;ping University Linkouml;ping Sweden

Show Abstract

H4: Poster Session
Session Chairs
Chih-Fang Huang
Tuesday PM, April 10, 2012
Moscone West, Level 1, Exhibit Hall

6:00 AM - H4.1
Amorphous Silicon Carbide Thin Film Formation at Room Temperature Using Monomethylsilane Gas

Hitoshi Habuka 1 Masaki Tsuji 1 Yusuke Ando 1

1Yokohama National University Yokohama Japan

Show Abstract

6:00 AM - H4.10
Argon Incorporation on Silicon Carbide Thin Films Deposited by Bias Co-sputtering Technique

Rodrigo S Pessoa 1 2 Henrique S Medeiros 1 Mariana Fraga 1 3 Lucia V Santos 1 2 Homero S Maciel 1 2 Marcos Massi 1 Argemiro S Sobrinho 1

1Plasma and Processes Laboratory, Technological Institute of Aeronautics S J dos Campos Brazil2IPamp;D, University of Vale do Paraiacute;ba S J dos Campos Brazil3Institute for Advanced Studies S J dos Campos Brazil

Show Abstract

6:00 AM - H4.11
Structural and Piezoresistive Characteristics of Amorphous Silicon Carbide Films Grown on AlN/Si Substrates

Mariana Fraga 1 2 Luiz A Rasia 3 Rodrigo S Pessoa 4 1 Humber Furlan 5

1Technological Institute of Aeronautics S J dos Campos Brazil2Institute for Advanced Studies S J dos Campos Brazil3Northwest Regional University of Rio Grande do Sul Ijui Brazil4University of Vale do Paraiacute;ba S J dos Campos Brazil5Faculty of Technology of Satilde;o Paulo Sao Paulo Brazil

Show Abstract

6:00 AM - H4.12
Effects of Argon Background Pressure on the Growth of Epitaxial Graphene on SiC(000-1)

Sangwon Lee 1 Michael L Bolen 2 Michael F Toney 3 Michael A Capano 2 Alberto Salleo 1

1Stanford University Stanford USA2Purdue University West Lafayette USA3SLAC National Accelerator Laboratory Menlo Park USA

Show Abstract

6:00 AM - H4.14
Characterization of 4H lt;000-1gt; Silicon Carbide Films Grown by Solvent-laser Heated Floating Zone

Andrew Woodworth 1 2 Ali Sayir 1 Philip G Neudeck 1 Michael Dudley 3 Balaji Raghothamachar 3

1NASA Glenn Research Center Cleveland USA2NASA Postdoctoral Program Fellow-ORAU Oak Ridge USA3State University of New York at Stony Brook Stony Brook USA

Show Abstract

6:00 AM - H4.17
Reduction of Triangular Defects on 100mm 4deg; Off-axis 4H-SiC Using a Chloride Based CVD Process

Hrishikesh Das 1 Swapna Sunkari 1 Timothy Oldham 1 Janna Casady 1

1Semisouth Laboratories Inc Starkville USA

Show Abstract

6:00 AM - H4.18
3C-SiC Neural Probes for In-vivo Biocompatibilty Testing

Christopher William Locke 1 Jean Weatherwax 1 Christopher L Frewin 2 Edwin Weeber 2 Stephen E Saddow 1 2

1University of South Florida Tampa USA2University of South Florida Tampa USA

Show Abstract

6:00 AM - H4.19
Conversion of BPDs in a Thin SiC Buffer Layer

Rachael Myers-Ward 1 Virginia Wheeler 1 Nadeem Mahadik 1 Robert Stahlbush 1 Luke Nyakiti 1 Anindya Nath 1 Charles Eddy 1 Kurt Gaskill 1

1Naval Research Laboratory Washington USA

Show Abstract

6:00 AM - H4.2
Physical and Electrical Performance of Metal-organic Decomposed Lanthanum Cerium Oxide Film Deposited on 4H-SiC Substrate

Kuan Yew Cheong 1 Way Foong Lim 1

1Universiti Sains Malaysia Nibong Tebal Malaysia

Show Abstract

6:00 AM - H4.20
Silicon Carbide Brain Slice Interface for In Vitro and Ex-Vivo Neural Recording

Joseph Register 1 Luca Abbati 1 3 Stephen Saddow 1 2 Justin King 1 Edwin Weeber 1 2 Andrea Scorzoni 3 Christopher Frewin 1 2

1University of South Florida Tampa USA2University of South Florida Tampa USA3Universitagrave; degli Studi di Perugia Perugia (Italy) Italy

Show Abstract

6:00 AM - H4.21
Vibration Energy Harvesters on Multifunctional SiC Substrate

Jae Hong Park 1 Dong-Yeon Lee 2 Jaesool Shim 2

1Korea National NanoFab Center Deajeon Republic of Korea2Yeungnam University Gyeongsan Republic of Korea

Show Abstract

6:00 AM - H4.3
Electrical Characteristics of JFETs across a 2-Inch 6H-SiC Wafer

ChiaWei Soong 1 Xiaoan Fu 2 Srihari Rajgopal 1 Steven Garverick 1 Mehran Mehregany 1

1Case Western Reserve Univ Cleveland USA2University of Louisville Louisville USA

Show Abstract

6:00 AM - H4.4
Characterization of Hydrogen Implantation Induced Lattice Damage and Layer Exfoliation in 4H-SiC

V. P Amarasinghe 1 L. Wielunski 1 L. C Feldman 1 G. K Celler 1

1Rutgers University Piscataway USA

Show Abstract

6:00 AM - H4.5
Properties of Al and Pd Contacts on N-type SiC Membranes

Nashrul F Mohd Nasir 1 2 Patrick W Leech 2 Anthony S Holland 2 Geoff K Reeves 2 Phillip Tanner 3

1Universiti Malaysia Perlis Arau Malaysia2RMIT University Melbourne Australia3Griffith University Brisbane Australia

Show Abstract

6:00 AM - H4.6
Fabrication of SiC Nanofibers from a Exfoliated Graphite and Amorphous Silica

Kyung-Jin Lee 1 Yong-Tae Ahn 1 2 Won-Seon Seo 2 Byung-Hyun Choi 2 Hae Jin Hwang 1

1Inha University Incheon Republic of Korea2Korea Institute of Ceramic Engineering and Technology Seoul Republic of Korea

Show Abstract

6:00 AM - H4.7
Growth and Characterization of Selective Epitaxial p-doped SiC Realized by VLS Transport

Davy Carole 1 Arthur Vo-Ha 1 Mihai Lazar 2 Dominique Tournier 2 Pierre Brosselard 2 Veronique Souliere 1 Ferro Gabriel 1

1Universiteacute; Lyon 1 Villeurbanne France2UMR-CNRS 5005 Villeurbanne France

Show Abstract

6:00 AM - H4.8
Electrical and Chemical Passivation of 6H-SiC Surfaces by Chlorine Termination

Sebastian J Schoell 1 Matthias Sachsenhauser 1 John Howgate 1 Jose A Garrido 1 Martin S Brandt 1 Martin Stutzmann 1 Ian D Sharp 1 2

1Technische Universitaet Muenchen Garching Germany2Lawrence Berkeley National Laboratory Berkeley USA

Show Abstract

H1: Growth
Session Chairs
Stephen Saddow
Tuesday AM, April 10, 2012
Moscone West, Level 2, Room 2006

9:30 AM - *H1.1
Generation and Elimination of the Z1/2 Center in 4H-SiC

Tsunenobu Kimoto 1 Koutarou Kawahara 1 Bernd Zippelius 1 Jun Suda 1

1Kyoto University Kyoto Japan

Show Abstract

10:00 AM - H1.2
Dependence of Growth Condition on 4H-SiC Epitaxial Layer Quality with Wafer Size Corresponding to 150mm

Chiaki Kudou 1 2 Kentaro Tamura 1 3 Takashi Aigo 1 4 Wataru Ito 1 4 Johji Nishio 1 5 Kazutoishi Kojima 1 6 Toshiyuki Ohno 1 7

1Ramp;D Partnership for Future Power Electronics Technology Tsukuba Japan2Panasonic Corporation Bizen Japan3ROHM Co., Ltd. Kyoto Japan4Nippon Steel Corporation Futtu Japan5Toshiba Corporation Kawasaki Japan6National Institute of Advanced Industrial Science and Technology(AIST) Tsukuba Japan7Hitachi, Ltd. Kokubunji Japan

Show Abstract

10:15 AM - H1.3
Efficient Process for Ultrahigh Quality 4H-SiC Crystal Utilizing Solution Growth on Off-axis Seed Crystal

Shunta Harada 1 Yuji Yamamoto 1 Kazuaki Seki 1 Atsushi Horio 1 Takato Mitsuhashi 1 Toru Ujihara 1

1Nagoya University Nagoya Japan

Show Abstract

10:30 AM - *H1.4
3C-SiC: A Material for MEMS Applications

Daniel Alquier 1 Jean-Francois Michaud 1 Sai Jiao 1 Marc Portail 2 Marcin Zielinski 3 Thierry Chassagne 3

1Universiteacute; de Tours Tours France2CNRS-UPR10 Valbonne France3NOVASIC Le Bourget du Lac France

Show Abstract

11:00 AM - H1
BREAK

H2: Characterization I
Session Chairs
Hidekazu Tsuchida
Tuesday AM, April 10, 2012
Moscone West, Level 2, Room 2006

11:30 AM - *H2.1
Analysis of Threading Dislocations in 4H-Silicon Carbide by Defect Selective Etching and X-Ray Topography

Birgit Kallinger 1 Patrick Berwian 1 Jochen Friedrich 1 Andreas Danilewsky 2 Alexander Wehrhahn 3 Arnd-Dietrich Weber 3

1Fraunhofer IISB Erlangen Germany2University of Freiburg Freiburg Germany3SiCrystal AG Nuremberg Germany

Show Abstract

12:00 PM - H2.2
Faulting of Basal Plane Dislocations in Heavily Doped 4H-SiC Epilayers

Robert E Stahlbush 1 Nadeemullah A Mahadik 1 Karl Hobart 1 Rachael Myers-Ward 1 Charles Eddy 1 D. K Gaskill 1 Fritz Kub 1

1Naval Research Laboratory/SSD Washington USA

Show Abstract

12:15 PM - H2.3
Stability of the Electrical Characteristics of SiC ``Super'' Junction Transistors under Long-term DC and Pulsed Operation at Various Temperatures

Siddarth Sundaresan 1 Aye Soe 1 Eric Lieser 1 Ranbir Singh 1

1GeneSiC Semiconductor Dulles USA

Show Abstract

12:30 PM - H2.4
Simulation of Threading nc+na Dislocations via Grazing Incidence, Transmission and Back- reflection X-Ray Topography in 4H- SiC

Shayan Byrappa 1 Fangzhen Wu 1 Huanhuan Wang 1 Balaji Raghothamachar 1 Michael Dudley 1 Edward Sanchez 2 Darren Hansen 2 Roman Drachev 2 Stephan Mueller 2 Mark J Loboda 2

1Stony Brook University Stony Brook USA2Dow Corning Compound Semiconductor Solutions Midland USA

Show Abstract

2012-04-11   Show All Abstracts

Symposium Organizers

Stephen E. Saddow, University of South Florida
Edward Sanchez, Dow Corning Compound Semiconductor
Feng Zhao, Washington State University
Hidekazu Tsuchida, Central Research Institute of Electric Power Industry (CRIEPI)
Roland Rupp, Infineon Technologies AG

Symposium Support

AIXTRON SE
Cree Inc
Dow Corning Corporation
II-VI
Tokyo Electron Ltd
H6: Devices II
Session Chairs
Stephen Saddow
Wednesday PM, April 11, 2012
Moscone West, Level 2, Room 2006

2:30 AM - H6.1
Activation and Relaxation of Charge Traps in SiC MOS under PBTS

Daniel B Habersat 1 Aivars Lelis 1 Ronald Green 1 Mooro El 1

1U.S. Army Research Laboratory Adelphi USA

Show Abstract

2:45 AM - H6.2
Growth Stress in SiO2 Formed by Oxidation of SiC

Randall Hay 1

1Air Force Research Laboratory Dayton USA

Show Abstract

3:00 AM - H6.3
Effects of NO and H2 Post-oxidation Annealings on Dielectric Films Thermally Grown on SiC

Silma Alberton Correa 1 Gabriel V Soares 2 Jisheng Han 3 Sima Dimitrijev 3 Fernanda C Stedile 4 1

1UFRGS Porto Alegre Brazil2Universidade Federal do Rio Grande do Sul Porto Alegre Brazil3Griffith University Nathan Australia4Universidade Federal do Rio Grande do Sul Porto Alegre Brazil

Show Abstract

3:15 AM - H6.4
Important Defects Observed in 4H SiC MOSFETS Using Electrically Detected Magnetic Resonance

Corey Cochrane 1 Patrick Lenahan 1 Aivars Lelis 2

1Penn State University University Park USA2Army Research Lab Adelphi USA

Show Abstract

3:30 AM - H6.5
A Biocompatible SiC RF Antenna for In vivo Sensing Applications

Shamima Afroz 1 Sylvia W Thomas 1 Gokhan Mumcu 1 Stephen E Saddow 1 2

1University of South Florida Tampa USA2University of South Florida Tampa USA

Show Abstract

3:45 AM - H6
BREAK

H7: Bio and MEMS I
Session Chairs
Feng Zhao
Wednesday PM, April 11, 2012
Moscone West, Level 2, Room 2006

4:15 AM - *H7.1
Silicon Carbide as a Structural Material for Diaphragm-based Micro- and Nanoelectromechanical Systems

Christian Zorman 1 Andrew C Barnes 1 Philip Feng 1

1Case Western Reserve University Cleveland USA

Show Abstract

4:45 AM - H7.2
Residual Stress Control of Polycrystalline SiC Grown via a Polysilicon-on-oxide Substrate

Christopher William Locke 1 Christopher L Frewin 2 Richard Everly 3 Stephen E Saddow 1 2

1University of South Florida Tampa USA2University of South Florida Tampa USA3University of South Florida Tampa USA

Show Abstract

5:00 AM - H7.3
3C-SiC (100) as a Platform for Detecting the Onset of Acute Myocardial Infarction (AMI)

Alexandra Oliveros 1 Anthony Guiseppi-Elie 2 Christopher Locke 1 Mark Jaroszeski 3 Stephen E Saddow 1 4

1University of South Florida Tampa USA2University of Clemson Anderson USA3University of South Florida Tampa USA4University of South Florida Tampa USA

Show Abstract

5:15 AM - H7.4
A New Ion Beam Approach for Lower-temperature Synthesis and Nanofabrication of Graphene on SiC

Bill R. Appleton 1 2 S. Tongay 2 3 L. Lemaitre 1 A. F Hebard 3 B. Gila 1 4 J. Fridmann 5

1University of Florida Gainesville USA2University of Florida Gainesville USA3University of Florida Gainesville USA4University of Florida Gainesville USA5Raith USA Ronkonkoma USA

Show Abstract

5:30 AM - H7.5
Fundamental Study of Focused Ion Beam (FIB) Nanomachining of Silicon Carbide (SiC) Nanoscale Devices

Hamidreza Zamani 1 Christian Zorman 1 Philip Feng 1

1Case Western Reserve University Cleveland USA

Show Abstract

H5: Devices I
Session Chairs
Takahide Umeda
Wednesday AM, April 11, 2012
Moscone West, Level 2, Room 2006

10:00 AM - H5.2
Ablation Free Dicing of 4H-SiC Wafers with Feed Rates up to 200 mm/s by Using Thermal Laser Separation

Matthias Koitzsch 1 Dirk Lewke 1 Martin Schellenberger 1 Lothar Pfitzner 1 Heiner Ryssel 1 Hans-Ulrich Zuehlke 2

1Fraunhofer IISB Erlangen Germany2JENOPTIK Automatisierungstechnik GmbH Jena Germany

Show Abstract

10:15 AM - H5.3
Conversion of Basal Plane Dislocations to Threading Edge Dislocations by High Temperature Annealing of 4H-SiC Epilayers

Xuan Zhang 1 Hidekazu Tsuchida 1

1Central Research Institute of Electric Power Industry Yokosuka Japan

Show Abstract

10:30 AM - H5.4
Pressure Dependent Surface Reactions of Nitrogen with SiC

Sorrie Ceesay 1 Roland Barbosa 2 Weijie Lu 3 Xingguang Zhu 4 Blair Tuttle 5 Sokrates T Pantelides 5 Leonard C Feldman 4 5 6

1Fisk University Nashville USA2Centre National de la Recherche Scientifique Strasbourg France3Air Force Research Lab Wright-Patterson Air Force Base USA4Rutgers University Piscataway USA5Vanderbilt University Nashville USA6Rutgers University Piscataway USA

Show Abstract

10:45 AM - H5
BREAK

11:15 AM - *H5.5
High-voltage Lateral SiC Devices on Semi-insulating Substrates

Chih-Fang Huang 1 Wen-Shan Lee 1 Kuan-Wei Chu 1 Feng Zhao 2

1National Tsing Hua University Hsinchu Taiwan2Washington State University Vancouver USA

Show Abstract

11:45 AM - H5.6
Insight into Bias-temperature Instability of 4H-SiC MOS Devices with Thermally Grown SiO2 Dielectrics

Atthawut Chanthaphan 1 Takashi Kirino 1 Yusuke Uenishi 1 Daisuke Ikeguchi 1 Shuhei Mitani 2 Yuki Nakano 2 Takashi Nakamura 2 Takuji Hosoi 1 Takayoshi Shimura 1 Heiji Watanabe 1

1Osaka University Osaka Japan2Rohm Co., Ltd. Kyoto Japan

Show Abstract

12:00 PM - H5.7
Dynamic Reliability Performance of Power SiC MOSFETs

Ronald Green 1 Aivars J Lelis 1 Daniel B Habersat 1

1Army Research Laboratory Adelphi USA

Show Abstract

12:15 PM - H5.8
SiC MOSFET Oxide-trap Tunneling Model

Aivars Lelis 1 Dan Habersat 1 Ron Green 1 Neil Goldsman 2

1U.S. Army Research Lab Adelphi USA2University of Maryland College Park USA

Show Abstract